WorldWideScience

Sample records for direct memory access

  1. Remote direct memory access over datagrams

    Science.gov (United States)

    Grant, Ryan Eric; Rashti, Mohammad Javad; Balaji, Pavan; Afsahi, Ahmad

    2014-12-02

    A communication stack for providing remote direct memory access (RDMA) over a datagram network is disclosed. The communication stack has a user level interface configured to accept datagram related input and communicate with an RDMA enabled network interface card (NIC) via an NIC driver. The communication stack also has an RDMA protocol layer configured to supply one or more data transfer primitives for the datagram related input of the user level. The communication stack further has a direct data placement (DDP) layer configured to transfer the datagram related input from a user storage to a transport layer based on the one or more data transfer primitives by way of a lower layer protocol (LLP) over the datagram network.

  2. Remote direct memory access

    Science.gov (United States)

    Archer, Charles J.; Blocksome, Michael A.

    2012-12-11

    Methods, parallel computers, and computer program products are disclosed for remote direct memory access. Embodiments include transmitting, from an origin DMA engine on an origin compute node to a plurality target DMA engines on target compute nodes, a request to send message, the request to send message specifying a data to be transferred from the origin DMA engine to data storage on each target compute node; receiving, by each target DMA engine on each target compute node, the request to send message; preparing, by each target DMA engine, to store data according to the data storage reference and the data length, including assigning a base storage address for the data storage reference; sending, by one or more of the target DMA engines, an acknowledgment message acknowledging that all the target DMA engines are prepared to receive a data transmission from the origin DMA engine; receiving, by the origin DMA engine, the acknowledgement message from the one or more of the target DMA engines; and transferring, by the origin DMA engine, data to data storage on each of the target compute nodes according to the data storage reference using a single direct put operation.

  3. Direct Access to Working Memory Contents

    NARCIS (Netherlands)

    Bialkova, S.E.; Oberauer, K.

    2010-01-01

    Abstract. In two experiments participants held in working memory (WM) three digits in three different colors, and updated individual digits with the results of arithmetic equations presented in one of the colors. In the memory-access condition, a digit from WM had to be used as the first number in

  4. Direct access inter-process shared memory

    Science.gov (United States)

    Brightwell, Ronald B; Pedretti, Kevin; Hudson, Trammell B

    2013-10-22

    A technique for directly sharing physical memory between processes executing on processor cores is described. The technique includes loading a plurality of processes into the physical memory for execution on a corresponding plurality of processor cores sharing the physical memory. An address space is mapped to each of the processes by populating a first entry in a top level virtual address table for each of the processes. The address space of each of the processes is cross-mapped into each of the processes by populating one or more subsequent entries of the top level virtual address table with the first entry in the top level virtual address table from other processes.

  5. Accessing memory

    Science.gov (United States)

    Yoon, Doe Hyun; Muralimanohar, Naveen; Chang, Jichuan; Ranganthan, Parthasarathy

    2017-09-26

    A disclosed example method involves performing simultaneous data accesses on at least first and second independently selectable logical sub-ranks to access first data via a wide internal data bus in a memory device. The memory device includes a translation buffer chip, memory chips in independently selectable logical sub-ranks, a narrow external data bus to connect the translation buffer chip to a memory controller, and the wide internal data bus between the translation buffer chip and the memory chips. A data access is performed on only the first independently selectable logical sub-rank to access second data via the wide internal data bus. The example method also involves locating a first portion of the first data, a second portion of the first data, and the second data on the narrow external data bus during separate data transfers.

  6. The special role of item-context associations in the direct-access region of working memory.

    Science.gov (United States)

    Campoy, Guillermo

    2017-09-01

    The three-embedded-component model of working memory (WM) distinguishes three representational states corresponding to three WM regions: activated long-term memory, direct-access region (DAR), and focus of attention. Recent neuroimaging research has revealed that access to the DAR is associated with enhanced hippocampal activity. Because the hippocampus mediates the encoding and retrieval of item-context associations, it has been suggested that this hippocampal activation is a consequence of the fact that item-context associations are particularly strong and accessible in the DAR. This study provides behavioral evidence for this view using an item-recognition task to assess the effect of non-intentional encoding and maintenance of item-location associations across WM regions. Five pictures of human faces were sequentially presented in different screen locations followed by a recognition probe. Visual cues immediately preceding the probe indicated the location thereof. When probe stimuli appeared in the same location that they had been presented within the memory set, the presentation of the cue was expected to elicit the activation of the corresponding WM representation through the just-established item-location association, resulting in faster recognition. Results showed this same-location effect, but only for items that, according to their serial position within the memory set, were held in the DAR.

  7. Atomic memory access hardware implementations

    Science.gov (United States)

    Ahn, Jung Ho; Erez, Mattan; Dally, William J

    2015-02-17

    Atomic memory access requests are handled using a variety of systems and methods. According to one example method, a data-processing circuit having an address-request generator that issues requests to a common memory implements a method of processing the requests using a memory-access intervention circuit coupled between the generator and the common memory. The method identifies a current atomic-memory access request from a plurality of memory access requests. A data set is stored that corresponds to the current atomic-memory access request in a data storage circuit within the intervention circuit. It is determined whether the current atomic-memory access request corresponds to at least one previously-stored atomic-memory access request. In response to determining correspondence, the current request is implemented by retrieving data from the common memory. The data is modified in response to the current request and at least one other access request in the memory-access intervention circuit.

  8. Notified Access: Extending Remote Memory Access Programming Models for Producer-Consumer Synchronization

    KAUST Repository

    Belli, Roberto; Hoefler, Torsten

    2015-01-01

    Remote Memory Access (RMA) programming enables direct access to low-level hardware features to achieve high performance for distributed-memory programs. However, the design of RMA programming schemes focuses on the memory access and less on the synchronization. For example, in contemporary RMA programming systems, the widely used producer-consumer pattern can only be implemented inefficiently, incurring in an overhead of an additional round-trip message. We propose Notified Access, a scheme where the target process of an access can receive a completion notification. This scheme enables direct and efficient synchronization with a minimum number of messages. We implement our scheme in an open source MPI-3 RMA library and demonstrate lower overheads (two cache misses) than other point-to-point synchronization mechanisms for each notification. We also evaluate our implementation on three real-world benchmarks, a stencil computation, a tree computation, and a Colicky factorization implemented with tasks. Our scheme always performs better than traditional message passing and other existing RMA synchronization schemes, providing up to 50% speedup on small messages. Our analysis shows that Notified Access is a valuable primitive for any RMA system. Furthermore, we provide guidance for the design of low-level network interfaces to support Notified Access efficiently.

  9. Notified Access: Extending Remote Memory Access Programming Models for Producer-Consumer Synchronization

    KAUST Repository

    Belli, Roberto

    2015-05-01

    Remote Memory Access (RMA) programming enables direct access to low-level hardware features to achieve high performance for distributed-memory programs. However, the design of RMA programming schemes focuses on the memory access and less on the synchronization. For example, in contemporary RMA programming systems, the widely used producer-consumer pattern can only be implemented inefficiently, incurring in an overhead of an additional round-trip message. We propose Notified Access, a scheme where the target process of an access can receive a completion notification. This scheme enables direct and efficient synchronization with a minimum number of messages. We implement our scheme in an open source MPI-3 RMA library and demonstrate lower overheads (two cache misses) than other point-to-point synchronization mechanisms for each notification. We also evaluate our implementation on three real-world benchmarks, a stencil computation, a tree computation, and a Colicky factorization implemented with tasks. Our scheme always performs better than traditional message passing and other existing RMA synchronization schemes, providing up to 50% speedup on small messages. Our analysis shows that Notified Access is a valuable primitive for any RMA system. Furthermore, we provide guidance for the design of low-level network interfaces to support Notified Access efficiently.

  10. Fencing direct memory access data transfers in a parallel active messaging interface of a parallel computer

    Science.gov (United States)

    Blocksome, Michael A.; Mamidala, Amith R.

    2013-09-03

    Fencing direct memory access (`DMA`) data transfers in a parallel active messaging interface (`PAMI`) of a parallel computer, the PAMI including data communications endpoints, each endpoint including specifications of a client, a context, and a task, the endpoints coupled for data communications through the PAMI and through DMA controllers operatively coupled to segments of shared random access memory through which the DMA controllers deliver data communications deterministically, including initiating execution through the PAMI of an ordered sequence of active DMA instructions for DMA data transfers between two endpoints, effecting deterministic DMA data transfers through a DMA controller and a segment of shared memory; and executing through the PAMI, with no FENCE accounting for DMA data transfers, an active FENCE instruction, the FENCE instruction completing execution only after completion of all DMA instructions initiated prior to execution of the FENCE instruction for DMA data transfers between the two endpoints.

  11. Interacting Memory Systems—Does EEG Alpha Activity Respond to Semantic Long-Term Memory Access in a Working Memory Task?

    Directory of Open Access Journals (Sweden)

    Barbara Berger

    2014-12-01

    Full Text Available Memory consists of various individual processes which form a dynamic system co-ordinated by central (executive functions. The episodic buffer as direct interface between episodic long-term memory (LTM and working memory (WM is fairly well studied but such direct interaction is less clear in semantic LTM. Here, we designed a verbal delayed-match-to-sample task specifically to differentiate between pure information maintenance and mental manipulation of memory traces with and without involvement of access to semantic LTM. Task-related amplitude differences of electroencephalographic (EEG oscillatory brain activity showed a linear increase in frontal-midline theta and linear suppression of parietal beta amplitudes relative to memory operation complexity. Amplitude suppression at upper alpha frequency, which was previously found to indicate access to semantic LTM, was only sensitive to mental manipulation in general, irrespective of LTM involvement. This suggests that suppression of upper EEG alpha activity might rather reflect unspecific distributed cortical activation during complex mental processes than accessing semantic LTM.

  12. Quantum random access memory

    OpenAIRE

    Giovannetti, Vittorio; Lloyd, Seth; Maccone, Lorenzo

    2007-01-01

    A random access memory (RAM) uses n bits to randomly address N=2^n distinct memory cells. A quantum random access memory (qRAM) uses n qubits to address any quantum superposition of N memory cells. We present an architecture that exponentially reduces the requirements for a memory call: O(log N) switches need be thrown instead of the N used in conventional (classical or quantum) RAM designs. This yields a more robust qRAM algorithm, as it in general requires entanglement among exponentially l...

  13. Architectures for a quantum random access memory

    OpenAIRE

    Giovannetti, Vittorio; Lloyd, Seth; Maccone, Lorenzo

    2008-01-01

    A random access memory, or RAM, is a device that, when interrogated, returns the content of a memory location in a memory array. A quantum RAM, or qRAM, allows one to access superpositions of memory sites, which may contain either quantum or classical information. RAMs and qRAMs with n-bit addresses can access 2^n memory sites. Any design for a RAM or qRAM then requires O(2^n) two-bit logic gates. At first sight this requirement might seem to make large scale quantum versions of such devices ...

  14. Generation-based memory synchronization in a multiprocessor system with weakly consistent memory accesses

    Energy Technology Data Exchange (ETDEWEB)

    Ohmacht, Martin

    2017-08-15

    In a multiprocessor system, a central memory synchronization module coordinates memory synchronization requests responsive to memory access requests in flight, a generation counter, and a reclaim pointer. The central module communicates via point-to-point communication. The module includes a global OR reduce tree for each memory access requesting device, for detecting memory access requests in flight. An interface unit is implemented associated with each processor requesting synchronization. The interface unit includes multiple generation completion detectors. The generation count and reclaim pointer do not pass one another.

  15. Generation-based memory synchronization in a multiprocessor system with weakly consistent memory accesses

    Science.gov (United States)

    Ohmacht, Martin

    2014-09-09

    In a multiprocessor system, a central memory synchronization module coordinates memory synchronization requests responsive to memory access requests in flight, a generation counter, and a reclaim pointer. The central module communicates via point-to-point communication. The module includes a global OR reduce tree for each memory access requesting device, for detecting memory access requests in flight. An interface unit is implemented associated with each processor requesting synchronization. The interface unit includes multiple generation completion detectors. The generation count and reclaim pointer do not pass one another.

  16. Routes to the past: Neural substrates of direct and generative autobiographical memory retrieval

    OpenAIRE

    Addis, Donna Rose; Knapp, Katie; Roberts, Reece P.; Schacter, Daniel L.

    2011-01-01

    Models of autobiographical memory propose two routes to retrieval depending on cue specificity. When available cues are specific and personally-relevant, a memory can be directly accessed. However, when available cues are generic, one must engage a generative retrieval process to produce more specific cues to successfully access a relevant memory. The current study sought to characterize the neural bases of these retrieval processes. During functional magnetic resonance imaging (fMRI), partic...

  17. Aspects of GPU perfomance in algorithms with random memory access

    Science.gov (United States)

    Kashkovsky, Alexander V.; Shershnev, Anton A.; Vashchenkov, Pavel V.

    2017-10-01

    The numerical code for solving the Boltzmann equation on the hybrid computational cluster using the Direct Simulation Monte Carlo (DSMC) method showed that on Tesla K40 accelerators computational performance drops dramatically with increase of percentage of occupied GPU memory. Testing revealed that memory access time increases tens of times after certain critical percentage of memory is occupied. Moreover, it seems to be the common problem of all NVidia's GPUs arising from its architecture. Few modifications of the numerical algorithm were suggested to overcome this problem. One of them, based on the splitting the memory into "virtual" blocks, resulted in 2.5 times speed up.

  18. Routes to the past: neural substrates of direct and generative autobiographical memory retrieval.

    Science.gov (United States)

    Addis, Donna Rose; Knapp, Katie; Roberts, Reece P; Schacter, Daniel L

    2012-02-01

    Models of autobiographical memory propose two routes to retrieval depending on cue specificity. When available cues are specific and personally-relevant, a memory can be directly accessed. However, when available cues are generic, one must engage a generative retrieval process to produce more specific cues to successfully access a relevant memory. The current study sought to characterize the neural bases of these retrieval processes. During functional magnetic resonance imaging (fMRI), participants were shown personally-relevant cues to elicit direct retrieval, or generic cues (nouns) to elicit generative retrieval. We used spatiotemporal partial least squares to characterize the spatial and temporal characteristics of the networks associated with direct and generative retrieval. Both retrieval tasks engaged regions comprising the autobiographical retrieval network, including hippocampus, and medial prefrontal and parietal cortices. However, some key neural differences emerged. Generative retrieval differentially recruited lateral prefrontal and temporal regions early on during the retrieval process, likely supporting the strategic search operations and initial recovery of generic autobiographical information. However, many regions were activated more strongly during direct versus generative retrieval, even when we time-locked the analysis to the successful recovery of events in both conditions. This result suggests that there may be fundamental differences between memories that are accessed directly and those that are recovered via the iterative search and retrieval process that characterizes generative retrieval. Copyright © 2011 Elsevier Inc. All rights reserved.

  19. Method and apparatus for managing access to a memory

    Science.gov (United States)

    DeBenedictis, Erik

    2017-08-01

    A method and apparatus for managing access to a memory of a computing system. A controller transforms a plurality of operations that represent a computing job into an operational memory layout that reduces a size of a selected portion of the memory that needs to be accessed to perform the computing job. The controller stores the operational memory layout in a plurality of memory cells within the selected portion of the memory. The controller controls a sequence by which a processor in the computing system accesses the memory to perform the computing job using the operational memory layout. The operational memory layout reduces an amount of energy consumed by the processor to perform the computing job.

  20. Accessing forgotten memory traces from long-term memory via visual movements

    Directory of Open Access Journals (Sweden)

    Estela eCamara

    2014-11-01

    Full Text Available Because memory retrieval often requires overt responses, it is difficult to determine to what extend forgetting occurs as a problem in explicit accessing of long-term memory traces. In this study, we used eye-tracking measures in combination with a behavioural task that favoured high forgetting rates to investigate the existence of memory traces from long-term memory in spite of failure in accessing them consciously. In 2 experiments, participants were encouraged to encode a large set of sound-picture-location associations. In a later test, sounds were presented and participants were instructed to visually scan, before a verbal memory report, for the correct location of the associated pictures in an empty screen. We found the reactivation of associated memories by sound cues at test biased oculomotor behaviour towards locations congruent with memory representations, even when participants failed to consciously provide a memory report of it. These findings reveal the emergence of a memory-guided behaviour that can be used to map internal representations of forgotten memories from long-term memory.

  1. Hardware Compilation of Application-Specific Memory-Access Interconnect

    DEFF Research Database (Denmark)

    Venkataramani, Girish; Bjerregaard, Tobias; Chelcea, Tiberiu

    2006-01-01

    operations dependent on memory reads. More fundamental is that dependences between accesses may not be statically provable (e.g., if the specification language permits pointers), which introduces memory-consistency problems. Addressing these issues with static scheduling results in overly conservative...... enables specifications to include arbitrary memory references (e.g., pointers) and allows the memory system to incorporate features that might cause the latency of a memory access to vary dynamically. This results in raising the level of abstraction in the input specification, enabling faster design times...

  2. More than a feeling: Emotional cues impact the access and experience of autobiographical memories.

    Science.gov (United States)

    Sheldon, Signy; Donahue, Julia

    2017-07-01

    Remembering is impacted by several factors of retrieval, including the emotional content of a memory cue. Here we tested how musical retrieval cues that differed on two dimensions of emotion-valence (positive and negative) and arousal (high and low)-impacted the following aspects of autobiographical memory recall: the response time to access a past personal event, the experience of remembering (ratings of memory vividness), the emotional content of a cued memory (ratings of event arousal and valence), and the type of event recalled (ratings of event energy, socialness, and uniqueness). We further explored how cue presentation affected autobiographical memory retrieval by administering cues of similar arousal and valence levels in a blocked fashion to one half of the tested participants, and randomly to the other half. We report three main findings. First, memories were accessed most quickly in response to musical cues that were highly arousing and positive in emotion. Second, we observed a relation between a cue and the elicited memory's emotional valence but not arousal; however, both the cue valence and arousal related to the nature of the recalled event. Specifically, high cue arousal led to lower memory vividness and uniqueness ratings, but cues with both high arousal and positive valence were associated with memories rated as more social and energetic. Finally, cue presentation impacted both how quickly and specifically memories were accessed and how cue valence affected the memory vividness ratings. The implications of these findings for views of how emotion directs the access to memories and the experience of remembering are discussed.

  3. Self-Testing Static Random-Access Memory

    Science.gov (United States)

    Chau, Savio; Rennels, David

    1991-01-01

    Proposed static random-access memory for computer features improved error-detecting and -correcting capabilities. New self-testing scheme provides for detection and correction of errors at any time during normal operation - even while data being written into memory. Faults in equipment causing errors in output data detected by repeatedly testing every memory cell to determine whether it can still store both "one" and "zero", without destroying data stored in memory.

  4. Architectures for a quantum random access memory

    Science.gov (United States)

    Giovannetti, Vittorio; Lloyd, Seth; Maccone, Lorenzo

    2008-11-01

    A random access memory, or RAM, is a device that, when interrogated, returns the content of a memory location in a memory array. A quantum RAM, or qRAM, allows one to access superpositions of memory sites, which may contain either quantum or classical information. RAMs and qRAMs with n -bit addresses can access 2n memory sites. Any design for a RAM or qRAM then requires O(2n) two-bit logic gates. At first sight this requirement might seem to make large scale quantum versions of such devices impractical, due to the difficulty of constructing and operating coherent devices with large numbers of quantum logic gates. Here we analyze two different RAM architectures (the conventional fanout and the “bucket brigade”) and propose some proof-of-principle implementations, which show that, in principle, only O(n) two-qubit physical interactions need take place during each qRAM call. That is, although a qRAM needs O(2n) quantum logic gates, only O(n) need to be activated during a memory call. The resulting decrease in resources could give rise to the construction of large qRAMs that could operate without the need for extensive quantum error correction.

  5. Multichannel analyzer using the direct-memory-access channel in a personal computer; Mnogokanal`nyj analizator v personal`nom komp`yutere, ispol`zuyushchij kanal pryamogo dostupa k pamyati

    Energy Technology Data Exchange (ETDEWEB)

    Georgiev, G; Vankov, I; Dimitrov, L [Incn. Yadernykh Issledovanij i Yadernoj Ehnergetiki Bolgarskoj Akademii Nuk, Sofiya (Bulgaria); Peev, I [Firma TOIVEL, Sofiya (Bulgaria)

    1996-12-31

    Paper describes a multichannel analyzer of the spectrometry data developed on the basis of a personal computer memory and a controlled channel of direct access. Analyzer software covering a driver and program of spectrum display control is studied. 2 figs.

  6. Fast memory with direct access for nuclear physics

    International Nuclear Information System (INIS)

    Alexandre, B.; Riou, C.; Veler, J.C.

    1967-07-01

    This memory with thin ferromagnetic layers initially devoted to code the spatial position of sparkles in a sonic chamber must allow to give a more general interest in nuclear physics. We study the organisation of the memory and we present a summary of its technical characteristics [fr

  7. Fast Magnetoresistive Random-Access Memory

    Science.gov (United States)

    Wu, Jiin-Chuan; Stadler, Henry L.; Katti, Romney R.

    1991-01-01

    Magnetoresistive binary digital memories of proposed new type expected to feature high speed, nonvolatility, ability to withstand ionizing radiation, high density, and low power. In memory cell, magnetoresistive effect exploited more efficiently by use of ferromagnetic material to store datum and adjacent magnetoresistive material to sense datum for readout. Because relative change in sensed resistance between "zero" and "one" states greater, shorter sampling and readout access times achievable.

  8. Large Capacity of Conscious Access for Incidental Memories in Natural Scenes.

    Science.gov (United States)

    Kaunitz, Lisandro N; Rowe, Elise G; Tsuchiya, Naotsugu

    2016-09-01

    When searching a crowd, people can detect a target face only by direct fixation and attention. Once the target is found, it is consciously experienced and remembered, but what is the perceptual fate of the fixated nontarget faces? Whereas introspection suggests that one may remember nontargets, previous studies have proposed that almost no memory should be retained. Using a gaze-contingent paradigm, we asked subjects to visually search for a target face within a crowded natural scene and then tested their memory for nontarget faces, as well as their confidence in those memories. Subjects remembered up to seven fixated, nontarget faces with more than 70% accuracy. Memory accuracy was correlated with trial-by-trial confidence ratings, which implies that the memory was consciously maintained and accessed. When the search scene was inverted, no more than three nontarget faces were remembered. These findings imply that incidental memory for faces, such as those recalled by eyewitnesses, is more reliable than is usually assumed. © The Author(s) 2016.

  9. Cue generation and memory construction in direct and generative autobiographical memory retrieval.

    Science.gov (United States)

    Harris, Celia B; O'Connor, Akira R; Sutton, John

    2015-05-01

    Theories of autobiographical memory emphasise effortful, generative search processes in memory retrieval. However recent research suggests that memories are often retrieved directly, without effortful search. We investigated whether direct and generative retrieval differed in the characteristics of memories recalled, or only in terms of retrieval latency. Participants recalled autobiographical memories in response to cue words. For each memory, they reported whether it was retrieved directly or generatively, rated its visuo-spatial perspective, and judged its accompanying recollective experience. Our results indicated that direct retrieval was commonly reported and was faster than generative retrieval, replicating recent findings. The characteristics of directly retrieved memories differed from generatively retrieved memories: directly retrieved memories had higher field perspective ratings and lower observer perspective ratings. However, retrieval mode did not influence recollective experience. We discuss our findings in terms of cue generation and content construction, and the implication for reconstructive models of autobiographical memory. Copyright © 2015 Elsevier Inc. All rights reserved.

  10. Efficient accesses of data structures using processing near memory

    Science.gov (United States)

    Jayasena, Nuwan S.; Zhang, Dong Ping; Diez, Paula Aguilera

    2018-05-22

    Systems, apparatuses, and methods for implementing efficient queues and other data structures. A queue may be shared among multiple processors and/or threads without using explicit software atomic instructions to coordinate access to the queue. System software may allocate an atomic queue and corresponding queue metadata in system memory and return, to the requesting thread, a handle referencing the queue metadata. Any number of threads may utilize the handle for accessing the atomic queue. The logic for ensuring the atomicity of accesses to the atomic queue may reside in a management unit in the memory controller coupled to the memory where the atomic queue is allocated.

  11. Evaluation of External Memory Access Performance on a High-End FPGA Hybrid Computer

    Directory of Open Access Journals (Sweden)

    Konstantinos Kalaitzis

    2016-10-01

    Full Text Available The motivation of this research was to evaluate the main memory performance of a hybrid super computer such as the Convey HC-x, and ascertain how the controller performs in several access scenarios, vis-à-vis hand-coded memory prefetches. Such memory patterns are very useful in stencil computations. The theoretical bandwidth of the memory of the Convey is compared with the results of our measurements. The accurate study of the memory subsystem is particularly useful for users when they are developing their application-specific personality. Experiments were performed to measure the bandwidth between the coprocessor and the memory subsystem. The experiments aimed mainly at measuring the reading access speed of the memory from Application Engines (FPGAs. Different ways of accessing data were used in order to find the most efficient way to access memory. This way was proposed for future work in the Convey HC-x. When performing a series of accesses to memory, non-uniform latencies occur. The Memory Controller of the Convey HC-x in the coprocessor attempts to cover this latency. We measure memory efficiency as a ratio of the number of memory accesses and the number of execution cycles. The result of this measurement converges to one in most cases. In addition, we performed experiments with hand-coded memory accesses. The analysis of the experimental results shows how the memory subsystem and Memory Controllers work. From this work we conclude that the memory controllers do an excellent job, largely because (transparently to the user they seem to cache large amounts of data, and hence hand-coding is not needed in most situations.

  12. Accessibility Limits Recall from Visual Working Memory

    Science.gov (United States)

    Rajsic, Jason; Swan, Garrett; Wilson, Daryl E.; Pratt, Jay

    2017-01-01

    In this article, we demonstrate limitations of accessibility of information in visual working memory (VWM). Recently, cued-recall has been used to estimate the fidelity of information in VWM, where the feature of a cued object is reproduced from memory (Bays, Catalao, & Husain, 2009; Wilken & Ma, 2004; Zhang & Luck, 2008). Response…

  13. Partitioning and Scheduling DSP Applications with Maximal Memory Access Hiding

    Directory of Open Access Journals (Sweden)

    Sha Edwin Hsing-Mean

    2002-01-01

    Full Text Available This paper presents an iteration space partitioning scheme to reduce the CPU idle time due to the long memory access latency. We take into consideration both the data accesses of intermediate and initial data. An algorithm is proposed to find the largest overlap for initial data to reduce the entire memory traffic. In order to efficiently hide the memory latency, another algorithm is developed to balance the ALU and memory schedules. The experiments on DSP benchmarks show that the algorithms significantly outperform the known existing methods.

  14. Fencing network direct memory access data transfers in a parallel active messaging interface of a parallel computer

    Science.gov (United States)

    Blocksome, Michael A.; Mamidala, Amith R.

    2015-07-07

    Fencing direct memory access (`DMA`) data transfers in a parallel active messaging interface (`PAMI`) of a parallel computer, the PAMI including data communications endpoints, each endpoint including specifications of a client, a context, and a task, the endpoints coupled for data communications through the PAMI and through DMA controllers operatively coupled to a deterministic data communications network through which the DMA controllers deliver data communications deterministically, including initiating execution through the PAMI of an ordered sequence of active DMA instructions for DMA data transfers between two endpoints, effecting deterministic DMA data transfers through a DMA controller and the deterministic data communications network; and executing through the PAMI, with no FENCE accounting for DMA data transfers, an active FENCE instruction, the FENCE instruction completing execution only after completion of all DMA instructions initiated prior to execution of the FENCE instruction for DMA data transfers between the two endpoints.

  15. Embedded Memory Hierarchy Exploration Based on Magnetic Random Access Memory

    Directory of Open Access Journals (Sweden)

    Luís Vitório Cargnini

    2014-08-01

    Full Text Available Static random access memory (SRAM is the most commonly employed semiconductor in the design of on-chip processor memory. However, it is unlikely that the SRAM technology will have a cell size that will continue to scale below 45 nm, due to the leakage current that is caused by the quantum tunneling effect. Magnetic random access memory (MRAM is a candidate technology to replace SRAM, assuming appropriate dimensioning given an operating threshold voltage. The write current of spin transfer torque (STT-MRAM is a known limitation; however, this has been recently mitigated by leveraging perpendicular magnetic tunneling junctions. In this article, we present a comprehensive comparison of spin transfer torque-MRAM (STT-MRAM and SRAM cache set banks. The non-volatility of STT-MRAM allows the definition of new instant on/off policies and leakage current optimizations. Through our experiments, we demonstrate that STT-MRAM is a candidate for the memory hierarchy of embedded systems, due to the higher densities and reduced leakage of MRAM.We demonstrate that adopting STT-MRAM in L1 and L2 caches mitigates the impact of higher write latencies and increased current draw due to the use of MRAM. With the correct system-on-chip (SoC design, we believe that STT-MRAM is a viable alternative to SRAM, which minimizes leakage current and the total power consumed by the SoC.

  16. Memory architecture for efficient utilization of SDRAM: a case study of the computation/memory access trade-off

    DEFF Research Database (Denmark)

    Gleerup, Thomas Møller; Holten-Lund, Hans Erik; Madsen, Jan

    2000-01-01

    . In software, forward differencing is usually better, but in this hardware implementation, the trade-off has made it possible to develop a very regular memory architecture with a buffering system, which can reach 95% bandwidth utilization using off-the-shelf SDRAM, This is achieved by changing the algorithm......This paper discusses the trade-off between calculations and memory accesses in a 3D graphics tile renderer for visualization of data from medical scanners. The performance requirement of this application is a frame rate of 25 frames per second when rendering 3D models with 2 million triangles, i...... to use a memory access strategy with write-only and read-only phases, and a buffering system, which uses round-robin bank write-access combined with burst read-access....

  17. An Investigation of Unified Memory Access Performance in CUDA

    Science.gov (United States)

    Landaverde, Raphael; Zhang, Tiansheng; Coskun, Ayse K.; Herbordt, Martin

    2015-01-01

    Managing memory between the CPU and GPU is a major challenge in GPU computing. A programming model, Unified Memory Access (UMA), has been recently introduced by Nvidia to simplify the complexities of memory management while claiming good overall performance. In this paper, we investigate this programming model and evaluate its performance and programming model simplifications based on our experimental results. We find that beyond on-demand data transfers to the CPU, the GPU is also able to request subsets of data it requires on demand. This feature allows UMA to outperform full data transfer methods for certain parallel applications and small data sizes. We also find, however, that for the majority of applications and memory access patterns, the performance overheads associated with UMA are significant, while the simplifications to the programming model restrict flexibility for adding future optimizations. PMID:26594668

  18. Direct and generative retrieval of autobiographical memories: The roles of visual imagery and executive processes.

    Science.gov (United States)

    Anderson, Rachel J; Dewhurst, Stephen A; Dean, Graham M

    2017-03-01

    Two experiments used a dual task methodology to investigate the role of visual imagery and executive resources in the retrieval of specific autobiographical memories. In Experiment 1, dynamic visual noise led to a reduction in the number of specific memories retrieved in response to both high and low imageability cues, but did not affect retrieval times. In Experiment 2, irrelevant pictures reduced the number of specific memories but only in response to low imageability cues. Irrelevant pictures also increased response times to both high and low imageability cues. The findings are in line with previous work suggesting that disrupting executive resources may impair generative, but not direct, retrieval of autobiographical memories. In contrast, visual distractor tasks appear to impair access to specific autobiographical memories via both the direct and generative retrieval routes, thereby highlighting the potential role of visual imagery in both pathways. Copyright © 2017 Elsevier Inc. All rights reserved.

  19. Main Memory

    OpenAIRE

    Boncz, Peter; Liu, Lei; Özsu, M.

    2008-01-01

    htmlabstractPrimary storage, presently known as main memory, is the largest memory directly accessible to the CPU in the prevalent Von Neumann model and stores both data and instructions (program code). The CPU continuously reads instructions stored there and executes them. It is also called Random Access Memory (RAM), to indicate that load/store instructions can access data at any location at the same cost, is usually implemented using DRAM chips, which are connected to the CPU and other per...

  20. Individual differences in memory span: the contribution of rehearsal, access to lexical memory, and output speed.

    Science.gov (United States)

    Tehan, G; Lalor, D M

    2000-11-01

    Rehearsal speed has traditionally been seen to be the prime determinant of individual differences in memory span. Recent studies, in the main using young children as the subject population, have suggested other contributors to span performance, notably contributions from long-term memory and forgetting and retrieval processes occurring during recall. In the current research we explore individual differences in span with respect to measures of rehearsal, output time, and access to lexical memory. We replicate standard short-term phenomena; we show that the variables that influence children's span performance influence adult performance in the same way; and we show that lexical memory access appears to be a more potent source of individual differences in span than either rehearsal speed or output factors.

  1. Directed Forgetting of Recently Recalled Autobiographical Memories

    Science.gov (United States)

    Barnier, Amanda J.; Conway, Martin A.; Mayoh, Lyndel; Speyer, Joanne; Avizmil, Orit; Harris, Celia B.

    2007-01-01

    In 6 experiments, the authors investigated list-method directed forgetting of recently recalled autobiographical memories. Reliable directed forgetting effects were observed across all experiments. In 4 experiments, the authors examined the impact of memory valence on directed forgetting. The forget instruction impaired recall of negative,…

  2. Database architecture optimized for the new bottleneck: Memory access

    NARCIS (Netherlands)

    P.A. Boncz (Peter); S. Manegold (Stefan); M.L. Kersten (Martin)

    1999-01-01

    textabstractIn the past decade, advances in speed of commodity CPUs have far out-paced advances in memory latency. Main-memory access is therefore increasingly a performance bottleneck for many computer applications, including database systems. In this article, we use a simple scan test to show the

  3. Optimizing Database Architecture for the New Bottleneck: Memory Access

    NARCIS (Netherlands)

    S. Manegold (Stefan); P.A. Boncz (Peter); M.L. Kersten (Martin)

    2000-01-01

    textabstractIn the past decade, advances in speed of commodity CPUs have far out-paced advances in memory latency. Main-memory access is therefore increasingly a performance bottleneck for many computer applications, including database systems. In this article, we use a simple scan test to show the

  4. Introduction to magnetic random-access memory

    CERN Document Server

    Dieny, Bernard; Lee, Kyung-Jin

    2017-01-01

    Magnetic random-access memory (MRAM) is poised to replace traditional computer memory based on complementary metal-oxide semiconductors (CMOS). MRAM will surpass all other types of memory devices in terms of nonvolatility, low energy dissipation, fast switching speed, radiation hardness, and durability. Although toggle-MRAM is currently a commercial product, it is clear that future developments in MRAM will be based on spin-transfer torque, which makes use of electrons’ spin angular momentum instead of their charge. MRAM will require an amalgamation of magnetics and microelectronics technologies. However, researchers and developers in magnetics and in microelectronics attend different technical conferences, publish in different journals, use different tools, and have different backgrounds in condensed-matter physics, electrical engineering, and materials science. This book is an introduction to MRAM for microelectronics engineers written by specialists in magnetic mat rials and devices. It presents the bas...

  5. Enabling Highly-Scalable Remote Memory Access Programming with MPI-3 One Sided

    Directory of Open Access Journals (Sweden)

    Robert Gerstenberger

    2014-01-01

    Full Text Available Modern interconnects offer remote direct memory access (RDMA features. Yet, most applications rely on explicit message passing for communications albeit their unwanted overheads. The MPI-3.0 standard defines a programming interface for exploiting RDMA networks directly, however, it's scalability and practicability has to be demonstrated in practice. In this work, we develop scalable bufferless protocols that implement the MPI-3.0 specification. Our protocols support scaling to millions of cores with negligible memory consumption while providing highest performance and minimal overheads. To arm programmers, we provide a spectrum of performance models for all critical functions and demonstrate the usability of our library and models with several application studies with up to half a million processes. We show that our design is comparable to, or better than UPC and Fortran Coarrays in terms of latency, bandwidth and message rate. We also demonstrate application performance improvements with comparable programming complexity.

  6. Paging memory from random access memory to backing storage in a parallel computer

    Science.gov (United States)

    Archer, Charles J; Blocksome, Michael A; Inglett, Todd A; Ratterman, Joseph D; Smith, Brian E

    2013-05-21

    Paging memory from random access memory (`RAM`) to backing storage in a parallel computer that includes a plurality of compute nodes, including: executing a data processing application on a virtual machine operating system in a virtual machine on a first compute node; providing, by a second compute node, backing storage for the contents of RAM on the first compute node; and swapping, by the virtual machine operating system in the virtual machine on the first compute node, a page of memory from RAM on the first compute node to the backing storage on the second compute node.

  7. Emerging Directions in Emotional Episodic Memory

    Science.gov (United States)

    Dolcos, Florin; Katsumi, Yuta; Weymar, Mathias; Moore, Matthew; Tsukiura, Takashi; Dolcos, Sanda

    2017-01-01

    Building upon the existing literature on emotional memory, the present review examines emerging evidence from brain imaging investigations regarding four research directions: (1) Social Emotional Memory, (2) The Role of Emotion Regulation in the Impact of Emotion on Memory, (3) The Impact of Emotion on Associative or Relational Memory, and (4) The Role of Individual Differences in Emotional Memory. Across these four domains, available evidence demonstrates that emotion- and memory-related medial temporal lobe brain regions (amygdala and hippocampus, respectively), together with prefrontal cortical regions, play a pivotal role during both encoding and retrieval of emotional episodic memories. This evidence sheds light on the neural mechanisms of emotional memories in healthy functioning, and has important implications for understanding clinical conditions that are associated with negative affective biases in encoding and retrieving emotional memories. PMID:29255432

  8. Working memory capacity and controlled serial memory search.

    Science.gov (United States)

    Mızrak, Eda; Öztekin, Ilke

    2016-08-01

    The speed-accuracy trade-off (SAT) procedure was used to investigate the relationship between working memory capacity (WMC) and the dynamics of temporal order memory retrieval. High- and low-span participants (HSs, LSs) studied sequentially presented five-item lists, followed by two probes from the study list. Participants indicated the more recent probe. Overall, accuracy was higher for HSs compared to LSs. Crucially, in contrast to previous investigations that observed no impact of WMC on speed of access to item information in memory (e.g., Öztekin & McElree, 2010), recovery of temporal order memory was slower for LSs. While accessing an item's representation in memory can be direct, recovery of relational information such as temporal order information requires a more controlled serial memory search. Collectively, these data indicate that WMC effects are particularly prominent during high demands of cognitive control, such as serial search operations necessary to access temporal order information from memory. Copyright © 2016 Elsevier B.V. All rights reserved.

  9. Selective memory retrieval can impair and improve retrieval of other memories.

    Science.gov (United States)

    Bäuml, Karl-Heinz T; Samenieh, Anuscheh

    2012-03-01

    Research from the past decades has shown that retrieval of a specific memory (e.g., retrieving part of a previous vacation) typically attenuates retrieval of other memories (e.g., memories for other details of the event), causing retrieval-induced forgetting. More recently, however, it has been shown that retrieval can both attenuate and aid recall of other memories (K.-H. T. Bäuml & A. Samenieh, 2010). To identify the circumstances under which retrieval aids recall, the authors examined retrieval dynamics in listwise directed forgetting, context-dependent forgetting, proactive interference, and in the absence of any induced memory impairment. They found beneficial effects of selective retrieval in listwise directed forgetting and context-dependent forgetting but detrimental effects in all the other conditions. Because context-dependent forgetting and listwise directed forgetting arguably reflect impaired context access, the results suggest that memory retrieval aids recall of memories that are subject to impaired context access but attenuates recall in the absence of such circumstances. The findings are consistent with a 2-factor account of memory retrieval and suggest the existence of 2 faces of memory retrieval. 2012 APA, all rights reserved

  10. 75 FR 14467 - In the Matter of: Certain Dynamic Random Access Memory Semiconductors and Products Containing...

    Science.gov (United States)

    2010-03-25

    ... Access Memory Semiconductors and Products Containing Same, Including Memory Modules; Notice of... semiconductors and products containing same, including memory modules, by reason of infringement of certain... importation of certain dynamic random access memory semiconductors or products containing the same, including...

  11. Microsoft DirectAccess best practices and troubleshooting

    CERN Document Server

    Krause, Jordan

    2013-01-01

    This book covers best practices and acts as a complete guide to DirectAccess and automatic remote access.Microsoft DirectAccess Best Practices and Troubleshooting is an ideal guide for any existing or future DirectAccess administrator and system administrators who are working on Windows Server 2012. This book will also be beneficial for someone with a basic knowledge of networking and deployment of Microsoft operating systems and software who wants to learn the intricacies of DirectAccess and its interfaces.

  12. Semantic Dementia Shows both Storage and Access Disorders of Semantic Memory

    Directory of Open Access Journals (Sweden)

    Yumi Takahashi

    2014-01-01

    Full Text Available Objective. Previous studies have shown that some patients with semantic dementia (SD have memory storage disorders, while others have access disorders. Here, we report three SD cases with both disorders. Methods. Ten pictures and ten words were prepared as visual stimuli to determine if the patients could correctly answer names and select pictures after hearing the names of items (Card Presentation Task, assessing memory storage disorder. In a second task, the viewing time was set at 20 or 300 msec (Momentary Presentation Task, evaluating memory access disorder using items for which correct answers were given in the first task. The results were compared with those for 6 patients with Alzheimer’s disease (AD. Results. The SD patients had lower scores than the AD group for both tasks, suggesting both storage and access disorders. The AD group had almost perfect scores on the Card Presentation Task but showed impairment on the Momentary Presentation Task, although to a lesser extent than the SD cases. Conclusions. These results suggest that SD patients have both storage and access disorders and have more severe access disorder than patients with AD.

  13. Attentional priorities and access to short-term memory

    DEFF Research Database (Denmark)

    Gillebert, Celine; Dyrholm, Mads; Vangkilde, Signe Allerup

    2012-01-01

    The intraparietal sulcus (IPS) has been implicated in selective attention as well as visual short-term memory (VSTM). To contrast mechanisms of target selection, distracter filtering, and access to VSTM, we combined behavioral testing, computational modeling and functional magnetic resonance......, thereby displaying a significant interaction between the two factors. The interaction between target and distracter set size in IPS could not be accounted for by a simple explanation in terms of number of items accessing VSTM. Instead, it led us to a model where items accessing VSTM receive differential...

  14. The Benefits of Targeted Memory Reactivation for Consolidation in Sleep are Contingent on Memory Accuracy and Direct Cue-Memory Associations.

    Science.gov (United States)

    Cairney, Scott A; Lindsay, Shane; Sobczak, Justyna M; Paller, Ken A; Gaskell, M Gareth

    2016-05-01

    To investigate how the effects of targeted memory reactivation (TMR) are influenced by memory accuracy prior to sleep and the presence or absence of direct cue-memory associations. 30 participants associated each of 50 pictures with an unrelated word and then with a screen location in two separate tasks. During picture-location training, each picture was also presented with a semantically related sound. The sounds were therefore directly associated with the picture locations but indirectly associated with the words. During a subsequent nap, half of the sounds were replayed in slow wave sleep (SWS). The effect of TMR on memory for the picture locations (direct cue-memory associations) and picture-word pairs (indirect cue-memory associations) was then examined. TMR reduced overall memory decay for recall of picture locations. Further analyses revealed a benefit of TMR for picture locations recalled with a low degree of accuracy prior to sleep, but not those recalled with a high degree of accuracy. The benefit of TMR for low accuracy memories was predicted by time spent in SWS. There was no benefit of TMR for memory of the picture-word pairs, irrespective of memory accuracy prior to sleep. TMR provides the greatest benefit to memories recalled with a low degree of accuracy prior to sleep. The memory benefits of TMR may also be contingent on direct cue-memory associations. © 2016 Associated Professional Sleep Societies, LLC.

  15. Memory accessibility shapes explanation: Testing key claims of the inherence heuristic account.

    Science.gov (United States)

    Hussak, Larisa J; Cimpian, Andrei

    2018-01-01

    People understand the world by constructing explanations for what they observe. It is thus important to identify the cognitive processes underlying these judgments. According to a recent proposal, everyday explanations are often constructed heuristically: Because people need to generate explanations on a moment-by-moment basis, they cannot perform an exhaustive search through the space of possible reasons, but may instead use the information that is most easily accessible in memory (Cimpian & Salomon 2014a, b). In the present research, we tested two key claims of this proposal that have so far not been investigated. First, we tested whether-as previously hypothesized-the information about an entity that is most accessible in memory tends to consist of inherent or intrinsic facts about that entity, rather than extrinsic (contextual, historical, etc.) facts about it (Studies 1 and 2). Second, we tested the implications of this difference in the memory accessibility of inherent versus extrinsic facts for the process of generating explanations: Does the fact that inherent facts are more accessible than relevant extrinsic facts give rise to an inherence bias in the content of the explanations generated (Studies 3 and 4)? The findings supported the proposal that everyday explanations are generated in part via a heuristic process that relies on easily accessible-and often inherent-information from memory.

  16. Atomic crystals resistive switching memory

    International Nuclear Information System (INIS)

    Liu Chunsen; Zhang David Wei; Zhou Peng

    2017-01-01

    Facing the growing data storage and computing demands, a high accessing speed memory with low power and non-volatile character is urgently needed. Resistive access random memory with 4F 2 cell size, switching in sub-nanosecond, cycling endurances of over 10 12 cycles, and information retention exceeding 10 years, is considered as promising next-generation non-volatile memory. However, the energy per bit is still too high to compete against static random access memory and dynamic random access memory. The sneak leakage path and metal film sheet resistance issues hinder the further scaling down. The variation of resistance between different devices and even various cycles in the same device, hold resistive access random memory back from commercialization. The emerging of atomic crystals, possessing fine interface without dangling bonds in low dimension, can provide atomic level solutions for the obsessional issues. Moreover, the unique properties of atomic crystals also enable new type resistive switching memories, which provide a brand-new direction for the resistive access random memory. (topical reviews)

  17. Dataflow models for shared memory access latency analysis

    NARCIS (Netherlands)

    Staschulat, Jan; Bekooij, Marco Jan Gerrit

    2009-01-01

    Performance analysis of applications in multi-core platforms is challenging because of temporal interference while accessing shared resources. Especially, memory arbiters introduce a non-constant delay which signicantly in uences the execution time of a task. In this paper, we selected a

  18. The Influence of Direct and Indirect Speech on Source Memory

    Directory of Open Access Journals (Sweden)

    Anita Eerland

    2018-02-01

    Full Text Available People perceive the same situation described in direct speech (e.g., John said, “I like the food at this restaurant” as more vivid and perceptually engaging than described in indirect speech (e.g., John said that he likes the food at the restaurant. So, if direct speech enhances the perception of vividness relative to indirect speech, what are the effects of using indirect speech? In four experiments, we examined whether the use of direct and indirect speech influences the comprehender’s memory for the identity of the speaker. Participants read a direct or an indirect speech version of a story and then addressed statements to one of the four protagonists of the story in a memory task. We found better source memory at the level of protagonist gender after indirect than direct speech (Exp. 1–3. When the story was rewritten to make the protagonists more distinctive, we also found an effect of speech type on source memory at the level of the individual, with better memory after indirect than direct speech (Exp. 3–4. Memory for the content of the story, however, was not influenced by speech type (Exp. 4. While previous research showed that direct speech may enhance memory for how something was said, we conclude that indirect speech enhances memory for who said what.

  19. Neural Correlates of Direct and Indirect Suppression of Autobiographical Memories.

    Science.gov (United States)

    Noreen, Saima; O'Connor, Akira R; MacLeod, Malcolm D

    2016-01-01

    Research indicates that there are two possible mechanisms by which particular target memories can be intentionally forgotten. Direct suppression, which involves the suppression of the unwanted memory directly, and is dependent on a fronto-hippocampal modulatory process, and, memory substitution, which includes directing one's attention to an alternative memory in order to prevent the unwanted memory from coming to mind, and involves engaging the caudal prefrontal cortex (cPFC) and the mid-ventrolateral prefrontal cortex (VLPFC) regions. Research to date, however, has investigated the neural basis of memory suppression of relatively simple information. The aim of the current study was to use fMRI to identify the neural mechanisms associated with the suppression of autobiographical memories. In the present study, 22 participants generated memories in response to a series of cue words. In a second session, participants learnt these cue-memory pairings, and were subsequently presented with a cue word and asked either to recall (think) or to suppress (no-think) the associated memory, or to think of an alternative memory in order to suppress the original memory (memory-substitution). Our findings demonstrated successful forgetting effects in the no-think and memory substitution conditions. Although we found no activation in the dorsolateral prefrontal cortex, there was reduced hippocampal activation during direct suppression. In the memory substitution condition, however, we failed to find increased activation in the cPFC and VLPFC regions. Our findings suggest that the suppression of autobiographical memories may rely on different neural mechanisms to those established for other types of material in memory.

  20. Neural Correlates of Direct and Indirect Suppression of Autobiographical Memories

    Directory of Open Access Journals (Sweden)

    Saima eNoreen

    2016-03-01

    Full Text Available Research indicates that there are two possible mechanisms by which particular target memories can be intentionally forgotten. Direct suppression, which involves the suppression of the unwanted memory directly, and is dependent on a fronto-hippocampal modulatory process, and, memory substitution, which includes directing one's attention to an alternative memory in order to prevent the unwanted memory from coming to mind, and involves engaging the caudal prefrontal cortex (cPFC and the mid-ventrolateral prefrontal cortex (VLPFC regions. Research to date, however, has investigated the neural basis of memory suppression of relatively simple information. The aim of the current study was to use fMRI to identify the neural mechanisms associated with the suppression of autobiographical memories. In the present study, 22 participants generated memories in response to a series of cue words. In a second session, participants learnt these cue-memory pairings, and were subsequently presented with a cue word and asked either to recall (think or to suppress (no-think the associated memory, or to think of an alternative memory in order to suppress the original memory (memory-substitution. Our findings demonstrated successful forgetting effects in the no-think and memory substitution conditions. Although we found no activation in the dorsolateral prefrontal cortex there was reduced hippocampal activation during direct suppression. In the memory substitution condition, however, we failed to find increased activation in the cPFC and VLPFC regions. Our findings suggest that the suppression of autobiographical memories may rely on different neural mechanisms to those established for other types of material in memory.

  1. Working memory capacity predicts listwise directed forgetting in adults and children.

    Science.gov (United States)

    Aslan, Alp; Zellner, Martina; Bäuml, Karl-Heinz T

    2010-05-01

    In listwise directed forgetting, participants are cued to forget previously studied material and to learn new material instead. Such cueing typically leads to forgetting of the first set of material and to memory enhancement of the second. The present study examined the role of working memory capacity in adults' and children's listwise directed forgetting. Working memory capacity was assessed with complex span tasks. In Experiment 1 working memory capacity predicted young adults' directed-forgetting performance, demonstrating a positive relationship between working memory capacity and each of the two directed-forgetting effects. In Experiment 2 we replicated the finding with a sample of first and a sample of fourth-grade children, and additionally showed that working memory capacity can account for age-related increases in directed-forgetting efficiency between the two age groups. Following the view that directed forgetting is mediated by inhibition of the first encoded list, the results support the proposal of a close link between working memory capacity and inhibitory function.

  2. Making working memory work: the effects of extended practice on focus capacity and the processes of updating, forward access, and random access.

    Science.gov (United States)

    Price, John M; Colflesh, Gregory J H; Cerella, John; Verhaeghen, Paul

    2014-05-01

    We investigated the effects of 10h of practice on variations of the N-Back task to investigate the processes underlying possible expansion of the focus of attention within working memory. Using subtractive logic, we showed that random access (i.e., Sternberg-like search) yielded a modest effect (a 50% increase in speed) whereas the processes of forward access (i.e., retrieval in order, as in a standard N-Back task) and updating (i.e., changing the contents of working memory) were executed about 5 times faster after extended practice. We additionally found that extended practice increased working memory capacity as measured by the size of the focus of attention for the forward-access task, but not for variations where probing was in random order. This suggests that working memory capacity may depend on the type of search process engaged, and that certain working-memory-related cognitive processes are more amenable to practice than others. Copyright © 2014 Elsevier B.V. All rights reserved.

  3. Main Memory

    NARCIS (Netherlands)

    P.A. Boncz (Peter); L. Liu (Lei); M. Tamer Özsu

    2008-01-01

    htmlabstractPrimary storage, presently known as main memory, is the largest memory directly accessible to the CPU in the prevalent Von Neumann model and stores both data and instructions (program code). The CPU continuously reads instructions stored there and executes them. It is also called Random

  4. Neutron detection using soft errors in dynamic Random Access Memories

    International Nuclear Information System (INIS)

    Darambara, D.G.; Spyrou, N.M.

    1994-01-01

    The purpose of this paper is to present results from experiments that have been performed to show the memory cycle time dependence of the soft errors produced by the interaction of alpha particles with dynamic random access memory devices, with a view to using these as position sensitive detectors. Furthermore, a preliminary feasibility study being carried out indicates the use of dynamic RAMs as neutron detectors by the utilization of (n, α) capture reactions in a Li converter placed on the top of the active area of the memory chip. ((orig.))

  5. 75 FR 44283 - In the Matter of Certain Dynamic Random Access Memory Semiconductors and Products Containing Same...

    Science.gov (United States)

    2010-07-28

    ... INTERNATIONAL TRADE COMMISSION [Investigation No. 337-TA-707] In the Matter of Certain Dynamic Random Access Memory Semiconductors and Products Containing Same, Including Memory Modules; Notice of a... importation of certain dynamic random access memory semiconductors and products containing same, including...

  6. Complex dynamics of semantic memory access in reading.

    Science.gov (United States)

    Baggio, Giosué; Fonseca, André

    2012-02-07

    Understanding a word in context relies on a cascade of perceptual and conceptual processes, starting with modality-specific input decoding, and leading to the unification of the word's meaning into a discourse model. One critical cognitive event, turning a sensory stimulus into a meaningful linguistic sign, is the access of a semantic representation from memory. Little is known about the changes that activating a word's meaning brings about in cortical dynamics. We recorded the electroencephalogram (EEG) while participants read sentences that could contain a contextually unexpected word, such as 'cold' in 'In July it is very cold outside'. We reconstructed trajectories in phase space from single-trial EEG time series, and we applied three nonlinear measures of predictability and complexity to each side of the semantic access boundary, estimated as the onset time of the N400 effect evoked by critical words. Relative to controls, unexpected words were associated with larger prediction errors preceding the onset of the N400. Accessing the meaning of such words produced a phase transition to lower entropy states, in which cortical processing becomes more predictable and more regular. Our study sheds new light on the dynamics of information flow through interfaces between sensory and memory systems during language processing.

  7. Visual inspection requirements for high-reliability random-access memories

    International Nuclear Information System (INIS)

    Andrade, A.; McHenery, J.

    1981-09-01

    Visual inspection requirements are given for random-access memories for deep-space satellite electronics. The requirements, based primarily on Military Standard 883B, are illustrated in the order of their manufacturing operation to clarify and facilitate inspection procedures

  8. Accessibility versus accuracy in retrieving spatial memory: evidence for suboptimal assumed headings.

    Science.gov (United States)

    Yerramsetti, Ashok; Marchette, Steven A; Shelton, Amy L

    2013-07-01

    Orientation dependence in spatial memory has often been interpreted in terms of accessibility: Object locations are encoded relative to a reference orientation that affords the most accurate access to spatial memory. An open question, however, is whether people naturally use this "preferred" orientation whenever recalling the space. We tested this question by asking participants to locate buildings on a familiar campus from various imagined locations, without specifying the heading to be assumed. We then used these pointing judgments to infer the approximate heading participants assumed at each location. Surprisingly, each location showed a unique assumed heading that was consistent across participants and seemed to reflect episodic or visual properties of the space. This result suggests that although locations are encoded relative to a reference orientation, other factors may influence how people choose to access the stored information and whether they appeal to long-term spatial memory or other more sensory-based stores. PsycINFO Database Record (c) 2013 APA, all rights reserved.

  9. Direct access: how is it working?

    Science.gov (United States)

    Turner, S; Ross, M

    2017-02-10

    Aim The aim of this study was to identify and survey dental hygienists and therapists working in direct access practices in the UK, obtain their views on its benefits and disadvantages, establish which treatments they provided, and what barriers they had encountered.Method The study used a purposive sample of GDC-registered hygienists and therapists working in practices offering direct access, identified through a 'Google' search. An online survey was set up through the University of Edinburgh, and non-responses followed up by post.Results The initial search identified 243 individuals working in direct access practices. Where a practice listed more than one hygienist/therapist, one was randomly selected. This gave a total of 179 potential respondents. Eighty-six responses were received, representing a response rate of 48%. A large majority of respondents (58, 73%) were favourable in their view of the GDC decision to allow direct access, and most thought advantages outnumbered disadvantages for patients, hygienists, therapists and dentists. There were no statistically significant differences in views between hygienists and therapists. Although direct access patients formed a small minority of their caseload for most respondents, it is estimated that on average respondents saw approximately 13 per month. Treatment was mainly restricted to periodontal work, irrespective of whether the respondent was singly or dually qualified. One third of respondents reported encountering barriers to successful practice, including issues relating to teamwork and dentists' unfavourable attitudes. However, almost two thirds (64%) felt that direct access had enhanced their job satisfaction, and 45% felt their clinical skills had increased.Discussion Comments were mainly positive, but sometimes raised worrying issues, for example in respect to training, lack of dental nurse support and the limited availability of periodontal treatment under NHS regulations.

  10. Taxing working memory during retrieval of emotional memories does not reduce memory accessibility when cued with reminders

    Directory of Open Access Journals (Sweden)

    Kevin eVan Schie

    2015-02-01

    Full Text Available Earlier studies have shown that when individuals recall an emotional memory while simultaneously doing a demanding dual-task (e.g., playing Tetris, mental arithmetic, making eye movements, this reduces self-reported vividness and emotionality of the memory. These effects have been found up to one week later, but have largely been confined to self-report ratings. This study examined whether this dual-tasking intervention reduces memory performance (i.e., accessibility of emotional memories. Undergraduates (N = 60 studied word-image pairs and rated the retrieved image on vividness and emotionality when cued with the word. Then they viewed the cues and recalled the images with or without making eye movements. Finally, they re-rated the images on vividness and emotionality. Additionally, fragments from images from all conditions were presented and participants identified which fragment was paired earlier with which cue. Findings showed no effect of the dual-task manipulation on self-reported ratings and latency responses. Cued recall may not have been sufficient to elicit specific and continuous target retrieval for memory blurring to occur. The study demonstrates boundaries to the effects of the dual-tasking procedure.

  11. The effects of enactment and intention accessibility on prospective memory performance.

    Science.gov (United States)

    Schult, Janette C; Steffens, Melanie C

    2017-05-01

    The intention-superiority effect denotes faster response latencies to stimuli linked with a prospective memory task compared to stimuli linked with no prospective task or with a cancelled task. It is generally assumed that the increased accessibility of intention-related materials contributes to successful execution of prospective memory tasks at an appropriate opportunity. In two experiments we investigated the relationship between the intention-superiority effect and actual prospective memory performance under relatively realistic conditions. We also manipulated enactment versus observation encoding to further investigate the similarity in representations of enacted and to-be-enacted tasks. Additionally, Experiment 1 included a control condition to investigate the development of the intention-superiority effect over time. Participants were asked to perform prospective tasks at the end of the experiment to prepare the room for the next participant. They studied these preparatory tasks at the beginning of the experiment either by enacting them themselves or by observing the experimenter perform them. In Experiment 2, participants in a control condition did not intend to perform prospective tasks. We observed a smaller intention-superiority effect after enactment encoding than after observation encoding, but only if response latencies were assessed immediately before the prospective memory task. In addition, Experiment 2 suggested that the size of the intention-superiority effect is related to successful prospective memory performance, thus providing evidence for a functional relationship between accessibility and memory.

  12. Artificial intelligence applications of fast optical memory access

    Science.gov (United States)

    Henshaw, P. D.; Todtenkopf, A. B.

    The operating principles and performance of rapid laser beam-steering (LBS) techniques are reviewed and illustrated with diagrams; their applicability to fast optical-memory (disk) access is evaluated; and the implications of fast access for the design of expert systems are discussed. LBS methods examined include analog deflection (source motion, wavefront tilt, and phased arrays), digital deflection (polarization modulation, reflectivity modulation, interferometric switching, and waveguide deflection), and photorefractive LBS. The disk-access problem is considered, and typical LBS requirements are listed as 38,000 beam positions, rotational latency 25 ms, one-sector rotation time 1.5 ms, and intersector space 87 microsec. The value of rapid access for increasing the power of expert systems (by permitting better organization of blocks of information) is illustrated by summarizing the learning process of the MVP-FORTH system (Park, 1983).

  13. Accessing information in working memory: Can the focus of attention grasp two elements at the same time?

    NARCIS (Netherlands)

    Oberauer, K.; Bialkova, S.E.

    2009-01-01

    Processing information in working memory requires selective access to a subset of working-memory contents by a focus of attention. Complex cognition often requires joint access to 2 items in working memory. How does the focus select 2 items? Two experiments with an arithmetic task and 1 with a

  14. Dynamic computing random access memory

    International Nuclear Information System (INIS)

    Traversa, F L; Bonani, F; Pershin, Y V; Di Ventra, M

    2014-01-01

    The present von Neumann computing paradigm involves a significant amount of information transfer between a central processing unit and memory, with concomitant limitations in the actual execution speed. However, it has been recently argued that a different form of computation, dubbed memcomputing (Di Ventra and Pershin 2013 Nat. Phys. 9 200–2) and inspired by the operation of our brain, can resolve the intrinsic limitations of present day architectures by allowing for computing and storing of information on the same physical platform. Here we show a simple and practical realization of memcomputing that utilizes easy-to-build memcapacitive systems. We name this architecture dynamic computing random access memory (DCRAM). We show that DCRAM provides massively-parallel and polymorphic digital logic, namely it allows for different logic operations with the same architecture, by varying only the control signals. In addition, by taking into account realistic parameters, its energy expenditures can be as low as a few fJ per operation. DCRAM is fully compatible with CMOS technology, can be realized with current fabrication facilities, and therefore can really serve as an alternative to the present computing technology. (paper)

  15. Information matching the content of visual working memory is prioritized for conscious access.

    Science.gov (United States)

    Gayet, Surya; Paffen, Chris L E; Van der Stigchel, Stefan

    2013-12-01

    Visual working memory (VWM) is used to retain relevant information for imminent goal-directed behavior. In the experiments reported here, we found that VWM helps to prioritize relevant information that is not yet available for conscious experience. In five experiments, we demonstrated that information matching VWM content reaches visual awareness faster than does information not matching VWM content. Our findings suggest a functional link between VWM and visual awareness: The content of VWM is recruited to funnel down the vast amount of sensory input to that which is relevant for subsequent behavior and therefore requires conscious access.

  16. Integrated Optical Content Addressable Memories (CAM and Optical Random Access Memories (RAM for Ultra-Fast Address Look-Up Operations

    Directory of Open Access Journals (Sweden)

    Christos Vagionas

    2017-07-01

    Full Text Available Electronic Content Addressable Memories (CAM implement Address Look-Up (AL table functionalities of network routers; however, they typically operate in the MHz regime, turning AL into a critical network bottleneck. In this communication, we demonstrate the first steps towards developing optical CAM alternatives to enable a re-engineering of AL memories. Firstly, we report on the photonic integration of Semiconductor Optical Amplifier-Mach Zehnder Interferometer (SOA-MZI-based optical Flip-Flop and Random Access Memories on a monolithic InP platform, capable of storing the binary prefix-address data-bits and the outgoing port information for next hop routing, respectively. Subsequently the first optical Binary CAM cell (B-CAM is experimentally demonstrated, comprising an InP Flip-Flop and a SOA-MZI Exclusive OR (XOR gate for fast search operations through an XOR-based bit comparison, yielding an error-free 10 Gb/s operation. This is later extended via physical layer simulations in an optical Ternary-CAM (T-CAM cell and a 4-bit Matchline (ML configuration, supporting a third state of the “logical X” value towards wildcard bits of network subnet masks. The proposed functional CAM and Random Access Memories (RAM sub-circuits may facilitate light-based Address Look-Up tables supporting search operations at 10 Gb/s and beyond, paving the way towards minimizing the disparity with the frantic optical transmission linerates, and fast re-configurability through multiple simultaneous Wavelength Division Multiplexed (WDM memory access requests.

  17. Boosting the FM-Index on the GPU: Effective Techniques to Mitigate Random Memory Access.

    Science.gov (United States)

    Chacón, Alejandro; Marco-Sola, Santiago; Espinosa, Antonio; Ribeca, Paolo; Moure, Juan Carlos

    2015-01-01

    The recent advent of high-throughput sequencing machines producing big amounts of short reads has boosted the interest in efficient string searching techniques. As of today, many mainstream sequence alignment software tools rely on a special data structure, called the FM-index, which allows for fast exact searches in large genomic references. However, such searches translate into a pseudo-random memory access pattern, thus making memory access the limiting factor of all computation-efficient implementations, both on CPUs and GPUs. Here, we show that several strategies can be put in place to remove the memory bottleneck on the GPU: more compact indexes can be implemented by having more threads work cooperatively on larger memory blocks, and a k-step FM-index can be used to further reduce the number of memory accesses. The combination of those and other optimisations yields an implementation that is able to process about two Gbases of queries per second on our test platform, being about 8 × faster than a comparable multi-core CPU version, and about 3 × to 5 × faster than the FM-index implementation on the GPU provided by the recently announced Nvidia NVBIO bioinformatics library.

  18. Accessing Information in Working Memory: Can the Focus of Attention Grasp Two Elements at the Same Time?

    Science.gov (United States)

    Oberauer, Klaus; Bialkova, Svetlana

    2009-01-01

    Processing information in working memory requires selective access to a subset of working-memory contents by a focus of attention. Complex cognition often requires joint access to 2 items in working memory. How does the focus select 2 items? Two experiments with an arithmetic task and 1 with a spatial task investigate time demands for successive…

  19. A novel ternary content addressable memory design based on resistive random access memory with high intensity and low search energy

    Science.gov (United States)

    Han, Runze; Shen, Wensheng; Huang, Peng; Zhou, Zheng; Liu, Lifeng; Liu, Xiaoyan; Kang, Jinfeng

    2018-04-01

    A novel ternary content addressable memory (TCAM) design based on resistive random access memory (RRAM) is presented. Each TCAM cell consists of two parallel RRAM to both store and search for ternary data. The cell size of the proposed design is 8F2, enable a ∼60× cell area reduction compared with the conventional static random access memory (SRAM) based implementation. Simulation results also show that the search delay and energy consumption of the proposed design at the 64-bit word search are 2 ps and 0.18 fJ/bit/search respectively at 22 nm technology node, where significant improvements are achieved compared to previous works. The desired characteristics of RRAM for implementation of the high performance TCAM search chip are also discussed.

  20. What versus where: Investigating how autobiographical memory retrieval differs when accessed with thematic versus spatial information.

    Science.gov (United States)

    Sheldon, Signy; Chu, Sonja

    2017-09-01

    Autobiographical memory research has investigated how cueing distinct aspects of a past event can trigger different recollective experiences. This research has stimulated theories about how autobiographical knowledge is accessed and organized. Here, we test the idea that thematic information organizes multiple autobiographical events whereas spatial information organizes individual past episodes by investigating how retrieval guided by these two forms of information differs. We used a novel autobiographical fluency task in which participants accessed multiple memory exemplars to event theme and spatial (location) cues followed by a narrative description task in which they described the memories generated to these cues. Participants recalled significantly more memory exemplars to event theme than to spatial cues; however, spatial cues prompted faster access to past memories. Results from the narrative description task revealed that memories retrieved via event theme cues compared to spatial cues had a higher number of overall details, but those recalled to the spatial cues were recollected with a greater concentration on episodic details than those retrieved via event theme cues. These results provide evidence that thematic information organizes and integrates multiple memories whereas spatial information prompts the retrieval of specific episodic content from a past event.

  1. The short- and long-term consequences of directed forgetting in a working memory task.

    Science.gov (United States)

    Festini, Sara B; Reuter-Lorenz, Patricia A

    2013-01-01

    Directed forgetting requires the voluntary control of memory. Whereas many studies have examined directed forgetting in long-term memory (LTM), the mechanisms and effects of directed forgetting within working memory (WM) are less well understood. The current study tests how directed forgetting instructions delivered in a WM task influence veridical memory, as well as false memory, over the short and long term. In a modified item recognition task Experiment 1 tested WM only and demonstrated that directed forgetting reduces false recognition errors and semantic interference. Experiment 2 replicated these WM effects and used a surprise LTM recognition test to assess the long-term effects of directed forgetting in WM. Long-term veridical memory for to-be-remembered lists was better than memory for to-be-forgotten lists-the directed forgetting effect. Moreover, fewer false memories emerged for to-be-forgotten information than for to-be-remembered information in LTM as well. These results indicate that directed forgetting during WM reduces semantic processing of to-be-forgotten lists over the short and long term. Implications for theories of false memory and the mechanisms of directed forgetting within working memory are discussed.

  2. Does retrieval intentionality really matter? Similarities and differences between involuntary memories and directly and generatively retrieved voluntary memories

    DEFF Research Database (Denmark)

    Barzykowski, Krystian; Staugaard, Søren Risløv

    2016-01-01

    differences between the characteristics of involuntary and directly retrieved memories. The results imply that retrieval intention seems to differentiate how a memory appears in a person’s mind. Furthermore, we argue that these differences in part could result from differences in encoding and consolidation.......Theories of autobiographical memory distinguish between involuntary and voluntary retrieval as a consequence of conscious intention (i.e., wanting to remember). Another distinction can be made between direct and generative retrieval, which reflects the effort involved (i.e., trying to remember......). However, it is unclear how intention and effort interacts. For example, involuntary memories and directly retrieved memories have been used interchangeably in the literature to refer to the same phenomenon of effortless, nonstrategic retrieval. More recent theoretical advances suggest...

  3. Single event simulation for memories using accelerated ions

    International Nuclear Information System (INIS)

    Sakagawa, Y.; Shiono, N.; Mizusawa, T.; Sekiguchi, M.; Sato, K.; Sugai, I.; Hirao, Y.; Nishimura, J.; Hattori, T.

    1987-01-01

    To evaluate the error immunity of the LSI memories from cosmic rays in space, an irradiation test using accelerated heavy ions is performed. The sensitive regions for 64 K DRAM (Dynamic Random Access Memory) and 4 K SRAM (Static Random Access Memory) are determined from the irradiation test results and the design parameters of the devices. The observed errors can be classified into two types. One is the direct ionization type and the other is the recoil produced error type. Sensitive region is determined for the devices. Error rate estimation methods for both types are proposed and applied to those memories used in space. The error rate of direct ionization exceeds the recoil type by 2 or 3 orders. And the direct ionization is susceptible to shield thickness. (author)

  4. Just one look: Direct gaze briefly disrupts visual working memory.

    Science.gov (United States)

    Wang, J Jessica; Apperly, Ian A

    2017-04-01

    Direct gaze is a salient social cue that affords rapid detection. A body of research suggests that direct gaze enhances performance on memory tasks (e.g., Hood, Macrae, Cole-Davies, & Dias, Developmental Science, 1, 67-71, 2003). Nonetheless, other studies highlight the disruptive effect direct gaze has on concurrent cognitive processes (e.g., Conty, Gimmig, Belletier, George, & Huguet, Cognition, 115(1), 133-139, 2010). This discrepancy raises questions about the effects direct gaze may have on concurrent memory tasks. We addressed this topic by employing a change detection paradigm, where participants retained information about the color of small sets of agents. Experiment 1 revealed that, despite the irrelevance of the agents' eye gaze to the memory task at hand, participants were worse at detecting changes when the agents looked directly at them compared to when the agents looked away. Experiment 2 showed that the disruptive effect was relatively short-lived. Prolonged presentation of direct gaze led to recovery from the initial disruption, rather than a sustained disruption on change detection performance. The present study provides the first evidence that direct gaze impairs visual working memory with a rapidly-developing yet short-lived effect even when there is no need to attend to agents' gaze.

  5. 75 FR 20564 - Dynamic Random Access Memory Semiconductors from the Republic of Korea: Extension of Time Limit...

    Science.gov (United States)

    2010-04-20

    ... DEPARTMENT OF COMMERCE International Trade Administration [C-580-851] Dynamic Random Access Memory Semiconductors from the Republic of Korea: Extension of Time Limit for Preliminary Results of Countervailing Duty... access memory semiconductors from the Republic of Korea, covering the period January 1, 2008 through...

  6. Sleep directly following learning benefits consolidation of spatial associative memory.

    Science.gov (United States)

    Talamini, Lucia M; Nieuwenhuis, Ingrid L C; Takashima, Atsuko; Jensen, Ole

    2008-04-01

    The last decade has brought forth convincing evidence for a role of sleep in non-declarative memory. A similar function of sleep in episodic memory is supported by various correlational studies, but direct evidence is limited. Here we show that cued recall of face-location associations is significantly higher following a 12-h retention interval containing sleep than following an equally long period of waking. Furthermore, retention is significantly higher over a 24-h sleep-wake interval than over an equally long wake-sleep interval. This difference occurs because retention during sleep was significantly better when sleep followed learning directly, rather than after a day of waking. These data demonstrate a beneficial effect of sleep on memory that cannot be explained solely as a consequence of reduced interference. Rather, our findings suggest a competitive consolidation process, in which the fate of a memory depends, at least in part, on its relative stability at sleep onset: Strong memories tend to be preserved, while weaker memories erode still further. An important aspect of memory consolidation may thus result from the removal of irrelevant memory "debris."

  7. Analysis of antigen-specific B-cell memory directly ex vivo.

    Science.gov (United States)

    McHeyzer-Williams, Louise J; McHeyzer-Williams, Michael G

    2004-01-01

    Helper T-cell-regulated B-cell memory develops in response to initial antigen priming as a cellular product of the germinal center (GC) reaction. On antigen recall, memory response precursors expand rapidly with exaggerated differentiation into plasma cells to produce the high-titer, high-affinity antibody(Ab) that typifies the memory B-cell response in vivo. We have devised a high-resolution flow cytometric strategy to quantify the emergence and maintenance of antigen-specific memory B cells directly ex vivo. Extended cell surface phenotype establishes a level of cellular diversity not previously appreciated for the memory B-cell compartment. Using an "exclusion transfer" strategy, we ascertain the capacity of two distinct memory B-cell populations to transfer antigen-specific memory into naive adoptive hosts. Finally, we sequence expressed messenger ribonucleic acid (mRNA) from single cells within the population to estimate the level of somatic hypermutation as the best molecular indicator of B-cell memory. In this chapter, we describe the methods used in each of these four sections that serve to provide high-resolution quantification of antigen-specific B-cell memory responses directly ex vivo.

  8. Comparing implicit and explicit semantic access of direct and indirect word pairs in schizophrenia to evaluate models of semantic memory.

    Science.gov (United States)

    Neill, Erica; Rossell, Susan Lee

    2013-02-28

    Semantic memory deficits in schizophrenia (SZ) are profound, yet there is no research comparing implicit and explicit semantic processing in the same participant sample. In the current study, both implicit and explicit priming are investigated using direct (LION-TIGER) and indirect (LION-STRIPES; where tiger is not displayed) stimuli comparing SZ to healthy controls. Based on a substantive review (Rossell and Stefanovic, 2007) and meta-analysis (Pomarol-Clotet et al., 2008), it was predicted that SZ would be associated with increased indirect priming implicitly. Further, it was predicted that SZ would be associated with abnormal indirect priming explicitly, replicating earlier work (Assaf et al., 2006). No specific hypotheses were made for implicit direct priming due to the heterogeneity of the literature. It was hypothesised that explicit direct priming would be intact based on the structured nature of this task. The pattern of results suggests (1) intact reaction time (RT) and error performance implicitly in the face of abnormal direct priming and (2) impaired RT and error performance explicitly. This pattern confirms general findings regarding implicit/explicit memory impairments in SZ whilst highlighting the unique pattern of performance specific to semantic priming. Finally, priming performance is discussed in relation to thought disorder and length of illness. Copyright © 2012 Elsevier Ireland Ltd. All rights reserved.

  9. Working memory retrieval differences between medial temporal lobe epilepsy patients and controls: a three memory layer approach.

    Science.gov (United States)

    López-Frutos, José María; Poch, Claudia; García-Morales, Irene; Ruiz-Vargas, José María; Campo, Pablo

    2014-02-01

    Multi-store models of working memory (WM) have given way to more dynamic approaches that conceive WM as an activated subset of long-term memory (LTM). The resulting framework considers that memory representations are governed by a hierarchy of accessibility. The activated part of LTM holds representations in a heightened state of activation, some of which can reach a state of immediate accessibility according to task demands. Recent neuroimaging studies have studied the neural basis of retrieval information with different states of accessibility. It was found that the medial temporal lobe (MTL) was involved in retrieving information within immediate access store and outside this privileged zone. In the current study we further explored the contribution of MTL to WM retrieval by analyzing the consequences of MTL damage to this process considering the state of accessibility of memory representations. The performance of a group of epilepsy patients with left hippocampal sclerosis in a 12-item recognition task was compared with that of a healthy control group. We adopted an embedded model of WM that distinguishes three components: the activated LTM, the region of direct access, and a single-item focus of attention. Groups did not differ when retrieving information from single-item focus, but patients were less accurate retrieving information outside focal attention, either items from LTM or items expected to be in the WM range. Analyses focused on items held in the direct access buffer showed that consequences of MTL damage were modulated by the level of accessibility of memory representations, producing a reduced capacity. Copyright © 2013 The Authors. Published by Elsevier Inc. All rights reserved.

  10. Access time optimization of SRAM memory with statistical yield constraint

    NARCIS (Netherlands)

    Doorn, T.S.; Maten, ter E.J.W.; Di Bucchianico, A.; Beelen, T.G.J.; Janssen, H.H.J.M.

    2012-01-01

    A product may fail when design parameters are subject to large deviations. To guarantee yield one likes to determine bounds on the parameter range such that the fail probability P_fail is small. For Static Random Access Memory (SRAM) characteristics like Static Noise Margin and Read Current,

  11. A Strategic Analysis in Dynamic Random Access Memory Industry in Taiwan

    OpenAIRE

    Chen, Yen-Chun

    2009-01-01

    The credit crisis and global economic recession have severely impacted on Integrated Circuit (IC) industry particularly in Dynamic Random Access Memory (DRAM) industry. The average selling price declined below the cost of chip and almost all memory producers are lack of cash flow. One of the global three 3 producers has been driven out of this industry and all Taiwanese DRAM vendors are facing to a dilemma on how they can survive through the economic recession and oversupply circumstance. Thi...

  12. Shared random access memory resource for multiprocessor real-time systems

    International Nuclear Information System (INIS)

    Dimmler, D.G.; Hardy, W.H. II

    1977-01-01

    A shared random-access memory resource is described which is used within real-time data acquisition and control systems with multiprocessor and multibus organizations. Hardware and software aspects are discussed in a specific example where interconnections are done via a UNIBUS. The general applicability of the approach is also discussed

  13. Direct access to INIS

    International Nuclear Information System (INIS)

    Zheludev, I.S.; Romanenko, A.G.

    1981-01-01

    Librarians, researchers, and information specialists throughout the world now have the opportunity for direct access to coverage of almost 95% of the world's literature dealing with the peaceful uses of atomic energy and nuclear science. This opportunity has been provided by the International Nuclear Information System (INIS) of the IAEA. INIS, with the voluntary collaboration of more than 60 of the Agency's Member States, maintains a comprehensive, computer-resident data-base, containing the bibliographic details plus informative abstracts of the bulk of the world's literature on nuclear science and technology. Since this data-base is growing at a rate of 75,000 items per year, and already contains more than 500,000 items, it is obviously important to be able to search this collection conveniently and efficiently. The usefulness of this ability is enhanced when other data-bases on related subjects are made available on an information network. During the early 1970s, on-line interrogation of large bibliographic data-bases became the accepted method for searching this type of information resource. Direct interaction between the searcher and the data-base provides quick feed-back resulting in improved literature listings for launching research and development projects. On-line access enables organizations which cannot store a large data-base on their own computer to expand the information resources at their command. Because of these advantages, INIS undertook to extend to interested Member States on-line access to its data-base in Vienna

  14. Disk access controller for Multi 8 computer

    International Nuclear Information System (INIS)

    Segalard, Jean

    1970-01-01

    After having presented the initial characteristics and weaknesses of the software provided for the control of a memory disk coupled with a Multi 8 computer, the author reports the development and improvement of this controller software. He presents the different constitutive parts of the computer and the operation of the disk coupling and of the direct access to memory. He reports the development of the disk access controller: software organisation, loader, subprograms and statements

  15. Transcranial Direct Current Stimulation Improves Audioverbal Memory in Stroke Patients.

    Science.gov (United States)

    Kazuta, Toshinari; Takeda, Kotaro; Osu, Rieko; Tanaka, Satoshi; Oishi, Ayako; Kondo, Kunitsugu; Liu, Meigen

    2017-08-01

    The aim of this study was to investigate whether anodal transcranial direct current stimulation over the left temporoparietal area improved audioverbal memory performance in stroke patients. Twelve stroke patients with audioverbal memory impairment participated in a single-masked, crossover, and sham-controlled experiment. The anodal or sham transcranial direct current stimulation was applied during the Rey Auditory Verbal Learning Test, which evaluates the ability to recall a list of 15 heard words over five trials. The number of correctly recalled words was compared between the anodal and sham conditions and the influence of transcranial direct current stimulation on serial position effect of the 15 words was also examined. The increase in the number of correctly recalled words from the first to the fifth trial was significantly greater in the anodal condition than in the sham condition (P transcranial direct current stimulation over the left temporoparietal area improved audioverbal memory performance and induced the primacy effect in stroke patients.

  16. Spin-transfer torque magnetoresistive random-access memory technologies for normally off computing (invited)

    International Nuclear Information System (INIS)

    Ando, K.; Yuasa, S.; Fujita, S.; Ito, J.; Yoda, H.; Suzuki, Y.; Nakatani, Y.; Miyazaki, T.

    2014-01-01

    Most parts of present computer systems are made of volatile devices, and the power to supply them to avoid information loss causes huge energy losses. We can eliminate this meaningless energy loss by utilizing the non-volatile function of advanced spin-transfer torque magnetoresistive random-access memory (STT-MRAM) technology and create a new type of computer, i.e., normally off computers. Critical tasks to achieve normally off computers are implementations of STT-MRAM technologies in the main memory and low-level cache memories. STT-MRAM technology for applications to the main memory has been successfully developed by using perpendicular STT-MRAMs, and faster STT-MRAM technologies for applications to the cache memory are now being developed. The present status of STT-MRAMs and challenges that remain for normally off computers are discussed

  17. Directions for memory hierarchies and their components: research and development

    International Nuclear Information System (INIS)

    Smith, A.J.

    1978-10-01

    The memory hierarchy is usually the largest identifiable part of a computer system and making effective use of it is critical to the operation and use of the system. The levels of such a memory hierarchy are considered and the state of the art and likely directions for both research and development are described. Algorithmic and logical features of the hierarchy not directly associated with specific components are also discussed. Among the problems believed to be the most significant are the following: (a) evaluate the effectiveness of gap filler technology as a level of storage between main memory and disk, and if it proves to be effective, determine how/where it should be used, (b) develop algorithms for the use of mass storage in a large computer system, and (c) determine how cache memories should be implemented in very large, fast multiprocessor systems

  18. Conceptual elaboration versus direct lexical access in WAIS-similarities: differential effects of white-matter lesions and gray matter volumes.

    Science.gov (United States)

    Fernaeus, Sven-Erik; Hellström, Åke

    2017-09-18

    Wechsler Adult Intelligence Scale (WAIS) subscale Similarities have been classified as a test of either verbal comprehension or of inductive reasoning. The reason may be that items divide into two categories. We tested the hypothesis of heterogeneity of items in WAIS-Similarities. Consecutive patients at a memory clinic and healthy controls participated in the study. White-matter hyperintensities (WMHs) and normalized temporal lobe volumes were measured based on Magnetic resonance Imaging (MRI), and tests of verbal memory and attention were used in addition to WAIS-Similarities to collect behavioural data. Factor analysis supported the hypothesis that two factors are involved in the performance of WAIS-similarities: (1) semiautomatic lexical access and (2) conceptual elaboration. These factors were highly correlated but provided discriminative diagnostic information: In logistic regression analyses, scores of the lexical access factor and of the conceptual elaboration factor discriminated patients with mild cognitive impairment from Alzheimer's disease patients and from healthy controls, respectively. High scores of WMH, indicating periventricular white-matter lesions, predicted factor scores of direct lexical access but not those of conceptual elaboration, which were predicted only by medial and lateral temporal lobe volumes.

  19. Design of a memory-access controller with 3.71-times-enhanced energy efficiency for Internet-of-Things-oriented nonvolatile microcontroller unit

    Science.gov (United States)

    Natsui, Masanori; Hanyu, Takahiro

    2018-04-01

    In realizing a nonvolatile microcontroller unit (MCU) for sensor nodes in Internet-of-Things (IoT) applications, it is important to solve the data-transfer bottleneck between the central processing unit (CPU) and the nonvolatile memory constituting the MCU. As one circuit-oriented approach to solving this problem, we propose a memory access minimization technique for magnetoresistive-random-access-memory (MRAM)-embedded nonvolatile MCUs. In addition to multiplexing and prefetching of memory access, the proposed technique realizes efficient instruction fetch by eliminating redundant memory access while considering the code length of the instruction to be fetched and the transition of the memory address to be accessed. As a result, the performance of the MCU can be improved while relaxing the performance requirement for the embedded MRAM, and compact and low-power implementation can be performed as compared with the conventional cache-based one. Through the evaluation using a system consisting of a general purpose 32-bit CPU and embedded MRAM, it is demonstrated that the proposed technique increases the peak efficiency of the system up to 3.71 times, while a 2.29-fold area reduction is achieved compared with the cache-based one.

  20. Cognitive control of familiarity: directed forgetting reduces proactive interference in working memory.

    Science.gov (United States)

    Festini, Sara B; Reuter-Lorenz, Patricia A

    2014-03-01

    Proactive interference (PI) occurs when previously learned information interferes with new learning. In a working memory task, PI induces longer response times and more errors to recent negative probes than to new probes, presumably because the recent probe's familiarity invites a "yes" response. Warnings, longer intertrial intervals, and the increased contextual salience of the probes can reduce but not eliminate PI, suggesting that cognitive control over PI is limited. Here we tested whether control exerted in the form of intentional forgetting performed during working memory can reduce the magnitude of PI. In two experiments, participants performed a working memory task with directed-forgetting instructions and the occasional presentation of recent probes. Surprise long-term memory testing indicated better memory for to-be-remembered than for to-be-forgotten items, documenting the classic directed-forgetting effect. Critically, in working memory, PI was virtually eliminated for recent probes from prior to-be-forgotten lists, as compared to recent probes from prior to-be-remembered lists. Thus cognitive control, when executed via directed forgetting, can reduce the adverse and otherwise persistent interference from familiarity, an effect that we attribute to attenuated memory representations of the to-be-forgotten items.

  1. Flexible conductive-bridging random-access-memory cell vertically stacked with top Ag electrode, PEO, PVK, and bottom Pt electrode

    Science.gov (United States)

    Seung, Hyun-Min; Kwon, Kyoung-Cheol; Lee, Gon-Sub; Park, Jea-Gun

    2014-10-01

    Flexible conductive-bridging random-access-memory (RAM) cells were fabricated with a cross-bar memory cell stacked with a top Ag electrode, conductive polymer (poly(n-vinylcarbazole): PVK), electrolyte (polyethylene oxide: PEO), bottom Pt electrode, and flexible substrate (polyethersulfone: PES), exhibiting the bipolar switching behavior of resistive random access memory (ReRAM). The cell also exhibited bending-fatigue-free nonvolatile memory characteristics: i.e., a set voltage of 1.0 V, a reset voltage of -1.6 V, retention time of >1 × 105 s with a memory margin of 9.2 × 105, program/erase endurance cycles of >102 with a memory margin of 8.4 × 105, and bending-fatigue-free cycles of ˜1 × 103 with a memory margin (Ion/Ioff) of 3.3 × 105.

  2. Direct dorsal hippocampal-prelimbic cortex connections strengthen fear memories.

    Science.gov (United States)

    Ye, Xiaojing; Kapeller-Libermann, Dana; Travaglia, Alessio; Inda, M Carmen; Alberini, Cristina M

    2017-01-01

    The ability to regulate the consolidation and strengthening of memories for threatening experiences is critical for mental health, and its dysregulation may lead to psychopathologies. Re-exposure to the context in which the threat was experienced can either increase or decrease fear response through distinct processes known, respectively, as reconsolidation or extinction. Using a context retrieval-dependent memory-enhancement model in rats, we report that memory strengthens through activation of direct projections from dorsal hippocampus to prelimbic (PL) cortex and activation of critical PL molecular mechanisms that are not required for extinction. Furthermore, while sustained PL brain-derived neurotrophic factor (BDNF) expression is required for memory consolidation, retrieval engages PL BDNF to regulate excitatory and inhibitory synaptic proteins neuroligin 1 and neuroligin 2, which promote memory strengthening while inhibiting extinction. Thus, context retrieval-mediated fear-memory enhancement results from a concerted action of mechanisms that strengthen memory through reconsolidation while suppressing extinction.

  3. Emotion, directed forgetting, and source memory.

    Science.gov (United States)

    Otani, Hajime; Libkuman, Terry M; Goernert, Phillip N; Kato, Koichi; Migita, Mai; Freehafer, Sarah E; Landow, Michael P

    2012-08-01

    We investigated the role of emotion on item and source memory using the item method of directed forgetting (DF) paradigm. We predicted that emotion would produce source memory impairment because emotion would make it more difficult to distinguish between to-be-remembered (R items) and to-be-forgotten items (F items) by making memory strength of R and F items similar to each other. Participants were presented with negatively arousing, positively arousing, and neutral pictures. After each picture, they received an instruction to remember or forget the picture. At retrieval, participants were asked to recall both R and F items and indicate whether each item was an R or F item. Recall was higher for the negatively arousing than for the positively arousing or neutral pictures. Further, DF occurred for the positively arousing and neutral pictures, whereas DF was not significant for the negatively arousing pictures. More importantly, the negatively arousing pictures, particularly the ones with violent content, showed a higher tendency of producing misattribution errors than the other picture types, supporting the notion that negative emotion may produce source memory impairment, even though it is still not clear whether the impairment occurs at encoding or retrieval. ©2011 The British Psychological Society.

  4. Optimizing NEURON Simulation Environment Using Remote Memory Access with Recursive Doubling on Distributed Memory Systems.

    Science.gov (United States)

    Shehzad, Danish; Bozkuş, Zeki

    2016-01-01

    Increase in complexity of neuronal network models escalated the efforts to make NEURON simulation environment efficient. The computational neuroscientists divided the equations into subnets amongst multiple processors for achieving better hardware performance. On parallel machines for neuronal networks, interprocessor spikes exchange consumes large section of overall simulation time. In NEURON for communication between processors Message Passing Interface (MPI) is used. MPI_Allgather collective is exercised for spikes exchange after each interval across distributed memory systems. The increase in number of processors though results in achieving concurrency and better performance but it inversely affects MPI_Allgather which increases communication time between processors. This necessitates improving communication methodology to decrease the spikes exchange time over distributed memory systems. This work has improved MPI_Allgather method using Remote Memory Access (RMA) by moving two-sided communication to one-sided communication, and use of recursive doubling mechanism facilitates achieving efficient communication between the processors in precise steps. This approach enhanced communication concurrency and has improved overall runtime making NEURON more efficient for simulation of large neuronal network models.

  5. Optimizing NEURON Simulation Environment Using Remote Memory Access with Recursive Doubling on Distributed Memory Systems

    Directory of Open Access Journals (Sweden)

    Danish Shehzad

    2016-01-01

    Full Text Available Increase in complexity of neuronal network models escalated the efforts to make NEURON simulation environment efficient. The computational neuroscientists divided the equations into subnets amongst multiple processors for achieving better hardware performance. On parallel machines for neuronal networks, interprocessor spikes exchange consumes large section of overall simulation time. In NEURON for communication between processors Message Passing Interface (MPI is used. MPI_Allgather collective is exercised for spikes exchange after each interval across distributed memory systems. The increase in number of processors though results in achieving concurrency and better performance but it inversely affects MPI_Allgather which increases communication time between processors. This necessitates improving communication methodology to decrease the spikes exchange time over distributed memory systems. This work has improved MPI_Allgather method using Remote Memory Access (RMA by moving two-sided communication to one-sided communication, and use of recursive doubling mechanism facilitates achieving efficient communication between the processors in precise steps. This approach enhanced communication concurrency and has improved overall runtime making NEURON more efficient for simulation of large neuronal network models.

  6. Chemical insight into origin of forming-free resistive random-access memory devices

    KAUST Repository

    Wu, X.; Fang, Z.; Li, K.; Bosman, M.; Raghavan, N.; Li, X.; Yu, H. Y.; Singh, N.; Lo, G. Q.; Zhang, Xixiang; Pey, K. L.

    2011-01-01

    We demonstrate the realization of a forming-step free resistive random access memory (RRAM) device using a HfOx/TiOx/HfOx/TiOxmultilayer structure, as a replacement for the conventional HfOx-based single layer structure. High-resolution transmission

  7. Nanostructure-property relations for phase-change random access memory (PCRAM) line cells

    NARCIS (Netherlands)

    Kooi, B. J.; Oosthoek, J. L. M.; Verheijen, M. A.; Kaiser, M.; Jedema, F. J.; Gravesteijn, D. J.

    2012-01-01

    Phase-change random access memory (PCRAM) cells have been studied extensively using electrical characterization and rather limited by detailed structure characterization. The combination of these two characterization techniques has hardly been exploited and it is the focus of the present work.

  8. Providing the Public with Online Access to Large Bibliographic Data Bases.

    Science.gov (United States)

    Firschein, Oscar; Summit, Roger K.

    DIALOG, an interactive, computer-based information retrieval language, consists of a series of computer programs designed to make use of direct access memory devices in order to provide the user with a rapid means of identifying records within a specific memory bank. Using the system, a library user can be provided access to sixteen distinct and…

  9. Children's familiarity preference in self-directed study improves recognition memory

    NARCIS (Netherlands)

    Adams, K.A.; Kachergis, G.E.; Markant, D.; Gunzelmann, G.; Howes, A.; Tenbrink, T.; Davelaar, E.

    2017-01-01

    In both adults and school-age children, volitional control over the presentation of stimuli during study leads to enhanced recognition memory. Yet little is known about how very young learners choose to allocate their time and attention during self-directed study. Using a recognition memory task, we

  10. Sleep directly following learning benefits consolidation of spatial associative memory

    NARCIS (Netherlands)

    Talamini, L.M.; Nieuwenhuis, I.L.C.; Takashima, A.

    2008-01-01

    The last decade has brought forth convincing evidence for a role of sleep in non-declarative memory. A similar function of sleep in episodic memory is supported by various correlational studies, but direct evidence is limited. Here we show that cued recall of face–location associations is

  11. Sleep directly following learning benefits consolidation of spatial associative memory

    NARCIS (Netherlands)

    Talamini, L.M.; Nieuwenhuis, I.L.C.; Takashima, A.; Jensen, O.

    2008-01-01

    The last decade has brought forth convincing evidence for a role of sleep in non-declarative memory. A similar function of sleep in episodic memory is supported by various correlational studies, but direct evidence is limited. Here we show that cued recall of face-location associations is

  12. The accessibility of memory items in children’s working memory

    OpenAIRE

    Roome, Hannah; Towse, John

    2016-01-01

    This thesis investigates the processes and systems that support recall in working memory. In particular it seeks to apply ideas from the adult-based dual-memory framework (Unsworth & Engle, 2007b) that claims primary memory and secondary memory are independent contributors to working memory capacity. These two memory systems are described as domain-general processes that combine control of attention and basic memory abilities to retain information. The empirical contribution comprises five ex...

  13. Materials and Physics Challenges for Spin Transfer Torque Magnetic Random Access Memories

    Energy Technology Data Exchange (ETDEWEB)

    Heinonen, O.

    2014-10-05

    Magnetic random access memories utilizing the spin transfer torque effect for writing information are a strong contender for non-volatile memories scalable to the 20 nm node, and perhaps beyond. I will here examine how these devices behave as the device size is scaled down from 70 nm size to 20 nm. As device sizes go below ~50 nm, the size becomes comparable to intrinsic magnetic length scales and the device behavior does not simply scale with size. This has implications for the device design and puts additional constraints on the materials in the device.

  14. Quantum Secure Direct Communication with Quantum Memory.

    Science.gov (United States)

    Zhang, Wei; Ding, Dong-Sheng; Sheng, Yu-Bo; Zhou, Lan; Shi, Bao-Sen; Guo, Guang-Can

    2017-06-02

    Quantum communication provides an absolute security advantage, and it has been widely developed over the past 30 years. As an important branch of quantum communication, quantum secure direct communication (QSDC) promotes high security and instantaneousness in communication through directly transmitting messages over a quantum channel. The full implementation of a quantum protocol always requires the ability to control the transfer of a message effectively in the time domain; thus, it is essential to combine QSDC with quantum memory to accomplish the communication task. In this Letter, we report the experimental demonstration of QSDC with state-of-the-art atomic quantum memory for the first time in principle. We use the polarization degrees of freedom of photons as the information carrier, and the fidelity of entanglement decoding is verified as approximately 90%. Our work completes a fundamental step toward practical QSDC and demonstrates a potential application for long-distance quantum communication in a quantum network.

  15. Uncorrelated multiple conductive filament nucleation and rupture in ultra-thin high-κ dielectric based resistive random access memory

    KAUST Repository

    Wu, Xing

    2011-08-29

    Resistive switching in transition metal oxides could form the basis for next-generation non-volatile memory (NVM). It has been reported that the current in the high-conductivity state of several technologically relevant oxide materials flows through localized filaments, but these filaments have been characterized only individually, limiting our understanding of the possibility of multiple conductive filaments nucleation and rupture and the correlation kinetics of their evolution. In this study, direct visualization of uncorrelated multiple conductive filaments in ultra-thin HfO2-based high-κ dielectricresistive random access memory (RRAM) device has been achieved by high-resolution transmission electron microscopy (HRTEM), along with electron energy loss spectroscopy(EELS), for nanoscale chemical analysis. The locations of these multiple filaments are found to be spatially uncorrelated. The evolution of these microstructural changes and chemical properties of these filaments will provide a fundamental understanding of the switching mechanism for RRAM in thin oxide films and pave way for the investigation into improving the stability and scalability of switching memory devices.

  16. False Operation of Static Random Access Memory Cells under Alternating Current Power Supply Voltage Variation

    Science.gov (United States)

    Sawada, Takuya; Takata, Hidehiro; Nii, Koji; Nagata, Makoto

    2013-04-01

    Static random access memory (SRAM) cores exhibit susceptibility against power supply voltage variation. False operation is investigated among SRAM cells under sinusoidal voltage variation on power lines introduced by direct RF power injection. A standard SRAM core of 16 kbyte in a 90 nm 1.5 V technology is diagnosed with built-in self test and on-die noise monitor techniques. The sensitivity of bit error rate is shown to be high against the frequency of injected voltage variation, while it is not greatly influenced by the difference in frequency and phase against SRAM clocking. It is also observed that the distribution of false bits is substantially random in a cell array.

  17. Administering an epoch initiated for remote memory access

    Science.gov (United States)

    Blocksome, Michael A; Miller, Douglas R

    2012-10-23

    Methods, systems, and products are disclosed for administering an epoch initiated for remote memory access that include: initiating, by an origin application messaging module on an origin compute node, one or more data transfers to a target compute node for the epoch; initiating, by the origin application messaging module after initiating the data transfers, a closing stage for the epoch, including rejecting any new data transfers after initiating the closing stage for the epoch; determining, by the origin application messaging module, whether the data transfers have completed; and closing, by the origin application messaging module, the epoch if the data transfers have completed.

  18. Stream specificity and asymmetries in feature binding and content-addressable access in visual encoding and memory.

    Science.gov (United States)

    Huynh, Duong L; Tripathy, Srimant P; Bedell, Harold E; Ögmen, Haluk

    2015-01-01

    Human memory is content addressable-i.e., contents of the memory can be accessed using partial information about the bound features of a stored item. In this study, we used a cross-feature cuing technique to examine how the human visual system encodes, binds, and retains information about multiple stimulus features within a set of moving objects. We sought to characterize the roles of three different features (position, color, and direction of motion, the latter two of which are processed preferentially within the ventral and dorsal visual streams, respectively) in the construction and maintenance of object representations. We investigated the extent to which these features are bound together across the following processing stages: during stimulus encoding, sensory (iconic) memory, and visual short-term memory. Whereas all features examined here can serve as cues for addressing content, their effectiveness shows asymmetries and varies according to cue-report pairings and the stage of information processing and storage. Position-based indexing theories predict that position should be more effective as a cue compared to other features. While we found a privileged role for position as a cue at the stimulus-encoding stage, position was not the privileged cue at the sensory and visual short-term memory stages. Instead, the pattern that emerged from our findings is one that mirrors the parallel processing streams in the visual system. This stream-specific binding and cuing effectiveness manifests itself in all three stages of information processing examined here. Finally, we find that the Leaky Flask model proposed in our previous study is applicable to all three features.

  19. A Memory-Based Programmable Logic Device Using Look-Up Table Cascade with Synchronous Static Random Access Memories

    Science.gov (United States)

    Nakamura, Kazuyuki; Sasao, Tsutomu; Matsuura, Munehiro; Tanaka, Katsumasa; Yoshizumi, Kenichi; Nakahara, Hiroki; Iguchi, Yukihiro

    2006-04-01

    A large-scale memory-technology-based programmable logic device (PLD) using a look-up table (LUT) cascade is developed in the 0.35-μm standard complementary metal oxide semiconductor (CMOS) logic process. Eight 64 K-bit synchronous SRAMs are connected to form an LUT cascade with a few additional circuits. The features of the LUT cascade include: 1) a flexible cascade connection structure, 2) multi phase pseudo asynchronous operations with synchronous static random access memory (SRAM) cores, and 3) LUT-bypass redundancy. This chip operates at 33 MHz in 8-LUT cascades at 122 mW. Benchmark results show that it achieves a comparable performance to field programmable gate array (FPGAs).

  20. Adult age differences in memory in relation to availability and accessibility of knowledge-based schemas.

    Science.gov (United States)

    Arbuckle, T Y; Vanderleck, V F; Harsany, M; Lapidus, S

    1990-03-01

    Three experiments investigated whether, over adulthood, the use of schemas to process and remember new information increases (developmental shift hypothesis), decreases (production deficiency hypothesis) or remains constant (age-invariance hypothesis). Effects of schema access were studied by having young, middle-aged, and old music experts and nonexperts recall information that was relevant or irrelevant to music (Experiment 1) and by comparing young and old participants' memory for prose passages when they knew or did not know the subject of the passage (Experiments 2 and 3). In each case, schema access facilitated memory equally across age levels, supporting the age-invariance hypothesis and implying that the basic structures and operations of memory do not necessarily change with age. Possible limits on the independence of age and schema utilization were considered in relation to the conditions under which each of the two alternative hypotheses might hold.

  1. [Effects of construct accessibility and self-schema on person memory].

    Science.gov (United States)

    Kitamura, H

    1991-10-01

    The present study investigated the relationship among construct accessibility, self-schema and person memory. Three hundred and thirty-four subjects received 40 behavioral descriptions of a stimulus person, consisting of eight specific behaviors on each of five trait-dimensions. Subjects also rated personality traits of their acquaintances and themselves on nine-point bipolar scales and ranked the importance of the five trait-dimensions. Weights, which subjects assigned to each of the five dimensions, were calculated as indices of construct accessibility. Self-schema scores of each subject were also calculated based on his/her ratings. Multiple regression analyses indicated that the dimensional weights and self-schema scores were positively correlated with the recall performance of the descriptions of the stimulus person. The schematics recalled significantly more descriptions than the aschematics, whether their self-schema was positive or negative. Subjects who had positive self-schema showed higher construct accessibility than the aschematics. It was argued that the relationship between construct accessibility and self-schema might be affected by motivational factors such as self-esteem.

  2. Optical interconnection network for parallel access to multi-rank memory in future computing systems.

    Science.gov (United States)

    Wang, Kang; Gu, Huaxi; Yang, Yintang; Wang, Kun

    2015-08-10

    With the number of cores increasing, there is an emerging need for a high-bandwidth low-latency interconnection network, serving core-to-memory communication. In this paper, aiming at the goal of simultaneous access to multi-rank memory, we propose an optical interconnection network for core-to-memory communication. In the proposed network, the wavelength usage is delicately arranged so that cores can communicate with different ranks at the same time and broadcast for flow control can be achieved. A distributed memory controller architecture that works in a pipeline mode is also designed for efficient optical communication and transaction address processes. The scaling method and wavelength assignment for the proposed network are investigated. Compared with traditional electronic bus-based core-to-memory communication, the simulation results based on the PARSEC benchmark show that the bandwidth enhancement and latency reduction are apparent.

  3. Hardware support for collecting performance counters directly to memory

    Science.gov (United States)

    Gara, Alan; Salapura, Valentina; Wisniewski, Robert W.

    2012-09-25

    Hardware support for collecting performance counters directly to memory, in one aspect, may include a plurality of performance counters operable to collect one or more counts of one or more selected activities. A first storage element may be operable to store an address of a memory location. A second storage element may be operable to store a value indicating whether the hardware should begin copying. A state machine may be operable to detect the value in the second storage element and trigger hardware copying of data in selected one or more of the plurality of performance counters to the memory location whose address is stored in the first storage element.

  4. SEU ground and flight data in static random access memories

    International Nuclear Information System (INIS)

    Liu, J.; Duan, J.L.; Hou, M.D.; Sun, Y.M.; Yao, H.J.; Mo, D.; Zhang, Q.X.; Wang, Z.G.; Jin, Y.F.; Cai, J.R.; Ye, Z.H.; Han, J.W.; Lin, Y.L.; Huang, Z.

    2006-01-01

    This paper presents the vulnerabilities of single event effects (SEEs) simulated by heavy ions on ground and observed on SJ-5 research satellite in space for static random access memories (SRAMs). A single event upset (SEU) prediction code has been used to estimate the proton-induced upset rates based on the ground test curve of SEU cross-section versus heavy ion linear energy transfer (LET). The result agrees with that of the flight data

  5. Feasibility of a neutron detector-dosemeter based on single-event upsets in dynamic random-access memories

    International Nuclear Information System (INIS)

    Phillips, G.W.; August, R.A.; Campbell, A.B.; Nelson, M.E.; Guardala, N.A.; Price, J.L.; Moscovitch, M.

    2002-01-01

    The feasibility was investigated of a solid-state neutron detector/dosemeter based on single-event upset (SEU) effects in dynamic random-access memories (DRAMs), commonly used in computer memories. Such a device, which uses a neutron converter material to produce a charged particle capable of causing an upset, would be light-weight, low-power, and could be read simply by polling the memory for bit flips. It would have significant advantages over standard solid-state neutron dosemeters which require off-line processing for track etching and analysis. Previous efforts at developing an SEU neutron detector/dosemeter have suffered from poor response, which can be greatly enhanced by selecting a modern high-density DRAM chip for SEU sensitivity and by using a thin 10 B film as a converter. Past attempts to use 10 B were not successful because the average alpha particle energy was insufficient to penetrate to the sensitive region of the memory. This can be overcome by removing the surface passivation layer before depositing the 10 B film or by implanting 10B directly into the chip. Previous experimental data show a 10 3 increase in neutron sensitivity by chips containing borosilicate glass, which could be used in an SEU detector. The results are presented of simulations showing that the absolute efficiency of an SEU neutron dosemeter can be increased by at least a factor of 1000 over earlier designs. (author)

  6. Measuring autobiographical fluency in the self-memory system.

    Science.gov (United States)

    Rathbone, Clare J; Moulin, Chris J A

    2014-01-01

    Autobiographical memory is widely considered to be fundamentally related to concepts of self and identity. However, few studies have sought to test models of self and memory directly using experimental designs. Using a novel autobiographical fluency paradigm, the present study investigated memory accessibility for different levels of self-related knowledge. Forty participants generated 20 "I am" statements about themselves, from which the 1st, 5th, 10th, 15th, and 20th were used as cues in a two-minute autobiographical fluency task. The most salient aspects of the self, measured by both serial position and ratings of personal significance, were associated with more accessible sets of autobiographical memories. This finding supports theories that view the self as a powerful organizational structure in memory. Results are discussed with reference to models of self and memory.

  7. Nanoscale memory devices

    International Nuclear Information System (INIS)

    Chung, Andy; Deen, Jamal; Lee, Jeong-Soo; Meyyappan, M

    2010-01-01

    This article reviews the current status and future prospects for the use of nanomaterials and devices in memory technology. First, the status and continuing scaling trends of the flash memory are discussed. Then, a detailed discussion on technologies trying to replace flash in the near-term is provided. This includes phase change random access memory, Fe random access memory and magnetic random access memory. The long-term nanotechnology prospects for memory devices include carbon-nanotube-based memory, molecular electronics and memristors based on resistive materials such as TiO 2 . (topical review)

  8. Subthreshold-swing-adjustable tunneling-field-effect-transistor-based random-access memory for nonvolatile operation

    Science.gov (United States)

    Huh, In; Cheon, Woo Young; Choi, Woo Young

    2016-04-01

    A subthreshold-swing-adjustable tunneling-field-effect-transistor-based random-access memory (SAT RAM) has been proposed and fabricated for low-power nonvolatile memory applications. The proposed SAT RAM cell demonstrates adjustable subthreshold swing (SS) depending on stored information: small SS in the erase state ("1" state) and large SS in the program state ("0" state). Thus, SAT RAM cells can achieve low read voltage (Vread) with a large memory window in addition to the effective suppression of ambipolar behavior. These unique features of the SAT RAM are originated from the locally stored charge, which modulates the tunneling barrier width (Wtun) of the source-to-channel tunneling junction.

  9. Upgrade of hybrid fibre coax networks towards bi-directional access

    NARCIS (Netherlands)

    Khoe, G.D.; Wolters, R.P.C.; Boom, van den H.P.A.; Prati, G.

    1997-01-01

    In this paper we describe an upgrade scenario for Hybrid Fibre Coax (HFC) CATV Networks towards hi-directional access. The communication system described has been newly designed, and is based on the use of Direct Sequence- Code Division Multiple-Access (DS-CDMA). Due to its spread-spectrum

  10. Eye movement monitoring reveals differential influences of emotion on memory

    Directory of Open Access Journals (Sweden)

    Lily Riggs

    2010-11-01

    Full Text Available Research shows that memory for emotional aspects of an event may be enhanced at the cost of impaired memory for surrounding peripheral details. However, this has only been assessed directly via verbal reports which reveal the outcome of a long stream of processing but cannot shed light on how/when emotion may affect the retrieval process. In the present experiment, eye movement monitoring was used as an indirect measure of memory as it can reveal aspects of online memory processing. For example, do emotions modulate the nature of memory representations or the speed with which such memories can be accessed? Participants viewed central negative and neutral scenes surrounded by three neutral objects and after a brief delay, memory was assessed indirectly via eye movement monitoring and then directly via verbal reports. Consistent with the previous literature, emotion enhanced central and impaired peripheral memory as indexed by eye movement scanning and verbal reports. This suggests that eye movement scanning may contribute and/or is related to conscious access of memory. However, the central/peripheral tradeoff effect was not observed in an early measure of eye movement behavior, i.e. participants were faster to orient to a critical region of change in the periphery irrespective of whether it was previously studied in a negative or neutral context. These findings demonstrate emotion’s differential influences on different aspects of retrieval. In particular, emotion appears to affect the detail within, and/or the evaluation of, stored memory representations, but it may not affect the initial access to those representations.

  11. A direct comparison of short-term audiomotor and visuomotor memory.

    Science.gov (United States)

    Ward, Amanda M; Loucks, Torrey M; Ofori, Edward; Sosnoff, Jacob J

    2014-04-01

    Audiomotor and visuomotor short-term memory are required for an important variety of skilled movements but have not been compared in a direct manner previously. Audiomotor memory capacity might be greater to accommodate auditory goals that are less directly related to movement outcome than for visually guided tasks. Subjects produced continuous isometric force with the right index finger under auditory and visual feedback. During the first 10 s of each trial, subjects received continuous auditory or visual feedback. For the following 15 s, feedback was removed but the force had to be maintained accurately. An internal effort condition was included to test memory capacity in the same manner but without external feedback. Similar decay times of ~5-6 s were found for vision and audition but the decay time for internal effort was ~4 s. External feedback thus provides an advantage in maintaining a force level after feedback removal, but may not exclude some contribution from a sense of effort. Short-term memory capacity appears longer than certain previous reports but there may not be strong distinctions in capacity across different sensory modalities, at least for isometric force.

  12. The dynamics of access to groups in working memory.

    Science.gov (United States)

    Farrell, Simon; Lelièvre, Anna

    2012-11-01

    The finding that participants leave a pause between groups when attempting serial recall of temporally grouped lists has been taken to indicate access to a hierarchical representation of the list in working memory. An alternative explanation is that the dynamics of serial recall solely reflect output (rather than memorial) processes, with the temporal pattern at input merely suggesting a basis for the pattern of output buffering. Three experiments are presented here that disentangle input structure from output buffering in serial recall. In Experiment 1, participants were asked to recall a subset of visually presented digits from a temporally grouped list in their original order, where either within-group position or group position was kept constant. In Experiment 2, participants performed more standard serial recall of spoken digits, and input and output position were dissociated by asking participants to initiate recall from a post-cued position in the list. In Experiment 3, participants were asked to serially recall temporally grouped lists of visually presented digits where the grouping structure was unpredictable, under either articulatory suppression or silent conditions. The 3 experiments point to a tight linkage between implied memorial structures (i.e., the pattern of grouping at encoding) and the output structure implied by retrieval times and call into question a purely motoric account of the dynamics of recall.

  13. Cosmic and terrestrial single-event radiation effects in dynamic random access memories

    International Nuclear Information System (INIS)

    Massengill, L.W.

    1996-01-01

    A review of the literature on single-event radiation effects (SEE) on MOS integrated-circuit dynamic random access memories (DRAM's) is presented. The sources of single-event (SE) radiation particles, causes of circuit information loss, experimental observations of SE information upset, technological developments for error mitigation, and relationships of developmental trends to SE vulnerability are discussed

  14. Immigration, language proficiency, and autobiographical memories: Lifespan distribution and second-language access.

    Science.gov (United States)

    Esposito, Alena G; Baker-Ward, Lynne

    2016-08-01

    This investigation examined two controversies in the autobiographical literature: how cross-language immigration affects the distribution of autobiographical memories across the lifespan and under what circumstances language-dependent recall is observed. Both Spanish/English bilingual immigrants and English monolingual non-immigrants participated in a cue word study, with the bilingual sample taking part in a within-subject language manipulation. The expected bump in the number of memories from early life was observed for non-immigrants but not immigrants, who reported more memories for events surrounding immigration. Aspects of the methodology addressed possible reasons for past discrepant findings. Language-dependent recall was influenced by second-language proficiency. Results were interpreted as evidence that bilinguals with high second-language proficiency, in contrast to those with lower second-language proficiency, access a single conceptual store through either language. The final multi-level model predicting language-dependent recall, including second-language proficiency, age of immigration, internal language, and cue word language, explained ¾ of the between-person variance and (1)/5 of the within-person variance. We arrive at two conclusions. First, major life transitions influence the distribution of memories. Second, concept representation across multiple languages follows a developmental model. In addition, the results underscore the importance of considering language experience in research involving memory reports.

  15. One-way shared memory

    DEFF Research Database (Denmark)

    Schoeberl, Martin

    2018-01-01

    Standard multicore processors use the shared main memory via the on-chip caches for communication between cores. However, this form of communication has two limitations: (1) it is hardly time-predictable and therefore not a good solution for real-time systems and (2) this single shared memory...... is a bottleneck in the system. This paper presents a communication architecture for time-predictable multicore systems where core-local memories are distributed on the chip. A network-on-chip constantly copies data from a sender core-local memory to a receiver core-local memory. As this copying is performed...... in one direction we call this architecture a one-way shared memory. With the use of time-division multiplexing for the memory accesses and the network-on-chip routers we achieve a time-predictable solution where the communication latency and bandwidth can be bounded. An example architecture for a 3...

  16. Ga-doped indium oxide nanowire phase change random access memory cells

    International Nuclear Information System (INIS)

    Jin, Bo; Lee, Jeong-Soo; Lim, Taekyung; Ju, Sanghyun; Latypov, Marat I; Kim, Hyoung Seop; Meyyappan, M

    2014-01-01

    Phase change random access memory (PCRAM) devices are usually constructed using tellurium based compounds, but efforts to seek other materials providing desirable memory characteristics have continued. We have fabricated PCRAM devices using Ga-doped In 2 O 3 nanowires with three different Ga compositions (Ga/(In+Ga) atomic ratio: 2.1%, 11.5% and 13.0%), and investigated their phase switching properties. The nanowires (∼40 nm in diameter) can be repeatedly switched between crystalline and amorphous phases, and Ga concentration-dependent memory switching behavior in the nanowires was observed with ultra-fast set/reset rates of 80 ns/20 ns, which are faster than for other competitive phase change materials. The observations of fast set/reset rates and two distinct states with a difference in resistance of two to three orders of magnitude appear promising for nonvolatile information storage. Moreover, we found that increasing the Ga concentration can reduce the power consumption and resistance drift; however, too high a level of Ga doping may cause difficulty in achieving the phase transition. (paper)

  17. Cost-effective, transfer-free, flexible resistive random access memory using laser-scribed reduced graphene oxide patterning technology.

    Science.gov (United States)

    Tian, He; Chen, Hong-Yu; Ren, Tian-Ling; Li, Cheng; Xue, Qing-Tang; Mohammad, Mohammad Ali; Wu, Can; Yang, Yi; Wong, H-S Philip

    2014-06-11

    Laser scribing is an attractive reduced graphene oxide (rGO) growth and patterning technology because the process is low-cost, time-efficient, transfer-free, and flexible. Various laser-scribed rGO (LSG) components such as capacitors, gas sensors, and strain sensors have been demonstrated. However, obstacles remain toward practical application of the technology where all the components of a system are fabricated using laser scribing. Memory components, if developed, will substantially broaden the application space of low-cost, flexible electronic systems. For the first time, a low-cost approach to fabricate resistive random access memory (ReRAM) using laser-scribed rGO as the bottom electrode is experimentally demonstrated. The one-step laser scribing technology allows transfer-free rGO synthesis directly on flexible substrates or non-flat substrates. Using this time-efficient laser-scribing technology, the patterning of a memory-array area up to 100 cm(2) can be completed in 25 min. Without requiring the photoresist coating for lithography, the surface of patterned rGO remains as clean as its pristine state. Ag/HfOx/LSG ReRAM using laser-scribing technology is fabricated in this work. Comprehensive electrical characteristics are presented including forming-free behavior, stable switching, reasonable reliability performance and potential for 2-bit storage per memory cell. The results suggest that laser-scribing technology can potentially produce more cost-effective and time-effective rGO-based circuits and systems for practical applications.

  18. Encoding and Retrieval Processes Involved in the Access of Source Information in the Absence of Item Memory

    Science.gov (United States)

    Ball, B. Hunter; DeWitt, Michael R.; Knight, Justin B.; Hicks, Jason L.

    2014-01-01

    The current study sought to examine the relative contributions of encoding and retrieval processes in accessing contextual information in the absence of item memory using an extralist cuing procedure in which the retrieval cues used to query memory for contextual information were "related" to the target item but never actually studied.…

  19. ViSA: a neurodynamic model for visuo-spatial working memory, attentional blink, and conscious access.

    Science.gov (United States)

    Simione, Luca; Raffone, Antonino; Wolters, Gezinus; Salmas, Paola; Nakatani, Chie; Belardinelli, Marta Olivetti; van Leeuwen, Cees

    2012-10-01

    Two separate lines of study have clarified the role of selectivity in conscious access to visual information. Both involve presenting multiple targets and distracters: one simultaneously in a spatially distributed fashion, the other sequentially at a single location. To understand their findings in a unified framework, we propose a neurodynamic model for Visual Selection and Awareness (ViSA). ViSA supports the view that neural representations for conscious access and visuo-spatial working memory are globally distributed and are based on recurrent interactions between perceptual and access control processors. Its flexible global workspace mechanisms enable a unitary account of a broad range of effects: It accounts for the limited storage capacity of visuo-spatial working memory, attentional cueing, and efficient selection with multi-object displays, as well as for the attentional blink and associated sparing and masking effects. In particular, the speed of consolidation for storage in visuo-spatial working memory in ViSA is not fixed but depends adaptively on the input and recurrent signaling. Slowing down of consolidation due to weak bottom-up and recurrent input as a result of brief presentation and masking leads to the attentional blink. Thus, ViSA goes beyond earlier 2-stage and neuronal global workspace accounts of conscious processing limitations. PsycINFO Database Record (c) 2012 APA, all rights reserved.

  20. Scandium doping brings speed improvement in Sb2Te alloy for phase change random access memory application.

    Science.gov (United States)

    Chen, Xin; Zheng, Yonghui; Zhu, Min; Ren, Kun; Wang, Yong; Li, Tao; Liu, Guangyu; Guo, Tianqi; Wu, Lei; Liu, Xianqiang; Cheng, Yan; Song, Zhitang

    2018-05-01

    Phase change random access memory (PCRAM) has gained much attention as a candidate for nonvolatile memory application. To develop PCRAM materials with better properties, especially to draw closer to dynamic random access memory (DRAM), the key challenge is to research new high-speed phase change materials. Here, Scandium (Sc) has been found it is helpful to get high-speed and good stability after doping in Sb 2 Te alloy. Sc 0.1 Sb 2 Te based PCRAM cell can achieve reversible switching by applying even 6 ns voltage pulse experimentally. And, Sc doping not only promotes amorphous stability but also improves the endurance ability comparing with pure Sb 2 Te alloy. Moreover, according to DFT calculations, strong Sc-Te bonds lead to the rigidity of Sc centered octahedrons, which may act as crystallization precursors in recrystallization process to boost the set speed.

  1. Strategic value-directed learning and memory in Alzheimer's disease and behavioural-variant frontotemporal dementia.

    Science.gov (United States)

    Wong, Stephanie; Irish, Muireann; Savage, Greg; Hodges, John R; Piguet, Olivier; Hornberger, Michael

    2018-02-12

    In healthy adults, the ability to prioritize learning of highly valued information is supported by executive functions and enhances subsequent memory retrieval for this information. In Alzheimer's disease (AD) and behavioural-variant frontotemporal dementia (bvFTD), marked deficits are evident in learning and memory, presenting in the context of executive dysfunction. It is unclear whether these patients show a typical memory bias for higher valued stimuli. We administered a value-directed word-list learning task to AD (n = 10) and bvFTD (n = 21) patients and age-matched healthy controls (n = 22). Each word was assigned a low, medium or high point value, and participants were instructed to maximize the number of points earned across three learning trials. Participants' memory for the words was assessed on a delayed recall trial, followed by a recognition test for the words and corresponding point values. Relative to controls, both patient groups showed poorer overall learning, delayed recall and recognition. Despite these impairments, patients with AD preferentially recalled high-value words on learning trials and showed significant value-directed enhancement of recognition memory for the words and points. Conversely, bvFTD patients did not prioritize recall of high-value words during learning trials, and this reduced selectivity was related to inhibitory dysfunction. Nonetheless, bvFTD patients showed value-directed enhancement of recognition memory for the point values, suggesting a mismatch between memory of high-value information and the ability to apply this in a motivationally salient context. Our findings demonstrate that value-directed enhancement of memory may persist to some degree in patients with dementia, despite pronounced deficits in learning and memory. © 2018 The British Psychological Society.

  2. The Quality of Self, Social, and Directive Memories: Are There Adult Age Group Differences?

    Science.gov (United States)

    Alea, Nicole; Arneaud, Mary Jane; Ali, Sideeka

    2013-01-01

    The quality of functional autobiographical memories was examined in young, middle-aged, and older adult Trinidadians ("N" = 245). Participants wrote about an event that served a self, social, and directive function, and reported on the memory's quality (e.g., significance, vividness, valence, etc.). Across age groups, directive memories…

  3. Shape memory alloy fixator system for suturing tissue in minimal access surgery.

    Science.gov (United States)

    Xu, W; Frank, T G; Stockham, G; Cuschieri, A

    1999-01-01

    A new technique for suturing human tissue is described in which tissue closure is achieved by means of small fixators made from shape memory alloy. The aim of the development is to provide an alternative to thread suturing in minimal access surgery, which is quicker and requires less skill to achieve the required suturing quality. The design of the fixators is described in terms of the thermal shape recovery of shape memory alloy and a novel form of finite element analysis, which uses a nonlinear elastic element for the material property. Thermal analysis of the fixators and surrounding tissue is used to predict the temperature distribution during and after the application of electric current heating. This was checked in an in vitro experiment, which confirmed that deployment caused no detectable collateral damage to surrounding tissue. In vivo animal studies on the use of the shape memory alloy fixator for suturing tissue are ongoing to establish safety and healing effects.

  4. A 32-bit computer for large memory applications on the FASTBUS

    International Nuclear Information System (INIS)

    Kellner, R.; Blossom, J.M.; Hung, J.P.

    1985-01-01

    A FASTBUS based 32-bit computer is being built at Los Alamos National Laboratory for use in systems requiring large fast memory in the FASTBUS environment. A separate local execution bus allows data reduction to proceed concurrently with other FASTBUS operations. The computer, which can operate in either master or slave mode, includes the National Semiconductor NS32032 chip set with demand paged memory management, floating point slave processor, interrupt control unit, timers, and time-of-day clock. The 16.0 megabytes of random access memory are interleaved to allow windowed direct memory access on and off the FASTBUS at 80 megabytes per second

  5. Experimental study on reactor neutron induced effect of deep sub-micron CMOS static random access memory

    International Nuclear Information System (INIS)

    Yang Shanchao; Guo Xiaoqiang; Lin Dongsheng; Chen Wei; Li Ruibin; Bai Xiaoyan; Wang Guizhen

    2010-01-01

    This paper investigates neutron irradiation effects of two kinds of commercial CMOS SRAM (static random access memory), of which one is 4M memory with the feature size of 0.25 μm and the other is 16M memory with the feature size of 0.13 μm. We designed a memory testing system of irradiation effects and performed the neutron irradiation experiment using the Xi'an Pulse Reactor. The upset of two kinds of memory cells did not present a threshold versus the increase of neutron fluence. The results showed that deep sub-micron SRAM behaved single-event upset (SEU) effect in neutron irradiation environment. The SEU effect of SRAM with smaller size and higher integrated level tends to upset is considered to be related to the reduction of the device feature size, and fewer charges for upsets of the memory cell also lead to the SEU effect. (authors)

  6. Low-power resistive random access memory by confining the formation of conducting filaments

    International Nuclear Information System (INIS)

    Huang, Yi-Jen; Lee, Si-Chen; Shen, Tzu-Hsien; Lee, Lan-Hsuan; Wen, Cheng-Yen

    2016-01-01

    Owing to their small physical size and low power consumption, resistive random access memory (RRAM) devices are potential for future memory and logic applications in microelectronics. In this study, a new resistive switching material structure, TiO_x/silver nanoparticles/TiO_x/AlTiO_x, fabricated between the fluorine-doped tin oxide bottom electrode and the indium tin oxide top electrode is demonstrated. The device exhibits excellent memory performances, such as low operation voltage (<±1 V), low operation power, small variation in resistance, reliable data retention, and a large memory window. The current-voltage measurement shows that the conducting mechanism in the device at the high resistance state is via electron hopping between oxygen vacancies in the resistive switching material. When the device is switched to the low resistance state, conducting filaments are formed in the resistive switching material as a result of accumulation of oxygen vacancies. The bottom AlTiO_x layer in the device structure limits the formation of conducting filaments; therefore, the current and power consumption of device operation are significantly reduced.

  7. Multiple social identities and stereotype threat: imbalance, accessibility, and working memory.

    Science.gov (United States)

    Rydell, Robert J; McConnell, Allen R; Beilock, Sian L

    2009-05-01

    In 4 experiments, the authors showed that concurrently making positive and negative self-relevant stereotypes available about performance in the same ability domain can eliminate stereotype threat effects. Replicating past work, the authors demonstrated that introducing negative stereotypes about women's math performance activated participants' female social identity and hurt their math performance (i.e., stereotype threat) by reducing working memory. Moving beyond past work, it was also demonstrated that concomitantly presenting a positive self-relevant stereotype (e.g., college students are good at math) increased the relative accessibility of females' college student identity and inhibited their gender identity, eliminating attendant working memory deficits and contingent math performance decrements. Furthermore, subtle manipulations in questions presented in the demographic section of a math test eliminated stereotype threat effects that result from women reporting their gender before completing the test. This work identifies the motivated processes through which people's social identities became active in situations in which self-relevant stereotypes about a stigmatized group membership and a nonstigmatized group membership were available. In addition, it demonstrates the downstream consequences of this pattern of activation on working memory and performance. Copyright (c) 2009 APA, all rights reserved.

  8. Ion beam synthesis of indium-oxide nanocrystals for improvement of oxide resistive random-access memories

    Science.gov (United States)

    Bonafos, C.; Benassayag, G.; Cours, R.; Pécassou, B.; Guenery, P. V.; Baboux, N.; Militaru, L.; Souifi, A.; Cossec, E.; Hamga, K.; Ecoffey, S.; Drouin, D.

    2018-01-01

    We report on the direct ion beam synthesis of a delta-layer of indium oxide nanocrystals (In2O3-NCs) in silica matrices by using ultra-low energy ion implantation. The formation of the indium oxide phase can be explained by (i) the affinity of indium with oxygen, (ii) the generation of a high excess of oxygen recoils generated by the implantation process in the region where the nanocrystals are formed and (iii) the proximity of the indium-based nanoparticles with the free surface and oxidation from the air. Taking advantage of the selective diffusivity of implanted indium in SiO2 with respect to Si3N4, In2O3-NCs have been inserted in the SiO2 switching oxide of micrometric planar oxide-based resistive random access memory (OxRAM) devices fabricated using the nanodamascene process. Preliminary electrical measurements show switch voltage from high to low resistance state. The devices with In2O3-NCs have been cycled 5 times with identical operating voltages and RESET current meanwhile no switch has been observed for non implanted devices. This first measurement of switching is very promising for the concept of In2O3-NCs based OxRAM memories.

  9. Concept of rewritable organic ferroelectric random access memory in two lateral transistors-in-one cell architecture

    International Nuclear Information System (INIS)

    Kim, Min-Hoi; Lee, Gyu Jeong; Keum, Chang-Min; Lee, Sin-Doo

    2014-01-01

    We propose a concept of rewritable ferroelectric random access memory (RAM) with two lateral organic transistors-in-one cell architecture. Lateral integration of a paraelectric organic field-effect transistor (OFET), being a selection transistor, and a ferroelectric OFET as a memory transistor is realized using a paraelectric depolarizing layer (PDL) which is patterned on a ferroelectric insulator by transfer-printing. For the selection transistor, the key roles of the PDL are to reduce the dipolar strength and the surface roughness of the gate insulator, leading to the low memory on–off ratio and the high switching on–off current ratio. A new driving scheme preventing the crosstalk between adjacent memory cells is also demonstrated for the rewritable operation of the ferroelectric RAM. (paper)

  10. Electrophysiological correlates of exemplar-specific processes in implicit and explicit memory.

    Science.gov (United States)

    Küper, Kristina; Groh-Bordin, Christian; Zimmer, Hubert D; Ecker, Ullrich K H

    2012-03-01

    The present ERP study investigated the retrieval of task-irrelevant exemplar-specific information under implicit and explicit memory conditions. Subjects completed either an indirect memory test (a natural/artificial judgment) or a direct recognition memory test. Both test groups were presented with new items, identical repetitions, and perceptually different but conceptually similar exemplars of previously seen study objects. Implicit and explicit memory retrieval elicited clearly dissociable ERP components that were differentially affected by exemplar changes from study to test. In the indirect test, identical repetitions, but not different exemplars, elicited a significant ERP repetition priming effect. In contrast, both types of repeated objects gave rise to a reliable old/new effect in the direct test. The results corroborate that implicit and explicit memory fall back on distinct cognitive representation and, more importantly, indicate that these representations differ in the type of stimulus information stored. Implicit retrieval entailed obligatory access to exemplar-specific perceptual information, despite its being task irrelevant. In contrast, explicit retrieval proved to be more flexible with conceptual and perceptual information accessed according to task demands.

  11. Effects of Transcranial Direct Current Stimulation (tDCS) on Human Memory.

    Energy Technology Data Exchange (ETDEWEB)

    Matzen, Laura E.; Trumbo, Michael Christopher Stefan

    2014-10-01

    Training a person in a new knowledge base or skill set is extremely time consuming and costly, particularly in highly specialized domains such as the military and the intelligence community. Recent research in cognitive neuroscience has suggested that a technique called transcranial direct current stimulation (tDCS) has the potential to revolutionize training by enabling learners to acquire new skills faster, more efficiently, and more robustly (Bullard et al., 2011). In this project, we tested the effects of tDCS on two types of memory performance that are critical for learning new skills: associative memory and working memory. Associative memory is memory for the relationship between two items or events. It forms the foundation of all episodic memories, so enhancing associative memory could provide substantial benefits to the speed and robustness of learning new information. We tested the effects of tDCS on associative memory, using a real-world associative memory task: remembering the links between faces and names. Working memory refers to the amount of information that can be held in mind and processed at one time, and it forms the basis for all higher-level cognitive processing. We investigated the degree of transfer between various working memory tasks (the N-back task as a measure of verbal working memory, the rotation-span task as a measure of visuospatial working memory, and Raven's progressive matrices as a measure of fluid intelligence) in order to determine if tDCS-induced facilitation of performance is task-specific or general.

  12. Random Access Memories: A New Paradigm for Target Detection in High Resolution Aerial Remote Sensing Images.

    Science.gov (United States)

    Zou, Zhengxia; Shi, Zhenwei

    2018-03-01

    We propose a new paradigm for target detection in high resolution aerial remote sensing images under small target priors. Previous remote sensing target detection methods frame the detection as learning of detection model + inference of class-label and bounding-box coordinates. Instead, we formulate it from a Bayesian view that at inference stage, the detection model is adaptively updated to maximize its posterior that is determined by both training and observation. We call this paradigm "random access memories (RAM)." In this paradigm, "Memories" can be interpreted as any model distribution learned from training data and "random access" means accessing memories and randomly adjusting the model at detection phase to obtain better adaptivity to any unseen distribution of test data. By leveraging some latest detection techniques e.g., deep Convolutional Neural Networks and multi-scale anchors, experimental results on a public remote sensing target detection data set show our method outperforms several other state of the art methods. We also introduce a new data set "LEarning, VIsion and Remote sensing laboratory (LEVIR)", which is one order of magnitude larger than other data sets of this field. LEVIR consists of a large set of Google Earth images, with over 22 k images and 10 k independently labeled targets. RAM gives noticeable upgrade of accuracy (an mean average precision improvement of 1% ~ 4%) of our baseline detectors with acceptable computational overhead.

  13. The role of gestures in spatial working memory and speech.

    Science.gov (United States)

    Morsella, Ezequiel; Krauss, Robert M

    2004-01-01

    Co-speech gestures traditionally have been considered communicative, but they may also serve other functions. For example, hand-arm movements seem to facilitate both spatial working memory and speech production. It has been proposed that gestures facilitate speech indirectly by sustaining spatial representations in working memory. Alternatively, gestures may affect speech production directly by activating embodied semantic representations involved in lexical search. Consistent with the first hypothesis, we found participants gestured more when describing visual objects from memory and when describing objects that were difficult to remember and encode verbally. However, they also gestured when describing a visually accessible object, and gesture restriction produced dysfluent speech even when spatial memory was untaxed, suggesting that gestures can directly affect both spatial memory and lexical retrieval.

  14. High capacity, high speed histogramming data acquisition memory

    International Nuclear Information System (INIS)

    Epstein, A.; Boulin, C.

    1996-01-01

    A double width CAMAC DRAM store module was developed for use as a histogramming memory in fast time-resolved synchrotron radiation applications to molecular biology. High speed direct memory modify (3 MHz) is accomplished by using a discrete DRAM controller and fast page mode access. The module can be configured using standard SIMMs to sizes of up to 64M-words. The word width is 16 bit and the module can handle overflows by storing the overflow addresses in a dedicated FIFO. Simultaneous front panel DMM/DMI access and CAMAC readout of the overflow addresses is supported

  15. Using Direct Sub-Level Entity Access to Improve Nuclear Stockpile Simulation Modeling

    Energy Technology Data Exchange (ETDEWEB)

    Parker, Robert Y. [Brigham Young Univ., Provo, UT (United States)

    1999-08-01

    Direct sub-level entity access is a seldom-used technique in discrete-event simulation modeling that addresses the accessibility of sub-level entity information. The technique has significant advantages over more common, alternative modeling methods--especially where hierarchical entity structures are modeled. As such, direct sub-level entity access is often preferable in modeling nuclear stockpile, life-extension issues, an area to which it has not been previously applied. Current nuclear stockpile, life-extension models were demonstrated to benefit greatly from the advantages of direct sub-level entity access. In specific cases, the application of the technique resulted in models that were up to 10 times faster than functionally equivalent models where alternative techniques were applied. Furthermore, specific implementations of direct sub-level entity access were observed to be more flexible, efficient, functional, and scalable than corresponding implementations using common modeling techniques. Common modeling techniques (''unbatch/batch'' and ''attribute-copying'') proved inefficient and cumbersome in handling many nuclear stockpile modeling complexities, including multiple weapon sites, true defect analysis, and large numbers of weapon and subsystem types. While significant effort was required to enable direct sub-level entity access in the nuclear stockpile simulation models, the enhancements were worth the effort--resulting in more efficient, more capable, and more informative models that effectively addressed the complexities of the nuclear stockpile.

  16. Daily Access to Sucrose Impairs Aspects of Spatial Memory Tasks Reliant on Pattern Separation and Neural Proliferation in Rats

    Science.gov (United States)

    Reichelt, Amy C.; Morris, Margaret J.; Westbrook, Reginald Frederick

    2016-01-01

    High sugar diets reduce hippocampal neurogenesis, which is required for minimizing interference between memories, a process that involves "pattern separation." We provided rats with 2 h daily access to a sucrose solution for 28 d and assessed their performance on a spatial memory task. Sucrose consuming rats discriminated between objects…

  17. FPGA Based Intelligent Co-operative Processor in Memory Architecture

    DEFF Research Database (Denmark)

    Ahmed, Zaki; Sotudeh, Reza; Hussain, Dil Muhammad Akbar

    2011-01-01

    benefits of PIM, a concept of Co-operative Intelligent Memory (CIM) was developed by the intelligent system group of University of Hertfordshire, based on the previously developed Co-operative Pseudo Intelligent Memory (CPIM). This paper provides an overview on previous works (CPIM, CIM) and realization......In a continuing effort to improve computer system performance, Processor-In-Memory (PIM) architecture has emerged as an alternative solution. PIM architecture incorporates computational units and control logic directly on the memory to provide immediate access to the data. To exploit the potential...

  18. Direct Writing of Three-Dimensional Macroporous Photonic Crystals on Pressure-Responsive Shape Memory Polymers.

    Science.gov (United States)

    Fang, Yin; Ni, Yongliang; Leo, Sin-Yen; Wang, Bingchen; Basile, Vito; Taylor, Curtis; Jiang, Peng

    2015-10-28

    Here we report a single-step direct writing technology for making three-dimensional (3D) macroporous photonic crystal patterns on a new type of pressure-responsive shape memory polymer (SMP). This approach integrates two disparate fields that do not typically intersect: the well-established templating nanofabrication and shape memory materials. Periodic arrays of polymer macropores templated from self-assembled colloidal crystals are squeezed into disordered arrays in an unusual shape memory "cold" programming process. The recovery of the original macroporous photonic crystal lattices can be triggered by direct writing at ambient conditions using both macroscopic and nanoscopic tools, like a pencil or a nanoindenter. Interestingly, this shape memory disorder-order transition is reversible and the photonic crystal patterns can be erased and regenerated hundreds of times, promising the making of reconfigurable/rewritable nanooptical devices. Quantitative insights into the shape memory recovery of collapsed macropores induced by the lateral shear stresses in direct writing are gained through fundamental investigations on important process parameters, including the tip material, the critical pressure and writing speed for triggering the recovery of the deformed macropores, and the minimal feature size that can be directly written on the SMP membranes. Besides straightforward applications in photonic crystal devices, these smart mechanochromic SMPs that are sensitive to various mechanical stresses could render important technological applications ranging from chromogenic stress and impact sensors to rewritable high-density optical data storage media.

  19. Spectrotemporal processing drives fast access to memory traces for spoken words.

    Science.gov (United States)

    Tavano, A; Grimm, S; Costa-Faidella, J; Slabu, L; Schröger, E; Escera, C

    2012-05-01

    The Mismatch Negativity (MMN) component of the event-related potentials is generated when a detectable spectrotemporal feature of the incoming sound does not match the sensory model set up by preceding repeated stimuli. MMN is enhanced at frontocentral scalp sites for deviant words when compared to acoustically similar deviant pseudowords, suggesting that automatic access to long-term memory traces for spoken words contributes to MMN generation. Does spectrotemporal feature matching also drive automatic lexical access? To test this, we recorded human auditory event-related potentials (ERPs) to disyllabic spoken words and pseudowords within a passive oddball paradigm. We first aimed at replicating the word-related MMN enhancement effect for Spanish, thereby adding to the available cross-linguistic evidence (e.g., Finnish, English). We then probed its resilience to spectrotemporal perturbation by inserting short (20 ms) and long (120 ms) silent gaps between first and second syllables of deviant and standard stimuli. A significantly enhanced, frontocentrally distributed MMN to deviant words was found for stimuli with no gap. The long gap yielded no deviant word MMN, showing that prior expectations of word form limits in a given language influence deviance detection processes. Crucially, the insertion of a short gap suppressed deviant word MMN enhancement at frontocentral sites. We propose that spectrotemporal point-wise matching constitutes a core mechanism for fast serial computations in audition and language, bridging sensory and long-term memory systems. Copyright © 2012 Elsevier Inc. All rights reserved.

  20. Encoding and retrieval processes involved in the access of source information in the absence of item memory.

    Science.gov (United States)

    Ball, B Hunter; DeWitt, Michael R; Knight, Justin B; Hicks, Jason L

    2014-09-01

    The current study sought to examine the relative contributions of encoding and retrieval processes in accessing contextual information in the absence of item memory using an extralist cuing procedure in which the retrieval cues used to query memory for contextual information were related to the target item but never actually studied. In Experiments 1 and 2, participants studied 1 category member (e.g., onion) from a variety of different categories and at test were presented with an unstudied category label (e.g., vegetable) to probe memory for item and source information. In Experiments 3 and 4, 1 member of unidirectional (e.g., credit or card) or bidirectional (e.g., salt or pepper) associates was studied, whereas the other unstudied member served as a test probe. When recall failed, source information was accessible only when items were processed deeply during encoding (Experiments 1 and 2) and when there was strong forward associative strength between the retrieval cue and target (Experiments 3 and 4). These findings suggest that a retrieval probe diagnostic of semantically related item information reinstantiates information bound in memory during encoding that results in reactivation of associated contextual information, contingent upon sufficient learning of the item itself and the association between the item and its context information.

  1. Different Roles of Direct and Indirect Frontoparietal Pathways for Individual Working Memory Capacity.

    Science.gov (United States)

    Ekman, Matthias; Fiebach, Christian J; Melzer, Corina; Tittgemeyer, Marc; Derrfuss, Jan

    2016-03-09

    The ability to temporarily store and manipulate information in working memory is a hallmark of human intelligence and differs considerably across individuals, but the structural brain correlates underlying these differences in working memory capacity (WMC) are only poorly understood. In two separate studies, diffusion MRI data and WMC scores were collected for 70 and 109 healthy individuals. Using a combination of probabilistic tractography and network analysis of the white matter tracts, we examined whether structural brain network properties were predictive of individual WMC. Converging evidence from both studies showed that lateral prefrontal cortex and posterior parietal cortex of high-capacity individuals are more densely connected compared with low-capacity individuals. Importantly, our network approach was further able to dissociate putative functional roles associated with two different pathways connecting frontal and parietal regions: a corticocortical pathway and a subcortical pathway. In Study 1, where participants were required to maintain and update working memory items, the connectivity of the direct and indirect pathway was predictive of WMC. In contrast, in Study 2, where participants were required to maintain working memory items without updating, only the connectivity of the direct pathway was predictive of individual WMC. Our results suggest an important dissociation in the circuitry connecting frontal and parietal regions, where direct frontoparietal connections might support storage and maintenance, whereas subcortically mediated connections support the flexible updating of working memory content. Copyright © 2016 the authors 0270-6474/16/362894-10$15.00/0.

  2. Single Event Upset in Static Random Access Memories in Atmospheric Neutron Environments

    Science.gov (United States)

    Arita, Yutaka; Takai, Mikio; Ogawa, Izumi; Kishimoto, Tadafumi

    2003-07-01

    Single-event upsets (SEUs) in a 0.4 μm 4 Mbit complementary metal oxide semiconductor (CMOS) static random access memory (SRAM) were investigated in various atmospheric neutron environments at sea level, at an altitude of 2612 m mountain, at an altitude of commercial airplane, and at an underground depth of 476 m. Neutron-induced SEUs increase with the increase in altitude. For a device with a borophosphosilicate glass (BPSG) film, SEU rates induced by thermal neutrons increase with the decrease in the cell charge of a memory cell. A thermal neutron-induced SEU is significant in SRAMs with a small cell charge. With the conditions of small cell charge, thermal neutron-induced SEUs account for 60% or more of the total neutron-induced SEUs. The SEU rate induced by atmospheric thermal neutrons can be estimated by an acceleration test using 252Cf.

  3. Materials selection for oxide-based resistive random access memories

    International Nuclear Information System (INIS)

    Guo, Yuzheng; Robertson, John

    2014-01-01

    The energies of atomic processes in resistive random access memories (RRAMs) are calculated for four typical oxides, HfO 2 , TiO 2 , Ta 2 O 5 , and Al 2 O 3 , to define a materials selection process. O vacancies have the lowest defect formation energy in the O-poor limit and dominate the processes. A band diagram defines the operating Fermi energy and O chemical potential range. It is shown how the scavenger metal can be used to vary the O vacancy formation energy, via controlling the O chemical potential, and the mean Fermi energy. The high endurance of Ta 2 O 5 RRAM is related to its more stable amorphous phase and the adaptive lattice rearrangements of its O vacancy

  4. "Forget to whom you have told this proverb'': Directed forgetting of destination memory in Alzheimer's disease

    NARCIS (Netherlands)

    El Haj, M.; Gandolphe, M.C.; Allain, P.; Fasotti, L.; Antoine, P.

    2015-01-01

    Destination memory is the ability to remember the receiver of transmitted information. By means of a destination memory directed forgetting task, we investigated whether participants with Alzheimer's Disease (AD) were able to suppress irrelevant information in destination memory. Twenty-six AD

  5. Random access dynamic memory device with capacity of 4Kx16 bytes

    International Nuclear Information System (INIS)

    Damatov, Ya.M.; Nikityuk, N.M.; Nomokonova, A.I.

    1980-01-01

    Random access dynamic memory devjce with capacity of 4Kx16 bytes is described. A block diagram, time diagrams and a general view of a unit are presented. Regimes os unit operation and ways of data regeneration are described. The analyser regime and a possibility of recording data from ''R'' buses of CAMAC dataway permit to use the unit efficiency in spectrometrical channels with a high intensity of experimental events arrival. The unit is developed on the basis of using large integral circuits

  6. Role of an encapsulating layer for reducing resistance drift in phase change random access memory

    Directory of Open Access Journals (Sweden)

    Bo Jin

    2014-12-01

    Full Text Available Phase change random access memory (PCRAM devices exhibit a steady increase in resistance in the amorphous phase upon aging and this resistance drift phenomenon directly affects the device reliability. A stress relaxation model is used here to study the effect of a device encapsulating layer material in addressing the resistance drift phenomenon in PCRAM. The resistance drift can be increased or decreased depending on the biaxial moduli of the phase change material (YPCM and the encapsulating layer material (YELM according to the stress relationship between them in the drift regime. The proposed model suggests that the resistance drift can be effectively reduced by selecting a proper material as an encapsulating layer. Moreover, our model explains that reducing the size of the phase change material (PCM while fully reset and reducing the amorphous/crystalline ratio in PCM help to improve the resistance drift, and thus opens an avenue for highly reliable multilevel PCRAM applications.

  7. Twin-bit via resistive random access memory in 16 nm FinFET logic technologies

    Science.gov (United States)

    Shih, Yi-Hong; Hsu, Meng-Yin; King, Ya-Chin; Lin, Chrong Jung

    2018-04-01

    A via resistive random access memory (RRAM) cell fully compatible with the standard CMOS logic process has been successfully demonstrated for high-density logic nonvolatile memory (NVM) modules in advanced FinFET circuits. In this new cell, the transition metal layers are formed on both sides of a via, given two storage bits per via. In addition to its compact cell area (1T + 14 nm × 32 nm), the twin-bit via RRAM cell features a low operation voltage, a large read window, good data retention, and excellent cycling capability. As fine alignments between mask layers become possible, the twin-bit via RRAM cell is expected to be highly scalable in advanced FinFET technology.

  8. Overview of emerging nonvolatile memory technologies.

    Science.gov (United States)

    Meena, Jagan Singh; Sze, Simon Min; Chand, Umesh; Tseng, Tseung-Yuen

    2014-01-01

    Nonvolatile memory technologies in Si-based electronics date back to the 1990s. Ferroelectric field-effect transistor (FeFET) was one of the most promising devices replacing the conventional Flash memory facing physical scaling limitations at those times. A variant of charge storage memory referred to as Flash memory is widely used in consumer electronic products such as cell phones and music players while NAND Flash-based solid-state disks (SSDs) are increasingly displacing hard disk drives as the primary storage device in laptops, desktops, and even data centers. The integration limit of Flash memories is approaching, and many new types of memory to replace conventional Flash memories have been proposed. Emerging memory technologies promise new memories to store more data at less cost than the expensive-to-build silicon chips used by popular consumer gadgets including digital cameras, cell phones and portable music players. They are being investigated and lead to the future as potential alternatives to existing memories in future computing systems. Emerging nonvolatile memory technologies such as magnetic random-access memory (MRAM), spin-transfer torque random-access memory (STT-RAM), ferroelectric random-access memory (FeRAM), phase-change memory (PCM), and resistive random-access memory (RRAM) combine the speed of static random-access memory (SRAM), the density of dynamic random-access memory (DRAM), and the nonvolatility of Flash memory and so become very attractive as another possibility for future memory hierarchies. Many other new classes of emerging memory technologies such as transparent and plastic, three-dimensional (3-D), and quantum dot memory technologies have also gained tremendous popularity in recent years. Subsequently, not an exaggeration to say that computer memory could soon earn the ultimate commercial validation for commercial scale-up and production the cheap plastic knockoff. Therefore, this review is devoted to the rapidly developing new

  9. Overview of emerging nonvolatile memory technologies

    Science.gov (United States)

    2014-01-01

    Nonvolatile memory technologies in Si-based electronics date back to the 1990s. Ferroelectric field-effect transistor (FeFET) was one of the most promising devices replacing the conventional Flash memory facing physical scaling limitations at those times. A variant of charge storage memory referred to as Flash memory is widely used in consumer electronic products such as cell phones and music players while NAND Flash-based solid-state disks (SSDs) are increasingly displacing hard disk drives as the primary storage device in laptops, desktops, and even data centers. The integration limit of Flash memories is approaching, and many new types of memory to replace conventional Flash memories have been proposed. Emerging memory technologies promise new memories to store more data at less cost than the expensive-to-build silicon chips used by popular consumer gadgets including digital cameras, cell phones and portable music players. They are being investigated and lead to the future as potential alternatives to existing memories in future computing systems. Emerging nonvolatile memory technologies such as magnetic random-access memory (MRAM), spin-transfer torque random-access memory (STT-RAM), ferroelectric random-access memory (FeRAM), phase-change memory (PCM), and resistive random-access memory (RRAM) combine the speed of static random-access memory (SRAM), the density of dynamic random-access memory (DRAM), and the nonvolatility of Flash memory and so become very attractive as another possibility for future memory hierarchies. Many other new classes of emerging memory technologies such as transparent and plastic, three-dimensional (3-D), and quantum dot memory technologies have also gained tremendous popularity in recent years. Subsequently, not an exaggeration to say that computer memory could soon earn the ultimate commercial validation for commercial scale-up and production the cheap plastic knockoff. Therefore, this review is devoted to the rapidly developing new

  10. Single event upset in static random access memories in atmospheric neutron environments

    CERN Document Server

    Arita, Y; Ogawa, I; Kishimoto, T

    2003-01-01

    Single-event upsets (SEUs) in a 0.4 mu m 4Mbit complementary metal oxide semiconductor (CMOS) static random access memory (SRAM) were investigated in various atmospheric neutron environments at sea level, at an altitude of 2612 m mountain, at an altitude of commercial airplane, and at an underground depth of 476m. Neutron-induced SEUs increase with the increase in altitude. For a device with a borophosphosilicate glass (BPSG) film, SEU rates induced by thermal neutrons increase with the decrease in the cell charge of a memory cell. A thermal neutron-induced SEU is significant in SRAMs with a small cell charge. With the conditions of small cell charge, thermal neutron-induced SEUs account for 60% or more of the total neutron-induced SEUs. The SEU rate induced by atmospheric thermal neutrons can be estimated by an acceleration test using sup 2 sup 5 sup 2 Cf. (author)

  11. Pattern imprinting in deep sub-micron static random access memories induced by total dose irradiation

    Science.gov (United States)

    Zheng, Qi-Wen; Yu, Xue-Feng; Cui, Jiang-Wei; Guo, Qi; Ren, Di-Yuan; Cong, Zhong-Chao; Zhou, Hang

    2014-10-01

    Pattern imprinting in deep sub-micron static random access memories (SRAMs) during total dose irradiation is investigated in detail. As the dose accumulates, the data pattern of memory cells loading during irradiation is gradually imprinted on their background data pattern. We build a relationship between the memory cell's static noise margin (SNM) and the background data, and study the influence of irradiation on the probability density function of ΔSNM, which is the difference between two data sides' SNMs, to discuss the reason for pattern imprinting. Finally, we demonstrate that, for micron and deep sub-micron devices, the mechanism of pattern imprinting is the bias-dependent threshold shift of the transistor, but for a deep sub-micron device the shift results from charge trapping in the shallow trench isolation (STI) oxide rather than from the gate oxide of the micron-device.

  12. Variation in Direct Access to Tests to Investigate Cancer: A Survey of English General Practitioners.

    Directory of Open Access Journals (Sweden)

    Brian D Nicholson

    Full Text Available The 2015 NICE guidelines for suspected cancer recommend that English General Practitioners have direct access to diagnostic tests to investigate symptoms of cancer that do not meet the criteria for urgent referral. We aimed to identify the proportion of GPs in England with direct access to these tests.We recruited 533 English GPs through a national clinical research network to complete an online survey about direct access to laboratory, radiology, and endoscopy tests in the three months leading up to the release of the 2015 NICE guidance. If they had direct access to a diagnostic test, GPs were asked about the time necessary to arrange a test and receive a report. Results are reported by NHS sub-region and, adjusting for sampling, for England as a whole.Almost all GPs reported direct access to x-ray and laboratory investigations except faecal occult blood testing (54%, 95% CI 49-59% and urine protein electrophoresis (89%, 95% CI 84-92%. Fewer GPs had direct access to CT scans (54%, 95% CI 49-59% or endoscopy (colonoscopy 32%, 95% CI 28-37%; gastroscopy 72%, 95% CI 67-77%. There was significant variation in direct access between NHS regions for the majority of imaging tests-for example, from 20 to 85% to MRI. Apart from x-ray, very few GPs (1-22% could access radiology and endoscopy within the timescales recommended by NICE. The modal request to test time was 2-4 weeks for routine radiology and 4-6 weeks for routine endoscopy with results taking another 1-2 weeks.At the time that the 2015 NICE guideline was released, local investment was required to not only provide direct access but also reduce the interval between request and test and speed up reporting. Further research using our data as a benchmark is now required to identify whether local improvements in direct access have been achieved in response to the NICE targets. If alternative approaches to test access are to be proposed they must be piloted comprehensively and underpinned by robust

  13. Single memory with multiple shift register functionality

    NARCIS (Netherlands)

    2010-01-01

    The present invention relates to a memory device comprising a memory (EM) having at least two predetermined register memory sections addressable by respective address ranges AS1-ASz) and at least one access port (P1-PZ) for providing access to said memory (EM). Furthermore, access control means (A)

  14. Design of ternary clocked adiabatic static random access memory

    International Nuclear Information System (INIS)

    Wang Pengjun; Mei Fengna

    2011-01-01

    Based on multi-valued logic, adiabatic circuits and the structure of ternary static random access memory (SRAM), a design scheme of a novel ternary clocked adiabatic SRAM is presented. The scheme adopts bootstrapped NMOS transistors, and an address decoder, a storage cell and a sense amplifier are charged and discharged in the adiabatic way, so the charges stored in the large switch capacitance of word lines, bit lines and the address decoder can be effectively restored to achieve energy recovery during reading and writing of ternary signals. The PSPICE simulation results indicate that the ternary clocked adiabatic SRAM has a correct logic function and low power consumption. Compared with ternary conventional SRAM, the average power consumption of the ternary adiabatic SRAM saves up to 68% in the same conditions. (semiconductor integrated circuits)

  15. Design of ternary clocked adiabatic static random access memory

    Science.gov (United States)

    Pengjun, Wang; Fengna, Mei

    2011-10-01

    Based on multi-valued logic, adiabatic circuits and the structure of ternary static random access memory (SRAM), a design scheme of a novel ternary clocked adiabatic SRAM is presented. The scheme adopts bootstrapped NMOS transistors, and an address decoder, a storage cell and a sense amplifier are charged and discharged in the adiabatic way, so the charges stored in the large switch capacitance of word lines, bit lines and the address decoder can be effectively restored to achieve energy recovery during reading and writing of ternary signals. The PSPICE simulation results indicate that the ternary clocked adiabatic SRAM has a correct logic function and low power consumption. Compared with ternary conventional SRAM, the average power consumption of the ternary adiabatic SRAM saves up to 68% in the same conditions.

  16. Materials selection for oxide-based resistive random access memories

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Yuzheng; Robertson, John [Engineering Department, Cambridge University, Cambridge CB2 1PZ (United Kingdom)

    2014-12-01

    The energies of atomic processes in resistive random access memories (RRAMs) are calculated for four typical oxides, HfO{sub 2}, TiO{sub 2}, Ta{sub 2}O{sub 5}, and Al{sub 2}O{sub 3}, to define a materials selection process. O vacancies have the lowest defect formation energy in the O-poor limit and dominate the processes. A band diagram defines the operating Fermi energy and O chemical potential range. It is shown how the scavenger metal can be used to vary the O vacancy formation energy, via controlling the O chemical potential, and the mean Fermi energy. The high endurance of Ta{sub 2}O{sub 5} RRAM is related to its more stable amorphous phase and the adaptive lattice rearrangements of its O vacancy.

  17. Memory for Recently Accessed Visual Attributes

    Science.gov (United States)

    Jiang, Yuhong V.; Shupe, Joshua M.; Swallow, Khena M.; Tan, Deborah H.

    2016-01-01

    Recent reports have suggested that the attended features of an item may be rapidly forgotten once they are no longer relevant for an ongoing task (attribute amnesia). This finding relies on a surprise memory procedure that places high demands on declarative memory. We used intertrial priming to examine whether the representation of an item's…

  18. Are there multiple ways to direct attention in working memory?

    Science.gov (United States)

    Atkinson, Amy L; Berry, Ed D J; Waterman, Amanda H; Baddeley, Alan D; Hitch, Graham J; Allen, Richard J

    2018-04-10

    In visual working memory tasks, memory for an item is enhanced if participants are told that the item is relatively more valuable than others presented within the same trial. Experiment 1 explored whether these probe value boosts (termed prioritization effects in previous literature) are affected by probe frequency (i.e., how often the more valuable item is tested). Participants were presented with four colored shapes sequentially and asked to recall the color of one probed item following a delay. They were informed that the first item was more valuable (differential probe value) or as valuable as the other items (equal probe value), and that this item would be tested more frequently (differential probe frequency) or as frequently (equal probe frequency) as the other items. Probe value and probe frequency boosts were observed at the first position, though both were accompanied by costs to other items. Probe value and probe frequency boosts were additive, suggesting the manipulations yield independent effects. Further supporting this, experiment 2 revealed that probe frequency boosts are not reliant on executive resources, directly contrasting with previous findings regarding probe value. Taken together, these outcomes suggest there may be several ways in which attention can be directed in working memory. © 2018 The Authors. Annals of the New York Academy of Sciences published by Wiley Periodicals, Inc. on behalf of New York Academy of Sciences.

  19. The contribution to immediate serial recall of rehearsal, search speed, access to lexical memory, and phonological coding: an investigation at the construct level.

    Science.gov (United States)

    Tehan, Gerald; Fogarty, Gerard; Ryan, Katherine

    2004-07-01

    Rehearsal speed has traditionally been seen to be the prime determinant of individual differences in memory span. Recent studies, in the main using young children as the participant population, have suggested other contributors to span performance. In the present research, we used structural equation modeling to explore, at the construct level, individual differences in immediate serial recall with respect to rehearsal, search, phonological coding, and speed of access to lexical memory. We replicated standard short-term phenomena; we showed that the variables that influence children's span performance influence adult performance in the same way; and we showed that speed of access to lexical memory and facility with phonological codes appear to be more potent sources of individual differences in immediate memory than is either rehearsal speed or search factors.

  20. Accessibility of observable and unobservable characteristics in autobiographical memories of recent and distant past.

    Science.gov (United States)

    Karylowski, Jerzy J; Mrozinski, Blazej

    2017-02-01

    Self-reports regarding how people visualise themselves during events that occurred in the past show that for events from the distant past individuals report assuming a more external perspective than for events from the recent past [Nigro, G., & Neisser, U. (1983). Point of view in personal memories. Cognitive Psychology, 15, 467-482; Pronin, E., & Ross, L. (2006). Temporal differences in trait self-ascription. Journal of Personality & Social Psychology, 90, 197-209]. Thus it appears that, with the passage of time, representations of self embodied in memories of past events lose their position of an insider and assume a more ordinary position of self as an object seen from the perspective of an outside observer. The purpose of the present experiment was to examine this shift using a performance-based measure of accessibility. Results showed that self-judgements regarding unobservable, covert characteristics were faster for recent-compared to more distant-autobiographical events. However, self-judgements regarding observable, overt characteristics were faster for more distant events. This suggests an accessibility-based mechanism underlying the shift from internal to the relatively more external perspective in forming self-images related to the distant past.

  1. 76 FR 2336 - Dynamic Random Access Memory Semiconductors From the Republic of Korea: Final Results of...

    Science.gov (United States)

    2011-01-13

    ... Semiconductors From the Republic of Korea: Final Results of Countervailing Duty Administrative Review AGENCY... administrative review of the countervailing duty order on dynamic random access memory semiconductors from the... to a change in the net subsidy rate. The final net subsidy rate for Hynix Semiconductor, Inc. is...

  2. Breaking the current density threshold in spin-orbit-torque magnetic random access memory

    Science.gov (United States)

    Zhang, Yin; Yuan, H. Y.; Wang, X. S.; Wang, X. R.

    2018-04-01

    Spin-orbit-torque magnetic random access memory (SOT-MRAM) is a promising technology for the next generation of data storage devices. The main bottleneck of this technology is the high reversal current density threshold. This outstanding problem is now solved by a new strategy in which the magnitude of the driven current density is fixed while the current direction varies with time. The theoretical limit of minimal reversal current density is only a fraction (the Gilbert damping coefficient) of the threshold current density of the conventional strategy. The Euler-Lagrange equation for the fastest magnetization reversal path and the optimal current pulse is derived for an arbitrary magnetic cell and arbitrary spin-orbit torque. The theoretical limit of minimal reversal current density and current density for a GHz switching rate of the new reversal strategy for CoFeB/Ta SOT-MRAMs are, respectively, of the order of 105 A/cm 2 and 106 A/cm 2 far below 107 A/cm 2 and 108 A/cm 2 in the conventional strategy. Furthermore, no external magnetic field is needed for a deterministic reversal in the new strategy.

  3. Pitfall of the Strongest Cells in Static Random Access Memory Physical Unclonable Functions

    Directory of Open Access Journals (Sweden)

    Mingyang Gong

    2018-06-01

    Full Text Available Static Random Access Memory (SRAM Physical Unclonable Functions (PUFs are some of the most popular PUFs that provide a highly-secured solution for secret key storage. Given that PUF responses are noisy, the key reconstruction must use error correcting code (ECC to reduce the noise. Repetition code is widely used in resource constrained systems as it is concise and lightweight, however, research has shown that repetition codes can lead to information leakage. In this paper we found that the strongest cell distribution in a SRAM array may leak information of the responses of SRAM PUF when the repetition code is directly applied. Experimentally, on an ASIC platform with the HHGRACE 0.13 μm process, we recovered 8.3% of the measured response using the strongest cells revealed by the helper data, and we finally obtained a clone response 79% similar to weak response using the public helper data. We therefore propose Error Resistant Fuzzy Extractor (ERFE, a 4-bit error tolerant fuzzy extractor, that extracts the value of the sum of the responses as a unique key and reduces the failure rate to 1.8 × 10−8 with 256 bit entropy.

  4. Room-temperature antiferromagnetic memory resistor.

    Science.gov (United States)

    Marti, X; Fina, I; Frontera, C; Liu, Jian; Wadley, P; He, Q; Paull, R J; Clarkson, J D; Kudrnovský, J; Turek, I; Kuneš, J; Yi, D; Chu, J-H; Nelson, C T; You, L; Arenholz, E; Salahuddin, S; Fontcuberta, J; Jungwirth, T; Ramesh, R

    2014-04-01

    The bistability of ordered spin states in ferromagnets provides the basis for magnetic memory functionality. The latest generation of magnetic random access memories rely on an efficient approach in which magnetic fields are replaced by electrical means for writing and reading the information in ferromagnets. This concept may eventually reduce the sensitivity of ferromagnets to magnetic field perturbations to being a weakness for data retention and the ferromagnetic stray fields to an obstacle for high-density memory integration. Here we report a room-temperature bistable antiferromagnetic (AFM) memory that produces negligible stray fields and is insensitive to strong magnetic fields. We use a resistor made of a FeRh AFM, which orders ferromagnetically roughly 100 K above room temperature, and therefore allows us to set different collective directions for the Fe moments by applied magnetic field. On cooling to room temperature, AFM order sets in with the direction of the AFM moments predetermined by the field and moment direction in the high-temperature ferromagnetic state. For electrical reading, we use an AFM analogue of the anisotropic magnetoresistance. Our microscopic theory modelling confirms that this archetypical spintronic effect, discovered more than 150 years ago in ferromagnets, is also present in AFMs. Our work demonstrates the feasibility of fabricating room-temperature spintronic memories with AFMs, which in turn expands the base of available magnetic materials for devices with properties that cannot be achieved with ferromagnets.

  5. Emerging non-volatile memories

    CERN Document Server

    Hong, Seungbum; Wouters, Dirk

    2014-01-01

    This book is an introduction to the fundamentals of emerging non-volatile memories and provides an overview of future trends in the field. Readers will find coverage of seven important memory technologies, including Ferroelectric Random Access Memory (FeRAM), Ferromagnetic RAM (FMRAM), Multiferroic RAM (MFRAM), Phase-Change Memories (PCM), Oxide-based Resistive RAM (RRAM), Probe Storage, and Polymer Memories. Chapters are structured to reflect diffusions and clashes between different topics. Emerging Non-Volatile Memories is an ideal book for graduate students, faculty, and professionals working in the area of non-volatile memory. This book also: Covers key memory technologies, including Ferroelectric Random Access Memory (FeRAM), Ferromagnetic RAM (FMRAM), and Multiferroic RAM (MFRAM), among others. Provides an overview of non-volatile memory fundamentals. Broadens readers' understanding of future trends in non-volatile memories.

  6. RTDB: A memory resident real-time object database

    International Nuclear Information System (INIS)

    Nogiec, Jerzy M.; Desavouret, Eugene

    2003-01-01

    RTDB is a fast, memory-resident object database with built-in support for distribution. It constitutes an attractive alternative for architecting real-time solutions with multiple, possibly distributed, processes or agents sharing data. RTDB offers both direct and navigational access to stored objects, with local and remote random access by object identifiers, and immediate direct access via object indices. The database supports transparent access to objects stored in multiple collaborating dispersed databases and includes a built-in cache mechanism that allows for keeping local copies of remote objects, with specifiable invalidation deadlines. Additional features of RTDB include a trigger mechanism on objects that allows for issuing events or activating handlers when objects are accessed or modified and a very fast, attribute based search/query mechanism. The overall architecture and application of RTDB in a control and monitoring system is presented

  7. All-printed paper memory

    KAUST Repository

    Lien, Derhsien

    2014-08-26

    We report the memory device on paper by means of an all-printing approach. Using a sequence of inkjet and screen-printing techniques, a simple metal-insulator-metal device structure is fabricated on paper as a resistive random access memory with a potential to reach gigabyte capacities on an A4 paper. The printed-paper-based memory devices (PPMDs) exhibit reproducible switching endurance, reliable retention, tunable memory window, and the capability to operate under extreme bending conditions. In addition, the PBMD can be labeled on electronics or living objects for multifunctional, wearable, on-skin, and biocompatible applications. The disposability and the high-security data storage of the paper-based memory are also demonstrated to show the ease of data handling, which are not achievable for regular silicon-based electronic devices. We envision that the PPMDs manufactured by this cost-effective and time-efficient all-printing approach would be a key electronic component to fully activate a paper-based circuit and can be directly implemented in medical biosensors, multifunctional devices, and self-powered systems. © 2014 American Chemical Society.

  8. Pattern imprinting in deep sub-micron static random access memories induced by total dose irradiation

    International Nuclear Information System (INIS)

    Zheng Qi-Wen; Yu Xue-Feng; Cui Jiang-Wei; Guo Qi; Ren Di-Yuan; Cong Zhong-Chao; Zhou Hang

    2014-01-01

    Pattern imprinting in deep sub-micron static random access memories (SRAMs) during total dose irradiation is investigated in detail. As the dose accumulates, the data pattern of memory cells loading during irradiation is gradually imprinted on their background data pattern. We build a relationship between the memory cell's static noise margin (SNM) and the background data, and study the influence of irradiation on the probability density function of ΔSNM, which is the difference between two data sides' SNMs, to discuss the reason for pattern imprinting. Finally, we demonstrate that, for micron and deep sub-micron devices, the mechanism of pattern imprinting is the bias-dependent threshold shift of the transistor, but for a deep sub-micron device the shift results from charge trapping in the shallow trench isolation (STI) oxide rather than from the gate oxide of the micron-device. (condensed matter: structural, mechanical, and thermal properties)

  9. Two stages of directed forgetting: Electrophysiological evidence from a short-term memory task.

    Science.gov (United States)

    Gao, Heming; Cao, Bihua; Qi, Mingming; Wang, Jing; Zhang, Qi; Li, Fuhong

    2016-06-01

    In this study, a short-term memory test was used to investigate the temporal course and neural mechanism of directed forgetting under different memory loads. Within each trial, two memory items with high or low load were presented sequentially, followed by a cue indicating whether the presented items should be remembered. After an interval, subjects were asked to respond to the probe stimuli. The ERPs locked to the cues showed that (a) the effect of cue type was initially observed during the P2 (160-240 ms) time window, with more positive ERPs for remembering relative to forgetting cues; (b) load effects were observed during the N2-P3 (250-500 ms) time window, with more positive ERPs for the high-load than low-load condition; (c) the cue effect was also observed during the N2-P3 time window, with more negative ERPs for forgetting versus remembering cues. These results demonstrated that directed forgetting involves two stages: task-relevance identification and information discarding. The cue effects during the N2 epoch supported the view that directed forgetting is an active process. © 2016 Society for Psychophysiological Research.

  10. Variation in Direct Access to Tests to Investigate Cancer: A Survey of English General Practitioners

    Science.gov (United States)

    Nicholson, Brian D.; Oke, Jason L.; Rose, Peter W.; Mant, David

    2016-01-01

    Background The 2015 NICE guidelines for suspected cancer recommend that English General Practitioners have direct access to diagnostic tests to investigate symptoms of cancer that do not meet the criteria for urgent referral. We aimed to identify the proportion of GPs in England with direct access to these tests. Methods We recruited 533 English GPs through a national clinical research network to complete an online survey about direct access to laboratory, radiology, and endoscopy tests in the three months leading up to the release of the 2015 NICE guidance. If they had direct access to a diagnostic test, GPs were asked about the time necessary to arrange a test and receive a report. Results are reported by NHS sub-region and, adjusting for sampling, for England as a whole. Results Almost all GPs reported direct access to x-ray and laboratory investigations except faecal occult blood testing (54%, 95% CI 49–59%) and urine protein electrophoresis (89%, 95% CI 84–92%). Fewer GPs had direct access to CT scans (54%, 95% CI 49–59%) or endoscopy (colonoscopy 32%, 95% CI 28–37%; gastroscopy 72%, 95% CI 67–77%). There was significant variation in direct access between NHS regions for the majority of imaging tests—for example, from 20 to 85% to MRI. Apart from x-ray, very few GPs (1–22%) could access radiology and endoscopy within the timescales recommended by NICE. The modal request to test time was 2–4 weeks for routine radiology and 4–6 weeks for routine endoscopy with results taking another 1–2 weeks. Conclusion At the time that the 2015 NICE guideline was released, local investment was required to not only provide direct access but also reduce the interval between request and test and speed up reporting. Further research using our data as a benchmark is now required to identify whether local improvements in direct access have been achieved in response to the NICE targets. If alternative approaches to test access are to be proposed they must be

  11. Finite temperature simulation studies of spin-flop magnetic random access memory devices

    International Nuclear Information System (INIS)

    Chui, S.T.; Chang, C.-R.

    2006-01-01

    Spin-flop structures are currently being developed for magnetic random access memory devices. We report simulation studies of this system. We found the switching involves an intermediate edge-pinned domain state, similar to that observed in the single layer case. This switching scenario is quite different from that based on the coherent rotation picture. A significant temperature dependence of the switching field is observed. Our result suggests that the interplane coupling and thus the switching field has to be above a finite threshold for the spin-flop switching to be better than conventional switching methods

  12. Using DMA for copying performance counter data to memory

    Science.gov (United States)

    Gara, Alan; Salapura, Valentina; Wisniewski, Robert W

    2013-12-31

    A device for copying performance counter data includes hardware path that connects a direct memory access (DMA) unit to a plurality of hardware performance counters and a memory device. Software prepares an injection packet for the DMA unit to perform copying, while the software can perform other tasks. In one aspect, the software that prepares the injection packet runs on a processing core other than the core that gathers the hardware performance data.

  13. The neural substrates of memory suppression: a FMRI exploration of directed forgetting.

    Science.gov (United States)

    Bastin, Christine; Feyers, Dorothée; Majerus, Steve; Balteau, Evelyne; Degueldre, Christian; Luxen, André; Maquet, Pierre; Salmon, Eric; Collette, Fabienne

    2012-01-01

    The directed forgetting paradigm is frequently used to determine the ability to voluntarily suppress information. However, little is known about brain areas associated with information to forget. The present study used functional magnetic resonance imaging to determine brain activity during the encoding and retrieval phases of an item-method directed forgetting recognition task with neutral verbal material in order to apprehend all processing stages that information to forget and to remember undergoes. We hypothesized that regions supporting few selective processes, namely recollection and familiarity memory processes, working memory, inhibitory and selection processes should be differentially activated during the processing of to-be-remembered and to-be-forgotten items. Successful encoding and retrieval of items to remember engaged the entorhinal cortex, the hippocampus, the anterior medial prefrontal cortex, the left inferior parietal cortex, the posterior cingulate cortex and the precuneus; this set of regions is well known to support deep and associative encoding and retrieval processes in episodic memory. For items to forget, encoding was associated with higher activation in the right middle frontal and posterior parietal cortex, regions known to intervene in attentional control. Items to forget but nevertheless correctly recognized at retrieval yielded activation in the dorsomedial thalamus, associated with familiarity-based memory processes and in the posterior intraparietal sulcus and the anterior cingulate cortex, involved in attentional processes.

  14. The neural substrates of memory suppression: a FMRI exploration of directed forgetting.

    Directory of Open Access Journals (Sweden)

    Christine Bastin

    Full Text Available The directed forgetting paradigm is frequently used to determine the ability to voluntarily suppress information. However, little is known about brain areas associated with information to forget. The present study used functional magnetic resonance imaging to determine brain activity during the encoding and retrieval phases of an item-method directed forgetting recognition task with neutral verbal material in order to apprehend all processing stages that information to forget and to remember undergoes. We hypothesized that regions supporting few selective processes, namely recollection and familiarity memory processes, working memory, inhibitory and selection processes should be differentially activated during the processing of to-be-remembered and to-be-forgotten items. Successful encoding and retrieval of items to remember engaged the entorhinal cortex, the hippocampus, the anterior medial prefrontal cortex, the left inferior parietal cortex, the posterior cingulate cortex and the precuneus; this set of regions is well known to support deep and associative encoding and retrieval processes in episodic memory. For items to forget, encoding was associated with higher activation in the right middle frontal and posterior parietal cortex, regions known to intervene in attentional control. Items to forget but nevertheless correctly recognized at retrieval yielded activation in the dorsomedial thalamus, associated with familiarity-based memory processes and in the posterior intraparietal sulcus and the anterior cingulate cortex, involved in attentional processes.

  15. Response of the Ubiquitin-Proteasome System to Memory Retrieval After Extended-Access Cocaine or Saline Self-Administration.

    Science.gov (United States)

    Werner, Craig T; Milovanovic, Mike; Christian, Daniel T; Loweth, Jessica A; Wolf, Marina E

    2015-12-01

    The ubiquitin-proteasome system (UPS) has been implicated in the retrieval-induced destabilization of cocaine- and fear-related memories in Pavlovian paradigms. However, nothing is known about its role in memory retrieval after self-administration of cocaine, an operant paradigm, or how the length of withdrawal from cocaine may influence retrieval mechanisms. Here, we examined UPS activity after an extended-access cocaine self-administration regimen that leads to withdrawal-dependent incubation of cue-induced cocaine craving. Controls self-administered saline. In initial experiments, memory retrieval was elicited via a cue-induced seeking/retrieval test on withdrawal day (WD) 50-60, when craving has incubated. We found that retrieval of cocaine- and saline-associated memories produced similar increases in polyubiquitinated proteins in the nucleus accumbens (NAc), compared with rats that did not undergo a seeking/retrieval test. Measures of proteasome catalytic activity confirmed similar activation of the UPS after retrieval of saline and cocaine memories. However, in a subsequent experiment in which testing was conducted on WD1, proteasome activity in the NAc was greater after retrieval of cocaine memory than saline memory. Analysis of other brain regions confirmed that effects of cocaine memory retrieval on proteasome activity, relative to saline memory retrieval, depend on withdrawal time. These results, combined with prior studies, suggest that the relationship between UPS activity and memory retrieval depends on training paradigm, brain region, and time elapsed between training and retrieval. The observation that mechanisms underlying cocaine memory retrieval change depending on the age of the memory has implications for development of memory destabilization therapies for cue-induced relapse in cocaine addicts.

  16. Fast, Accurate Memory Architecture Simulation Technique Using Memory Access Characteristics

    OpenAIRE

    小野, 貴継; 井上, 弘士; 村上, 和彰

    2007-01-01

    This paper proposes a fast and accurate memory architecture simulation technique. To design memory architecture, the first steps commonly involve using trace-driven simulation. However, expanding the design space makes the evaluation time increase. A fast simulation is achieved by a trace size reduction, but it reduces the simulation accuracy. Our approach can reduce the simulation time while maintaining the accuracy of the simulation results. In order to evaluate validity of proposed techniq...

  17. Cerebellar transcranial direct current stimulation modulates verbal working memory.

    Science.gov (United States)

    Boehringer, Andreas; Macher, Katja; Dukart, Juergen; Villringer, Arno; Pleger, Burkhard

    2013-07-01

    Neuroimaging studies show cerebellar activations in a wide range of cognitive tasks and patients with cerebellar lesions often present cognitive deficits suggesting a cerebellar role in higher-order cognition. We used cathodal transcranial direct current stimulation (tDCS), known to inhibit neuronal excitability, over the cerebellum to investigate if cathodal tDCS impairs verbal working memory, an important higher-order cognitive faculty. We tested verbal working memory as measured by forward and backward digit spans in 40 healthy young participants before and after applying cathodal tDCS (2 mA, stimulation duration 25 min) to the right cerebellum using a randomized, sham-controlled, double-blind, cross-over design. In addition, we tested the effect of cerebellar tDCS on word reading, finger tapping and a visually cued sensorimotor task. In line with lower digit spans in patients with cerebellar lesions, cerebellar tDCS reduced forward digit spans and blocked the practice dependent increase in backward digit spans. No effects of tDCS on word reading, finger tapping or the visually cued sensorimotor task were found. Our results support the view that the cerebellum contributes to verbal working memory as measured by forward and backward digit spans. Moreover, the induction of reversible "virtual cerebellar lesions" in healthy individuals by means of tDCS may improve our understanding of the mechanistic basis of verbal working memory deficits in patients with cerebellar lesions. Copyright © 2013 Elsevier Inc. All rights reserved.

  18. A Survey of Phase Change Memory Systems

    Institute of Scientific and Technical Information of China (English)

    夏飞; 蒋德钧; 熊劲; 孙凝晖

    2015-01-01

    As the scaling of applications increases, the demand of main memory capacity increases in order to serve large working set. It is difficult for DRAM (dynamic random access memory) based memory system to satisfy the memory capacity requirement due to its limited scalability and high energy consumption. Compared to DRAM, PCM (phase change memory) has better scalability, lower energy leakage, and non-volatility. PCM memory systems have become a hot topic of academic and industrial research. However, PCM technology has the following three drawbacks: long write latency, limited write endurance, and high write energy, which raises challenges to its adoption in practice. This paper surveys architectural research work to optimize PCM memory systems. First, this paper introduces the background of PCM. Then, it surveys research efforts on PCM memory systems in performance optimization, lifetime improving, and energy saving in detail, respectively. This paper also compares and summarizes these techniques from multiple dimensions. Finally, it concludes these optimization techniques and discusses possible research directions of PCM memory systems in future.

  19. A high-throughput readout architecture based on PCI-Express Gen3 and DirectGMA technology

    International Nuclear Information System (INIS)

    Rota, L.; Vogelgesang, M.; Perez, L.E. Ardila; Caselle, M.; Chilingaryan, S.; Dritschler, T.; Zilio, N.; Kopmann, A.; Balzer, M.; Weber, M.

    2016-01-01

    Modern physics experiments produce multi-GB/s data rates. Fast data links and high performance computing stages are required for continuous data acquisition and processing. Because of their intrinsic parallelism and computational power, GPUs emerged as an ideal solution to process this data in high performance computing applications. In this paper we present a high-throughput platform based on direct FPGA-GPU communication. The architecture consists of a Direct Memory Access (DMA) engine compatible with the Xilinx PCI-Express core, a Linux driver for register access, and high- level software to manage direct memory transfers using AMD's DirectGMA technology. Measurements with a Gen3 x8 link show a throughput of 6.4 GB/s for transfers to GPU memory and 6.6 GB/s to system memory. We also assess the possibility of using the architecture in low latency systems: preliminary measurements show a round-trip latency as low as 1 μs for data transfers to system memory, while the additional latency introduced by OpenCL scheduling is the current limitation for GPU based systems. Our implementation is suitable for real-time DAQ system applications ranging from photon science and medical imaging to High Energy Physics (HEP) systems

  20. Working memory, long-term memory and language processing : issues and future directions

    OpenAIRE

    Collette, Fabienne; Van der Linden, Martial; Poncelet, Martine

    2000-01-01

    We examined different views of the relationships between working memory, long-term memory and language processing : working memory considered as a gateway between sensory input and long-term memory or rather as a workspace; working memory considered as not strictly tied to any particular cognitive system (and consequently viewed as separated from the language system) or rather as drawing on the operation and storage capacities of a subset of components involved in language processing. It is a...

  1. Cocaine Directly Impairs Memory Extinction and Alters Brain DNA Methylation Dynamics in Honey Bees.

    Science.gov (United States)

    Søvik, Eirik; Berthier, Pauline; Klare, William P; Helliwell, Paul; Buckle, Edwina L S; Plath, Jenny A; Barron, Andrew B; Maleszka, Ryszard

    2018-01-01

    Drug addiction is a chronic relapsing behavioral disorder. The high relapse rate has often been attributed to the perseverance of drug-associated memories due to high incentive salience of stimuli learnt under the influence of drugs. Drug addiction has also been interpreted as a memory disorder since drug associated memories are unusually enduring and some drugs, such as cocaine, interfere with neuroepigenetic machinery known to be involved in memory processing. Here we used the honey bee (an established invertebrate model for epigenomics and behavioral studies) to examine whether or not cocaine affects memory processing independently of its effect on incentive salience. Using the proboscis extension reflex training paradigm we found that cocaine strongly impairs consolidation of extinction memory. Based on correlation between the observed effect of cocaine on learning and expression of epigenetic processes, we propose that cocaine interferes with memory processing independently of incentive salience by directly altering DNA methylation dynamics. Our findings emphasize the impact of cocaine on memory systems, with relevance for understanding how cocaine can have such an enduring impact on behavior.

  2. Cocaine Directly Impairs Memory Extinction and Alters Brain DNA Methylation Dynamics in Honey Bees

    Directory of Open Access Journals (Sweden)

    Eirik Søvik

    2018-02-01

    Full Text Available Drug addiction is a chronic relapsing behavioral disorder. The high relapse rate has often been attributed to the perseverance of drug-associated memories due to high incentive salience of stimuli learnt under the influence of drugs. Drug addiction has also been interpreted as a memory disorder since drug associated memories are unusually enduring and some drugs, such as cocaine, interfere with neuroepigenetic machinery known to be involved in memory processing. Here we used the honey bee (an established invertebrate model for epigenomics and behavioral studies to examine whether or not cocaine affects memory processing independently of its effect on incentive salience. Using the proboscis extension reflex training paradigm we found that cocaine strongly impairs consolidation of extinction memory. Based on correlation between the observed effect of cocaine on learning and expression of epigenetic processes, we propose that cocaine interferes with memory processing independently of incentive salience by directly altering DNA methylation dynamics. Our findings emphasize the impact of cocaine on memory systems, with relevance for understanding how cocaine can have such an enduring impact on behavior.

  3. A Time-predictable Memory Network-on-Chip

    DEFF Research Database (Denmark)

    Schoeberl, Martin; Chong, David VH; Puffitsch, Wolfgang

    2014-01-01

    To derive safe bounds on worst-case execution times (WCETs), all components of a computer system need to be time-predictable: the processor pipeline, the caches, the memory controller, and memory arbitration on a multicore processor. This paper presents a solution for time-predictable memory...... arbitration and access for chip-multiprocessors. The memory network-on-chip is organized as a tree with time-division multiplexing (TDM) of accesses to the shared memory. The TDM based arbitration completely decouples processor cores and allows WCET analysis of the memory accesses on individual cores without...

  4. A Neuroanatomical Model of Prefrontal Inhibitory Modulation of Memory Retrieval

    Science.gov (United States)

    Depue, Brendan E.

    2012-01-01

    Memory of past experience is essential for guiding goal-related behavior. Being able to control accessibility of memory through modulation of retrieval enables humans to flexibly adapt to their environment. Understanding the specific neural pathways of how this control is achieved has largely eluded cognitive neuroscience. Accordingly, in the current paper I review literature that examines the overt control over retrieval in order to reduce accessibility. I first introduce three hypotheses of inhibition of retrieval. These hypotheses involve: i) attending to other stimuli as a form of diversionary attention, ii) inhibiting the specific individual neural representation of the memory, and iii) inhibiting the hippocampus and retrieval process more generally to prevent reactivation of the representation. I then analyze literature taken from the White Bear Suppression, Directed Forgetting and Think/No-Think tasks to provide evidence for these hypotheses. Finally, a neuroanatomical model is developed to indicate three pathways from PFC to the hippocampal complex that support inhibition of memory retrieval. Describing these neural pathways increases our understanding of control over memory in general. PMID:22374224

  5. Carbon nanomaterials for non-volatile memories

    Science.gov (United States)

    Ahn, Ethan C.; Wong, H.-S. Philip; Pop, Eric

    2018-03-01

    Carbon can create various low-dimensional nanostructures with remarkable electronic, optical, mechanical and thermal properties. These features make carbon nanomaterials especially interesting for next-generation memory and storage devices, such as resistive random access memory, phase-change memory, spin-transfer-torque magnetic random access memory and ferroelectric random access memory. Non-volatile memories greatly benefit from the use of carbon nanomaterials in terms of bit density and energy efficiency. In this Review, we discuss sp2-hybridized carbon-based low-dimensional nanostructures, such as fullerene, carbon nanotubes and graphene, in the context of non-volatile memory devices and architectures. Applications of carbon nanomaterials as memory electrodes, interfacial engineering layers, resistive-switching media, and scalable, high-performance memory selectors are investigated. Finally, we compare the different memory technologies in terms of writing energy and time, and highlight major challenges in the manufacturing, integration and understanding of the physical mechanisms and material properties.

  6. Information matching the content of visual working memory is prioritized for conscious access.

    NARCIS (Netherlands)

    Gayet, S.; Paffen, C.L.E.; van der Stigchel, S.

    2013-01-01

    Visual working memory (VWM) is used to retain relevant information for imminent goal-directed behavior. In the experiments reported here, we found that VWM helps to prioritize relevant information that is not yet available for conscious experience. In five experiments, we demonstrated that

  7. The content of visual working memory alters processing of visual input prior to conscious access: Evidence from pupillometry

    NARCIS (Netherlands)

    Gayet, S.; Paffen, C.L.E.; Guggenmos, M.; Sterzer, P.; Stigchel, S. van der

    2017-01-01

    Visual working memory (VWM) allows for keeping relevant visual information available after termination of its sensory input. Storing information in VWM, however, affects concurrent conscious perception of visual input: initially suppressed visual input gains prioritized access to consciousness when

  8. Effect of electrothermal annealing on the transformation behavior of TiNi shape memory alloy and two-way shape memory spring actuated by direct electrical current

    International Nuclear Information System (INIS)

    Wang, Z.G.; Zu, X.T.; Feng, X.D.; Zhu, S.; Deng, J.; Wang, L.M.

    2004-01-01

    In this work, the effect of electrothermal annealing on the transformation characterization of TiNi shape memory alloy and the electrothermal actuating characteristics of a two-way shape memory effect (TWSME) extension spring were investigated with direct electrical current. The results showed that with increasing direct electrical current density, the B2→R-phase transformation shifts to a lower temperature and R-phase→B19' shifts to a higher temperature in the cooling process. When annealing electrical current density reached 12.2 A/mm 2 , the R-phase disappeared and austenite transformed into martensite directly. The electrothermal annealing was an effective method of heat treatment in a selected part of shape memory alloy device. The electrothermal actuating characteristics of a TWSME spring showed that the time response and the maximum elongation greatly depended on the magnitude of the electrical current

  9. Physically Transient Memory on a Rapidly Dissoluble Paper for Security Application

    Science.gov (United States)

    Bae, Hagyoul; Lee, Byung-Hyun; Lee, Dongil; Seol, Myeong-Lok; Kim, Daewon; Han, Jin-Woo; Kim, Choong-Ki; Jeon, Seung-Bae; Ahn, Daechul; Park, Sang-Jae; Park, Jun-Young; Choi, Yang-Kyu

    2016-12-01

    We report the transient memory device by means of a water soluble SSG (solid sodium with glycerine) paper. This material has a hydroscopic property hence it can be soluble in water. In terms of physical security of memory devices, prompt abrogation of a memory device which stored a large number of data is crucial when it is stolen because all of things have identified information in the memory device. By utilizing the SSG paper as a substrate, we fabricated a disposable resistive random access memory (RRAM) which has good data retention of longer than 106 seconds and cycling endurance of 300 cycles. This memory device is dissolved within 10 seconds thus it can never be recovered or replicated. By employing direct printing but not lithography technology to aim low cost and disposable applications, the memory capacity tends to be limited less than kilo-bits. However, unlike high memory capacity demand for consumer electronics, the proposed device is targeting for security applications. With this regards, the sub-kilobit memory capacity should find the applications such as one-time usable personal identification, authentication code storage, cryptography key, and smart delivery tag. This aspect is attractive for security and protection system against unauthorized accessibility.

  10. Web based dosimetry system for reading and monitoring dose through internet access

    International Nuclear Information System (INIS)

    Perle, S.C.; Bennett, K.; Kahilainen, J.; Vuotila, M.

    2010-01-01

    The Instadose TM dosemeter from Mirion Technologies is a small, rugged device based on patented direct ion storage technology and is accredited by the National Voluntary Laboratory Accreditation Program (NVLAP) through NIST, bringing radiation monitoring into the digital age. Smaller than a flash drive, this dosemeter provides an instant read-out when connected to any computer with internet access and a USB connection. Instadose devices provide radiation workers with more flexibility than today's dosemeters. Non Volatile Analog Memory Cell surrounded by a Gas Filled Ion Chamber. Dose changes the amount of Electric Charge in the DIS Analog Memory. The total charge storage capacity of the memory determines the available dose range. The state of the Analog Memory is determined by measuring the voltage across the memory cell. AMP (Account Management Program) provides secure real time access to account details, device assignments, reports and all pertinent account information. Access can be restricted based on the role assignment assigned to an individual. A variety of reports are available for download and customizing. The Advantages of the Instadose dosemeter are: - Unlimited reading capability, - Concerns about a possible exposure can be addressed immediately, - Re-readability without loss of exposure data, with cumulative exposure maintained. (authors)

  11. Web based dosimetry system for reading and monitoring dose through internet access

    Energy Technology Data Exchange (ETDEWEB)

    Perle, S.C.; Bennett, K.; Kahilainen, J.; Vuotila, M. [Mirion Technologies (United States); Mirion Technologies (Finland)

    2010-07-01

    The Instadose{sup TM} dosemeter from Mirion Technologies is a small, rugged device based on patented direct ion storage technology and is accredited by the National Voluntary Laboratory Accreditation Program (NVLAP) through NIST, bringing radiation monitoring into the digital age. Smaller than a flash drive, this dosemeter provides an instant read-out when connected to any computer with internet access and a USB connection. Instadose devices provide radiation workers with more flexibility than today's dosemeters. Non Volatile Analog Memory Cell surrounded by a Gas Filled Ion Chamber. Dose changes the amount of Electric Charge in the DIS Analog Memory. The total charge storage capacity of the memory determines the available dose range. The state of the Analog Memory is determined by measuring the voltage across the memory cell. AMP (Account Management Program) provides secure real time access to account details, device assignments, reports and all pertinent account information. Access can be restricted based on the role assignment assigned to an individual. A variety of reports are available for download and customizing. The Advantages of the Instadose dosemeter are: - Unlimited reading capability, - Concerns about a possible exposure can be addressed immediately, - Re-readability without loss of exposure data, with cumulative exposure maintained. (authors)

  12. Tuning resistance states by thickness control in an electroforming-free nanometallic complementary resistance random access memory

    Science.gov (United States)

    Yang, Xiang; Lu, Yang; Lee, Jongho; Chen, I.-Wei

    2016-01-01

    Tuning low resistance state is crucial for resistance random access memory (RRAM) that aims to achieve optimal read margin and design flexibility. By back-to-back stacking two nanometallic bipolar RRAMs with different thickness into a complementary structure, we have found that its low resistance can be reliably tuned over several orders of magnitude. Such high tunability originates from the exponential thickness dependence of the high resistance state of nanometallic RRAM, in which electron wave localization in a random network gives rise to the unique scaling behavior. The complementary nanometallic RRAM provides electroforming-free, multi-resistance-state, sub-100 ns switching capability with advantageous characteristics for memory arrays.

  13. Tuning resistance states by thickness control in an electroforming-free nanometallic complementary resistance random access memory

    International Nuclear Information System (INIS)

    Yang, Xiang; Lu, Yang; Lee, Jongho; Chen, I-Wei

    2016-01-01

    Tuning low resistance state is crucial for resistance random access memory (RRAM) that aims to achieve optimal read margin and design flexibility. By back-to-back stacking two nanometallic bipolar RRAMs with different thickness into a complementary structure, we have found that its low resistance can be reliably tuned over several orders of magnitude. Such high tunability originates from the exponential thickness dependence of the high resistance state of nanometallic RRAM, in which electron wave localization in a random network gives rise to the unique scaling behavior. The complementary nanometallic RRAM provides electroforming-free, multi-resistance-state, sub-100 ns switching capability with advantageous characteristics for memory arrays

  14. Design and measurement of fully digital ternary content addressable memory using ratioless static random access memory cells and hierarchical-AND matching comparator

    Science.gov (United States)

    Nishikata, Daisuke; Ali, Mohammad Alimudin Bin Mohd; Hosoda, Kento; Matsumoto, Hiroshi; Nakamura, Kazuyuki

    2018-04-01

    A 36-bit × 32-entry fully digital ternary content addressable memory (TCAM) using the ratioless static random access memory (RL-SRAM) technology and fully complementary hierarchical-AND matching comparators (HAMCs) was developed. Since its fully complementary and digital operation enables the effect of device variabilities to be avoided, it can operate with a quite low supply voltage. A test chip incorporating a conventional TCAM and a proposed 24-transistor ratioless TCAM (RL-TCAM) cells and HAMCs was developed using a 0.18 µm CMOS process. The minimum operating voltage of 0.25 V of the developed RL-TCAM, which is less than half of that of the conventional TCAM, was measured via the conventional CMOS push–pull output buffers with the level-shifting and flipping technique using optimized pull-up voltage and resistors.

  15. Structural analysis of anodic porous alumina used for resistive random access memory

    International Nuclear Information System (INIS)

    Lee, Jeungwoo; Nigo, Seisuke; Kato, Seiichi; Kitazawa, Hideaki; Kido, Giyuu; Nakano, Yoshihiro

    2010-01-01

    Anodic porous alumina with duplex layers exhibits a voltage-induced switching effect and is a promising candidate for resistive random access memory. The nanostructural analysis of porous alumina is important for understanding the switching effect. We investigated the difference between the two layers of an anodic porous alumina film using transmission electron microscopy and electron energy-loss spectroscopy. Diffraction patterns showed that both layers are amorphous, and the electron energy-loss spectroscopy indicated that the inner layer contains less oxygen than the outer layer. We speculate that the conduction paths are mostly located in the oxygen-depleted area.

  16. The manufacture of system for testing static random access memory radiation effect

    International Nuclear Information System (INIS)

    Chen Rui; Yang Chen

    2008-01-01

    Space radiation effects will lead to single event upset, event latch up and other phenomena in SRAM devices. This paper introduces the hardware, software composition and related testing technology of SRAM radiation effect testing device. Through to the SRAM chip current detection and power protection, it has solved the SRAM chip damage question in the SRAM experiment. It has accessed to the expected experimental data by using the device in different source of radiation conducted on SRAM Experimental study of radiation effects. It provides important references in the assessment of operational life and reinforcement of the memory carried in the satellites. (authors)

  17. An 'ADC-Memory' system based on a new principle in data access

    International Nuclear Information System (INIS)

    Pan Dajing; Wu Yongqing; Wang Shibo

    1990-01-01

    A new kind of 'ADC-Memory' (ADC-M) with real time correction of counting loss in dead time is now used in a multiuser data acquisition and processing system based on DUAL/68000 microcomputer. In data access, it replaces the 'DMA + 1' in classical MCA with the new method 'DMA + N', where N is weight factor of correction. The new method is based on the principle of virtual pulse generator. This method is superior to the correction by the software because the correction needn't take the computer time. Thus, this ADC-M can be used in the counting of high rate pulses

  18. On the interplay between working memory consolidation and attentional selection in controlling conscious access : Parallel processing at a cost-a comment on 'The interplay of attention and consciousness in visual search, attentional blink and working memory consolidation'

    NARCIS (Netherlands)

    Wyble, Brad; Bowman, Howard; Nieuwenstein, Mark

    On the interplay between working memory consolidation and attentional selection in controlling conscious access: parallel processing at a cost-a comment on 'The interplay of attention and consciousness in visual search, attentional blink and working memory consolidation'

  19. NUMA obliviousness through memory mapping

    NARCIS (Netherlands)

    Gawade, M.; Kersten, M.; Pandis, I.; Kersten, M.

    2015-01-01

    With the rise of multi-socket multi-core CPUs a lot of effort is being put into how to best exploit their abundant CPU power. In a shared memory setting the multi-socket CPUs are equipped with their own memory module, and access memory modules across sockets in a non-uniform access pattern (NUMA).

  20. A novel multiplexer-based structure for random access memory cell in quantum-dot cellular automata

    Science.gov (United States)

    Naji Asfestani, Mazaher; Rasouli Heikalabad, Saeed

    2017-09-01

    Quantum-dot cellular automata (QCA) is a new technology in scale of nano and perfect replacement for CMOS circuits in the future. Memory is one of the basic components in any digital system, so designing the random access memory (RAM) with high speed and optimal in QCA is important. In this paper, by employing the structure of multiplexer, a novel RAM cell architecture is proposed. The proposed architecture is implemented without the coplanar crossover approach. The proposed architecture is simulated using the QCADesigner version 2.0.3 and QCAPro. The simulation results demonstrate that the proposed QCA RAM architecture has the best performance in terms of delay, circuit complexity, area, cell count and energy consumption in comparison with other QCA RAM architectures.

  1. A memory module for experimental data handling

    Science.gov (United States)

    De Blois, J.

    1985-02-01

    A compact CAMAC memory module for experimental data handling was developed to eliminate the need of direct memory access in computer controlled measurements. When using autonomous controllers it also makes measurements more independent of the program and enlarges the available space for programs in the memory of the micro-computer. The memory module has three modes of operation: an increment-, a list- and a fifo mode. This is achieved by connecting the main parts, being: the memory (MEM), the fifo buffer (FIFO), the address buffer (BUF), two counters (AUX and ADDR) and a readout register (ROR), by an internal 24-bit databus. The time needed for databus operations is 1 μs, for measuring cycles as well as for CAMAC cycles. The FIFO provides temporary data storage during CAMAC cycles and separates the memory part from the application part. The memory is variable from 1 to 64K (24 bits) by using different types of memory chips. The application part, which forms 1/3 of the module, will be specially designed for each application and is added to the memory chian internal connector. The memory unit will be used in Mössbauer experiments and in thermal neutron scattering experiments.

  2. An introduction to direct access storage devices

    CERN Document Server

    Sierra, Hugh M

    2012-01-01

    This book presents an exposition of the technology, design, organization, and structure of direct access storage devices (disk drives). It includes a discussion of the evolution of the technology (magnetic recording) and an assessment of other storage technologies, including optical recording. Examples of codes used in past implementations of disk drives as well as an application of disk drive usage dictated by reliability considerations are also included. The presentation assumes a minimum knowledge of magnetic recording, servomechanism design, and coding.

  3. Non-volatile memory based on the ferroelectric photovoltaic effect

    Science.gov (United States)

    Guo, Rui; You, Lu; Zhou, Yang; Shiuh Lim, Zhi; Zou, Xi; Chen, Lang; Ramesh, R.; Wang, Junling

    2013-01-01

    The quest for a solid state universal memory with high-storage density, high read/write speed, random access and non-volatility has triggered intense research into new materials and novel device architectures. Though the non-volatile memory market is dominated by flash memory now, it has very low operation speed with ~10 μs programming and ~10 ms erasing time. Furthermore, it can only withstand ~105 rewriting cycles, which prevents it from becoming the universal memory. Here we demonstrate that the significant photovoltaic effect of a ferroelectric material, such as BiFeO3 with a band gap in the visible range, can be used to sense the polarization direction non-destructively in a ferroelectric memory. A prototype 16-cell memory based on the cross-bar architecture has been prepared and tested, demonstrating the feasibility of this technique. PMID:23756366

  4. Radiation Dosimetry Using Three-Dimensional Optical Random Access Memories

    International Nuclear Information System (INIS)

    Moscovitch, M.

    2001-01-01

    The ability to determine particle type and energy plays an important role in the dosimetry of heavy charged particles (HCP) and neutrons. A new approach to radiation dosimetry is presented, which is shown to be capable of particle type and energy discrimination. This method is based on utilizing radiation induced changes in the digital information stored on three-dimensional optical random access memories (3D ORAM). 3D ORAM is a small cube (a few mm 3 ) composed of poly(methyl methacrylate) doped with a photochromic dye, and it was originally proposed as a memory device in high speed parallel computers. A Nd:YAG laser system is used to write and read binary information (bits) on the ORAM, which functions as a charged particle detector. Both the read and the write processes use two laser beams that simultaneously strike the material to cause a color change at their intersection (similar to the darkening of light-sensitive sunglasses when exposed to sunlight.) The laser produces color changes in the ORAM, which then reverts to the original color (''bit-flips'') at sites where energy is deposited from interaction with incident HCP or neutron-recoil protons. The feasibility of this approach was demonstrated both theoretically and experimentally. Calculations based on track structure theory (TST) predict that when HCP interact with the ORAM material, the local energy deposition is capable of inducing measurable ''bit-flips''. These predictions were recently confirmed experimentally using two types of ORAM systems, one based on spirobenzopyran and the other on anthracene, as the photochromic dyes

  5. Radiation dosimetry using three-dimensional optical random access memories

    International Nuclear Information System (INIS)

    Moscovitch, M.; Phillips, G.W.; Cullum, B.M.; Mobley, J.; Bogard, J.S.; Emfietzoglou, D.; Vo-Dinh, T.

    2002-01-01

    The ability to determine particle type and energy plays an important role in the dosimetry of heavy charged particles (HCP) and neutrons. A new approach to radiation dosimetry is presented, which is shown to be capable of particle type and energy discrimination. This method is based on utilising radiation induced changes in the digital information stored on three-dimensional optical random access memories (3D ORAM). 3D ORAM is a small cube (a few mm 3 ) composed of poly(methyl methacrylate) doped with a photochromic dye, and it was originally proposed as a memory device in high speed parallel computers. A Nd:YAG laser system is used to write and read binary information (bits) on the ORAM, which functions as a charged particle detector. Both the read and the write processes use two laser beams that simultaneously strike the material to cause a colour change at their intersection (similar to the darkening of light-sensitive sunglasses when exposed to sunlight). The laser produces colour changes in the ORAM, which then reverts to the original colour ('bit-flips') at sites where energy is deposited from interaction with incident HCP or neutron-recoil protons. The feasibility of this approach was demonstrated both theoretically and experimentally. Calculations based on track structure theory predict that when HCP interact with the ORAM material, the local energy deposition is capable of inducing measurable 'bit-flips'. These predictions were recently confirmed experimentally using two types of ORAM systems, one based on spirobenzopyran and the other on anthracene, as the photochromic dyes. (author)

  6. A model for Intelligent Random Access Memory architecture (IRAM) cellular automata algorithms on the Associative String Processing machine (ASTRA)

    CERN Document Server

    Rohrbach, F; Vesztergombi, G

    1997-01-01

    In the near future, the computer performance will be completely determined by how long it takes to access memory. There are bottle-necks in memory latency and memory-to processor interface bandwidth. The IRAM initiative could be the answer by putting Processor-In-Memory (PIM). Starting from the massively parallel processing concept, one reached a similar conclusion. The MPPC (Massively Parallel Processing Collaboration) project and the 8K processor ASTRA machine (Associative String Test bench for Research \\& Applications) developed at CERN \\cite{kuala} can be regarded as a forerunner of the IRAM concept. The computing power of the ASTRA machine, regarded as an IRAM with 64 one-bit processors on a 64$\\times$64 bit-matrix memory chip machine, has been demonstrated by running statistical physics algorithms: one-dimensional stochastic cellular automata, as a simple model for dynamical phase transitions. As a relevant result for physics, the damage spreading of this model has been investigated.

  7. The influence of directed attention at encoding on source memory retrieval in the young and old: an ERP study.

    Science.gov (United States)

    Dulas, Michael R; Duarte, Audrey

    2013-03-15

    Neuroimaging evidence suggests that older adults exhibit deficits in frontally-mediated strategic retrieval processes, such as post-retrieval monitoring. Behavioral research suggests that explicitly directing attention toward source features during encoding may improve source memory for both young and older adults and alleviate age-related source memory impairments, in part, by reducing demands on post-retrieval monitoring. We investigated this hypothesis in the present event-related potential (ERP) study. Young and older adults attended to either objects and their presented color (source) or to the object alone during study and made color source memory decisions at test. We attempted to match performance between groups by halving the memory load for older adults. Behavioral results showed that, while direction of attention to object and color improved source memory for both groups, older adults benefited less than the young. ERPs revealed that demands on late right frontal effects, indicative of post-retrieval monitoring, were similarly reduced by directed attention at encoding for both groups. However, older adults showed reduced ERP correlates of recollection (parietal old-new effect), as well as a sustained widespread negativity, potentially indicative of memory searches for perceptual details in the face of impaired recollection. These results suggest that older adults, like the young, can engage in post-retrieval monitoring when source details are difficult to recover. However, impaired recollection may underlie persistent age-related source memory deficits, even when encoding is supported via directed attention. Copyright © 2013 Elsevier B.V. All rights reserved.

  8. Transcranial direct current stimulation over the right DLPFC selectively modulates subprocesses in working memory

    Directory of Open Access Journals (Sweden)

    Jiarui Wang

    2018-05-01

    Full Text Available Background Working memory, as a complex system, consists of two independent components: manipulation and maintenance process, which are defined as executive control and storage process. Previous studies mainly focused on the overall effect of transcranial direct current stimulation (tDCS on working memory. However, little has been known about the segregative effects of tDCS on the sub-processes within working memory. Method Transcranial direct current stimulation, as one of the non-invasive brain stimulation techniques, is being widely used to modulate the cortical activation of local brain areas. This study modified a spatial n-back experiment with anodal and cathodal tDCS exertion on the right dorsolateral prefrontal cortex (DLPFC, aiming to investigate the effects of tDCS on the two sub-processes of working memory: manipulation (updating and maintenance. Meanwhile, considering the separability of tDCS effects, we further reconfirmed the causal relationship between the right DLPFC and the sub-processes of working memory with different tDCS conditions. Results The present study showed that cathodal tDCS on the right DLPFC selectively improved the performance of the modified 2-back task in the difficult condition, whereas anodal tDCS significantly reduced the performance of subjects and showed an speeding-up tendency of response time. More precisely, the results of discriminability index and criterion showed that only cathodal tDCS enhanced the performance of maintenance in the difficult condition. Neither of the two tDCS conditions affected the performance of manipulation (updating. Conclusion These findings provide evidence that cathodal tDCS of the right DLPFC selectively affects maintenance capacity. Besides, cathodal tDCS also serves as an interference suppressor to reduce the irrelevant interference, thereby indirectly improving the working memory capacity. Moreover, the right DLPFC is not the unique brain regions for working memory

  9. Surface effects of electrode-dependent switching behavior of resistive random-access memory

    KAUST Repository

    Ke, Jr Jian

    2016-09-26

    The surface effects of ZnO-based resistive random-access memory (ReRAM) were investigated using various electrodes. Pt electrodes were found to have better performance in terms of the device\\'s switching functionality. A thermodynamic model of the oxygen chemisorption process was proposed to explain this electrode-dependent switching behavior. The temperature-dependent switching voltage demonstrates that the ReRAM devices fabricated with Pt electrodes have a lower activation energy for the chemisorption process, resulting in a better resistive switching performance. These findings provide an in-depth understanding of electrode-dependent switching behaviors and can serve as design guidelines for future ReRAM devices.

  10. Random access memory immune to single event upset using a T-resistor

    Science.gov (United States)

    Ochoa, Jr., Agustin

    1989-01-01

    In a random access memory cell, a resistance "T" decoupling network in each leg of the cell reduces random errors caused by the interaction of energetic ions with the semiconductor material forming the cell. The cell comprises two parallel legs each containing a series pair of complementary MOS transistors having a common gate connected to the node between the transistors of the opposite leg. The decoupling network in each leg is formed by a series pair of resistors between the transistors together with a third resistor interconnecting the junction between the pair of resistors and the gate of the transistor pair forming the opposite leg of the cell.

  11. Directional hippocampal-prefrontal interactions during working memory.

    Science.gov (United States)

    Liu, Tiaotiao; Bai, Wenwen; Xia, Mi; Tian, Xin

    2018-02-15

    Working memory refers to a system that is essential for performing complex cognitive tasks such as reasoning, comprehension and learning. Evidence shows that hippocampus (HPC) and prefrontal cortex (PFC) play important roles in working memory. The HPC-PFC interaction via theta-band oscillatory synchronization is critical for successful execution of working memory. However, whether one brain region is leading or lagging relative to another is still unclear. Therefore, in the present study, we simultaneously recorded local field potentials (LFPs) from rat ventral hippocampus (vHPC) and medial prefrontal cortex (mPFC) and while the rats performed a Y-maze working memory task. We then applied instantaneous amplitudes cross-correlation method to calculate the time lag between PFC and vHPC to explore the functional dynamics of the HPC-PFC interaction. Our results showed a strong lead from vHPC to mPFC preceded an animal's correct choice during the working memory task. These findings suggest the vHPC-leading interaction contributes to the successful execution of working memory. Copyright © 2017. Published by Elsevier B.V.

  12. Development of measurement system for radiation effect on static random access memory based field programmable gate array

    International Nuclear Information System (INIS)

    Yao Zhibin; He Baoping; Zhang Fengqi; Guo Hongxia; Luo Yinhong; Wang Yuanming; Zhang Keying

    2009-01-01

    Based on the detailed investigation in field programmable gate array(FPGA) radiation effects theory, a measurement system for radiation effects on static random access memory(SRAM)-based FPGA was developed. The testing principle of internal memory, function and power current was introduced. The hardware and software implement means of system were presented. Some important parameters for radiation effects on SRAM-based FPGA, such as configuration RAM upset section, block RAM upset section, function fault section and single event latchup section can be gained with this system. The transmission distance of the system can be over 50 m and the maximum number of tested gates can reach one million. (authors)

  13. Evaluation of Data Retention Characteristics for Ferroelectric Random Access Memories (FRAMs)

    Science.gov (United States)

    Sharma, Ashok K.; Teverovsky, Alexander

    2001-01-01

    Data retention and fatigue characteristics of 64 Kb lead zirconate titanate (PZT)-based Ferroelectric Random Access Memories (FRAMs) microcircuits manufactured by Ramtron were examined over temperature range from -85 C to +310 C for ceramic packaged parts and from -85 C to +175 C for plastic parts, during retention periods up to several thousand hours. Intrinsic failures, which were caused by a thermal degradation of the ferroelectric cells, occurred in ceramic parts after tens or hundreds hours of aging at temperatures above 200 C. The activation energy of the retention test failures was 1.05 eV and the extrapolated mean-time-to-failure (MTTF) at room temperature was estimated to be more than 280 years. Multiple write-read cycling (up to 3x10(exp 7)) during the fatigue testing of plastic and ceramic parts did not result in any parametric or functional failures. However, operational currents linearly decreased with the logarithm of number of cycles thus indicating fatigue process in PZT films. Plastic parts, that had more recent date code as compared to ceramic parts, appeared to be using die with improved process technology and showed significantly smaller changes in operational currents and data access times.

  14. A radiation-hardened 1K-bit dielectrically isolated random access memory

    International Nuclear Information System (INIS)

    Sandors, T.J.; Boarman, J.W.; Kasten, A.J.; Wood, G.M.

    1982-01-01

    Dielectric Isolation has been used for many years as the bipolar technology for latch-up free, radiation hardened integrated circuits in strategic systems. The state-of-the-art up to this point has been the manufacture of MSI functions containing a maximum of several hundred isolated components. This paper discusses a 1024 Bit Random Access Memory chip containing over 4000 dielectrically isolated components which has been designed for strategic radiation environments. The process utilized and the circuit design of the 1024 Bit RAM have been previously discussed. The techniques used are similar to those employed for the MX digital integrated circuits except for specific items required to make this a true LSI technology. These techniques, along with electrical and radiation data for the RAM, are presented

  15. High density submicron magnetoresistive random access memory (invited)

    Science.gov (United States)

    Tehrani, S.; Chen, E.; Durlam, M.; DeHerrera, M.; Slaughter, J. M.; Shi, J.; Kerszykowski, G.

    1999-04-01

    Various giant magnetoresistance material structures were patterned and studied for their potential as memory elements. The preferred memory element, based on pseudo-spin valve structures, was designed with two magnetic stacks (NiFeCo/CoFe) of different thickness with Cu as an interlayer. The difference in thickness results in dissimilar switching fields due to the shape anisotropy at deep submicron dimensions. It was found that a lower switching current can be achieved when the bits have a word line that wraps around the bit 1.5 times. Submicron memory elements integrated with complementary metal-oxide-semiconductor (CMOS) transistors maintained their characteristics and no degradation to the CMOS devices was observed. Selectivity between memory elements in high-density arrays was demonstrated.

  16. A direct metal transfer method for cross-bar type polymer non-volatile memory applications

    International Nuclear Information System (INIS)

    Kim, Tae-Wook; Lee, Kyeongmi; Oh, Seung-Hwan; Wang, Gunuk; Kim, Dong-Yu; Jung, Gun-Young; Lee, Takhee

    2008-01-01

    Polymer non-volatile memory devices in 8 x 8 array cross-bar architecture were fabricated by a non-aqueous direct metal transfer (DMT) method using a two-step thermal treatment. Top electrodes with a linewidth of 2 μm were transferred onto the polymer layer by the DMT method. The switching behaviour of memory devices fabricated by the DMT method was very similar to that of devices fabricated by the conventional shadow mask method. The devices fabricated using the DMT method showed three orders of magnitude of on/off ratio with stable resistance switching, demonstrating that the DMT method can be a simple process to fabricate organic memory array devices

  17. Chromatin accessibility prediction via convolutional long short-term memory networks with k-mer embedding.

    Science.gov (United States)

    Min, Xu; Zeng, Wanwen; Chen, Ning; Chen, Ting; Jiang, Rui

    2017-07-15

    Experimental techniques for measuring chromatin accessibility are expensive and time consuming, appealing for the development of computational approaches to predict open chromatin regions from DNA sequences. Along this direction, existing methods fall into two classes: one based on handcrafted k -mer features and the other based on convolutional neural networks. Although both categories have shown good performance in specific applications thus far, there still lacks a comprehensive framework to integrate useful k -mer co-occurrence information with recent advances in deep learning. We fill this gap by addressing the problem of chromatin accessibility prediction with a convolutional Long Short-Term Memory (LSTM) network with k -mer embedding. We first split DNA sequences into k -mers and pre-train k -mer embedding vectors based on the co-occurrence matrix of k -mers by using an unsupervised representation learning approach. We then construct a supervised deep learning architecture comprised of an embedding layer, three convolutional layers and a Bidirectional LSTM (BLSTM) layer for feature learning and classification. We demonstrate that our method gains high-quality fixed-length features from variable-length sequences and consistently outperforms baseline methods. We show that k -mer embedding can effectively enhance model performance by exploring different embedding strategies. We also prove the efficacy of both the convolution and the BLSTM layers by comparing two variations of the network architecture. We confirm the robustness of our model to hyper-parameters by performing sensitivity analysis. We hope our method can eventually reinforce our understanding of employing deep learning in genomic studies and shed light on research regarding mechanisms of chromatin accessibility. The source code can be downloaded from https://github.com/minxueric/ismb2017_lstm . tingchen@tsinghua.edu.cn or ruijiang@tsinghua.edu.cn. Supplementary materials are available at

  18. Neutron detection using soft errors in dynamic random access memories

    International Nuclear Information System (INIS)

    Darambara, D.G.; Spyrou, N.M.

    1992-01-01

    The fact that energetic alpha particles have been observed to be capable of inducing single-event upsets in integrated circuit memories has become a topic of considerable interest in the past few years. One recognized difficulty with dynamic random access memory devices (dRAMs) is that the alpha-particle 'contamination' present within the dRAM encapsulating material interact sufficiently as to corrupt stored data. The authors essentially utilized the fact that these corruptions may be induced in dRAMs by the interaction of charged particles with the chip of the dRAM itself as a basis of a hardware system for neutron detection with a view to applications in neutron imaging and elemental analysis. The design incorporates a bank of dRAMs on which the particles are incident. Initially, these particles were alpha particles from an appropriate alpha-emitting source employed to assess system parameters. The sensitivity of the device to logic state upsets by ionizing radiation is a function of design and technology parameters, inducing storage node area, node capacitance, operating voltage, minority carrier lifetime, electric fields pattern in the bulk silicon, and specific device geometry. The soft error rate of the device in a given package depends on the flux of alphas, the energy spectrum, the distribution of incident angles, the target area, the total stored charge, the collection efficiency, the cell geometry, the supply voltage, the cycle and refreshing time, and the noise margin

  19. Nonvolatile memory design magnetic, resistive, and phase change

    CERN Document Server

    Li, Hai

    2011-01-01

    The manufacture of flash memory, which is the dominant nonvolatile memory technology, is facing severe technical barriers. So much so, that some emerging technologies have been proposed as alternatives to flash memory in the nano-regime. Nonvolatile Memory Design: Magnetic, Resistive, and Phase Changing introduces three promising candidates: phase-change memory, magnetic random access memory, and resistive random access memory. The text illustrates the fundamental storage mechanism of these technologies and examines their differences from flash memory techniques. Based on the latest advances,

  20. A memory module for experimental data handling

    International Nuclear Information System (INIS)

    Blois, J. de

    1985-01-01

    A compact CAMAC memory module for experimental data handling was developed to eliminate the need of direct memory access in computer controlled measurements. When using autonomous controllers it also makes measurements more independent of the program and enlarges the available space for programs in the memory of the micro-computer. The memory module has three modes of operation: an increment-, a list- and a fifo mode. This is achieved by connecting the main parts, being: the memory (MEM), the fifo buffer (FIFO), the address buffer (BUF), two counters (AUX and ADDR) and a readout register (ROR), by an internal 24-bit databus. The time needed for databus operations is 1 μs, for measuring cycles as well as for CAMAC cycles. The FIFO provides temporary data storage during CAMAC cycles and separates the memory part from the application part. The memory is variable from 1 to 64K (24 bits) by using different types of memory chips. The application part, which forms 1/3 of the module, will be specially designed for each application and is added to the memory by an internal connector. The memory unit will be used in Moessbauer experiments and in thermal neutron scattering experiments. (orig.)

  1. The RNA Exosome Channeling and Direct Access Conformations Have Distinct In Vivo Functions

    Directory of Open Access Journals (Sweden)

    Jaeil Han

    2016-09-01

    Full Text Available The RNA exosome is a 3′–5′ ribonuclease complex that is composed of nine core subunits and an essential catalytic subunit, Rrp44. Two distinct conformations of Rrp44 were revealed in previous structural studies, suggesting that Rrp44 may change its conformation to exert its function. In the channeling conformation, (Rrp44ch, RNA accesses the active site after traversing the central channel of the RNA exosome, whereas in the other conformation, (Rrp44da, RNA gains direct access to the active site. Here, we show that the Rrp44da exosome is important for nuclear function of the RNA exosome. Defects caused by disrupting the direct access conformation are distinct from those caused by channel-occluding mutations, indicating specific functions for each conformation. Our genetic analyses provide in vivo evidence that the RNA exosome employs a direct-access route to recruit specific substrates, indicating that the RNA exosome uses alternative conformations to act on different RNA substrates.

  2. Synergistic effects of total ionizing dose on single event upset sensitivity in static random access memory under proton irradiation

    International Nuclear Information System (INIS)

    Xiao Yao; Guo Hong-Xia; Zhang Feng-Qi; Zhao Wen; Wang Yan-Ping; Zhang Ke-Ying; Ding Li-Li; Luo Yin-Hong; Wang Yuan-Ming; Fan Xue

    2014-01-01

    Synergistic effects of the total ionizing dose (TID) on the single event upset (SEU) sensitivity in static random access memories (SRAMs) were studied by using protons. The total dose was cumulated with high flux protons during the TID exposure, and the SEU cross section was tested with low flux protons at several cumulated dose steps. Because of the radiation-induced off-state leakage current increase of the CMOS transistors, the noise margin became asymmetric and the memory imprint effect was observed. (interdisciplinary physics and related areas of science and technology)

  3. Directional Congestion and Regime Switching in a Long Memory Model for Electricity Prices

    DEFF Research Database (Denmark)

    Haldrup, Niels; Nielsen, Morten Ø.

    The functioning of electricity markets has experienced increasing complexityas a result of deregulation in recent years. Consequently this affects the multilateral price behaviour across regions with physical exchange of power. It has been documented elsewhere that features such aslong memory...... and regime switching reflecting congestion and non-congestion periods are empirically relevant and hence are features that need to be taken into account when modeling price behavior. In the present paper we further elaborate on the co-existence of long memory and regime switches by focusing on the effect...... that the direction of possible congestion episodes has on the price dynamics. Under non-congestion prices are identical. The direction of possible congestion is identified by the region with excess demand of power through the sign of price differences and hence three different states can be considered: Non...

  4. Performance analysis and comparison of a minimum interconnections direct storage model with traditional neural bidirectional memories.

    Science.gov (United States)

    Bhatti, A Aziz

    2009-12-01

    This study proposes an efficient and improved model of a direct storage bidirectional memory, improved bidirectional associative memory (IBAM), and emphasises the use of nanotechnology for efficient implementation of such large-scale neural network structures at a considerable lower cost reduced complexity, and less area required for implementation. This memory model directly stores the X and Y associated sets of M bipolar binary vectors in the form of (MxN(x)) and (MxN(y)) memory matrices, requires O(N) or about 30% of interconnections with weight strength ranging between +/-1, and is computationally very efficient as compared to sequential, intraconnected and other bidirectional associative memory (BAM) models of outer-product type that require O(N(2)) complex interconnections with weight strength ranging between +/-M. It is shown that it is functionally equivalent to and possesses all attributes of a BAM of outer-product type, and yet it is simple and robust in structure, very large scale integration (VLSI), optical and nanotechnology realisable, modular and expandable neural network bidirectional associative memory model in which the addition or deletion of a pair of vectors does not require changes in the strength of interconnections of the entire memory matrix. The analysis of retrieval process, signal-to-noise ratio, storage capacity and stability of the proposed model as well as of the traditional BAM has been carried out. Constraints on and characteristics of unipolar and bipolar binaries for improved storage and retrieval are discussed. The simulation results show that it has log(e) N times higher storage capacity, superior performance, faster convergence and retrieval time, when compared to traditional sequential and intraconnected bidirectional memories.

  5. Chemical insight into origin of forming-free resistive random-access memory devices

    KAUST Repository

    Wu, X.

    2011-09-29

    We demonstrate the realization of a forming-step free resistive random access memory (RRAM) device using a HfOx/TiOx/HfOx/TiOxmultilayer structure, as a replacement for the conventional HfOx-based single layer structure. High-resolution transmission electron microscopy (HRTEM), along with electron energy loss spectroscopy(EELS)analysis has been carried out to identify the distribution and the role played by Ti in the RRAM stack. Our results show that Ti out-diffusion into the HfOx layer is the chemical cause of forming-free behavior. Moreover, the capability of Ti to change its ionic state in HfOx eases the reduction-oxidation (redox) reaction, thus lead to the RRAM devices performance improvements.

  6. Insights from child development on the relationship between episodic and semantic memory.

    Science.gov (United States)

    Robertson, Erin K; Köhler, Stefan

    2007-11-05

    The present study was motivated by a recent controversy in the neuropsychological literature on semantic dementia as to whether episodic encoding requires semantic processing or whether it can proceed solely based on perceptual processing. We addressed this issue by examining the effect of age-related limitations in semantic competency on episodic memory in 4-6-year-old children (n=67). We administered three different forced-choice recognition memory tests for pictures previously encountered in a single study episode. The tests varied in the degree to which access to semantically encoded information was required at retrieval. Semantic competency predicted recognition performance regardless of whether access to semantic information was required. A direct relation between picture naming at encoding and subsequent recognition was also found for all tests. Our findings emphasize the importance of semantic encoding processes even in retrieval situations that purportedly do not require access to semantic information. They also highlight the importance of testing neuropsychological models of memory in different populations, healthy and brain damaged, at both ends of the developmental continuum.

  7. Efficiently GPU-accelerating long kernel convolutions in 3-D DIRECT TOF PET reconstruction via memory cache optimization

    Energy Technology Data Exchange (ETDEWEB)

    Ha, Sungsoo; Mueller, Klaus [Stony Brook Univ., NY (United States). Center for Visual Computing; Matej, Samuel [Pennsylvania Univ., Philadelphia, PA (United States). Dept. of Radiology

    2011-07-01

    The DIRECT represents a novel approach for 3-D Time-of-Flight (TOF) PET reconstruction. Its novelty stems from the fact that it performs all iterative predictor-corrector operations directly in image space. The projection operations now amount to convolutions in image space, using long TOF (resolution) kernels. While for spatially invariant kernels the computational complexity can be algorithmically overcome by replacing spatial convolution with multiplication in Fourier space, spatially variant kernels cannot use this shortcut. Therefore in this paper, we describe a GPU-accelerated approach for this task. However, the intricate parallel architecture of GPUs poses its own challenges, and careful memory and thread management is the key to obtaining optimal results. As convolution is mainly memory-bound we focus on the former, proposing two types of memory caching schemes that warrant best cache memory re-use by the parallel threads. In contrast to our previous two-stage algorithm, the schemes presented here are both single-stage which is more accurate. (orig.)

  8. How memory of direct animal interactions can lead to territorial pattern formation.

    Science.gov (United States)

    Potts, Jonathan R; Lewis, Mark A

    2016-05-01

    Mechanistic home range analysis (MHRA) is a highly effective tool for understanding spacing patterns of animal populations. It has hitherto focused on populations where animals defend their territories by communicating indirectly, e.g. via scent marks. However, many animal populations defend their territories using direct interactions, such as ritualized aggression. To enable application of MHRA to such populations, we construct a model of direct territorial interactions, using linear stability analysis and energy methods to understand when territorial patterns may form. We show that spatial memory of past interactions is vital for pattern formation, as is memory of 'safe' places, where the animal has visited but not suffered recent territorial encounters. Additionally, the spatial range over which animals make decisions to move is key to understanding the size and shape of their resulting territories. Analysis using energy methods, on a simplified version of our system, shows that stability in the nonlinear system corresponds well to predictions of linear analysis. We also uncover a hysteresis in the process of territory formation, so that formation may depend crucially on initial space-use. Our analysis, in one dimension and two dimensions, provides mathematical groundwork required for extending MHRA to situations where territories are defended by direct encounters. © 2016 The Author(s).

  9. Goal-directed mechanisms that constrain retrieval predict subsequent memory for new "foil" information.

    Science.gov (United States)

    Vogelsang, David A; Bonnici, Heidi M; Bergström, Zara M; Ranganath, Charan; Simons, Jon S

    2016-08-01

    To remember a previous event, it is often helpful to use goal-directed control processes to constrain what comes to mind during retrieval. Behavioral studies have demonstrated that incidental learning of new "foil" words in a recognition test is superior if the participant is trying to remember studied items that were semantically encoded compared to items that were non-semantically encoded. Here, we applied subsequent memory analysis to fMRI data to understand the neural mechanisms underlying the "foil effect". Participants encoded information during deep semantic and shallow non-semantic tasks and were tested in a subsequent blocked memory task to examine how orienting retrieval towards different types of information influences the incidental encoding of new words presented as foils during the memory test phase. To assess memory for foils, participants performed a further surprise old/new recognition test involving foil words that were encountered during the previous memory test blocks as well as completely new words. Subsequent memory effects, distinguishing successful versus unsuccessful incidental encoding of foils, were observed in regions that included the left inferior frontal gyrus and posterior parietal cortex. The left inferior frontal gyrus exhibited disproportionately larger subsequent memory effects for semantic than non-semantic foils, and significant overlap in activity during semantic, but not non-semantic, initial encoding and foil encoding. The results suggest that orienting retrieval towards different types of foils involves re-implementing the neurocognitive processes that were involved during initial encoding. Copyright © 2016 The Authors. Published by Elsevier Ltd.. All rights reserved.

  10. Memory, microprocessor, and ASIC

    CERN Document Server

    Chen, Wai-Kai

    2003-01-01

    System Timing. ROM/PROM/EPROM. SRAM. Embedded Memory. Flash Memories. Dynamic Random Access Memory. Low-Power Memory Circuits. Timing and Signal Integrity Analysis. Microprocessor Design Verification. Microprocessor Layout Method. Architecture. ASIC Design. Logic Synthesis for Field Programmable Gate Array (EPGA) Technology. Testability Concepts and DFT. ATPG and BIST. CAD Tools for BIST/DFT and Delay Faults.

  11. Taxing Working Memory during Retrieval of Emotional Memories Does Not Reduce Memory Accessibility When Cued with Reminders

    NARCIS (Netherlands)

    van Schie, Kevin; Engelhard, Iris M; van den Hout, Marcel A

    2015-01-01

    Earlier studies have shown that when individuals recall an emotional memory while simultaneously doing a demanding dual-task [e.g., playing Tetris, mental arithmetic, making eye movements (EM)], this reduces self-reported vividness and emotionality of the memory. These effects have been found up to

  12. Overview of radiation effects on emerging non-volatile memory technologies

    Directory of Open Access Journals (Sweden)

    Fetahović Irfan S.

    2017-01-01

    Full Text Available In this paper we give an overview of radiation effects in emergent, non-volatile memory technologies. Investigations into radiation hardness of resistive random access memory, ferroelectric random access memory, magneto-resistive random access memory, and phase change memory are presented in cases where these memory devices were subjected to different types of radiation. The obtained results proved high radiation tolerance of studied devices making them good candidates for application in radiation-intensive environments. [Project of the Serbian Ministry of Education, Science and Technological Development, Grant no. 171007

  13. Analysis and modeling of resistive switching mechanisms oriented to resistive random-access memory

    International Nuclear Information System (INIS)

    Huang Da; Wu Jun-Jie; Tang Yu-Hua

    2013-01-01

    With the progress of the semiconductor industry, the resistive random-access memory (RAM) has drawn increasing attention. The discovery of the memristor has brought much attention to this study. Research has focused on the resistive switching characteristics of different materials and the analysis of resistive switching mechanisms. We discuss the resistive switching mechanisms of different materials in this paper and analyze the differences of those mechanisms from the view point of circuitry to establish their respective circuit models. Finally, simulations are presented. We give the prospect of using different materials in resistive RAM on account of their resistive switching mechanisms, which are applied to explain their resistive switchings

  14. A random access memory immune to single event upset using a T-Resistor

    Science.gov (United States)

    Ochoa, A. Jr.

    1987-10-28

    In a random access memory cell, a resistance ''T'' decoupling network in each leg of the cell reduces random errors caused by the interaction of energetic ions with the semiconductor material forming the cell. The cell comprises two parallel legs each containing a series pair of complementary MOS transistors having a common gate connected to the node between the transistors of the opposite leg. The decoupling network in each leg is formed by a series pair of resistors between the transistors together with a third resistor interconnecting the junction between the pair of resistors and the gate of the transistor pair forming the opposite leg of the cell. 4 figs.

  15. Factor structure of overall autobiographical memory usage: the directive, self and social functions revisited.

    Science.gov (United States)

    Rasmussen, Anne S; Habermas, Tilmann

    2011-08-01

    According to theory, autobiographical memory serves three broad functions of overall usage: directive, self, and social. However, there is evidence to suggest that the tripartite model may be better conceptualised in terms of a four-factor model with two social functions. In the present study we examined the two models in Danish and German samples, using the Thinking About Life Experiences Questionnaire (TALE; Bluck, Alea, Habermas, & Rubin, 2005), which measures the overall usage of the three functions generalised across concrete memories. Confirmatory factor analysis supported the four-factor model and rejected the theoretical three-factor model in both samples. The results are discussed in relation to cultural differences in overall autobiographical memory usage as well as sharing versus non-sharing aspects of social remembering.

  16. Memory states in small arrays of Josephson junctions

    Energy Technology Data Exchange (ETDEWEB)

    Braiman, Yehuda [ORNLOak Ridge National Lab. (ORNL), Oak Ridge, TN (United States). Computer Science and Mathematics Division, Computing and Computational Science Directorate; Univ. of Tennessee, Knoxville, TN (United States). Dept. of Mechanical, Aerospace, and Biomedical Engineering; Neschke, Brendan [ORNLOak Ridge National Lab. (ORNL), Oak Ridge, TN (United States). Computer Science and Mathematics Division, Computing and Computational Science Directorate; Univ. of Tennessee, Knoxville, TN (United States). Dept. of Mechanical, Aerospace, and Biomedical Engineering; Nair, Niketh S. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States). Computer Science and Mathematics Division, Computing and Computational Science Directorate; Univ. of Tennessee, Knoxville, TN (United States). Dept. of Mechanical, Aerospace, and Biomedical Engineering; Imam, Neena [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States). Computing and Computational Science Directorat; Glowinski, R. [Univ. of Houston, TX (United States). Dept. of Mathematics

    2017-11-30

    Here, we study memory states of a circuit consisting of a small inductively coupled Josephson junction array and introduce basic (write, read, and reset) memory operations logics of the circuit. The presented memory operation paradigm is fundamentally different from conventional single quantum flux operation logics. We calculate stability diagrams of the zero-voltage states and outline memory states of the circuit. We also calculate access times and access energies for basic memory operations.

  17. Unraveling Network-induced Memory Contention: Deeper Insights with Machine Learning

    International Nuclear Information System (INIS)

    Groves, Taylor Liles; Grant, Ryan; Gonzales, Aaron; Arnold, Dorian

    2017-01-01

    Remote Direct Memory Access (RDMA) is expected to be an integral communication mechanism for future exascale systems enabling asynchronous data transfers, so that applications may fully utilize CPU resources while simultaneously sharing data amongst remote nodes. We examine Network-induced Memory Contention (NiMC) on Infiniband networks. We expose the interactions between RDMA, main-memory and cache, when applications and out-of-band services compete for memory resources. We then explore NiMCs resulting impact on application-level performance. For a range of hardware technologies and HPC workloads, we quantify NiMC and show that NiMCs impact grows with scale resulting in up to 3X performance degradation at scales as small as 8K processes even in applications that previously have been shown to be performance resilient in the presence of noise. In addition, this work examines the problem of predicting NiMC's impact on applications by leveraging machine learning and easily accessible performance counters. This approach provides additional insights about the root cause of NiMC and facilitates dynamic selection of potential solutions. Finally, we evaluated three potential techniques to reduce NiMCs impact, namely hardware offloading, core reservation and network throttling.

  18. Soft-error tolerance and energy consumption evaluation of embedded computer with magnetic random access memory in practical systems using computer simulations

    Science.gov (United States)

    Nebashi, Ryusuke; Sakimura, Noboru; Sugibayashi, Tadahiko

    2017-08-01

    We evaluated the soft-error tolerance and energy consumption of an embedded computer with magnetic random access memory (MRAM) using two computer simulators. One is a central processing unit (CPU) simulator of a typical embedded computer system. We simulated the radiation-induced single-event-upset (SEU) probability in a spin-transfer-torque MRAM cell and also the failure rate of a typical embedded computer due to its main memory SEU error. The other is a delay tolerant network (DTN) system simulator. It simulates the power dissipation of wireless sensor network nodes of the system using a revised CPU simulator and a network simulator. We demonstrated that the SEU effect on the embedded computer with 1 Gbit MRAM-based working memory is less than 1 failure in time (FIT). We also demonstrated that the energy consumption of the DTN sensor node with MRAM-based working memory can be reduced to 1/11. These results indicate that MRAM-based working memory enhances the disaster tolerance of embedded computers.

  19. Retro-cue benefits in working memory without sustained focal attention.

    Science.gov (United States)

    Rerko, Laura; Souza, Alessandra S; Oberauer, Klaus

    2014-07-01

    In working memory (WM) tasks, performance can be boosted by directing attention to one memory object: When a retro-cue in the retention interval indicates which object will be tested, responding is faster and more accurate (the retro-cue benefit). We tested whether the retro-cue benefit in WM depends on sustained attention to the cued object by inserting an attention-demanding interruption task between the retro-cue and the memory test. In the first experiment, the interruption task required participants to shift their visual attention away from the cued representation and to a visual classification task on colors. In the second and third experiments, the interruption task required participants to shift their focal attention within WM: Attention was directed away from the cued representation by probing another representation from the memory array prior to probing the cued object. The retro-cue benefit was not attenuated by shifts of perceptual attention or by shifts of attention within WM. We concluded that sustained attention is not needed to maintain the cued representation in a state of heightened accessibility.

  20. Low-energy neutron-induced single-event upsets in static random access memory

    International Nuclear Information System (INIS)

    Guo Xiaoqiang; Guo Hongxia; Wang Guizhen; Ling Dongsheng; Chen Wei; Bai Xiaoyan; Yang Shanchao; Liu Yan

    2009-01-01

    The visual analysis method of data process was provided for neutron-induced single-event upset(SEU) in static random access memory(SRAM). The SEU effects of six CMOS SRAMs with different feature size(from 0.13 μm to 1.50 μm) were studied. The SEU experiments were performed using the neutron radiation environment at Xi'an pulsed reactor. And the dependence of low-energy neutron-induced SEU cross section on SRAM's feature size was given. The results indicate that the decreased critical charge is the dominant factor for the increase of single event effect sensitivity of SRAM devices with decreased feature size. Small-sized SRAM devices are more sensitive than large-sized ones to single event effect induced by low-energy neutrons. (authors)

  1. Affect, accessibility of material in memory, and behavior: a cognitive loop?

    Science.gov (United States)

    Isen, A M; Shalker, T E; Clark, M; Karp, L

    1978-01-01

    Two studies investigated the effect of good mood on cognitive processes. In the first study, conducted in a shopping mall, a positive feeling state was induced by giving subjects a free gift, and good mood, thus induced, was found to improve subjects' evaluations of the performance and service records of products they owned. In the second study, in which affect was induced by having subjects win or lose a computer game in a laboratory setting, subjects who had won the game were found to be better able to recall positive material in memory. The results of the two studies are discussed in terms of the effect that feelings have on accessibility of cognitions. In addition, the nature of affect and the relationship between good mood and behavior (such as helping) are discussed in terms of this proposed cognitive process.

  2. Protect sensitive data with lightweight memory encryption

    Science.gov (United States)

    Zhou, Hongwei; Yuan, Jinhui; Xiao, Rui; Zhang, Kai; Sun, Jingyao

    2018-04-01

    Since current commercial processor is not able to deal with the data in the cipher text, the sensitive data have to be exposed in the memory. It leaves a window for the adversary. To protect the sensitive data, a direct idea is to encrypt the data when the processor does not access them. On the observation, we have developed a lightweight memory encryption, called LeMe, to protect the sensitive data in the application. LeMe marks the sensitive data in the memory with the page table entry, and encrypts the data in their free time. LeMe is built on the Linux with a 3.17.6 kernel, and provides four user interfaces as dynamic link library. Our evaluations show LeMe is effective to protect the sensitive data and incurs an acceptable performance overhead.

  3. Rehearsal of To-Be-Remembered Items Is Unnecessary to Perform Directed Forgetting within Working Memory: Support for an Active Control Mechanism

    Science.gov (United States)

    Festini, Sara B.; Reuter-Lorenz, Patricia A.

    2017-01-01

    Directed forgetting tasks instruct people to forget targeted memoranda. In the context of working memory, people attempt to forget representations that are currently held in mind. Here, we evaluated candidate mechanisms of directed forgetting within working memory, by (a) testing the influence of articulatory suppression, a rehearsal-reducing and…

  4. Oscillatory theta activity during memory formation and its impact on overnight consolidation: a missing link?

    Science.gov (United States)

    Heib, Dominik P J; Hoedlmoser, Kerstin; Anderer, Peter; Gruber, Georg; Zeitlhofer, Josef; Schabus, Manuel

    2015-08-01

    Sleep has been shown to promote memory consolidation driven by certain oscillatory patterns, such as sleep spindles. However, sleep does not consolidate all newly encoded information uniformly but rather "selects" certain memories for consolidation. It is assumed that such selection depends on salience tags attached to the new memories before sleep. However, little is known about the underlying neuronal processes reflecting presleep memory tagging. The current study sought to address the question of whether event-related changes in spectral theta power (theta ERSP) during presleep memory formation could reflect memory tagging that influences subsequent consolidation during sleep. Twenty-four participants memorized 160 word pairs before sleep; in a separate laboratory visit, they performed a nonlearning control task. Memory performance was tested twice, directly before and after 8 hr of sleep. Results indicate that participants who improved their memory performance overnight displayed stronger theta ERSP during the memory task in comparison with the control task. They also displayed stronger memory task-related increases in fast sleep spindle activity. Furthermore, presleep theta activity was directly linked to fast sleep spindle activity, indicating that processes during memory formation might indeed reflect memory tagging that influences subsequent consolidation during sleep. Interestingly, our results further indicate that the suggested relation between sleep spindles and overnight performance change is not as direct as once believed. Rather, it appears to be mediated by processes beginning during presleep memory formation. We conclude that theta ERSP during presleep memory formation reflects cortico-hippocampal interactions that lead to a better long-term accessibility by tagging memories for sleep spindle-related reprocessing.

  5. On Using the Volatile Mem-Capacitive Effect of TiO2 Resistive Random Access Memory to Mimic the Synaptic Forgetting Process

    Science.gov (United States)

    Sarkar, Biplab; Mills, Steven; Lee, Bongmook; Pitts, W. Shepherd; Misra, Veena; Franzon, Paul D.

    2018-02-01

    In this work, we report on mimicking the synaptic forgetting process using the volatile mem-capacitive effect of a resistive random access memory (RRAM). TiO2 dielectric, which is known to show volatile memory operations due to migration of inherent oxygen vacancies, was used to achieve the volatile mem-capacitive effect. By placing the volatile RRAM candidate along with SiO2 at the gate of a MOS capacitor, a volatile capacitance change resembling the forgetting nature of a human brain is demonstrated. Furthermore, the memory operation in the MOS capacitor does not require a current flow through the gate dielectric indicating the feasibility of obtaining low power memory operations. Thus, the mem-capacitive effect of volatile RRAM candidates can be attractive to the future neuromorphic systems for implementing the forgetting process of a human brain.

  6. Czechoslovakia's participation in IAEA's INIS/AGRIS direct access experiment

    International Nuclear Information System (INIS)

    Stanik, Z.

    1980-01-01

    The task of establishing direct access to the INIS data base is being implemented in Czechoslovakia by the Nuclear Information Centre in Prague-Zbraslav. The aim and meaning of the experiment is to build a Czechoslovak network of terminals linked to the IAEA with the possibility of future connections to other data bases. The first stage is characterized by the use of a dial-up line. (M.S.)

  7. One bipolar transistor selector - One resistive random access memory device for cross bar memory array

    Science.gov (United States)

    Aluguri, R.; Kumar, D.; Simanjuntak, F. M.; Tseng, T.-Y.

    2017-09-01

    A bipolar transistor selector was connected in series with a resistive switching memory device to study its memory characteristics for its application in cross bar array memory. The metal oxide based p-n-p bipolar transistor selector indicated good selectivity of about 104 with high retention and long endurance showing its usefulness in cross bar RRAM devices. Zener tunneling is found to be the main conduction phenomena for obtaining high selectivity. 1BT-1R device demonstrated good memory characteristics with non-linearity of 2 orders, selectivity of about 2 orders and long retention characteristics of more than 105 sec. One bit-line pull-up scheme shows that a 650 kb cross bar array made with this 1BT1R devices works well with more than 10 % read margin proving its ability in future memory technology application.

  8. Boosting Cognition : Effects of Multiple-Session Transcranial Direct Current Stimulation on Working Memory

    NARCIS (Netherlands)

    Talsma, L.J.; Kroese, H.A.; Slagter, H.A.

    Transcranial direct current stimulation (tDCS) is a promising tool for neurocognitive enhancement. Several studies have shown that just a single session of tDCS over the left dorsolateral pFC (lDLPFC) can improve the core cognitive function of working memory (WM) in healthy adults. Yet, recent

  9. Dual representation of item positions in verbal short-term memory: Evidence for two access modes.

    Science.gov (United States)

    Lange, Elke B; Verhaeghen, Paul; Cerella, John

    Memory sets of N = 1~5 digits were exposed sequentially from left-to-right across the screen, followed by N recognition probes. Probes had to be compared to memory list items on identity only (Sternberg task) or conditional on list position. Positions were probed randomly or in left-to-right order. Search functions related probe response times to set size. Random probing led to ramped, "Sternbergian" functions whose intercepts were elevated by the location requirement. Sequential probing led to flat search functions-fast responses unaffected by set size. These results suggested that items in STM could be accessed either by a slow search-on-identity followed by recovery of an associated location tag, or in a single step by following item-to-item links in study order. It is argued that this dual coding of location information occurs spontaneously at study, and that either code can be utilised at retrieval depending on test demands.

  10. Hybrid Josephson-CMOS memory: a solution for the Josephson memory problem

    International Nuclear Information System (INIS)

    Duzer, Theodore van; Feng Yijun; Meng Xiaofan; Whiteley, Stephen R; Yoshikawa, Nobuyuki

    2002-01-01

    The history of the development of superconductive memory for Josephson digital systems is presented along with the several current proposals. The main focus is on a proposed combination of the highly developed CMOS memory technology with Josephson peripheral circuits to achieve memories of significant size with subnanosecond access time. Background material is presented on the cryogenic operation of CMOS. Simulations and experiments on components of memory with emphasis on the important input interface amplifier are presented

  11. Remote Memory Access Protocol Target Node Intellectual Property

    Science.gov (United States)

    Haddad, Omar

    2013-01-01

    The MagnetoSpheric Multiscale (MMS) mission had a requirement to use the Remote Memory Access Protocol (RMAP) over its SpaceWire network. At the time, no known intellectual property (IP) cores were available for purchase. Additionally, MMS preferred to implement the RMAP functionality with control over the low-level details of the design. For example, not all the RMAP standard functionality was needed, and it was desired to implement only the portions of the RMAP protocol that were needed. RMAP functionality had been previously implemented in commercial off-the-shelf (COTS) products, but the IP core was not available for purchase. The RMAP Target IP core is a VHDL (VHSIC Hardware Description Language description of a digital logic design suitable for implementation in an FPGA (field-programmable gate array) or ASIC (application-specific integrated circuit) that parses SpaceWire packets that conform to the RMAP standard. The RMAP packet protocol allows a network host to access and control a target device using address mapping. This capability allows SpaceWire devices to be managed in a standardized way that simplifies the hardware design of the device, as well as the development of the software that controls the device. The RMAP Target IP core has some features that are unique and not specified in the RMAP standard. One such feature is the ability to automatically abort transactions if the back-end logic does not respond to read/write requests within a predefined time. When a request times out, the RMAP Target IP core automatically retracts the request and returns a command response with an appropriate status in the response packet s header. Another such feature is the ability to control the SpaceWire node or router using RMAP transactions in the extended address range. This allows the SpaceWire network host to manage the SpaceWire network elements using RMAP packets, which reduces the number of protocols that the network host needs to support.

  12. Directed coupling in local field potentials of macaque V4 during visual short-term memory revealed by multivariate autoregressive models

    Directory of Open Access Journals (Sweden)

    Gregor M Hoerzer

    2010-05-01

    Full Text Available Processing and storage of sensory information is based on the interaction between different neural populations rather than the isolated activity of single neurons. In order to characterize the dynamic interaction and transient cooperation of sub-circuits within a neural network, multivariate autoregressive (MVAR models have proven to be an important analysis tool. In this study, we apply directed functional coupling based on MVAR models and describe the temporal and spatial changes of functional coupling between simultaneously recorded local field potentials (LFP in extrastriate area V4 during visual memory. Specifically, we compare the strength and directional relations of coupling based on Generalized Partial Directed Coherence (GDPC measures while two rhesus monkeys perform a visual short-term memory task. In both monkeys we find increases in theta power during the memory period that are accompanied by changes in directed coupling. These interactions are most prominent in the low frequency range encompassing the theta band (3-12~Hz and, more importantly, are asymmetric between pairs of recording sites. Furthermore, we find that the degree of interaction decreases as a function of distance between electrode positions, suggesting that these interactions are a predominantly local phenomenon. Taken together, our results show that directed coupling measures based on MVAR models are able to provide important insights into the spatial and temporal formation of local functionally coupled ensembles during visual memory in V4. Moreover, our findings suggest that visual memory is accompanied not only by a temporary increase of oscillatory activity in the theta band, but by a direction-dependent change in theta coupling, which ultimately represents a change in functional connectivity within the neural circuit.

  13. A Direct Test of the Differentiation Mechanism: REM, BCDMEM, and the Strength-Based Mirror Effect in Recognition Memory

    Science.gov (United States)

    Starns, Jeffrey J.; White, Corey N.; Ratcliff, Roger

    2010-01-01

    We explore competing explanations for the reduction in false alarm rate observed when studied items are strengthened. Some models, such as Retrieving Effectively from Memory (REM; Shiffrin & Steyvers, 1997), attribute the false alarm rate reduction to differentiation, a process in which strengthening memory traces at study directly reduces the…

  14. Using Memory in the Right Way to Accelerate Big Data Processing

    Institute of Scientific and Technical Information of China (English)

    阎栋; 尹绪森; 连城; 钟翔; 周鑫; 吴甘沙

    2015-01-01

    Big data processing is becoming a standout part of data center computation. However, latest research has indicated that big data workloads cannot make full use of modern memory systems. We find that the dramatic inefficiency of the big data processing is from the enormous amount of cache misses and stalls of the depended memory accesses. In this paper, we introduce two optimizations to tackle these problems. The first one is the slice-and-merge strategy, which reduces the cache miss rate of the sort procedure. The second optimization is direct-memory-access, which reforms the data structure used in key/value storage. These optimizations are evaluated with both micro-benchmarks and the real-world benchmark HiBench. The results of our micro-benchmarks clearly demonstrate the effectiveness of our optimizations in terms of hardware event counts; and the additional results of HiBench show the 1.21X average speedup on the application-level. Both results illustrate that careful hardware/software co-design will improve the memory efficiency of big data processing. Our work has already been integrated into Intel distribution for Apache Hadoop.

  15. Pigeon visual short-term memory directly compared to primates.

    Science.gov (United States)

    Wright, Anthony A; Elmore, L Caitlin

    2016-02-01

    Three pigeons were trained to remember arrays of 2-6 colored squares and detect which of two squares had changed color to test their visual short-term memory. Procedures (e.g., stimuli, displays, viewing times, delays) were similar to those used to test monkeys and humans. Following extensive training, pigeons performed slightly better than similarly trained monkeys, but both animal species were considerably less accurate than humans with the same array sizes (2, 4 and 6 items). Pigeons and monkeys showed calculated memory capacities of one item or less, whereas humans showed a memory capacity of 2.5 items. Despite the differences in calculated memory capacities, the pigeons' memory results, like those from monkeys and humans, were all well characterized by an inverse power-law function fit to d' values for the five display sizes. This characterization provides a simple, straightforward summary of the fundamental processing of visual short-term memory (how visual short-term memory declines with memory load) that emphasizes species similarities based upon similar functional relationships. By closely matching pigeon testing parameters to those of monkeys and humans, these similar functional relationships suggest similar underlying processes of visual short-term memory in pigeons, monkeys and humans. Copyright © 2015 Elsevier B.V. All rights reserved.

  16. Unilateral prefrontal direct current stimulation effects are modulated by working memory load and gender.

    Science.gov (United States)

    Meiron, Oded; Lavidor, Michal

    2013-05-01

    Recent studies revealed that anodal transcranial direct current stimulation (tDCS) to the left dorsolateral prefrontal cortex (DLPFC) may improve verbal working memory (WM) performance in humans. In the present study, we evaluated executive attention, which is the core of WM capacity, considered to be significantly involved in tasks that require active maintenance of memory representations in interference-rich conditions, and is highly dependent on DLPFC function. We investigated verbal WM accuracy using a WM task that is highly sensitive to executive attention function. We were interested in how verbal WM accuracy may be affected by WM load, unilateral DLPFC stimulation, and gender, as previous studies showed gender-dependent brain activation during verbal WM tasks. We utilized a modified verbal n-Back task hypothesized to increase demands on executive attention. We examined "online" WM performance while participants received transcranial direct current stimulation (tDCS), and implicit learning performance in a post-stimulation WM task. Significant lateralized "online" stimulation effects were found only in the highest WM load condition revealing that males benefit from left DLPFC stimulation, while females benefit from right DLPFC stimulation. High WM load performance in the left DLPFC stimulation was significantly related to post-stimulation recall performance. Our findings support the idea that lateralized stimulation effects in high verbal WM load may be gender-dependent. Further, our post-stimulation results support the idea that increased left hemisphere activity may be important for encoding verbal information into episodic memory as well as for facilitating retrieval of context-specific targets from semantic memory. Copyright © 2013 Elsevier Inc. All rights reserved.

  17. Large-scale particle simulations in a virtual-memory computer

    International Nuclear Information System (INIS)

    Gray, P.C.; Wagner, J.S.; Tajima, T.; Million, R.

    1982-08-01

    Virtual memory computers are capable of executing large-scale particle simulations even when the memory requirements exceed the computer core size. The required address space is automatically mapped onto slow disc memory by the operating system. When the simulation size is very large, frequent random accesses to slow memory occur during the charge accumulation and particle pushing processes. Accesses to slow memory significantly reduce the execution rate of the simulation. We demonstrate in this paper that with the proper choice of sorting algorithm, a nominal amount of sorting to keep physically adjacent particles near particles with neighboring array indices can reduce random access to slow memory, increase the efficiency of the I/O system, and hence, reduce the required computing time

  18. Hand Shape Affects Access to Memories

    NARCIS (Netherlands)

    K. Dijkstra (Katinka); M.P. Kaschak; R.A. Zwaan (Rolf)

    2008-01-01

    textabstractThe present study examined the ways that body posture facilitated retrieval of autobiographical memories in more detail by focusing on two aspects of congruence in position of a specific body part: hand shape and hand orientation. Hand shape is important in the tactile perception and

  19. SuperLU{_}DIST: A scalable distributed-memory sparse direct solver for unsymmetric linear systems

    Energy Technology Data Exchange (ETDEWEB)

    Li, Xiaoye S.; Demmel, James W.

    2002-03-27

    In this paper, we present the main algorithmic features in the software package SuperLU{_}DIST, a distributed-memory sparse direct solver for large sets of linear equations. We give in detail our parallelization strategies, with focus on scalability issues, and demonstrate the parallel performance and scalability on current machines. The solver is based on sparse Gaussian elimination, with an innovative static pivoting strategy proposed earlier by the authors. The main advantage of static pivoting over classical partial pivoting is that it permits a priori determination of data structures and communication pattern for sparse Gaussian elimination, which makes it more scalable on distributed memory machines. Based on this a priori knowledge, we designed highly parallel and scalable algorithms for both LU decomposition and triangular solve and we show that they are suitable for large-scale distributed memory machines.

  20. Transcranial direct current stimulation may modulate extinction memory in posttraumatic stress disorder

    OpenAIRE

    van?t Wout, Mascha; Longo, Sharon M.; Reddy, Madhavi K.; Philip, Noah S.; Bowker, Marguerite T.; Greenberg, Benjamin D.

    2017-01-01

    Abstract Background Abnormalities in fear extinction and recall are core components of posttraumatic stress disorder (PTSD). Data from animal and human studies point to a role of the ventromedial prefrontal cortex (vmPFC) in extinction learning and subsequent retention of extinction memories. Given the increasing interest in developing noninvasive brain stimulation protocols for psychopathology treatment, we piloted whether transcranial direct current stimulation (tDCS) during extinction lear...

  1. Direct access and patient/client self-referral to physiotherapy: a review of contemporary practice within the European Union.

    Science.gov (United States)

    Bury, T J; Stokes, E K

    2013-12-01

    Direct access refers to service users being able to refer themselves to physiotherapy without a third-party referral. It represents a model of practice supported globally by the profession, growing research evidence and health policy in some health systems. To the authors' knowledge, no research has been reported to ascertain the extent to which direct access is available within the physiotherapy profession within the European Union (EU). To survey member organisations of the World Confederation for Physical Therapy (WCPT); establish the number of member states within the EU where it is possible for individuals seeking physiotherapy services to self-refer; describe the legislative/regulatory and reimbursement contexts in which physiotherapy services are delivered; examine if physiotherapy practice is different in member states where direct access is permitted compared with member states where direct access is not permitted; and to describe the barriers and facilitators to direct access perceived by member organisations of the WCPT. Cross-sectional, online survey using a purposive sample. Member organisations of the WCPT in the EU. Direct access is not available in all member states of the EU, despite the majority having legislation to regulate the profession, and entry-level education programmes that produce graduates with the requisite competencies. Key barriers perceived are those that can influence policy development, including the views of the medical profession and politicians. Support of service users and politicians, as well as professional autonomy, are seen as key facilitators. These results represent the first report of a comprehensive mapping of direct access to physiotherapy and contexts within the EU. In over half of member states, service users can self-refer to physiotherapists. These results provide insights to further individuals' understanding about the similarities and differences in working practices and service delivery factors, such as

  2. An Extended Two-Phase Method for Accessing Sections of Out-of-Core Arrays

    Directory of Open Access Journals (Sweden)

    Rajeev Thakur

    1996-01-01

    Full Text Available A number of applications on parallel computers deal with very large data sets that cannot fit in main memory. In such applications, data must be stored in files on disks and fetched into memory during program execution. Parallel programs with large out-of-core arrays stored in files must read/write smaller sections of the arrays from/to files. In this article, we describe a method for accessing sections of out-of-core arrays efficiently. Our method, the extended two-phase method, uses collective l/O: Processors cooperate to combine several l/O requests into fewer larger granularity requests, to reorder requests so that the file is accessed in proper sequence, and to eliminate simultaneous l/O requests for the same data. In addition, the l/O workload is divided among processors dynamically, depending on the access requests. We present performance results obtained from two real out-of-core parallel applications – matrix multiplication and a Laplace's equation solver – and several synthetic access patterns, all on the Intel Touchstone Delta. These results indicate that the extended two-phase method significantly outperformed a direct (noncollective method for accessing out-of-core array sections.

  3. A role for central nervous growth hormone-releasing hormone signaling in the consolidation of declarative memories.

    Directory of Open Access Journals (Sweden)

    Manfred Hallschmid

    Full Text Available Contributions of somatotropic hormonal activity to memory functions in humans, which are suggested by clinical observations, have not been systematically examined. With previous experiments precluding a direct effect of systemic growth hormone (GH on acute memory formation, we assessed the role of central nervous somatotropic signaling in declarative memory consolidation. We examined the effect of intranasally administered growth hormone releasing-hormone (GHRH; 600 µg that has direct access to the brain and suppresses endogenous GHRH via an ultra-short negative feedback loop. Twelve healthy young men learned word-pair associates at 2030 h and were administered GHRH and placebo, respectively, at 2100 h. Retrieval was tested after 11 hours of wakefulness. Compared to placebo, intranasal GHRH blunted GH release within 3 hours after substance administration and reduced the number of correctly recalled word-pairs by ∼12% (both P<0.05. The impairment of declarative memory consolidation was directly correlated to diminished GH concentrations (P<0.05. Procedural memory consolidation as examined by the parallel assessment of finger sequence tapping performance was not affected by GHRH administration. Our findings indicate that intranasal GHRH, by counteracting endogenous GHRH release, impairs hippocampal memory processing. They provide first evidence for a critical contribution of central nervous somatotropic activity to hippocampus-dependent memory consolidation.

  4. Prioritizing Test Cases for Memory Leaks in Android Applications

    Institute of Scientific and Technical Information of China (English)

    Ju Qian; Di Zhou

    2016-01-01

    Mobile applications usually can only access limited amount of memory. Improper use of the memory can cause memory leaks, which may lead to performance slowdowns or even cause applications to be unexpectedly killed. Although a large body of research has been devoted into the memory leak diagnosing techniques after leaks have been discovered, it is still challenging to find out the memory leak phenomena at first. Testing is the most widely used technique for failure discovery. However, traditional testing techniques are not directed for the discovery of memory leaks. They may spend lots of time on testing unlikely leaking executions and therefore can be inefficient. To address the problem, we propose a novel approach to prioritize test cases according to their likelihood to cause memory leaks in a given test suite. It firstly builds a prediction model to determine whether each test can potentially lead to memory leaks based on machine learning on selected code features. Then, for each input test case, we partly run it to get its code features and predict its likelihood to cause leaks. The most suspicious test cases will be suggested to run at first in order to reveal memory leak faults as soon as possible. Experimental evaluation on several Android applications shows that our approach is effective.

  5. A Pilot Memory Café for People with Learning Disabilities and Memory Difficulties

    Science.gov (United States)

    Kiddle, Hannah; Drew, Neil; Crabbe, Paul; Wigmore, Jonathan

    2016-01-01

    Memory cafés have been found to normalise experiences of dementia and provide access to an accepting social network. People with learning disabilities are at increased risk of developing dementia, but the possible benefits of attending a memory café are not known. This study evaluates a 12-week pilot memory café for people with learning…

  6. System and method for programmable bank selection for banked memory subsystems

    Energy Technology Data Exchange (ETDEWEB)

    Blumrich, Matthias A. (Ridgefield, CT); Chen, Dong (Croton on Hudson, NY); Gara, Alan G. (Mount Kisco, NY); Giampapa, Mark E. (Irvington, NY); Hoenicke, Dirk (Seebruck-Seeon, DE); Ohmacht, Martin (Yorktown Heights, NY); Salapura, Valentina (Chappaqua, NY); Sugavanam, Krishnan (Mahopac, NY)

    2010-09-07

    A programmable memory system and method for enabling one or more processor devices access to shared memory in a computing environment, the shared memory including one or more memory storage structures having addressable locations for storing data. The system comprises: one or more first logic devices associated with a respective one or more processor devices, each first logic device for receiving physical memory address signals and programmable for generating a respective memory storage structure select signal upon receipt of pre-determined address bit values at selected physical memory address bit locations; and, a second logic device responsive to each of the respective select signal for generating an address signal used for selecting a memory storage structure for processor access. The system thus enables each processor device of a computing environment memory storage access distributed across the one or more memory storage structures.

  7. The Development of Memory Efficiency and Value-Directed Remembering across the Life Span: A Cross-Sectional Study of Memory and Selectivity

    Science.gov (United States)

    Castel, Alan D.; Humphreys, Kathryn L.; Lee, Steve S.; Galvan, Adriana; Balota, David A.; McCabe, David P.

    2011-01-01

    Although attentional control and memory change considerably across the life span, no research has examined how the ability to strategically remember important information (i.e., value-directed remembering) changes from childhood to old age. The present study examined this in different age groups across the life span (N = 320, 5-96 years old). A…

  8. Attention, working memory, and phenomenal experience of WM content: memory levels determined by different types of top-down modulation

    OpenAIRE

    Jacob, Jane; Jacobs, Christianne; Silvanto, Juha

    2015-01-01

    What is the role of top-down attentional modulation in consciously accessing working memory (WM) content? In influential WM models, information can exist in different states, determined by allocation of attention; placing the original memory representation in the center of focused attention gives rise to conscious access. Here we discuss various lines of evidence indicating that such attentional modulation is not sufficient for memory content to be phenomenally experienced. We propose that, i...

  9. Attention, working memory, and phenomenal experience of WM content: memory levels determined by different types of top-down modulation.

    Science.gov (United States)

    Jacob, Jane; Jacobs, Christianne; Silvanto, Juha

    2015-01-01

    What is the role of top-down attentional modulation in consciously accessing working memory (WM) content? In influential WM models, information can exist in different states, determined by allocation of attention; placing the original memory representation in the center of focused attention gives rise to conscious access. Here we discuss various lines of evidence indicating that such attentional modulation is not sufficient for memory content to be phenomenally experienced. We propose that, in addition to attentional modulation of the memory representation, another type of top-down modulation is required: suppression of all incoming visual information, via inhibition of early visual cortex. In this view, there are three distinct memory levels, as a function of the top-down control associated with them: (1) Nonattended, nonconscious associated with no attentional modulation; (2) attended, phenomenally nonconscious memory, associated with attentional enhancement of the actual memory trace; (3) attended, phenomenally conscious memory content, associated with enhancement of the memory trace and top-down suppression of all incoming visual input.

  10. Transparent Memory For Harsh Electronics

    KAUST Repository

    Ho, C. H.; Duran Retamal, Jose Ramon; Yang, P. K.; Lee, C. P.; Tsai, M. L.; Kang, C. F.; He, Jr-Hau

    2017-01-01

    As a new class of non-volatile memory, resistive random access memory (RRAM) offers not only superior electronic characteristics, but also advanced functionalities, such as transparency and radiation hardness. However, the environmental tolerance

  11. "Forget to whom you have told this proverb": directed forgetting of destination memory in Alzheimer's disease.

    Science.gov (United States)

    El Haj, Mohamad; Gandolphe, Marie-Charlotte; Allain, Philippe; Fasotti, Luciano; Antoine, Pascal

    2015-01-01

    Destination memory is the ability to remember the receiver of transmitted information. By means of a destination memory directed forgetting task, we investigated whether participants with Alzheimer's Disease (AD) were able to suppress irrelevant information in destination memory. Twenty-six AD participants and 30 healthy elderly subjects were asked to tell 10 different proverbs to 10 different celebrities (List 1). Afterwards, half of the participants were instructed to forget the destinations (i.e., the celebrities) whereas the other half were asked to keep them in mind. After telling 10 other proverbs to 10 other celebrities (List 2), participants were asked to read numbers aloud. Subsequently, all the participants were asked to remember the destinations of List 1 and List 2, regardless of the forget or remember instructions. The results show similar destination memory in AD participants who were asked to forget the destinations of List 1 and those who were asked to retain them. These findings are attributed to inhibitory deficits, by which AD participants have difficulties to suppress irrelevant information in destination memory.

  12. Minimum BER Receiver Filters with Block Memory for Uplink DS-CDMA Systems

    Directory of Open Access Journals (Sweden)

    Debbah Mérouane

    2008-01-01

    Full Text Available Abstract The problem of synchronous multiuser receiver design in the case of direct-sequence single-antenna code division multiple access (DS-CDMA uplink networks is studied over frequency selective fading channels. An exact expression for the bit error rate (BER is derived in the case of BPSK signaling. Moreover, an algorithm is proposed for finding the finite impulse response (FIR receiver filters with block memory such that the exact BER of the active users is minimized. Several properties of the minimum BER FIR filters with block memory are identified. The algorithm performance is found for scenarios with different channel qualities, spreading code lengths, receiver block memory size, near-far effects, and channel mismatch. For the BPSK constellation, the proposed FIR receiver structure with block memory has significant better BER with respect to and near-far resistance than the corresponding minimum mean square error (MMSE filters with block memory.

  13. NUMA obliviousness through memory mapping

    NARCIS (Netherlands)

    M.M. Gawade (Mrunal); M.L. Kersten (Martin)

    2015-01-01

    htmlabstractWith the rise of multi-socket multi-core CPUs a lot of effort is being put into how to best exploit their abundant CPU power. In a shared memory setting the multi-socket CPUs are equipped with their own memory module, and access memory modules across sockets in a non-uniform

  14. How intention and monitoring your thoughts influence characteristics of autobiographical memories.

    Science.gov (United States)

    Barzykowski, Krystian; Staugaard, Søren Risløv

    2018-05-01

    Involuntary autobiographical memories come to mind effortlessly and unintended, but the mechanisms of their retrieval are not fully understood. We hypothesize that involuntary retrieval depends on memories that are highly accessible (e.g., intense, unusual, recent, rehearsed), while the elaborate search that characterizes voluntary retrieval also produces memories that are mundane, repeated or distant - memories with low accessibility. Previous research provides some evidence for this 'threshold hypothesis'. However, in almost every prior study, participants have been instructed to report only memories while ignoring other thoughts. It is possible that such an instruction can modify the phenomenological characteristics of involuntary memories. This study aimed to investigate the effects of retrieval intentionality (i.e., wanting to retrieve a memory) and selective monitoring (i.e., instructions to report only memories) on the phenomenology of autobiographical memories. Participants were instructed to (1) intentionally retrieve autobiographical memories, (2) intentionally retrieve any type of thought (3) wait for an autobiographical memory to spontaneously appear, or (4) wait for any type of thought to spontaneously appear. They rated the mental content on a number of phenomenological characteristics both during retrieval and retrospectively following retrieval. The results support the prediction that highly accessible memories mostly enter awareness unintended and without selective monitoring, while memories with low accessibility rely on intention and selective monitoring. We discuss the implications of these effects. © 2017 The British Psychological Society.

  15. Modified Cooperative Access with Relay’s Data (MCARD based Directional Antenna for multi-rate WLANs

    Directory of Open Access Journals (Sweden)

    Ahmed Magdy

    2014-03-01

    Full Text Available In this paper, for multi-rate wireless local area networks (WLANs, a modified protocol in Medium Access Control (MAC, called Modified Cooperative Access with Relay’s Data (MCARD based Directional Antenna using half wave length dipole in Uniform Circular Array (UCA topology is proposed. MCARD gives remote stations chance to send their information by using intermediate stations (relays to Access Point (AP at a higher data rate based practical antenna. As can be seen under MCARD, a relay station transmits its information before forwarding information from the source station because it uses directional antenna. Analytical results and simulations show that MCARD can significantly improve system quality of service (QOS in terms of throughput under different channel conditions.

  16. No evidence for enhancements to visual working memory with transcranial direct current stimulation to prefrontal or posterior parietal cortices.

    Science.gov (United States)

    Robison, Matthew K; McGuirk, William P; Unsworth, Nash

    2017-08-01

    The present study examined the relative contributions of the prefrontal cortex (PFC) and posterior parietal cortex (PPC) to visual working memory. Evidence from a number of different techniques has led to the theory that the PFC controls access to working memory (i.e., filtering), determining which information is encoded and maintained for later use whereas the parietal cortex determines how much information is held at 1 given time, regardless of relevance (i.e., capacity; McNab & Klingberg, 2008; Vogel, McCollough, & Machizawa, 2005). To test this theory, we delivered transcranial DC stimulation (tDCS) to the right PFC and right PPC and measured visual working memory capacity and filtering abilities both during and immediately following stimulation. We observed no evidence that tDCS to either the PFC or PPC significantly improved visual working memory. Although the present results did not allow us to make firm theoretical conclusions about the roles of the PFC and PPC in working memory, the results add to the growing body of literature surrounding tDCS and its associated behavioral and neurophysiological effects. (PsycINFO Database Record (c) 2017 APA, all rights reserved).

  17. Retrieval Practice Enhances the Accessibility but not the Quality of Memory

    OpenAIRE

    Sutterer, David W.; Awh, Edward

    2016-01-01

    Numerous studies have demonstrated that retrieval from long term memory (LTM) can enhance subsequent memory performance, a phenomenon labeled the retrieval practice effect. However, the almost exclusive reliance on categorical stimuli in this literature leaves open a basic question about the nature of this improvement in memory performance. It has not yet been determined whether retrieval practice improves the probability of successful memory retrieval or the quality of the retrieved represen...

  18. [Episodic autobiographical memory in depression: a review].

    Science.gov (United States)

    Lemogne, C; Piolino, P; Jouvent, R; Allilaire, J-F; Fossati, P

    2006-10-01

    avoidance of intrusive memories; 3) quite stable over time, ie, remaining after remission; and 4) related to short-term prognosis in depression. Although it is not clear whether overgenerality is a cause or an effect of depression, there is some evidence to suggest that overgenerality is a trait marker indicating vulnerability to persistent depression. Mood-congruent effect, a well-known effect in depression, has been addressed in both autobio-graphical and non-autobiographical memory. Depressed patients spontaneously recall more negative than positive memories. With the AMT, depressed patients take longer to respond to positive than to negative cues, whereas controls do the opposite. Depression is also associated with a high occurrence of spontaneous intrusive memories of stressful life events. Studies found intrusions and related avoidance, as measured by the Impact of Event Scale, to be positively correlated with overgenerality, whereas there was no direct link between performance on the Autobiographical Memory Test and stressful life events per se. Both Williams' mnemonic interlock model and Conway's self-memory system are useful models to address the complexity of findings regarding autobiographical memory and depression. According to Williams, repeated avoidance of stressful memories leads depressed patients to have an autobiographical memory functioning characterized by iterative retrievals of categorical overgeneral memories, producing an enduring overgeneral retrieval style. According to Conway, the recollection of autobiographical memories requires a retrieval process that provides access to sensory/perceptual event-specific knowledge (ie perceptions and feelings) via a personal semantic knowledge base (ie lifetime periods and generic events). This retrieval process (generative retrieval mode) relies on both executive functioning and current self-view, namely the working-self. Spontaneous memories, usually vivid, result from a direct retrieval mode in which event

  19. Directional Medium Access Control (MAC Protocols in Wireless Ad Hoc and Sensor Networks: A Survey

    Directory of Open Access Journals (Sweden)

    David Tung Chong Wong

    2015-06-01

    Full Text Available This survey paper presents the state-of-the-art directional medium access control (MAC protocols in wireless ad hoc and sensor networks (WAHSNs. The key benefits of directional antennas over omni-directional antennas are longer communication range, less multipath interference, more spatial reuse, more secure communications, higher throughput and reduced latency. However, directional antennas lead to single-/multi-channel directional hidden/exposed terminals, deafness and neighborhood, head-of-line blocking, and MAC-layer capture which need to be overcome. Addressing these problems and benefits for directional antennas to MAC protocols leads to many classes of directional MAC protocols in WAHSNs. These classes of directional MAC protocols presented in this survey paper include single-channel, multi-channel, cooperative and cognitive directional MACs. Single-channel directional MAC protocols can be classified as contention-based or non-contention-based or hybrid-based, while multi-channel directional MAC protocols commonly use a common control channel for control packets/tones and one or more data channels for directional data transmissions. Cooperative directional MAC protocols improve throughput in WAHSNs via directional multi-rate/single-relay/multiple-relay/two frequency channels/polarization, while cognitive directional MAC protocols leverage on conventional directional MAC protocols with new twists to address dynamic spectrum access. All of these directional MAC protocols are the pillars for the design of future directional MAC protocols in WAHSNs.

  20. Enhanced Working Memory Binding by Direct Electrical Stimulation of the Parietal Cortex

    Directory of Open Access Journals (Sweden)

    Agustina Birba

    2017-06-01

    Full Text Available Recent works evince the critical role of visual short-term memory (STM binding deficits as a clinical and preclinical marker of Alzheimer’s disease (AD. These studies suggest a potential role of posterior brain regions in both the neurocognitive deficits of Alzheimer’s patients and STM binding in general. Thereupon, we surmised that stimulation of the posterior parietal cortex (PPC might be a successful approach to tackle working memory deficits in this condition, especially at early stages. To date, no causal evidence exists of the role of the parietal cortex in STM binding. A unique approach to assess this issue is afforded by single-subject direct intracranial electrical stimulation of specific brain regions during a relevant cognitive task. Electrical stimulation has been used both for clinical purposes and to causally probe brain mechanisms. Previous evidence of electrical currents spreading through white matter along well defined functional circuits indicates that visual working memory mechanisms are subserved by a specific widely distributed network. Here, we stimulated the parietal cortex of a subject with intracranial electrodes as he performed the visual STM task. We compared the ensuing results to those from a non-stimulated condition and to the performance of a matched control group. In brief, direct stimulation of the parietal cortex induced a selective improvement in STM. These results, together with previous studies, provide very preliminary but promising ground to examine behavioral changes upon parietal stimulation in AD. We discuss our results regarding: (a the usefulness of the task to target prodromal stages of AD; (b the role of a posterior network in STM binding and in AD; and (c the potential opportunity to improve STM binding through brain stimulation.

  1. The Effectiveness of Transcranial Direct Current Stimulation (tDCS on Working Memory in Patients with Major Depression

    Directory of Open Access Journals (Sweden)

    Mahboube Ebadi

    2017-08-01

    Full Text Available Abstract Background: The aim of this study was to evaluate the effectiveness of of transcranial direct current stimulation (tDCS on working memory in patients with major depression. Materials and Methods: The research method was quasi-experimental with pretest and post-test and follow-up with control group. The research population comprised female outpatient referrals to private psychiatric centers and psychological counseling centers in Tehran in the first half of 2016, They had received a diagnosis of depression by a psychiatrist at least once. Of these, 30 females were selected as a sample group with convenience sampling method and based on the criteria of inclusion and exclusion and were divided randomly into two groups , experimental (n = 15 and control (n = 15 group. The experimental group received transcranial direct current stimulation (tDCS in 10 sessions, While this intervention was not provided to the control group. The data were collected by N-BACK. Analysis of variance with repeated measurments was used to test the research hypothesis. Results: The results showed that transcranial direct current stimulation (tDCS had a significant effect on increasing working memory and the impact will continue to follow up. Conclusion: Therefore, this approach can be used to improve working memory in people with major depression.

  2. SCM-BP: An Intelligent Buffer Management Mechanism for Database in Storage Class Memory

    OpenAIRE

    Tavares, Júlio A.; Filho, José de Aguiar Moraes; Brayner, Angelo; Lustosa, Eduardo

    2013-01-01

    A set of new storage media, called Storage Class Memory (SCM), has emerged as a quite promising solution to decrease the difference between HDD data access time and the time that processors can consume data. Four main characteristics may be highlighted in SCM: (i) non-volatility; (ii) low access time; (iii) high rates of IOPS, and  (iv) read/write execution time asymmetry. The former three have a direct benefit for database systems. Notwithstanding, the latter one poses challenges for databas...

  3. Memory device sensitivity trends in aircraft's environment

    International Nuclear Information System (INIS)

    Bouchet, T.; Fourtine, S.; Calvet, M.C.

    1999-01-01

    The authors present the SEU (single event upset) sensitivity of 31 SRAM (static random access memory) and 8 DRAM (dynamic random access memory) according to their technologies. 2 methods have been used to compute the SEU rate: the NCS (neutron cross section) method and the BGR (burst generation rate) method, the physics data required by both methods have been either found in scientific literature or directly measured. The use of new technologies implies a quicker time response through a dramatic reduction of chip size and of the amount of energy representing 1 bit. The reduction of size makes less particles are likely to interact with the chip but the reduction of the critical charge implies that these interactions are more likely to damage the chip. The SEU sensitivity is then parted between these 2 opposed trends. Results show that for technologies beyond 0,18 μm these 2 trends balance roughly. Nevertheless the feedback experience shows that the number of errors is increasing. This is due to the fact that avionics requires more and more memory to perform numerical functions, the number of bits is increasing so is the risk of errors. As far as SEU is concerned, RAM devices are less and less sensitive comparatively for 1 bit, and DRAM seem to be less sensitive than SRAM. (A.C.)

  4. Neural Correlates of Conceptual Implicit Memory and Their Contamination of Putative Neural Correlates of Explicit Memory

    Science.gov (United States)

    Voss, Joel L.; Paller, Ken A.

    2007-01-01

    During episodic recognition tests, meaningful stimuli such as words can engender both conscious retrieval (explicit memory) and facilitated access to meaning that is distinct from the awareness of remembering (conceptual implicit memory). Neuroimaging investigations of one type of memory are frequently subject to the confounding influence of the…

  5. Computational complexity and memory usage for multi-frontal direct solvers used in p finite element analysis

    KAUST Repository

    Calo, Victor M.; Collier, Nathan; Pardo, David; Paszyński, Maciej R.

    2011-01-01

    The multi-frontal direct solver is the state of the art for the direct solution of linear systems. This paper provides computational complexity and memory usage estimates for the application of the multi-frontal direct solver algorithm on linear systems resulting from p finite elements. Specifically we provide the estimates for systems resulting from C0 polynomial spaces spanned by B-splines. The structured grid and uniform polynomial order used in isogeometric meshes simplifies the analysis.

  6. Computational complexity and memory usage for multi-frontal direct solvers used in p finite element analysis

    KAUST Repository

    Calo, Victor M.

    2011-05-14

    The multi-frontal direct solver is the state of the art for the direct solution of linear systems. This paper provides computational complexity and memory usage estimates for the application of the multi-frontal direct solver algorithm on linear systems resulting from p finite elements. Specifically we provide the estimates for systems resulting from C0 polynomial spaces spanned by B-splines. The structured grid and uniform polynomial order used in isogeometric meshes simplifies the analysis.

  7. Effects of psychosocial stress on the goal-directed and habit memory systems during learning and later execution.

    Science.gov (United States)

    Fournier, Marion; d'Arripe-Longueville, Fabienne; Radel, Rémi

    2017-03-01

    Instrumental learning occurs through both goal-directed and habit memory systems, which are supported by anatomically distinct brain systems. Interestingly, stress may promote habits at the expense of goal-directed performance, since stress before training in an instrumental task was found to cause individuals to carry on with the learned association in spite of a devalued outcome. These findings nevertheless left pending questions, and it has been difficult to determine which system is primarily affected by stress (an improved habit system, an impaired goal-directed system, or both) and at what point the stress acts (at the moment of learning by making more resistant habits, or after devaluation by making individuals less sensitive to change in the outcome value). The present study (N=72 participants, 63 males and 9 females) aimed to answer these questions with (i) an instrumental task that dissociates the two memory systems and (ii) three conditions of psychosocial stress exposure (Trier Social Stress Test): stress induced before learning, before devaluation, and not induced for the control group. The study confirms that exposure to psychosocial stress leads to habitual performance. Moreover, it provides new insight into this effect by locating its origin as an impairment in the capacity of the goal-directed system rather than a reinforcement in habit learning. These results are discussed in light of recent neurobiological models of stress and memory. Copyright © 2016 Elsevier Ltd. All rights reserved.

  8. Nanographene charge trapping memory with a large memory window

    International Nuclear Information System (INIS)

    Meng, Jianling; Yang, Rong; Zhao, Jing; He, Congli; Wang, Guole; Shi, Dongxia; Zhang, Guangyu

    2015-01-01

    Nanographene is a promising alternative to metal nanoparticles or semiconductor nanocrystals for charge trapping memory. In general, a high density of nanographene is required in order to achieve high charge trapping capacity. Here, we demonstrate a strategy of fabrication for a high density of nanographene for charge trapping memory with a large memory window. The fabrication includes two steps: (1) direct growth of continuous nanographene film; and (2) isolation of the as-grown film into high-density nanographene by plasma etching. Compared with directly grown isolated nanographene islands, abundant defects and edges are formed in nanographene under argon or oxygen plasma etching, i.e. more isolated nanographene islands are obtained, which provides more charge trapping sites. As-fabricated nanographene charge trapping memory shows outstanding memory properties with a memory window as wide as ∼9 V at a relative low sweep voltage of ±8 V, program/erase speed of ∼1 ms and robust endurance of >1000 cycles. The high-density nanographene charge trapping memory provides an outstanding alternative for downscaling technology beyond the current flash memory. (paper)

  9. Stable switching of resistive random access memory on the nanotip array electrodes

    KAUST Repository

    Tsai, Kun-Tong

    2016-09-13

    The formation/rupture of conducting filaments (CFs) in resistive random access memory (ReRAM) materials tune the electrical conductivities non-volatilely and are largely affected by its material composition [1], internal configurations [2] and external environments [3,4]. Therefore, controlling repetitive formation/rupture of CF as well as the spatial uniformity of formed CF are fundamentally important for improving the resistive switching (RS) performance. In this context, we have shown that by adding a field initiator, typically a textured electrode, both performance and switching uniformity of ReRAMs can be improved dramatically [5]. In addition, despite its promising characteristics, the scalable fabrication and structural homogeneity of such nanostructured electrodes are still lacking or unattainable, making miniaturization of ReRAM devices an exceeding challenge. Here, we employ nanostructured electrode (nanotip arrays, extremely uniform) formed spontaneously via a self-organized process to improve the ZnO ReRAM switching characteristics.

  10. Metal oxide resistive random access memory based synaptic devices for brain-inspired computing

    Science.gov (United States)

    Gao, Bin; Kang, Jinfeng; Zhou, Zheng; Chen, Zhe; Huang, Peng; Liu, Lifeng; Liu, Xiaoyan

    2016-04-01

    The traditional Boolean computing paradigm based on the von Neumann architecture is facing great challenges for future information technology applications such as big data, the Internet of Things (IoT), and wearable devices, due to the limited processing capability issues such as binary data storage and computing, non-parallel data processing, and the buses requirement between memory units and logic units. The brain-inspired neuromorphic computing paradigm is believed to be one of the promising solutions for realizing more complex functions with a lower cost. To perform such brain-inspired computing with a low cost and low power consumption, novel devices for use as electronic synapses are needed. Metal oxide resistive random access memory (ReRAM) devices have emerged as the leading candidate for electronic synapses. This paper comprehensively addresses the recent work on the design and optimization of metal oxide ReRAM-based synaptic devices. A performance enhancement methodology and optimized operation scheme to achieve analog resistive switching and low-energy training behavior are provided. A three-dimensional vertical synapse network architecture is proposed for high-density integration and low-cost fabrication. The impacts of the ReRAM synaptic device features on the performances of neuromorphic systems are also discussed on the basis of a constructed neuromorphic visual system with a pattern recognition function. Possible solutions to achieve the high recognition accuracy and efficiency of neuromorphic systems are presented.

  11. Social working memory: Neurocognitive networks and directions for future research

    Directory of Open Access Journals (Sweden)

    Meghan L Meyer

    2012-12-01

    Full Text Available Navigating the social world requires the ability to maintain and manipulate information about people’s beliefs, traits, and mental states. We characterize this capacity as social working memory. To date, very little research has explored this phenomenon, in part because of the assumption that general working memory systems would support working memory for social information. Various lines of research, however, suggest that social cognitive processing relies on a neurocognitive network (i.e., the ‘mentalizing network’ that is functionally distinct from, and considered antagonistic with, the canonical working memory network. Here, we review evidence suggesting that demanding social cognition requires social working memory and that both the mentalizing and canonical working memory neurocognitive networks support social working memory. The neural data run counter to the common finding of parametric decreases in mentalizing regions as a function of working memory demand and suggest that the mentalizing network can support demanding cognition, when it is demanding social cognition. Implications for individual differences in social cognition and pathologies of social cognition are discussed.

  12. Integrating across Episodes: Investigating the Long-term Accessibility of Self-derived Knowledge in 4-Year-Old Children

    Science.gov (United States)

    Varga, Nicole L.; Stewart, Rebekah A.; Bauer, Patricia J.

    2016-01-01

    Semantic memory, defined as our store of knowledge about the world, provides representational support for all of our higher order cognitive functions. As such, it is crucial that the contents of semantic memory remain accessible over time. Although memory for knowledge learned through direct observation has been previously investigated, we know very little about the retention of knowledge derived through integration of information acquired across separate learning episodes. The present research investigated cross-episode integration in 4-year-old children. Participants were presented with novel facts via distinct story episodes and tested for knowledge extension through cross-episode integration, as well as for retention of the information over a 1-week delay. In Experiment 1, children retained the self-derived knowledge over the delay, though performance was primarily evidenced in a forced-choice format. In Experiment 2, we sought to facilitate the accessibility and robustness of self-derived knowledge by providing a verbal reminder after the delay. The accessibility of self-derived knowledge increased, irrespective of whether participants successfully demonstrated knowledge of the integration facts during the first visit. The results suggest knowledge extended through integration remains accessible after delays, even in a population in which this learning process is less robust. The findings also demonstrate the facilitative effect of reminders on the accessibility and further extension of knowledge over extended time periods. PMID:26774259

  13. Minimum BER Receiver Filters with Block Memory for Uplink DS-CDMA Systems

    Directory of Open Access Journals (Sweden)

    Mérouane Debbah

    2008-05-01

    Full Text Available The problem of synchronous multiuser receiver design in the case of direct-sequence single-antenna code division multiple access (DS-CDMA uplink networks is studied over frequency selective fading channels. An exact expression for the bit error rate (BER is derived in the case of BPSK signaling. Moreover, an algorithm is proposed for finding the finite impulse response (FIR receiver filters with block memory such that the exact BER of the active users is minimized. Several properties of the minimum BER FIR filters with block memory are identified. The algorithm performance is found for scenarios with different channel qualities, spreading code lengths, receiver block memory size, near-far effects, and channel mismatch. For the BPSK constellation, the proposed FIR receiver structure with block memory has significant better BER with respect to Eb/N0 and near-far resistance than the corresponding minimum mean square error (MMSE filters with block memory.

  14. Executive control of stimulus-driven and goal-directed attention in visual working memory.

    Science.gov (United States)

    Hu, Yanmei; Allen, Richard J; Baddeley, Alan D; Hitch, Graham J

    2016-10-01

    We examined the role of executive control in stimulus-driven and goal-directed attention in visual working memory using probed recall of a series of objects, a task that allows study of the dynamics of storage through analysis of serial position data. Experiment 1 examined whether executive control underlies goal-directed prioritization of certain items within the sequence. Instructing participants to prioritize either the first or final item resulted in improved recall for these items, and an increase in concurrent task difficulty reduced or abolished these gains, consistent with their dependence on executive control. Experiment 2 examined whether executive control is also involved in the disruption caused by a post-series visual distractor (suffix). A demanding concurrent task disrupted memory for all items except the most recent, whereas a suffix disrupted only the most recent items. There was no interaction when concurrent load and suffix were combined, suggesting that deploying selective attention to ignore the distractor did not draw upon executive resources. A final experiment replicated the independent interfering effects of suffix and concurrent load while ruling out possible artifacts. We discuss the results in terms of a domain-general episodic buffer in which information is retained in a transient, limited capacity privileged state, influenced by both stimulus-driven and goal-directed processes. The privileged state contains the most recent environmental input together with goal-relevant representations being actively maintained using executive resources.

  15. The Role of Memory Traces Quality in Directed Forgetting: A Comparison of Young and Older Participants

    Directory of Open Access Journals (Sweden)

    Fabienne Collette

    2014-06-01

    Full Text Available A reduced directed-forgetting (DF effect in normal aging has frequently been observed with the item method. These results were interpreted as age-related difficulties in inhibiting the processing of irrelevant information. However, since the performance of older adults is usually lower on items to remember, the age effect on DF abilities could also be interpreted as reflecting memory problems. Consequently, the present study aimed at investigating the influence of memory traces quality on the magnitude of the DF effects in normal aging. We predicted that increasing the quality of memory traces (by increasing presentation times at encoding would be associated with attenuated DF effects in older participants due to the increased difficulty of inhibiting highly activated memory traces. A classical item-method DF paradigm was administered to 48 young and 48 older participants under short and long encoding conditions. Memory performance for information to memorize and to suppress was assessed with recall and recognition procedures, as well as with a Remember/Know/Guess (RKG paradigm. The results indicated that, when memory traces are equated between groups, DF effects observed with the recall, recognition and RKG procedures are of similar amplitude in both groups (all ps>0.05. This suggests that the decreased DF effect previously observed in older adults might not actually depend on their inhibitory abilities but may rather reflect quantitative and qualitative differences in episodic memory functioning.

  16. Hippocampal declarative memory supports gesture production: Evidence from amnesia.

    Science.gov (United States)

    Hilverman, Caitlin; Cook, Susan Wagner; Duff, Melissa C

    2016-12-01

    Spontaneous co-speech hand gestures provide a visuospatial representation of what is being communicated in spoken language. Although it is clear that gestures emerge from representations in memory for what is being communicated (De Ruiter, 1998; Wesp, Hesse, Keutmann, & Wheaton, 2001), the mechanism supporting the relationship between gesture and memory is unknown. Current theories of gesture production posit that action - supported by motor areas of the brain - is key in determining whether gestures are produced. We propose that when and how gestures are produced is determined in part by hippocampally-mediated declarative memory. We examined the speech and gesture of healthy older adults and of memory-impaired patients with hippocampal amnesia during four discourse tasks that required accessing episodes and information from the remote past. Consistent with previous reports of impoverished spoken language in patients with hippocampal amnesia, we predicted that these patients, who have difficulty generating multifaceted declarative memory representations, may in turn have impoverished gesture production. We found that patients gestured less overall relative to healthy comparison participants, and that this was particularly evident in tasks that may rely more heavily on declarative memory. Thus, gestures do not just emerge from the motor representation activated for speaking, but are also sensitive to the representation available in hippocampal declarative memory, suggesting a direct link between memory and gesture production. Copyright © 2016 Elsevier Ltd. All rights reserved.

  17. Massive parallel optical pattern recognition and retrieval via a two-stage high-capacity multichannel holographic random access memory system

    International Nuclear Information System (INIS)

    Cai, Luzhong; Liu, Hua-Kuang

    2000-01-01

    The multistage holographic optical random access memory (HORAM) system reported recently by Liu et al. provides a new degree of freedom for improving storage capacity. We further present a theoretical and practical analysis of the HORAM system with experimental results. Our discussions include the system design and geometrical requirements, its applications for multichannel pattern recognition and associative memory, the 2-D and 3-D information storage capacity, and multichannel image storage and retrieval via VanderLugt correlator (VLC) filters and joint transform holograms. A series of experiments are performed to demonstrate the feasibility of the multichannel pattern recognition and image retrieval with both the VLC and joint transform correlator (JTC) architectures. The experimental results with as many as 2025 channels show good agreement with the theoretical analysis. (c) 2000 Society of Photo-Optical Instrumentation Engineers

  18. “Forget to Whom You Have Told This Proverb”: Directed Forgetting of Destination Memory in Alzheimer's Disease

    Science.gov (United States)

    El Haj, Mohamad; Gandolphe, Marie-Charlotte; Allain, Philippe; Fasotti, Luciano; Antoine, Pascal

    2015-01-01

    Destination memory is the ability to remember the receiver of transmitted information. By means of a destination memory directed forgetting task, we investigated whether participants with Alzheimer's Disease (AD) were able to suppress irrelevant information in destination memory. Twenty-six AD participants and 30 healthy elderly subjects were asked to tell 10 different proverbs to 10 different celebrities (List 1). Afterwards, half of the participants were instructed to forget the destinations (i.e., the celebrities) whereas the other half were asked to keep them in mind. After telling 10 other proverbs to 10 other celebrities (List 2), participants were asked to read numbers aloud. Subsequently, all the participants were asked to remember the destinations of List 1 and List 2, regardless of the forget or remember instructions. The results show similar destination memory in AD participants who were asked to forget the destinations of List 1 and those who were asked to retain them. These findings are attributed to inhibitory deficits, by which AD participants have difficulties to suppress irrelevant information in destination memory. PMID:25918456

  19. Software Prefetching for Indirect Memory Accesses

    OpenAIRE

    Ainsworth, Sam; Jones, Timothy

    2017-01-01

    Many modern data processing and HPC workloads are heavily memory-latency bound. A tempting proposition to solve this is software prefetching, where special non-blocking loads are used to bring data into the cache hierarchy just before being required. However, these are difficult to insert to effectively improve performance, and techniques for automatic insertion are currently limited. This paper develops a novel compiler pass to automatically generate software prefetches for indirect mem...

  20. Retrieval practice enhances the accessibility but not the quality of memory.

    Science.gov (United States)

    Sutterer, David W; Awh, Edward

    2016-06-01

    Numerous studies have demonstrated that retrieval from long-term memory (LTM) can enhance subsequent memory performance, a phenomenon labeled the retrieval practice effect. However, the almost exclusive reliance on categorical stimuli in this literature leaves open a basic question about the nature of this improvement in memory performance. It has not yet been determined whether retrieval practice improves the probability of successful memory retrieval or the quality of the retrieved representation. To answer this question, we conducted three experiments using a mixture modeling approach (Zhang & Luck, 2008) that provides a measure of both the probability of recall and the quality of the recalled memories. Subjects attempted to memorize the color of 400 unique shapes. After every 10 images were presented, subjects either recalled the last 10 colors (the retrieval practice condition) by clicking on a color wheel with each shape as a retrieval cue or they participated in a control condition that involved no further presentations (Experiment 1) or restudy of the 10 shape/color associations (Experiments 2 and 3). Performance in a subsequent delayed recall test revealed a robust retrieval practice effect. Subjects recalled a significantly higher proportion of items that they had previously retrieved relative to items that were untested or that they had restudied. Interestingly, retrieval practice did not elicit any improvement in the precision of the retrieved memories. The same empirical pattern also was observed following delays of greater than 24 hours. Thus, retrieval practice increases the probability of successful memory retrieval but does not improve memory quality.

  1. Decoupled Access-Execute on ARM big.LITTLE

    OpenAIRE

    Weber, Anton

    2016-01-01

    Decoupled Access-Execute (DAE) presents a novel approach to improve power efficiency with a combination of compile-time transformations and Dynamic Voltage Frequency Scaling (DVFS). DAE splits regions of the program into two distinct phases: a memory-bound access phase and a compute-bound execute phase. DVFS is used to run the phases at different frequencies, thus conserving energy while caching data from main memory and performing computations at maximum performance. This project analyses th...

  2. Application of phase-change materials in memory taxonomy.

    Science.gov (United States)

    Wang, Lei; Tu, Liang; Wen, Jing

    2017-01-01

    Phase-change materials are suitable for data storage because they exhibit reversible transitions between crystalline and amorphous states that have distinguishable electrical and optical properties. Consequently, these materials find applications in diverse memory devices ranging from conventional optical discs to emerging nanophotonic devices. Current research efforts are mostly devoted to phase-change random access memory, whereas the applications of phase-change materials in other types of memory devices are rarely reported. Here we review the physical principles of phase-change materials and devices aiming to help researchers understand the concept of phase-change memory. We classify phase-change memory devices into phase-change optical disc, phase-change scanning probe memory, phase-change random access memory, and phase-change nanophotonic device, according to their locations in memory hierarchy. For each device type we discuss the physical principles in conjunction with merits and weakness for data storage applications. We also outline state-of-the-art technologies and future prospects.

  3. No effect of transcranial direct current stimulation of the dorsolateral prefrontal cortex on short-term memory.

    Science.gov (United States)

    Wang, Jing; Wen, Jian-Bing; Li, Xiao-Li

    2018-01-01

    Short-term memory refers to the capacity for holding information in mind for a short period of time with conscious memorization. It is an important ability for daily life and is impaired in several neurological and psychiatric disorders. Anodal transcranial direct current stimulation (tDCS) applied to the dorsolateral prefrontal cortex (DLPFC) was reported to enhance the capability of short-term memory in healthy subjects. However, results were not consistent and what is the possible impact factor is not known. One important factor that may significantly influence the effect of tDCS is the timing of tDCS administration. In order to explore whether tDCS impact short-term memory and the optimal timing of tDCS administration, we applied anodal tDCS to the left DLPFC to explore the modulatory effect of online and off-line tDCS on digit span as well as visual short-term memory performance in healthy subjects. Results showed tDCS of the left DLPFC did not influence intentional digit span memory performance, whether before the task or during the task. In addition, tDCS of the DLPFC administered before the task showed no effect on visual short-term memory, while there was a trend of increase in false alarm when tDCS of the DLPFC administered during the task. These results did not provide evidence for the enhancement of short-term memory by tDCS of the left DLPFC in healthy subjects, but it suggested an importance of administration time for visual short-term memory. Further studies are required to taking into account the baseline performance of subjects and time-dependence feature of tDCS. © 2017 John Wiley & Sons Ltd.

  4. Context controls access to working and reference memory in the pigeon (Columba livia).

    Science.gov (United States)

    Roberts, William A; Macpherson, Krista; Strang, Caroline

    2016-01-01

    The interaction between working and reference memory systems was examined under conditions in which salient contextual cues were presented during memory retrieval. Ambient colored lights (red or green) bathed the operant chamber during the presentation of comparison stimuli in delayed matching-to-sample training (working memory) and during the presentation of the comparison stimuli as S+ and S- cues in discrimination training (reference memory). Strong competition between memory systems appeared when the same contextual cue appeared during working and reference memory training. When different contextual cues were used, however, working memory was completely protected from reference memory interference. © 2016 Society for the Experimental Analysis of Behavior.

  5. Modulating Memory Performance in Healthy Subjects with Transcranial Direct Current Stimulation Over the Right Dorsolateral Prefrontal Cortex.

    Science.gov (United States)

    Smirni, Daniela; Turriziani, Patrizia; Mangano, Giuseppa Renata; Cipolotti, Lisa; Oliveri, Massimiliano

    2015-01-01

    The role of the Dorsolateral Prefrontal Cortex (DLPFC) in recognition memory has been well documented in lesion, neuroimaging and repetitive Transcranial Magnetic Stimulation (rTMS) studies. The aim of the present study was to investigate the effects of transcranial Direct Current Stimulation (tDCS) over the left and the right DLPFC during the delay interval of a non-verbal recognition memory task. 36 right-handed young healthy subjects participated in the study. The experimental task was an Italian version of Recognition Memory Test for unknown faces. Study included two experiments: in a first experiment, each subject underwent one session of sham tDCS and one session of left or right cathodal tDCS; in a second experiment each subject underwent one session of sham tDCS and one session of left or right anodal tDCS. Cathodal tDCS over the right DLPFC significantly improved non verbal recognition memory performance, while cathodal tDCS over the left DLPFC had no effect. Anodal tDCS of both the left and right DLPFC did not modify non verbal recognition memory performance. Complementing the majority of previous studies, reporting long term memory facilitations following left prefrontal anodal tDCS, the present findings show that cathodal tDCS of the right DLPFC can also improve recognition memory in healthy subjects.

  6. EntrezAJAX: direct web browser access to the Entrez Programming Utilities

    Directory of Open Access Journals (Sweden)

    Pallen Mark J

    2010-06-01

    Full Text Available Abstract Web applications for biology and medicine often need to integrate data from Entrez services provided by the National Center for Biotechnology Information. However, direct access to Entrez from a web browser is not possible due to 'same-origin' security restrictions. The use of "Asynchronous JavaScript and XML" (AJAX to create rich, interactive web applications is now commonplace. The ability to access Entrez via AJAX would be advantageous in the creation of integrated biomedical web resources. We describe EntrezAJAX, which provides access to Entrez eUtils and is able to circumvent same-origin browser restrictions. EntrezAJAX is easily implemented by JavaScript developers and provides identical functionality as Entrez eUtils as well as enhanced functionality to ease development. We provide easy-to-understand developer examples written in JavaScript to illustrate potential uses of this service. For the purposes of speed, reliability and scalability, EntrezAJAX has been deployed on Google App Engine, a freely available cloud service. The EntrezAJAX webpage is located at http://entrezajax.appspot.com/

  7. DATA-POOL : a direct-access data base for large-scale nuclear codes

    International Nuclear Information System (INIS)

    Yamano, Naoki; Koyama, Kinji; Naito, Yoshitaka; Minami, Kazuyoshi.

    1991-12-01

    A direct-access data base DATA-POOL has been developed for large-scale nuclear codes. The data can be stored and retrieved with specifications of simple node names, by using the DATA-POOL access package written in the FORTRAN 77 language. A management utility POOL for the DATA-POOL is also provided. A typical application of the DATA-POOL is shown to the RADHEAT-V4 code system developed for performing safety analyses of radiation shielding. Many samples and error messages are also noted to apply the DATA-POOL for the other code systems. This report is provided for a manual of DATA-POOL. (author)

  8. Memory hierarchy using row-based compression

    Science.gov (United States)

    Loh, Gabriel H.; O'Connor, James M.

    2016-10-25

    A system includes a first memory and a device coupleable to the first memory. The device includes a second memory to cache data from the first memory. The second memory includes a plurality of rows, each row including a corresponding set of compressed data blocks of non-uniform sizes and a corresponding set of tag blocks. Each tag block represents a corresponding compressed data block of the row. The device further includes decompression logic to decompress data blocks accessed from the second memory. The device further includes compression logic to compress data blocks to be stored in the second memory.

  9. A Modified Limited-Memory BNS Method for Unconstrained Minimization Based on the Conjugate Directions Idea

    Czech Academy of Sciences Publication Activity Database

    Vlček, Jan; Lukšan, Ladislav

    2015-01-01

    Roč. 30, č. 3 (2015), s. 616-633 ISSN 1055-6788 R&D Projects: GA ČR GA13-06684S Institutional support: RVO:67985807 Keywords : unconstrained minimization * variable metric methods * limited-memory methods * the BFGS update * conjugate directions * numerical results Subject RIV: BA - General Mathematics Impact factor: 0.841, year: 2015

  10. Large scale particle simulations in a virtual memory computer

    International Nuclear Information System (INIS)

    Gray, P.C.; Million, R.; Wagner, J.S.; Tajima, T.

    1983-01-01

    Virtual memory computers are capable of executing large-scale particle simulations even when the memory requirements exceeds the computer core size. The required address space is automatically mapped onto slow disc memory the the operating system. When the simulation size is very large, frequent random accesses to slow memory occur during the charge accumulation and particle pushing processes. Assesses to slow memory significantly reduce the excecution rate of the simulation. We demonstrate in this paper that with the proper choice of sorting algorithm, a nominal amount of sorting to keep physically adjacent particles near particles with neighboring array indices can reduce random access to slow memory, increase the efficiency of the I/O system, and hence, reduce the required computing time. (orig.)

  11. Turning Symbolic: The representation of motion direction in working memory

    Directory of Open Access Journals (Sweden)

    Tal eSeidel Malkinson

    2016-02-01

    Full Text Available What happens to the representation of a moving stimulus when it is no longer present and its motion direction has to be maintained in working memory (WM? Is the initial, sensorial representation maintained during the delay period or is there another representation, at a higher level of abstraction? It is also feasible that multiple representations may co-exist in WM, manifesting different facets of sensory and more abstract features.To that end, we investigated the mnemonic representation of motion direction in a series of three psychophysical experiments, using a delayed motion-discrimination task (relative clockwisecounter-clockwise judgment. First, we show that a change in the dots' contrast polarity does not hamper performance. Next, we demonstrate that performance is unaffected by relocation of the Test stimulus in either retinotopic or spatiotopic coordinate frames. Finally, we show that an arrow-shaped cue presented during the delay interval between the Sample and Test stimulus, biases performance towards the direction of the arrow, although the cue itself is non-informative (it has no predictive value of the correct answer. These results indicate that the representation of motion direction in WM is independent of the physical features of the stimulus (polarity or position and has non-sensorial abstract qualities. It is plausible that an abstract mnemonic trace might be activated alongside a more basic, analogue representation of the stimulus. We speculate that the specific sensitivity of the mnemonic representation to the arrow-shaped symbol may stem from the long term learned association between direction and the hour in the clock.

  12. Social working memory: neurocognitive networks and directions for future research.

    Science.gov (United States)

    Meyer, Meghan L; Lieberman, Matthew D

    2012-01-01

    Navigating the social world requires the ability to maintain and manipulate information about people's beliefs, traits, and mental states. We characterize this capacity as social working memory (SWM). To date, very little research has explored this phenomenon, in part because of the assumption that general working memory systems would support working memory for social information. Various lines of research, however, suggest that social cognitive processing relies on a neurocognitive network (i.e., the "mentalizing network") that is functionally distinct from, and considered antagonistic with, the canonical working memory network. Here, we review evidence suggesting that demanding social cognition requires SWM and that both the mentalizing and canonical working memory neurocognitive networks support SWM. The neural data run counter to the common finding of parametric decreases in mentalizing regions as a function of working memory demand and suggest that the mentalizing network can support demanding cognition, when it is demanding social cognition. Implications for individual differences in social cognition and pathologies of social cognition are discussed.

  13. Visual working memory contaminates perception.

    Science.gov (United States)

    Kang, Min-Suk; Hong, Sang Wook; Blake, Randolph; Woodman, Geoffrey F

    2011-10-01

    Indirect evidence suggests that the contents of visual working memory may be maintained within sensory areas early in the visual hierarchy. We tested this possibility using a well-studied motion repulsion phenomenon in which perception of one direction of motion is distorted when another direction of motion is viewed simultaneously. We found that observers misperceived the actual direction of motion of a single motion stimulus if, while viewing that stimulus, they were holding a different motion direction in visual working memory. Control experiments showed that none of a variety of alternative explanations could account for this repulsion effect induced by working memory. Our findings provide compelling evidence that visual working memory representations directly interact with the same neural mechanisms as those involved in processing basic sensory events.

  14. How Do We Update Faces? Effects of Gaze Direction and Facial Expressions on Working Memory Updating

    OpenAIRE

    Artuso, Caterina; Palladino, Paola; Ricciardelli, Paola

    2012-01-01

    The aim of the study was to investigate how the biological binding between different facial dimensions, and their social and communicative relevance, may impact updating processes in working memory (WM). We focused on WM updating because it plays a key role in ongoing processing. Gaze direction and facial expression are crucial and changeable components of face processing. Direct gaze enhances the processing of approach-oriented facial emotional expressions (e.g., joy), while averted gaze enh...

  15. A Shared Scratchpad Memory with Synchronization Support

    DEFF Research Database (Denmark)

    Hansen, Henrik Enggaard; Maroun, Emad Jacob; Kristensen, Andreas Toftegaard

    2017-01-01

    Multicore processors usually communicate via shared memory, which is backed up by a shared level 2 cache and a cache coherence protocol. However, this solution is not a good fit for real-time systems, where we need to provide tight guarantees on execution and memory access times. In this paper, we...... propose a shared scratchpad memory as a time-predictable communication and synchronization structure, instead of the level 2 cache. The shared on-chip memory is accessed via a time division multiplexing arbiter, isolating the execution time of load and store instructions between processing cores....... Furthermore, the arbiter supports an extended time slot where an atomic load and store instruction can be executed to implement synchronization primitives. In the evaluation we show that a shared scratchpad memory is an efficient communication structure for a small number of processors; in our setup, 9 cores...

  16. Solution-processed flexible NiO resistive random access memory device

    Science.gov (United States)

    Kim, Soo-Jung; Lee, Heon; Hong, Sung-Hoon

    2018-04-01

    Non-volatile memories (NVMs) using nanocrystals (NCs) as active materials can be applied to soft electronic devices requiring a low-temperature process because NCs do not require a heat treatment process for crystallization. In addition, memory devices can be implemented simply by using a patterning technique using a solution process. In this study, a flexible NiO ReRAM device was fabricated using a simple NC patterning method that controls the capillary force and dewetting of a NiO NC solution at low temperature. The switching behavior of a NiO NC based memory was clearly observed by conductive atomic force microscopy (c-AFM).

  17. Direct access tariffs and barriers to choice

    International Nuclear Information System (INIS)

    Levson, D.

    1999-01-01

    The current situation of the power market in Alberta was reviewed. Based on this review is was concluded that the province is a long way from being a competitive, liquid power market. Further, it was predicted that unless large power purchasers get actively involved in managing their options, identify realistic and competitive supply options and actively campaign for the removal of barriers to choice, they will experience significant cost increases in the year 2001 and beyond, due in large measure to the market power exercised by the four major utilities (TAU, EPCOR, APL and Powerex). Barriers to new supply such as the high cost of standby, uncertainties about transmission and natural gas prices, the delays to cogeneration caused by low oil prices, and the design of direct access tariffs by utilities, were also explored. The cumulative contribution of these factors to uncertainties in pool price, fixed price and transmission and distribution costs were outlined

  18. Oscillatory mechanisms of process binding in memory.

    Science.gov (United States)

    Klimesch, Wolfgang; Freunberger, Roman; Sauseng, Paul

    2010-06-01

    A central topic in cognitive neuroscience is the question, which processes underlie large scale communication within and between different neural networks. The basic assumption is that oscillatory phase synchronization plays an important role for process binding--the transient linking of different cognitive processes--which may be considered a special type of large scale communication. We investigate this question for memory processes on the basis of different types of oscillatory synchronization mechanisms. The reviewed findings suggest that theta and alpha phase coupling (and phase reorganization) reflect control processes in two large memory systems, a working memory and a complex knowledge system that comprises semantic long-term memory. It is suggested that alpha phase synchronization may be interpreted in terms of processes that coordinate top-down control (a process guided by expectancy to focus on relevant search areas) and access to memory traces (a process leading to the activation of a memory trace). An analogous interpretation is suggested for theta oscillations and the controlled access to episodic memories. Copyright (c) 2009 Elsevier Ltd. All rights reserved.

  19. Self-Referential Information Alleviates Retrieval Inhibition of Directed Forgetting Effects—An ERP Evidence of Source Memory

    Directory of Open Access Journals (Sweden)

    Xinrui Mao

    2017-10-01

    Full Text Available Directed forgetting (DF assists in preventing outdated information from interfering with cognitive processing. Previous studies pointed that self-referential items alleviated DF effects due to the elaboration of encoding processes. However, the retrieval mechanism of this phenomenon remains unknown. Based on the dual-process framework of recognition, the retrieval of self-referential information was involved in familiarity and recollection. Using source memory tasks combined with event-related potential (ERP recording, our research investigated the retrieval processes of alleviative DF effects elicited by self-referential information. The FN400 (frontal negativity at 400 ms is a frontal potential at 300–500 ms related to familiarity and the late positive complex (LPC is a later parietal potential at 500–800 ms related to recollection. The FN400 effects of source memory suggested that familiarity processes were promoted by self-referential effects without the modulation of to-be-forgotten (TBF instruction. The ERP results of DF effects were involved with LPCs of source memory, which indexed retrieval processing of recollection. The other-referential source memory of TBF instruction caused the absence of LPC effects, while the self-referential source memory of TBF instruction still elicited the significant LPC effects. Therefore, our neural findings suggested that self-referential processing improved both familiarity and recollection. Furthermore, the self-referential processing advantage which was caused by the autobiographical retrieval alleviated retrieval inhibition of DF, supporting that the self-referential source memory alleviated DF effects.

  20. Properties and mechanisms of olfactory learning and memory

    Directory of Open Access Journals (Sweden)

    Michelle T Tong

    2014-07-01

    Full Text Available Memories are dynamic physical phenomena with psychometric forms as well as characteristic timescales. Most of our understanding of the cellular mechanisms underlying the neurophysiology of memory, however, derives from one-trial learning paradigms that, while powerful, do not fully embody the gradual, representational, and statistical aspects of cumulative learning. The early olfactory system -- particularly olfactory bulb -- comprises a reasonably well-understood and experimentally accessible neuronal network with intrinsic plasticity that underlies both one-trial (adult aversive, neonatal and cumulative (adult appetitive odor learning. These olfactory circuits employ many of the same molecular and structural mechanisms of memory as, for example, hippocampal circuits following inhibitory avoidance conditioning, but the temporal sequences of post-conditioning molecular events are likely to differ owing to the need to incorporate new information from ongoing learning events into the evolving memory trace. Moreover, the shapes of acquired odor representations, and their gradual transformation over the course of cumulative learning, also can be directly measured, adding an additional representational dimension to the traditional metrics of memory strength and persistence. In this review, we describe some established molecular and structural mechanisms of memory with a focus on the timecourses of post-conditioning molecular processes. We describe the properties of odor learning intrinsic to the olfactory bulb and review the utility of the olfactory system of adult rodents as a memory system in which to study the cellular mechanisms of cumulative learning.

  1. The effect of left frontal transcranial direct-current stimulation on propranolol-induced fear memory acquisition and consolidation deficits.

    Science.gov (United States)

    Nasehi, Mohammad; Khani-Abyaneh, Mozhgan; Ebrahimi-Ghiri, Mohaddeseh; Zarrindast, Mohammad-Reza

    2017-07-28

    Accumulating evidence supports the efficacy of transcranial direct current stimulation (tDCS) in modulating numerous cognitive functions. Despite the fact that tDCS has been used for the enhancement of memory and cognition, very few animal studies have addressed its impact on the modulation of fear memory. This study was designed to determine whether pre/post-training frontal tDCS application would alter fear memory acquisition and/or consolidation deficits induced by propranolol in NMRI mice. Results indicated that administration of β1-adrenoceptor blocker propranolol (0.1mg/kg) impaired fear memory retrieval. Pre/post-training application of anodal tDCS when propranolol was administered prior to training reversed contextual memory retrieval whereas only the anodal application prior to training could induce the same result in the auditory test. Meanwhile, anodal stimulation had no effect on fear memories by itself. Moreover, regardless of when cathode was applied and propranolol administered, their combination restored contextual memory retrieval, while only cathodal stimulation prior to training facilitated the contextual memory retrieval. Also, auditory memory retrieval was restored when cathodal stimulation and propranolol occurred prior to training but it was abolished when stimulation occurred after training and propranolol was administered prior to training. Collectively, our findings show that tDCS applied on the left frontal cortex of mice affects fear memory performance. This alteration seems to be task-dependent and varies depending on the nature and timing of the stimulation. In certain conditions, tDCS reverses the effect of propranolol. These results provide initial evidence to support the timely use of tDCS for the modulation of fear-related memories. Copyright © 2017 Elsevier B.V. All rights reserved.

  2. Forgetting: availability, accessibility, and intentional control problem

    Directory of Open Access Journals (Sweden)

    Veronika V. Nourkova

    2016-09-01

    Full Text Available The paper focuses on the phenomenon of forgetting as a primal and generally productive memory process. The cases that require a temporary and permanent forgetting of the material stored in the long-term memory are contrasted. The main methodological obstacle in forgetting research is identified as arising from the logical prohibition to argument from the negative, i.e. “the evidence of absence is not the evidence of absence”. Two mechanisms of forgetting are discussed in the paper: transformation of the memory trace and modulation of trace accessibility. The former mechanism of forgetting consists of memory trace destruction (memory trace decay, retroactive and proactive interference, and «catastrophic» interference or its transformation that leads to forming a new memory representation. We speculate that the most promising way to legitimize the trace destruction mechanism is narrowing the further research to episodic memory subsystem. The latter mechanism of forgetting consists of both passive failure in access to appropriate memory content (the tip of the tongue phenomenon, the category size effect, the fan effect and the process of active retrieval inhibition. This phenomenon represents temporary inhibition of competing semantically similar responses in semantic memory, and motivational inhibition of self-deprecating memories in autobiographical memory. Then we put into consideration a variety of experimental paradigms in intentional forgetting research. Contrary to the common claim that forgetting is а universal and homogeneous phenomenon, we propose that forgetting strategies might vary in different memory subsystems, and also depend on activity characteristics during encoding, storage and retrieval.

  3. Consciousness: physiological dependence on rapid memory access.

    Science.gov (United States)

    Hudson, Arthur J

    2009-01-01

    Consciousness develops from birth during the early months as the senses and other nervous system functions mature sufficiently to receive, process and store information. Among these is the ascending reticular activating (arousal) system in the brain stem that is responsible for wakefulness and was proposed by Penfield and Jasper more than 50 years ago as the "controlling mechanism for states of consciousness". This concept has remained the most advanced physiological interpretation of consciousness although recent developments offer greater insights into its nature. The ascending arousal system is the source of activation of the thalamocortical and cortical mechanisms for sensory input and facilitates the rapid matching of sensory input and the binding of memory during cognitive processing. Nonetheless, it is proposed that memory is the critical element through which our connection with the world exists without which, despite a fully functional arousal system, consciousness as we know it could not exist. Evidence is presented in support of this concept in addition to the physiological difficulties that must be resolved if consciousness is to be understood.

  4. The impact of interference on short-term memory for visual orientation.

    Science.gov (United States)

    Rademaker, Rosanne L; Bloem, Ilona M; De Weerd, Peter; Sack, Alexander T

    2015-12-01

    Visual short-term memory serves as an efficient buffer for maintaining no longer directly accessible information. How robust are visual memories against interference? Memory for simple visual features has proven vulnerable to distractors containing conflicting information along the relevant stimulus dimension, leading to the idea that interacting feature-specific channels at an early stage of visual processing support memory for simple visual features. Here we showed that memory for a single randomly orientated grating was susceptible to interference from a to-be-ignored distractor grating presented midway through a 3-s delay period. Memory for the initially presented orientation became noisier when it differed from the distractor orientation, and response distributions were shifted toward the distractor orientation (by ∼3°). Interestingly, when the distractor was rendered task-relevant by making it a second memory target, memory for both retained orientations showed reduced reliability as a function of increased orientation differences between them. However, the degree to which responses to the first grating shifted toward the orientation of the task-relevant second grating was much reduced. Finally, using a dichoptic display, we demonstrated that these systematic biases caused by a consciously perceived distractor disappeared once the distractor was presented outside of participants' awareness. Together, our results show that visual short-term memory for orientation can be systematically biased by interfering information that is consciously perceived. (c) 2015 APA, all rights reserved).

  5. Doped SbTe phase change material in memory cells

    NARCIS (Netherlands)

    in ‘t Zandt, M.A.A.; Jedema, F.J.; Gravesteijn, Dirk J; Gravesteijn, D.J.; Attenborough, K.; Wolters, Robertus A.M.

    2009-01-01

    Phase Change Random Access Memory (PCRAM) is investigated as replacement for Flash. The memory concept is based on switching a chalcogenide from the crystalline (low ohmic) to the amorphous (high ohmic) state and vice versa. Basically two memory cell concepts exist: the Ovonic Unified Memory (OUM)

  6. Aristotle: A performance Impact Indicator for the OpenCL Kernels Using Local Memory

    Directory of Open Access Journals (Sweden)

    Jianbin Fang

    2014-01-01

    Full Text Available Due to the increasing complexity of multi/many-core architectures (with their mix of caches and scratch-pad memories and applications (with different memory access patterns, the performance of many workloads becomes increasingly variable. In this work, we address one of the main causes for this performance variability: the efficiency of the memory system. Specifically, based on an empirical evaluation driven by memory access patterns, we qualify and partially quantify the performance impact of using local memory in multi/many-core processors. To do so, we systematically describe memory access patterns (MAPs in an application-agnostic manner. Next, for each identified MAP, we use OpenCL (for portability reasons to generate two microbenchmarks: a “naive” version (without local memory and “an optimized” version (using local memory. We then evaluate both of them on typically used multi-core and many-core platforms, and we log their performance. What we eventually obtain is a local memory performance database, indexed by various MAPs and platforms. Further, we propose a set of composing rules for multiple MAPs. Thus, we can get an indicator of whether using local memory is beneficial in the presence of multiple memory access patterns. This indication can be used to either avoid the hassle of implementing optimizations with too little gain or, alternatively, give a rough prediction of the performance gain.

  7. Working Memory Capacity: Attention Control, Secondary Memory, or Both? A Direct Test of the Dual-Component Model

    Science.gov (United States)

    Unsworth, Nash; Spillers, Gregory J.

    2010-01-01

    The current study examined the extent to which attention control abilities, secondary memory abilities, or both accounted for variation in working memory capacity (WMC) and its relation to fluid intelligence. Participants performed various attention control, secondary memory, WMC, and fluid intelligence measures. Confirmatory factor analyses…

  8. Temporal Expectations Guide Dynamic Prioritization in Visual Working Memory through Attenuated α Oscillations.

    Science.gov (United States)

    van Ede, Freek; Niklaus, Marcel; Nobre, Anna C

    2017-01-11

    Although working memory is generally considered a highly dynamic mnemonic store, popular laboratory tasks used to understand its psychological and neural mechanisms (such as change detection and continuous reproduction) often remain relatively "static," involving the retention of a set number of items throughout a shared delay interval. In the current study, we investigated visual working memory in a more dynamic setting, and assessed the following: (1) whether internally guided temporal expectations can dynamically and reversibly prioritize individual mnemonic items at specific times at which they are deemed most relevant; and (2) the neural substrates that support such dynamic prioritization. Participants encoded two differently colored oriented bars into visual working memory to retrieve the orientation of one bar with a precision judgment when subsequently probed. To test for the flexible temporal control to access and retrieve remembered items, we manipulated the probability for each of the two bars to be probed over time, and recorded EEG in healthy human volunteers. Temporal expectations had a profound influence on working memory performance, leading to faster access times as well as more accurate orientation reproductions for items that were probed at expected times. Furthermore, this dynamic prioritization was associated with the temporally specific attenuation of contralateral α (8-14 Hz) oscillations that, moreover, predicted working memory access times on a trial-by-trial basis. We conclude that attentional prioritization in working memory can be dynamically steered by internally guided temporal expectations, and is supported by the attenuation of α oscillations in task-relevant sensory brain areas. In dynamic, everyday-like, environments, flexible goal-directed behavior requires that mental representations that are kept in an active (working memory) store are dynamic, too. We investigated working memory in a more dynamic setting than is conventional

  9. Parallel discrete ordinates algorithms on distributed and common memory systems

    International Nuclear Information System (INIS)

    Wienke, B.R.; Hiromoto, R.E.; Brickner, R.G.

    1987-01-01

    The S/sub n/ algorithm employs iterative techniques in solving the linear Boltzmann equation. These methods, both ordered and chaotic, were compared on both the Denelcor HEP and the Intel hypercube. Strategies are linked to the organization and accessibility of memory (common memory versus distributed memory architectures), with common concern for acquisition of global information. Apart from this, the inherent parallelism of the algorithm maps directly onto the two architectures. Results comparing execution times, speedup, and efficiency are based on a representative 16-group (full upscatter and downscatter) sample problem. Calculations were performed on both the Los Alamos National Laboratory (LANL) Denelcor HEP and the LANL Intel hypercube. The Denelcor HEP is a 64-bit multi-instruction, multidate MIMD machine consisting of up to 16 process execution modules (PEMs), each capable of executing 64 processes concurrently. Each PEM can cooperate on a job, or run several unrelated jobs, and share a common global memory through a crossbar switch. The Intel hypercube, on the other hand, is a distributed memory system composed of 128 processing elements, each with its own local memory. Processing elements are connected in a nearest-neighbor hypercube configuration and sharing of data among processors requires execution of explicit message-passing constructs

  10. Individual differences in susceptibility to false memories: The effect of memory specificity.

    Science.gov (United States)

    Dewhurst, Stephen A; Anderson, Rachel J; Berry, Donna M; Garner, Sarah R

    2017-06-25

    Previous research has highlighted the wide individual variability in susceptibility to the false memories produced by the Deese/Roediger-McDermott (DRM) procedure [Deese, J. (1959). On the prediction of occurrence of particular verbal intrusions in immediate recall. Journal of Experimental Psychology, 58, 17-22; Roediger, H. L., III, & McDermott, K. B. (1995). Creating false memories: Remembering words not presented in lists. Journal of Experimental Psychology: Learning, Memory, & Cognition, 21, 803-814]. The current study investigated whether susceptibility to false memories is influenced by individual differences in the specificity of autobiographical memory retrieval. Memory specificity was measured using the Sentence Completion for Events from the Past Test (SCEPT) [Raes, F., Hermans, D., Williams, J. M. G., & Eelen, P. (2007). A sentence completion procedure as an alternative to the Autobiographical Memory Test for assessing overgeneral memory in non-clinical populations. Memory, 15, 495-507]. Memory specificity did not correlate with correct recognition, but a specific retrieval style was positively correlated with levels of false recognition. It is proposed that the contextual details that frequently accompany false memories of nonstudied lures are more accessible in individuals with specific retrieval styles.

  11. Interference control in working memory: comparing groups of children with atypical development.

    Science.gov (United States)

    Palladino, Paola; Ferrari, Marcella

    2013-01-01

    The study aimed to test whether working memory deficits in children at risk of Learning Disabilities (LD) and/or attention deficit/hyperactivity disorder (ADHD) can be attributed to deficits in interference control, thereby implicating prefrontal systems. Two groups of children known for showing poor working memory (i.e., children with poor comprehension and children with ADHD) were compared to a group of children with specific reading decoding problems (i.e., having severe problems in phonological rather than working memory) and to a control group. All children were tested with a verbal working memory task. Interference control of irrelevant items was examined by a lexical decision task presented immediately after the final recall in about half the trials, selected at random. The interference control measure was therefore directly related to working memory performance. Results confirmed deficient working memory performance in poor comprehenders and children at risk of ADHD + LD. More interestingly, this working memory deficit was associated with greater activation of irrelevant information than in the control group. Poor decoders showed more efficient interference control, in contrast to poor comprehenders and ADHD + LD children. These results indicated that interfering items were still highly accessible to working memory in children who fail the working memory task. In turn, these findings strengthen and clarify the role of interference control, one of the most critical prefrontal functions, in working memory.

  12. No Effect of Cathodal Transcranial Direct Current Stimulation on Fear Memory in Healthy Human Subjects

    Directory of Open Access Journals (Sweden)

    Aditya Mungee

    2016-11-01

    Full Text Available Background: Studies have demonstrated that fear memories can be modified using non-invasive methods. Recently, we demonstrated that anodal transcranial direct current stimulation (tDCS of the right dorsolateral prefrontal cortex is capable of enhancing fear memories. Here, we examined the effects of cathodal tDCS of the right dorsolateral prefrontal cortex during fear reconsolidation in humans. Methods: Seventeen young, healthy subjects were randomly assigned to two groups, which underwent fear conditioning with mild electric stimuli paired with a visual stimulus. Twenty-four hours later, both groups were shown a reminder of the conditioned fearful stimulus. Shortly thereafter, they received either tDCS (right prefrontal—cathodal, left supraorbital—anodal for 20 min at 1 mA, or sham stimulation. A day later, fear responses of both groups were compared. Results: On Day 3, during fear response assessment, there were no significant differences between the tDCS and sham group (p > 0.05. Conclusion: We conclude that cathodal tDCS of the right dorsolateral prefrontal cortex (right prefrontal—cathodal, left supraorbital—anodal did not influence fear memories.

  13. A Unified Buffering Management with Set Divisible Cache for PCM Main Memory

    Institute of Scientific and Technical Information of China (English)

    Mei-Ying Bian; Su-Kyung Yoon; Jeong-Geun Kim; Sangjae Nam; Shin-Dug Kim

    2016-01-01

    This research proposes a phase-change memory (PCM) based main memory system with an effective combi-nation of a superblock-based adaptive buffering structure and its associated set divisible last-level cache (LLC). To achieve high performance similar to that of dynamic random-access memory (DRAM) based main memory, the superblock-based adaptive buffer (SABU) is comprised of dual DRAM buffers, i.e., an aggressive superblock-based pre-fetching buffer (SBPB) and an adaptive sub-block reusing buffer (SBRB), and a set divisible LLC based on a cache space optimization scheme. According to our experiment, the longer PCM access latency can typically be hidden using our proposed SABU, which can significantly reduce the number of writes over the PCM main memory by 26.44%. The SABU approach can reduce PCM access latency up to 0.43 times, compared with conventional DRAM main memory. Meanwhile, the average memory energy consumption can be reduced by 19.7%.

  14. A 600-µW ultra-low-power associative processor for image pattern recognition employing magnetic tunnel junction-based nonvolatile memories with autonomic intelligent power-gating scheme

    Science.gov (United States)

    Ma, Yitao; Miura, Sadahiko; Honjo, Hiroaki; Ikeda, Shoji; Hanyu, Takahiro; Ohno, Hideo; Endoh, Tetsuo

    2016-04-01

    A novel associative processor using magnetic tunnel junction (MTJ)-based nonvolatile memories has been proposed and fabricated under a 90 nm CMOS/70 nm perpendicular-MTJ (p-MTJ) hybrid process for achieving the exceptionally low-power performance of image pattern recognition. A four-transistor 2-MTJ (4T-2MTJ) spin transfer torque magnetoresistive random access memory was adopted to completely eliminate the standby power. A self-directed intelligent power-gating (IPG) scheme specialized for this associative processor is employed to optimize the operation power by only autonomously activating currently accessed memory cells. The operations of a prototype chip at 20 MHz are demonstrated by measurement. The proposed processor can successfully carry out single texture pattern matching within 6.5 µs using 128-dimension bag-of-feature patterns, and the measured average operation power of the entire processor core is only 600 µW. Compared with the twin chip designed with 6T static random access memory, 91.2% power reductions are achieved. More than 88.0% power reductions are obtained compared with the latest associative memories. The further power performance analysis is discussed in detail, which verifies the special superiority of the proposed processor in power consumption for large-capacity memory-based VLSI systems.

  15. Voltage induced magnetostrictive switching of nanomagnets: Strain assisted strain transfer torque random access memory

    International Nuclear Information System (INIS)

    Khan, Asif; Nikonov, Dmitri E.; Manipatruni, Sasikanth; Ghani, Tahir; Young, Ian A.

    2014-01-01

    A spintronic device, called the “strain assisted spin transfer torque (STT) random access memory (RAM),” is proposed by combining the magnetostriction effect and the spin transfer torque effect which can result in a dramatic improvement in the energy dissipation relative to a conventional STT-RAM. Magnetization switching in the device which is a piezoelectric-ferromagnetic heterostructure via the combined magnetostriction and STT effect is simulated by solving the Landau-Lifshitz-Gilbert equation incorporating the influence of thermal noise. The simulations show that, in such a device, each of these two mechanisms (magnetostriction and spin transfer torque) provides in a 90° rotation of the magnetization leading a deterministic 180° switching with a critical current significantly smaller than that required for spin torque alone. Such a scheme is an attractive option for writing magnetic RAM cells.

  16. Voltage induced magnetostrictive switching of nanomagnets: Strain assisted strain transfer torque random access memory

    Science.gov (United States)

    Khan, Asif; Nikonov, Dmitri E.; Manipatruni, Sasikanth; Ghani, Tahir; Young, Ian A.

    2014-06-01

    A spintronic device, called the "strain assisted spin transfer torque (STT) random access memory (RAM)," is proposed by combining the magnetostriction effect and the spin transfer torque effect which can result in a dramatic improvement in the energy dissipation relative to a conventional STT-RAM. Magnetization switching in the device which is a piezoelectric-ferromagnetic heterostructure via the combined magnetostriction and STT effect is simulated by solving the Landau-Lifshitz-Gilbert equation incorporating the influence of thermal noise. The simulations show that, in such a device, each of these two mechanisms (magnetostriction and spin transfer torque) provides in a 90° rotation of the magnetization leading a deterministic 180° switching with a critical current significantly smaller than that required for spin torque alone. Such a scheme is an attractive option for writing magnetic RAM cells.

  17. How intention and monitoring your thoughts influence characteristics of autobiographical memories

    DEFF Research Database (Denmark)

    Barzykowski, Krystian; Staugaard, Søren Risløv

    2018-01-01

    Involuntary autobiographical memories come to mind effortlessly and unintended, but the mechanisms of their retrieval are not fully understood. We hypothesize that involuntary retrieval depends on memories that are highly accessible (e.g., intense, unusual, recent, rehearsed), while the elaborate...... search that characterizes voluntary retrieval also produces memories that are mundane, repeated or distant – memories with low accessibility. Previous research provides some evidence for this ‘threshold hypothesis’. However, in almost every prior study, participants have been instructed to report only...... memories while ignoring other thoughts. It is possible that such an instruction can modify the phenomenological characteristics of involuntary memories. This study aimed to investigate the effects of retrieval intentionality (i.e., wanting to retrieve a memory) and selective monitoring (i.e., instructions...

  18. Organic Ferroelectric-Based 1T1T Random Access Memory Cell Employing a Common Dielectric Layer Overcoming the Half-Selection Problem.

    Science.gov (United States)

    Zhao, Qiang; Wang, Hanlin; Ni, Zhenjie; Liu, Jie; Zhen, Yonggang; Zhang, Xiaotao; Jiang, Lang; Li, Rongjin; Dong, Huanli; Hu, Wenping

    2017-09-01

    Organic electronics based on poly(vinylidenefluoride/trifluoroethylene) (P(VDF-TrFE)) dielectric is facing great challenges in flexible circuits. As one indispensable part of integrated circuits, there is an urgent demand for low-cost and easy-fabrication nonvolatile memory devices. A breakthrough is made on a novel ferroelectric random access memory cell (1T1T FeRAM cell) consisting of one selection transistor and one ferroelectric memory transistor in order to overcome the half-selection problem. Unlike complicated manufacturing using multiple dielectrics, this system simplifies 1T1T FeRAM cell fabrication using one common dielectric. To achieve this goal, a strategy for semiconductor/insulator (S/I) interface modulation is put forward and applied to nonhysteretic selection transistors with high performances for driving or addressing purposes. As a result, high hole mobility of 3.81 cm 2 V -1 s -1 (average) for 2,6-diphenylanthracene (DPA) and electron mobility of 0.124 cm 2 V -1 s -1 (average) for N,N'-1H,1H-perfluorobutyl dicyanoperylenecarboxydiimide (PDI-FCN 2 ) are obtained in selection transistors. In this work, we demonstrate this technology's potential for organic ferroelectric-based pixelated memory module fabrication. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Nanoscale chemical state analysis of resistance random access memory device reacting with Ti

    Science.gov (United States)

    Shima, Hisashi; Nakano, Takashi; Akinaga, Hiro

    2010-05-01

    The thermal stability of the resistance random access memory material in the reducing atmosphere at the elevated temperature was improved by the addition of Ti. The unipolar resistance switching before and after the postdeposition annealing (PDA) process at 400 °C was confirmed in Pt/CoO/Ti(5 nm)/Pt device, while the severe degradation of the initial resistance occurs in the Pt/CoO/Pt and Pt/CoO/Ti(50 nm)/Pt devices. By investigating the chemical bonding states of Co, O, and Ti using electron energy loss spectroscopy combined with transmission electron microscopy, it was revealed that excess Ti induces the formation of metallic Co, while the thermal stability was improved by trace Ti. Moreover, it was indicated that the filamentary conduction path can be thermally induced after PDA in the oxide layer by analyzing electrical properties of the degraded devices. The adjustment of the reducing elements is quite essential in order to participate in their profits.

  20. Neurostimulation for Memory Enhancement in Epilepsy.

    Science.gov (United States)

    Meisenhelter, Stephen; Jobst, Barbara C

    2018-04-19

    Memory is one of the top concerns of epilepsy patients, but there are no known treatments to directly alleviate the memory deficits associated with epilepsy. Neurostimulation may provide new therapeutic tools to enhance memory in epilepsy patients. Here, we critically review recent investigations of memory enhancement using transcranial electrical stimulation (tES), transcranial magnetic stimulation (TMS), vagus nerve stimulation (VNS), chronic intracranial stimulation, and acute intracranial stimulation. Existing literature suggests that transcranial direct current stimulation (tDCS) produces a small enhancement in memory in neuropsychological patients, but transcranial alternating current stimulation (tACS) and transcranial random noise stimulation (tRNS) have not been found to have an effect on memory. Most studies of transcranial magnetic stimulation (TMS) have found that TMS has no positive effect on memory. Vagus nerve stimulation can acutely enhance memory, while chronic therapy does not appear to alter memory performance. We found that there is the most evidence for significant memory enhancement using intracranial stimulation techniques, especially chronic stimulation of the fornix and task-responsive stimulation of the lateral temporal lobe. Presently, there are no existing therapeutic options for directly treating epilepy-related memory deficits. While neurostimulation technologies for memory enhancement are largely still in the experimental phase, neurostimulation appears promising as a future technique for treating epilepsy-related memory deficits.

  1. Asymmetrical access to color and location in visual working memory.

    Science.gov (United States)

    Rajsic, Jason; Wilson, Daryl E

    2014-10-01

    Models of visual working memory (VWM) have benefitted greatly from the use of the delayed-matching paradigm. However, in this task, the ability to recall a probed feature is confounded with the ability to maintain the proper binding between the feature that is to be reported and the feature (typically location) that is used to cue a particular item for report. Given that location is typically used as a cue-feature, we used the delayed-estimation paradigm to compare memory for location to memory for color, rotating which feature was used as a cue and which was reported. Our results revealed several novel findings: 1) the likelihood of reporting a probed object's feature was superior when reporting location with a color cue than when reporting color with a location cue; 2) location report errors were composed entirely of swap errors, with little to no random location reports; and 3) both colour and location reports greatly benefitted from the presence of nonprobed items at test. This last finding suggests that it is uncertainty over the bindings between locations and colors at memory retrieval that drive swap errors, not at encoding. We interpret our findings as consistent with a representational architecture that nests remembered object features within remembered locations.

  2. Cosmic ray access to earth satellites from below-horizon directions

    International Nuclear Information System (INIS)

    Humble, J.E.; Smart, D.F.; Shea, M.A.

    1982-01-01

    The traditional description of cosmic ray access to a given point, by use of main and Stormer cut-off rigidities, is not appropriate to describe the behaviour of charged particles approaching an earth satellite from all possible directions below the optical horizon. From certain azimuths, particles of high rigidity are unable to reach the spacecraft whilst particles at lower rigidity, having smaller local radii of curvature in the geomagnetic field, can reach it. In such cases no main cut-off, in the normal sense of that term, can exist. Examples of this behaviour are shown and appropriate new cut-off definitions are provided

  3. Collaboration in recall: do pairs of people cross-cue each other to produce new memories?

    Science.gov (United States)

    Meudell, P R; Hitch, G J; Boyle, M M

    1995-02-01

    When people collaborate over their recall of a shared experience, it might be expected that they could "cross-cue" each other so as to produce new memories not available to either member of the pair on their own. In a previous series of experiments (Meudell et al., 1992), we found that pairs of people always recalled more than one person, but we failed to show that social interaction facilitated performance so as to produce such "emergent" new memories. However, a phenomenon akin to cross-cuing was employed by Tulving and Pearlstone (1966) in their classic study of the availability and accessibility of memories; accordingly, in this study, we repeated Tulving and Pearlstone's work directly in a social context. So as to assess whether new memories emerged in collaborating pairs, a sequential design was employed. People learned categorized lists of words, and then all the subjects recalled the items strictly on their own. Subjects then recalled again in pairs (collaboratively) or once more on their own. The results showed that even when the opportunity for cross-cuing was directly manipulated through the provision of categorized lists, no additional new memories emerged in the collaborating groups. Possible mechanisms for the results are considered.

  4. Physical principles and current status of emerging non-volatile solid state memories

    Science.gov (United States)

    Wang, L.; Yang, C.-H.; Wen, J.

    2015-07-01

    Today the influence of non-volatile solid-state memories on persons' lives has become more prominent because of their non-volatility, low data latency, and high robustness. As a pioneering technology that is representative of non-volatile solidstate memories, flash memory has recently seen widespread application in many areas ranging from electronic appliances, such as cell phones and digital cameras, to external storage devices such as universal serial bus (USB) memory. Moreover, owing to its large storage capacity, it is expected that in the near future, flash memory will replace hard-disk drives as a dominant technology in the mass storage market, especially because of recently emerging solid-state drives. However, the rapid growth of the global digital data has led to the need for flash memories to have larger storage capacity, thus requiring a further downscaling of the cell size. Such a miniaturization is expected to be extremely difficult because of the well-known scaling limit of flash memories. It is therefore necessary to either explore innovative technologies that can extend the areal density of flash memories beyond the scaling limits, or to vigorously develop alternative non-volatile solid-state memories including ferroelectric random-access memory, magnetoresistive random-access memory, phase-change random-access memory, and resistive random-access memory. In this paper, we review the physical principles of flash memories and their technical challenges that affect our ability to enhance the storage capacity. We then present a detailed discussion of novel technologies that can extend the storage density of flash memories beyond the commonly accepted limits. In each case, we subsequently discuss the physical principles of these new types of non-volatile solid-state memories as well as their respective merits and weakness when utilized for data storage applications. Finally, we predict the future prospects for the aforementioned solid-state memories for

  5. Active non-volatile memory post-processing

    Energy Technology Data Exchange (ETDEWEB)

    Kannan, Sudarsun; Milojicic, Dejan S.; Talwar, Vanish

    2017-04-11

    A computing node includes an active Non-Volatile Random Access Memory (NVRAM) component which includes memory and a sub-processor component. The memory is to store data chunks received from a processor core, the data chunks comprising metadata indicating a type of post-processing to be performed on data within the data chunks. The sub-processor component is to perform post-processing of said data chunks based on said metadata.

  6. Magnetic vortex racetrack memory

    Science.gov (United States)

    Geng, Liwei D.; Jin, Yongmei M.

    2017-02-01

    We report a new type of racetrack memory based on current-controlled movement of magnetic vortices in magnetic nanowires with rectangular cross-section and weak perpendicular anisotropy. Data are stored through the core polarity of vortices and each vortex carries a data bit. Besides high density, non-volatility, fast data access, and low power as offered by domain wall racetrack memory, magnetic vortex racetrack memory has additional advantages of no need for constrictions to define data bits, changeable information density, adjustable current magnitude for data propagation, and versatile means of ultrafast vortex core switching. By using micromagnetic simulations, current-controlled motion of magnetic vortices in cobalt nanowire is demonstrated for racetrack memory applications.

  7. Short-term memory to long-term memory transition in a nanoscale memristor.

    Science.gov (United States)

    Chang, Ting; Jo, Sung-Hyun; Lu, Wei

    2011-09-27

    "Memory" is an essential building block in learning and decision-making in biological systems. Unlike modern semiconductor memory devices, needless to say, human memory is by no means eternal. Yet, forgetfulness is not always a disadvantage since it releases memory storage for more important or more frequently accessed pieces of information and is thought to be necessary for individuals to adapt to new environments. Eventually, only memories that are of significance are transformed from short-term memory into long-term memory through repeated stimulation. In this study, we show experimentally that the retention loss in a nanoscale memristor device bears striking resemblance to memory loss in biological systems. By stimulating the memristor with repeated voltage pulses, we observe an effect analogous to memory transition in biological systems with much improved retention time accompanied by additional structural changes in the memristor. We verify that not only the shape or the total number of stimuli is influential, but also the time interval between stimulation pulses (i.e., the stimulation rate) plays a crucial role in determining the effectiveness of the transition. The memory enhancement and transition of the memristor device was explained from the microscopic picture of impurity redistribution and can be qualitatively described by the same equations governing biological memories. © 2011 American Chemical Society

  8. Elucidating the significance of spatial memory on movement decisions by African savannah elephants using state-space models.

    Science.gov (United States)

    Polansky, Leo; Kilian, Werner; Wittemyer, George

    2015-04-22

    Spatial memory facilitates resource acquisition where resources are patchy, but how it influences movement behaviour of wide-ranging species remains to be resolved. We examined African elephant spatial memory reflected in movement decisions regarding access to perennial waterholes. State-space models of movement data revealed a rapid, highly directional movement behaviour almost exclusively associated with visiting perennial water. Behavioural change point (BCP) analyses demonstrated that these goal-oriented movements were initiated on average 4.59 km, and up to 49.97 km, from the visited waterhole, with the closest waterhole accessed 90% of the time. Distances of decision points increased when switching to different waterholes, during the dry season, or for female groups relative to males, while selection of the closest waterhole decreased when switching. Overall, our analyses indicated detailed spatial knowledge over large scales, enabling elephants to minimize travel distance through highly directional movement when accessing water. We discuss the likely cognitive and socioecological mechanisms driving these spatially precise movements that are most consistent with our findings. By applying modern analytic techniques to high-resolution movement data, this study illustrates emerging approaches for studying how cognition structures animal movement behaviour in different ecological and social contexts. © 2015 The Author(s) Published by the Royal Society. All rights reserved.

  9. Influence of Thermal Annealing Treatment on Bipolar Switching Properties of Vanadium Oxide Thin-Film Resistance Random-Access Memory Devices

    Science.gov (United States)

    Chen, Kai-Huang; Cheng, Chien-Min; Kao, Ming-Cheng; Chang, Kuan-Chang; Chang, Ting-Chang; Tsai, Tsung-Ming; Wu, Sean; Su, Feng-Yi

    2017-04-01

    The bipolar switching properties and electrical conduction mechanism of vanadium oxide thin-film resistive random-access memory (RRAM) devices obtained using a rapid thermal annealing (RTA) process have been investigated in high-resistive status/low-resistive status (HRS/LRS) and are discussed herein. In addition, the resistance switching properties and quality improvement of the vanadium oxide thin-film RRAM devices were measured by x-ray diffraction (XRD) analysis, x-ray photoelectron spectrometry (XPS), scanning electron microscopy (SEM), atomic force microscopy (AFM), and current-voltage ( I- V) measurements. The activation energy of the hopping conduction mechanism in the devices was investigated based on Arrhenius plots in HRS and LRS. The hopping conduction distance and activation energy barrier were obtained as 12 nm and 45 meV, respectively. The thermal annealing process is recognized as a candidate method for fabrication of thin-film RRAM devices, being compatible with integrated circuit technology for nonvolatile memory devices.

  10. Age, memory type, and the phenomenology of autobiographical memory: findings from an Italian sample.

    Science.gov (United States)

    Montebarocci, Ornella; Luchetti, Martina; Sutin, Angelina R

    2014-01-01

    The present research explored differences in phenomenology between two types of memories, a general self-defining memory and an earliest childhood memory. A sample of 76 Italian participants were selected and categorised into two age groups: 20-30 years and 31-40 years. The Memory Experiences Questionnaire (MEQ) was administered, taking note of latency and duration times of the narratives. Consistent with the literature, the self-defining memory differed significantly from the earliest childhood memory in terms of phenomenology, with the recency of the memory associated with more intense phenomenological experience. The self-defining memory took longer to retrieve and narrate than the earliest childhood memory. Meaningful differences also emerged between the two age groups: Participants in their 30s rated their self-defining memory as more vivid, coherent, and accessible than participants in their 20s. According to latency findings, these differences suggest an expanded period of identity consolidation for younger adults. Further applications of the MEQ should be carried out to replicate these results with other samples of young adults.

  11. A 0.18-μm 3.3 V 16 k Bits 1R1T Phase Change Random Access Memory (PCRAM) Chip

    International Nuclear Information System (INIS)

    Sheng, Ding; Zhi-Tang, Song; Bo, Liu; Min, Zhu; Xiao-Gang, Chen; Yi-Feng, Chen; Ju, Shen; Cong, Fu; Song-Lin, Feng

    2008-01-01

    Using standard 0.18-μm CMOS process and the special platform for 8-inch phase change random access memory (PCRAM), the first Chinese 16k bits PCRAM chip has been successfully achieved. A 1R1T structure has been designed for low voltage drop and low cost compared to the 1R1D structure and the BJT-switch structure. Full integration of the 16k bits PCRAM chip, including memory cell, array structure, critical circuit module, and physical layout, has been designed and verified. The critical integration technology of the phase change material (PCM) fabrication and the standard CMOS process has been solved. Test results about PCM in a large-scale array have been generated for the next research of PCRAM chip

  12. Neuro-Cognitive Intervention for Working Memory: Preliminary Results and Future Directions.

    Science.gov (United States)

    Bree, Kathleen D; Beljan, Paul

    2016-01-01

    Definitions of working memory identify it as a function of the executive function system in which an individual maintains two or more pieces of information in mind and uses that information simultaneously for some purpose. In academics, working memory is necessary for a variety of functions, including attending to the information one's teacher presents and then using that information simultaneously for problem solving. Research indicates difficulties with working memory are observed in children with mathematics learning disorder (MLD) and reading disorders (RD). To improve working memory and other executive function difficulties, and as an alternative to medication treatments for attention and executive function disorders, the Motor Cognition(2)® (MC(2)®)program was developed. Preliminary research on this program indicates statistically significant improvements in working memory, mathematics, and nonsense word decoding for reading. Further research on the MC(2)® program and its impact on working memory, as well as other areas of executive functioning, is warranted.

  13. Knowledge of memory functions in European and Asian American adults and children: the relation to autobiographical memory.

    Science.gov (United States)

    Wang, Qi; Koh, Jessie Bee Kim; Song, Qingfang; Hou, Yubo

    2015-01-01

    This study investigated explicit knowledge of autobiographical memory functions using a newly developed questionnaire. European and Asian American adults (N = 57) and school-aged children (N = 68) indicated their agreement with 13 statements about why people think about and share memories pertaining to four broad functions-self, social, directive and emotion regulation. Children were interviewed for personal memories concurrently with the memory function knowledge assessment and again 3 months later. It was found that adults agreed to the self, social and directive purposes of memory to a greater extent than did children, whereas European American children agreed to the emotion regulation purposes of memory to a greater extent than did European American adults. Furthermore, European American children endorsed more self and emotion regulation functions than did Asian American children, whereas Asian American adults endorsed more directive functions than did European American adults. Children's endorsement of memory functions, particularly social functions, was associated with more detailed and personally meaningful memories. These findings are informative for the understanding of developmental and cultural influences on memory function knowledge and of the relation of such knowledge to autobiographical memory development.

  14. Memory consolidation

    NARCIS (Netherlands)

    Takashima, A.; Bakker, I.; Schmid, H.-J.

    2016-01-01

    In order to make use of novel experiences and knowledge to guide our future behavior, we must keep large amounts of information accessible for retrieval. The memory system that stores this information needs to be flexible in order to rapidly incorporate incoming information, but also requires that

  15. Primary care direct access MRI for the investigation of chronic headache

    International Nuclear Information System (INIS)

    Taylor, T.R.; Evangelou, N.; Porter, H.; Lenthall, R.

    2012-01-01

    Aim: To assess the efficacy of a primary-care imaging pathway for neurology outpatients, from inception to deployment, compared with traditional outpatient referral. Materials and methods: After local agreement, guidelines were generated providing pathways for diagnosis and treatment of common causes of headache, highlighting “red-flag” features requiring urgent neurology referral, and selecting patients for direct magnetic resonance imaging (MRI) referral. In addition, reports were clarified and standardized. To evaluate the efficacy of the access pathway, a retrospective sequential review of 100 MRI investigations was performed comparing general practitioner (GP) referral, with traditional neurology referral plus imaging, acquired before the pathway started. Results: No statistically significant difference in rates of major abnormalities, incidental findings or ischaemic lesions were identified between the two cohorts. Reported patient satisfaction was high, with a cost reduction for groups using the pathway. Conclusion: The findings of the present study suggest that a defined access pathway for imaging to investigate chronic headache can be deployed appropriately in a primary-care setting.

  16. Primary care direct access MRI for the investigation of chronic headache

    Energy Technology Data Exchange (ETDEWEB)

    Taylor, T.R., E-mail: timt@nhs.net [Queens Medical Centre, Nottingham (United Kingdom); Evangelou, N. [Queens Medical Centre, Nottingham (United Kingdom); Porter, H. [Nottingham Cripps Health Centre, Nottingham (United Kingdom); Lenthall, R. [Queens Medical Centre, Nottingham (United Kingdom)

    2012-01-15

    Aim: To assess the efficacy of a primary-care imaging pathway for neurology outpatients, from inception to deployment, compared with traditional outpatient referral. Materials and methods: After local agreement, guidelines were generated providing pathways for diagnosis and treatment of common causes of headache, highlighting 'red-flag' features requiring urgent neurology referral, and selecting patients for direct magnetic resonance imaging (MRI) referral. In addition, reports were clarified and standardized. To evaluate the efficacy of the access pathway, a retrospective sequential review of 100 MRI investigations was performed comparing general practitioner (GP) referral, with traditional neurology referral plus imaging, acquired before the pathway started. Results: No statistically significant difference in rates of major abnormalities, incidental findings or ischaemic lesions were identified between the two cohorts. Reported patient satisfaction was high, with a cost reduction for groups using the pathway. Conclusion: The findings of the present study suggest that a defined access pathway for imaging to investigate chronic headache can be deployed appropriately in a primary-care setting.

  17. Efficient Management for Hybrid Memory in Managed Language Runtime

    OpenAIRE

    Wang , Chenxi; Cao , Ting; Zigman , John; Lv , Fang; Zhang , Yunquan; Feng , Xiaobing

    2016-01-01

    Part 1: Memory: Non-Volatile, Solid State Drives, Hybrid Systems; International audience; Hybrid memory, which leverages the benefits of traditional DRAM and emerging memory technologies, is a promising alternative for future main memory design. However popular management policies through memory-access recording and page migration may invoke non-trivial overhead in execution time and hardware space. Nowadays, managed language applications are increasingly dominant in every kind of platform. M...

  18. Neuronal activity in primate prefrontal cortex related to goal-directed behavior during auditory working memory tasks.

    Science.gov (United States)

    Huang, Ying; Brosch, Michael

    2016-06-01

    Prefrontal cortex (PFC) has been documented to play critical roles in goal-directed behaviors, like representing goal-relevant events and working memory (WM). However, neurophysiological evidence for such roles of PFC has been obtained mainly with visual tasks but rarely with auditory tasks. In the present study, we tested roles of PFC in auditory goal-directed behaviors by recording local field potentials in the auditory region of left ventrolateral PFC while a monkey performed auditory WM tasks. The tasks consisted of multiple events and required the monkey to change its mental states to achieve the reward. The events were auditory and visual stimuli, as well as specific actions. Mental states were engaging in the tasks and holding task-relevant information in auditory WM. We found that, although based on recordings from one hemisphere in one monkey only, PFC represented multiple events that were important for achieving reward, including auditory and visual stimuli like turning on and off an LED, as well as bar touch. The responses to auditory events depended on the tasks and on the context of the tasks. This provides support for the idea that neuronal representations in PFC are flexible and can be related to the behavioral meaning of stimuli. We also found that engaging in the tasks and holding information in auditory WM were associated with persistent changes of slow potentials, both of which are essential for auditory goal-directed behaviors. Our study, on a single hemisphere in a single monkey, reveals roles of PFC in auditory goal-directed behaviors similar to those in visual goal-directed behaviors, suggesting that functions of PFC in goal-directed behaviors are probably common across the auditory and visual modality. This article is part of a Special Issue entitled SI: Auditory working memory. Copyright © 2016 Elsevier B.V. All rights reserved.

  19. Impulse: Memory System Support for Scientific Applications

    Directory of Open Access Journals (Sweden)

    John B. Carter

    1999-01-01

    Full Text Available Impulse is a new memory system architecture that adds two important features to a traditional memory controller. First, Impulse supports application‐specific optimizations through configurable physical address remapping. By remapping physical addresses, applications control how their data is accessed and cached, improving their cache and bus utilization. Second, Impulse supports prefetching at the memory controller, which can hide much of the latency of DRAM accesses. Because it requires no modification to processor, cache, or bus designs, Impulse can be adopted in conventional systems. In this paper we describe the design of the Impulse architecture, and show how an Impulse memory system can improve the performance of memory‐bound scientific applications. For instance, Impulse decreases the running time of the NAS conjugate gradient benchmark by 67%. We expect that Impulse will also benefit regularly strided, memory‐bound applications of commercial importance, such as database and multimedia programs.

  20. Memory of Gender and Gait Direction from Biological Motion: Gender Fades Away but Directions Stay

    Science.gov (United States)

    Poom, Leo

    2012-01-01

    The delayed discrimination methodology has been used to demonstrate a high-fidelity nondecaying visual short-term memory (VSTM) for so-called preattentive basic features. In the current Study, I show that the nondecaying high VSTM precision is not restricted to basic features by using the same method to measure memory precision for gait direction…

  1. Transcranial direct current stimulation over the parietal cortex alters bias in item and source memory tasks.

    Science.gov (United States)

    Pergolizzi, Denise; Chua, Elizabeth F

    2016-10-01

    Neuroimaging data have shown that activity in the lateral posterior parietal cortex (PPC) correlates with item recognition and source recollection, but there is considerable debate about its specific contributions. Performance on both item and source memory tasks were compared between participants who were given bilateral transcranial direct current stimulation (tDCS) over the parietal cortex to those given prefrontal or sham tDCS. The parietal tDCS group, but not the prefrontal group, showed decreased false recognition, and less bias in item and source discrimination tasks compared to sham stimulation. These results are consistent with a causal role of the PPC in item and source memory retrieval, likely based on attentional and decision-making biases. Copyright © 2016 Elsevier Inc. All rights reserved.

  2. Developmental memory capacity resources of typical children retrieving picture communication symbols using direct selection and visual linear scanning with fixed communication displays.

    Science.gov (United States)

    Wagner, Barry T; Jackson, Heather M

    2006-02-01

    This study examined the cognitive demands of 2 selection techniques in augmentative and alternative communication (AAC), direct selection, and visual linear scanning, by determining the memory retrieval abilities of typically developing children when presented with fixed communication displays. One hundred twenty typical children from kindergarten, 1st, and 3rd grades were randomly assigned to either a direct selection or visual linear scanning group. Memory retrieval was assessed through word span using Picture Communication Symbols (PCSs). Participants were presented various numbers and arrays of PCSs and asked to retrieve them by placing identical graphic symbols on fixed communication displays with grid layouts. The results revealed that participants were able to retrieve more PCSs during direct selection than scanning. Additionally, 3rd-grade children retrieved more PCSs than kindergarten and 1st-grade children. An analysis on the type of errors during retrieval indicated that children were more successful at retrieving the correct PCSs than the designated location of those symbols on fixed communication displays. AAC practitioners should consider using direct selection over scanning whenever possible and account for anticipatory monitoring and pulses when scanning is used in the service delivery of children with little or no functional speech. Also, researchers should continue to investigate AAC selection techniques in relationship to working memory resources.

  3. Magnetic vortex racetrack memory

    Energy Technology Data Exchange (ETDEWEB)

    Geng, Liwei D.; Jin, Yongmei M., E-mail: ymjin@mtu.edu

    2017-02-01

    We report a new type of racetrack memory based on current-controlled movement of magnetic vortices in magnetic nanowires with rectangular cross-section and weak perpendicular anisotropy. Data are stored through the core polarity of vortices and each vortex carries a data bit. Besides high density, non-volatility, fast data access, and low power as offered by domain wall racetrack memory, magnetic vortex racetrack memory has additional advantages of no need for constrictions to define data bits, changeable information density, adjustable current magnitude for data propagation, and versatile means of ultrafast vortex core switching. By using micromagnetic simulations, current-controlled motion of magnetic vortices in cobalt nanowire is demonstrated for racetrack memory applications. - Highlights: • Advance fundamental knowledge of current-driven magnetic vortex phenomena. • Report appealing new magnetic racetrack memory based on current-controlled magnetic vortices in nanowires. • Provide a novel approach to adjust current magnitude for data propagation. • Overcome the limitations of domain wall racetrack memory.

  4. Battery powered thought: enhancement of attention, learning, and memory in healthy adults using transcranial direct current stimulation.

    Science.gov (United States)

    Coffman, Brian A; Clark, Vincent P; Parasuraman, Raja

    2014-01-15

    This article reviews studies demonstrating enhancement with transcranial direct current stimulation (tDCS) of attention, learning, and memory processes in healthy adults. Given that these are fundamental cognitive functions, they may also mediate stimulation effects on other higher-order processes such as decision-making and problem solving. Although tDCS research is still young, there have been a variety of methods used and cognitive processes tested. While these different methods have resulted in seemingly contradictory results among studies, many consistent and noteworthy effects of tDCS on attention, learning, and memory have been reported. The literature suggests that although tDCS as typically applied may not be as useful for localization of function in the brain as some other methods of brain stimulation, tDCS may be particularly well-suited for practical applications involving the enhancement of attention, learning, and memory, in both healthy subjects and in clinical populations. © 2013 Elsevier Inc. All rights reserved.

  5. Customizable Memory Schemes for Data Parallel Architectures

    NARCIS (Netherlands)

    Gou, C.

    2011-01-01

    Memory system efficiency is crucial for any processor to achieve high performance, especially in the case of data parallel machines. Processing capabilities of parallel lanes will be wasted, when data requests are not accomplished in a sustainable and timely manner. Irregular vector memory accesses

  6. Full-switching FSF-type superconducting spin-triplet magnetic random access memory element

    Science.gov (United States)

    Lenk, D.; Morari, R.; Zdravkov, V. I.; Ullrich, A.; Khaydukov, Yu.; Obermeier, G.; Müller, C.; Sidorenko, A. S.; von Nidda, H.-A. Krug; Horn, S.; Tagirov, L. R.; Tidecks, R.

    2017-11-01

    In the present work a superconducting Co/CoOx/Cu41Ni59 /Nb/Cu41Ni59 nanoscale thin film heterostructure is investigated, which exhibits a superconducting transition temperature, Tc, depending on the history of magnetic field applied parallel to the film plane. In more detail, around zero applied field, Tc is lower when the field is changed from negative to positive polarity (with respect to the cooling field), compared to the opposite case. We interpret this finding as the result of the generation of the odd-in-frequency triplet component of superconductivity arising at noncollinear orientation of the magnetizations in the Cu41Ni59 layer adjacent to the CoOx layer. This interpretation is supported by superconducting quantum interference device magnetometry, which revealed a correlation between details of the magnetic structure and the observed superconducting spin-valve effects. Readout of information is possible at zero applied field and, thus, no permanent field is required to stabilize both states. Consequently, this system represents a superconducting magnetic random access memory element for superconducting electronics. By applying increased transport currents, the system can be driven to the full switching mode between the completely superconducting and the normal state.

  7. Surface directed phase separation of semiconductor ferroelectric polymer blends and their use in non-volatile memories

    NARCIS (Netherlands)

    Breemen, A.J.J.M. van; Zaba, T.; Khikhlovskyi, V.; Michels, J.; Janssen, R.; Kemerink, M.; Gelinck, G.

    2015-01-01

    The polymer phase separation of P(VDF-TrFE):F8BT blends is studied in detail. Its morphology is key to the operation and performance of memory diodes. In this study, it is demonstrated that it is possible to direct the semiconducting domains of a phase-separating mixture of P(VDF-TrFE) and F8BT in a

  8. Memory for serial order across domains: An overview of the literature and directions for future research.

    Science.gov (United States)

    Hurlstone, Mark J; Hitch, Graham J; Baddeley, Alan D

    2014-03-01

    From vocabulary learning to imitating sequences of motor actions, the ability to plan, represent, and recall a novel sequence of items in the correct order is fundamental for many verbal and nonverbal higher level cognitive activities. Here we review phenomena of serial order documented across the verbal, visual, and spatial short-term memory domains and interpret them with reference to the principles of serial order and ancillary assumptions instantiated in contemporary computational theories of memory for serial order. We propose that functional similarities across domains buttress the notion that verbal, visual, and spatial sequences are planned and controlled by a competitive queuing (CQ) mechanism in which items are simultaneously active in parallel and the strongest item is chosen for output. Within the verbal short-term memory CQ system, evidence suggests that serial order is represented via a primacy gradient, position marking, response suppression, and cumulative matching. Evidence further indicates that output interference operates during recall and that item similarity effects manifest during both serial order encoding and retrieval. By contrast, the principles underlying the representation of serial order in the visual and spatial CQ systems are unclear, largely because the relevant studies have yet to be performed. In the spatial domain, there is some evidence for a primacy gradient and position marking, whereas in the visual domain there is no direct evidence for either of the principles of serial order. We conclude by proposing some directions for future research designed to bridge this and other theoretical gaps in the literature.

  9. European union water policy--tasks for implementing "Water Framework Directive" in pre-accession countries.

    Science.gov (United States)

    Sözen, Seval; Avcioglu, Ebru; Ozabali, Asli; Görgun, Erdem; Orhon, Derin

    2003-08-01

    Water Framework Directive aiming to maintain and improve the aquatic environment in the EU was launched by the European Parliament in 2000. According to this directive, control of quantity is an ancillary element in securing good water quality and therefore measures on quantity, serving the objective of ensuring good quality should also be established. Accordingly, it is a comprehensive and coordinated package that will ensure all European waters to be protected according to a common standard. Therefore, it refers to all other Directives related to water resources management such as Urban Wastewater Treatment Directive Nitrates Directive, Drinking Water Directive, Integrated Pollution Prevention Control etc. Turkey, as a candidate state targeting full-membership, should comply the necessary preparations for the implementation of the "Water Framework Directive" as soon as possible. In this study, the necessary legislative, political, institutional, and technical attempts of the pre-accession countries have been discussed and effective recommendations have been offered for future activities in Turkey.

  10. Direct Electrical Stimulation of the Human Entorhinal Region and Hippocampus Impairs Memory.

    Science.gov (United States)

    Jacobs, Joshua; Miller, Jonathan; Lee, Sang Ah; Coffey, Tom; Watrous, Andrew J; Sperling, Michael R; Sharan, Ashwini; Worrell, Gregory; Berry, Brent; Lega, Bradley; Jobst, Barbara C; Davis, Kathryn; Gross, Robert E; Sheth, Sameer A; Ezzyat, Youssef; Das, Sandhitsu R; Stein, Joel; Gorniak, Richard; Kahana, Michael J; Rizzuto, Daniel S

    2016-12-07

    Deep brain stimulation (DBS) has shown promise for treating a range of brain disorders and neurological conditions. One recent study showed that DBS in the entorhinal region improved the accuracy of human spatial memory. Based on this line of work, we performed a series of experiments to more fully characterize the effects of DBS in the medial temporal lobe on human memory. Neurosurgical patients with implanted electrodes performed spatial and verbal-episodic memory tasks. During the encoding periods of both tasks, subjects received electrical stimulation at 50 Hz. In contrast to earlier work, electrical stimulation impaired memory performance significantly in both spatial and verbal tasks. Stimulation in both the entorhinal region and hippocampus caused decreased memory performance. These findings indicate that the entorhinal region and hippocampus are causally involved in human memory and suggest that refined methods are needed to use DBS in these regions to improve memory. Copyright © 2016 Elsevier Inc. All rights reserved.

  11. Updating appetitive memory during reconsolidation window: critical role of cue-directed behavior and amygdala central nucleus.

    Science.gov (United States)

    Olshavsky, Megan E; Song, Bryan J; Powell, Daniel J; Jones, Carolyn E; Monfils, Marie-H; Lee, Hongjoo J

    2013-01-01

    When presented with a light cue followed by food, some rats simply approach the foodcup (Nonorienters), while others first orient to the light in addition to displaying the food-cup approach behavior (Orienters). Cue-directed orienting may reflect enhanced attentional and/or emotional processing of the cue, suggesting divergent natures of cue-information processing in Orienters and Nonorienters. The current studies investigate how differences in cue processing might manifest in appetitive memory retrieval and updating using a paradigm developed to persistently attenuate fear responses (Retrieval-extinction paradigm; Monfils et al., 2009). First, we examined whether the retrieval-extinction paradigm could attenuate appetitive responses in Orienters and Nonorienters. Next, we investigated if the appetitive memory could be updated using reversal learning (fear conditioning) during the reconsolidation window (as opposed to repeated unreinforced trials, i.e., extinction). Both extinction and new fear learning given within the reconsolidation window were effective at persistently updating the initial appetitive memory in the Orienters, but not the Nonorienters. Since conditioned orienting is mediated by the amygdala central nucleus (CeA), our final experiment examined the CeA's role in the retrieval-extinction process. Bilateral CeA lesions interfered with the retrieval-extinction paradigm-did not prevent spontaneous recovery of food-cup approach. Together, our studies demonstrate the critical role of conditioned orienting behavior and the CeA in updating appetitive memory during the reconsolidation window.

  12. Breaking the memory wall in MonetDB

    NARCIS (Netherlands)

    P.A. Boncz (Peter); M.L. Kersten (Martin); S. Manegold (Stefan)

    2008-01-01

    textabstractIn the past decades, advances in speed of commodity CPUs have far outpaced advances in RAM latency. Main-memory access has therefore become a performance bottleneck for many computer applications; a phenomenon that is widely known as the "memory wall." In this paper, we report how

  13. 39% access time improvement, 11% energy reduction, 32 kbit 1-read/1-write 2-port static random-access memory using two-stage read boost and write-boost after read sensing scheme

    Science.gov (United States)

    Yamamoto, Yasue; Moriwaki, Shinichi; Kawasumi, Atsushi; Miyano, Shinji; Shinohara, Hirofumi

    2016-04-01

    We propose novel circuit techniques for 1 clock (1CLK) 1 read/1 write (1R/1W) 2-port static random-access memories (SRAMs) to improve read access time (tAC) and write margins at low voltages. Two-stage read boost (TSR-BST) and write word line boost (WWL-BST) after the read sensing schemes have been proposed. TSR-BST reduces the worst read bit line (RBL) delay by 61% and RBL amplitude by 10% at V DD = 0.5 V, which improves tAC by 39% and reduces energy dissipation by 11% at V DD = 0.55 V. WWL-BST after read sensing scheme improves minimum operating voltage (V min) by 140 mV. A 32 kbit 1CLK 1R/1W 2-port SRAM with TSR-BST and WWL-BST has been developed using a 40 nm CMOS.

  14. Generic database cost models for hierarchical memory systems

    NARCIS (Netherlands)

    S. Manegold (Stefan); P.A. Boncz (Peter); M.L. Kersten (Martin)

    2002-01-01

    textabstractAccurate prediction of operator execution time is a prerequisite fordatabase query optimization. Although extensively studied for conventionaldisk-based DBMSs, cost modeling in main-memory DBMSs is still an openissue. Recent database research has demonstrated that memory access ismore

  15. PIMS: Memristor-Based Processing-in-Memory-and-Storage.

    Energy Technology Data Exchange (ETDEWEB)

    Cook, Jeanine

    2018-02-01

    Continued progress in computing has augmented the quest for higher performance with a new quest for higher energy efficiency. This has led to the re-emergence of Processing-In-Memory (PIM) ar- chitectures that offer higher density and performance with some boost in energy efficiency. Past PIM work either integrated a standard CPU with a conventional DRAM to improve the CPU- memory link, or used a bit-level processor with Single Instruction Multiple Data (SIMD) control, but neither matched the energy consumption of the memory to the computation. We originally proposed to develop a new architecture derived from PIM that more effectively addressed energy efficiency for high performance scientific, data analytics, and neuromorphic applications. We also originally planned to implement a von Neumann architecture with arithmetic/logic units (ALUs) that matched the power consumption of an advanced storage array to maximize energy efficiency. Implementing this architecture in storage was our original idea, since by augmenting storage (in- stead of memory), the system could address both in-memory computation and applications that accessed larger data sets directly from storage, hence Processing-in-Memory-and-Storage (PIMS). However, as our research matured, we discovered several things that changed our original direc- tion, the most important being that a PIM that implements a standard von Neumann-type archi- tecture results in significant energy efficiency improvement, but only about a O(10) performance improvement. In addition to this, the emergence of new memory technologies moved us to propos- ing a non-von Neumann architecture, called Superstrider, implemented not in storage, but in a new DRAM technology called High Bandwidth Memory (HBM). HBM is a stacked DRAM tech- nology that includes a logic layer where an architecture such as Superstrider could potentially be implemented.

  16. The Benefit of Attention-to-Memory Depends on the Interplay of Memory Capacity and Memory Load

    Science.gov (United States)

    Lim, Sung-Joo; Wöstmann, Malte; Geweke, Frederik; Obleser, Jonas

    2018-01-01

    Humans can be cued to attend to an item in memory, which facilitates and enhances the perceptual precision in recalling this item. Here, we demonstrate that this facilitating effect of attention-to-memory hinges on the overall degree of memory load. The benefit an individual draws from attention-to-memory depends on her overall working memory performance, measured as sensitivity (d′) in a retroactive cue (retro-cue) pitch discrimination task. While listeners maintained 2, 4, or 6 auditory syllables in memory, we provided valid or neutral retro-cues to direct listeners’ attention to one, to-be-probed syllable in memory. Participants’ overall memory performance (i.e., perceptual sensitivity d′) was relatively unaffected by the presence of valid retro-cues across memory loads. However, a more fine-grained analysis using psychophysical modeling shows that valid retro-cues elicited faster pitch-change judgments and improved perceptual precision. Importantly, as memory load increased, listeners’ overall working memory performance correlated with inter-individual differences in the degree to which precision improved (r = 0.39, p = 0.029). Under high load, individuals with low working memory profited least from attention-to-memory. Our results demonstrate that retrospective attention enhances perceptual precision of attended items in memory but listeners’ optimal use of informative cues depends on their overall memory abilities. PMID:29520246

  17. The Benefit of Attention-to-Memory Depends on the Interplay of Memory Capacity and Memory Load

    Directory of Open Access Journals (Sweden)

    Sung-Joo Lim

    2018-02-01

    Full Text Available Humans can be cued to attend to an item in memory, which facilitates and enhances the perceptual precision in recalling this item. Here, we demonstrate that this facilitating effect of attention-to-memory hinges on the overall degree of memory load. The benefit an individual draws from attention-to-memory depends on her overall working memory performance, measured as sensitivity (d′ in a retroactive cue (retro-cue pitch discrimination task. While listeners maintained 2, 4, or 6 auditory syllables in memory, we provided valid or neutral retro-cues to direct listeners’ attention to one, to-be-probed syllable in memory. Participants’ overall memory performance (i.e., perceptual sensitivity d′ was relatively unaffected by the presence of valid retro-cues across memory loads. However, a more fine-grained analysis using psychophysical modeling shows that valid retro-cues elicited faster pitch-change judgments and improved perceptual precision. Importantly, as memory load increased, listeners’ overall working memory performance correlated with inter-individual differences in the degree to which precision improved (r = 0.39, p = 0.029. Under high load, individuals with low working memory profited least from attention-to-memory. Our results demonstrate that retrospective attention enhances perceptual precision of attended items in memory but listeners’ optimal use of informative cues depends on their overall memory abilities.

  18. Difference in Subjective Accessibility of On Demand Recall of Visual, Taste, and Olfactory Memories

    Directory of Open Access Journals (Sweden)

    Petr Zach

    2018-01-01

    Full Text Available We present here significant difference in the evocation capability between sensory memories (visual, taste, and olfactory throughout certain categories of the population. As object for this memory recall we selected French fries that are simple and generally known. From daily life we may intuitively feel that there is much better recall of the visual and auditory memory compared to the taste and olfactory ones. Our results in young (age 12–21 years mostly females and some males show low capacity for smell and taste memory recall compared to far greater visual memory recall. This situation raises question whether we could train smell and taste memory recall so that it could become similar to visual or auditory ones. In our article we design technique of the volunteers training that could potentially lead to an increase in the capacity of their taste and olfactory memory recollection.

  19. Variability in visual working memory ability limits the efficiency of perceptual decision making.

    Science.gov (United States)

    Ester, Edward F; Ho, Tiffany C; Brown, Scott D; Serences, John T

    2014-04-02

    The ability to make rapid and accurate decisions based on limited sensory information is a critical component of visual cognition. Available evidence suggests that simple perceptual discriminations are based on the accumulation and integration of sensory evidence over time. However, the memory system(s) mediating this accumulation are unclear. One candidate system is working memory (WM), which enables the temporary maintenance of information in a readily accessible state. Here, we show that individual variability in WM capacity is strongly correlated with the speed of evidence accumulation in speeded two-alternative forced choice tasks. This relationship generalized across different decision-making tasks, and could not be easily explained by variability in general arousal or vigilance. Moreover, we show that performing a difficult discrimination task while maintaining a concurrent memory load has a deleterious effect on the latter, suggesting that WM storage and decision making are directly linked.

  20. Fuzzy-trace theory: dual processes in memory, reasoning, and cognitive neuroscience.

    Science.gov (United States)

    Brainerd, C J; Reyna, V F

    2001-01-01

    reports can be suppressed by retrieving verbatim traces of corresponding true events. In the second domain, the same principles have been invoked to explain why some forms of brain damage lead to elevated levels of false memory and other forms lead to reduced levels of false memory. In the third domain, the principles of gist extraction, fuzzy-to-verbatim continua, and fuzzy-processing preferences have been exploited to formulate a general theory of loci of processing failures in judgment and decision making, cluminating in a developmental account of degrees of rationality that distinguishes more and less advanced reasoning. This theory has in turn been used to formulate local models, such as the inclusion illusions model, that explain the characteristic reasoning errors that are observed on specific judgment and decision-making tasks. Finally, in the fourth domain, a dual-process conception of recall has been derived from the principles of parallel verbatim-gist storage and dissociated verbatim-gist retrieval. In this conception, which has been used to explain cognitive triage effects in recall and robust false recall, targets are recalled either by directly accessing their verbatim traces and reading the retrieved information out of consciousness or by reconstructively processing their gist traces.

  1. Breaking the memory wall in MonetDB

    NARCIS (Netherlands)

    Boncz, P.A.; Kersten, M.L.; Manegold, S.

    2008-01-01

    In the past decades, advances in speed of commodity CPUs have far outpaced advances in RAM latency. Main-memory access has therefore become a performance bottleneck for many computer applications; a phenomenon that is widely known as the "memory wall." In this paper, we report how research around

  2. The Effect of Advertising on Attitude Accessibility, Attitude Confidence, and the Attitude-Behavior Relationship.

    OpenAIRE

    Berger, Ida E; Mitchell, Andrew A

    1989-01-01

    The influence of advertising repetition on several non-evaluative dimensions of attitudes and the strength of the relationship between attitudes and behavior are examined. The results indicate that attitudes formed on the basis of repeated ad exposure are similar to those formed on the basis of direct experience in that they are more accessible from memory, held with more confidence, and are more predictive of subsequent behavior than are attitudes based on a single ad exposure. The results a...

  3. Improving the Performance and Energy Efficiency of Phase Change Memory Systems

    Institute of Scientific and Technical Information of China (English)

    王琪; 李佳芮; 王东辉

    2015-01-01

    Phase change memory (PCM) is a promising technology for future memory thanks to its better scalability and lower leakage power than DRAM (dynamic random-access memory). However, adopting PCM as main memory needs to overcome its write issues, such as long write latency and high write power. In this paper, we propose two techniques to improve the performance and energy-efficiency of PCM memory systems. First, we propose a victim cache technique utilizing the existing buffer in the memory controller to reduce PCM memory accesses. The key idea is reorganizing the buffer into a victim cache structure (RBC) to provide additional hits for the LLC (last level cache). Second, we propose a chip parallelism-aware replacement policy (CPAR) for the victim cache to further improve performance. Instead of evicting one cache line once, CPAR evicts multiple cache lines that access different PCM chips. CPAR can reduce the frequent victim cache eviction and improve the write parallelism of PCM chips. The evaluation results show that, compared with the baseline, RBC can improve PCM memory system performance by up to 9.4% and 5.4% on average. Combing CPAR with RBC (RBC+CPAR) can improve performance by up to 19.0% and 12.1% on average. Moreover, RBC and RBC+CPAR can reduce memory energy consumption by 8.3%and 6.6%on average, respectively.

  4. Shape memory alloys

    International Nuclear Information System (INIS)

    Kaszuwara, W.

    2004-01-01

    Shape memory alloys (SMA), when deformed, have the ability of returning, in certain circumstances, to their initial shape. Deformations related to this phenomenon are for polycrystals 1-8% and up to 15% for monocrystals. The deformation energy is in the range of 10 6 - 10 7 J/m 3 . The deformation is caused by martensitic transformation in the material. Shape memory alloys exhibit one directional or two directional shape memory effect as well as pseudoelastic effect. Shape change is activated by temperature change, which limits working frequency of SMA to 10 2 Hz. Other group of alloys exhibit magnetic shape memory effect. In these alloys martensitic transformation is triggered by magnetic field, thus their working frequency can be higher. Composites containing shape memory alloys can also be used as shape memory materials (applied in vibration damping devices). Another group of composite materials is called heterostructures, in which SMA alloys are incorporated in a form of thin layers The heterostructures can be used as microactuators in microelectromechanical systems (MEMS). Basic SMA comprise: Ni-Ti, Cu (Cu-Zn,Cu-Al, Cu-Sn) and Fe (Fe-Mn, Fe-Cr-Ni) alloys. Shape memory alloys find applications in such areas: automatics, safety and medical devices and many domestic appliances. Currently the most important appears to be research on magnetic shape memory materials and high temperature SMA. Vital from application point of view are composite materials especially those containing several intelligent materials. (author)

  5. Working memory predicts the rejection of false memories.

    Science.gov (United States)

    Leding, Juliana K

    2012-01-01

    The relationship between working memory capacity (WMC) and false memories in the memory conjunction paradigm was explored. Previous research using other paradigms has shown that individuals high in WMC are not as likely to experience false memories as low-WMC individuals, the explanation being that high-WMC individuals are better able to engage in source monitoring. In the memory conjunction paradigm participants are presented at study with parent words (e.g., eyeglasses, whiplash). At test, in addition to being presented with targets and foils, participants are presented with lures that are composed of previously studied features (e.g., eyelash). It was found that high-WMC individuals had lower levels of false recognition than low-WMC individuals. Furthermore, recall-to-reject responses were analysed (e.g., "I know I didn't see eyelash because I remember seeing eyeglasses") and it was found that high-WMC individuals were more likely to utilise this memory editing strategy, providing direct evidence that one reason that high-WMC individuals are not as prone to false memories is because they are better able to engage in source monitoring.

  6. Generic Database Cost Models for Hierarchical Memory Systems

    NARCIS (Netherlands)

    S. Manegold (Stefan); P.A. Boncz (Peter); M.L. Kersten (Martin)

    2002-01-01

    textabstractAccurate prediction of operator execution time is a prerequisite for database query optimization. Although extensively studied for conventional disk-based DBMSs, cost modeling in main-memory DBMSs is still an open issue. Recent database research has demonstrated that memory access is

  7. Hybrid Josephson-CMOS Memory in Advanced Technologies and Larger Sizes

    International Nuclear Information System (INIS)

    Liu, Q; Van Duzer, T; Fujiwara, K; Yoshikawa, N

    2006-01-01

    Recent progress on demonstrating components of the 64 kb Josephson-CMOS hybrid memory has encouraged exploration of the advancement possible with use of advanced technologies for both the Josephson and CMOS parts of the memory, as well as considerations of the effect of memory size on access time and power dissipation. The simulations to be reported depend on the use of an approximate model for 90 nm CMOS at 4 K. This model is an extension of the one we developed for 0.25 μm CMOS and have already verified. For the Josephson parts, we have chosen 20 kA/cm 2 technology, which was recently demonstrated. The calculations show that power dissipation and access time increase rather slowly with increasing size of the memory

  8. 45 CFR 2490.150 - Program accessibility: Existing facilities.

    Science.gov (United States)

    2010-10-01

    ... 45 Public Welfare 4 2010-10-01 2010-10-01 false Program accessibility: Existing facilities. 2490.150 Section 2490.150 Public Welfare Regulations Relating to Public Welfare (Continued) JAMES MADISON... ACTIVITIES CONDUCTED BY THE JAMES MADISON MEMORIAL FELLOWSHIP FOUNDATION § 2490.150 Program accessibility...

  9. A review of emerging non-volatile memory (NVM) technologies and applications

    Science.gov (United States)

    Chen, An

    2016-11-01

    This paper will review emerging non-volatile memory (NVM) technologies, with the focus on phase change memory (PCM), spin-transfer-torque random-access-memory (STTRAM), resistive random-access-memory (RRAM), and ferroelectric field-effect-transistor (FeFET) memory. These promising NVM devices are evaluated in terms of their advantages, challenges, and applications. Their performance is compared based on reported parameters of major industrial test chips. Memory selector devices and cell structures are discussed. Changing market trends toward low power (e.g., mobile, IoT) and data-centric applications create opportunities for emerging NVMs. High-performance and low-cost emerging NVMs may simplify memory hierarchy, introduce non-volatility in logic gates and circuits, reduce system power, and enable novel architectures. Storage-class memory (SCM) based on high-density NVMs could fill the performance and density gap between memory and storage. Some unique characteristics of emerging NVMs can be utilized for novel applications beyond the memory space, e.g., neuromorphic computing, hardware security, etc. In the beyond-CMOS era, emerging NVMs have the potential to fulfill more important functions and enable more efficient, intelligent, and secure computing systems.

  10. Dopaminergic inputs in the dentate gyrus direct the choice of memory encoding

    International Nuclear Information System (INIS)

    Du, Huiyun; Deng, Wei; Aimone, James B.; Ge, Minyan; Parylak, Sarah

    2016-01-01

    Rewarding experiences are often well remembered, and such memory formation is known to be dependent on dopamine modulation of the neural substrates engaged in learning and memory; however, it is unknown how and where in the brain dopamine signals bias episodic memory toward preceding rather than subsequent events. Here we found that photostimulation of channelrhodopsin-2–expressing dopaminergic fibers in the dentate gyrus induced a long-term depression of cortical inputs, diminished theta oscillations, and impaired subsequent contextual learning. Computational modeling based on this dopamine modulation indicated an asymmetric association of events occurring before and after reward in memory tasks. In subsequent behavioral experiments, preexposure to a natural reward suppressed hippocampus-dependent memory formation, with an effective time window consistent with the duration of dopamine-induced changes of dentate activity. Altogether, our results suggest a mechanism by which dopamine enables the hippocampus to encode memory with reduced interference from subsequent experience.

  11. Lowering data retention voltage in static random access memory array by post fabrication self-improvement of cell stability by multiple stress application

    Science.gov (United States)

    Mizutani, Tomoko; Takeuchi, Kiyoshi; Saraya, Takuya; Kobayashi, Masaharu; Hiramoto, Toshiro

    2018-04-01

    We propose a new version of the post fabrication static random access memory (SRAM) self-improvement technique, which utilizes multiple stress application. It is demonstrated that, using a device matrix array (DMA) test element group (TEG) with intrinsic channel fully depleted (FD) silicon-on-thin-buried-oxide (SOTB) six-transistor (6T) SRAM cells fabricated by the 65 nm technology, the lowering of data retention voltage (DRV) is more effectively achieved than using the previously proposed single stress technique.

  12. Accuracy and confidence of visual short-term memory do not go hand-in-hand: behavioral and neural dissociations.

    Directory of Open Access Journals (Sweden)

    Silvia Bona

    Full Text Available Currently influential models of working memory posit that memory content is highly accessible to conscious inspection. These models predict that metacognition of memory performance should go hand-in-hand with the accuracy of the underlying memory representation. To test this view, we investigated how visual information presented during the maintenance period affects VSTM accuracy and confidence. We used a delayed cue-target orientation discrimination task in which participants were asked to hold in memory a grating, and during the maintenance period a second memory cue could be presented. VSTM accuracy of the first memory cue was impaired when the orientation of the second memory cue was sufficiently different. However, participants' response confidence was reduced whenever the second memory cue was presented; thus VSTM accuracy and confidence were dissociated. In a second experiment, we applied transcranial direct current stimulation (tDCS over the right dorsolateral prefrontal cortex (DLPFC to investigate the causal role of this region in VSTM metacognition. Relative to the sham condition, anodal tDCS induced a general reduction in confidence ratings but did not affect VSTM accuracy. Overall, these results indicate that our metacognition of memory performance is influenced by factors other than the accuracy of the underlying memory representation.

  13. Accuracy and Confidence of Visual Short-Term Memory Do Not Go Hand-In-Hand: Behavioral and Neural Dissociations

    Science.gov (United States)

    Bona, Silvia; Silvanto, Juha

    2014-01-01

    Currently influential models of working memory posit that memory content is highly accessible to conscious inspection. These models predict that metacognition of memory performance should go hand-in-hand with the accuracy of the underlying memory representation. To test this view, we investigated how visual information presented during the maintenance period affects VSTM accuracy and confidence. We used a delayed cue–target orientation discrimination task in which participants were asked to hold in memory a grating, and during the maintenance period a second memory cue could be presented. VSTM accuracy of the first memory cue was impaired when the orientation of the second memory cue was sufficiently different. However, participants' response confidence was reduced whenever the second memory cue was presented; thus VSTM accuracy and confidence were dissociated. In a second experiment, we applied transcranial direct current stimulation (tDCS) over the right dorsolateral prefrontal cortex (DLPFC) to investigate the causal role of this region in VSTM metacognition. Relative to the sham condition, anodal tDCS induced a general reduction in confidence ratings but did not affect VSTM accuracy. Overall, these results indicate that our metacognition of memory performance is influenced by factors other than the accuracy of the underlying memory representation. PMID:24663094

  14. Design and implementation of an automatic acquisition card with direct memory incrementing intended for a multichannel analyser

    International Nuclear Information System (INIS)

    Al-Ani, Tarik Hesen

    1984-01-01

    This study presents a contribution to the implementation of a multichannel analyser, based on recent technology in order to give elaborated results to the user. This instrument will be designed using modular cards compatible with an Intel, Multi-bus System. The main purpose of this thesis consists in the study and design of the logical card establishing automatically an histogram in the memory of a micro-computer (Direct Memory Increment or DMI). This card allows the connection of up to four analog to digital converters and does the incrementing of the data in memory at the address delivered by an analog to digital Wilkinson type converter (400 MHz) designed at CEA. It allows: - 4 independent inputs working simultaneously with an average input rate of 87500 events/second for 16000 channels of 2 32 bits and with a time resolution of 1 μs; - 3 acquisition modes: histogram, multi-scaling and list; - calculation of the real and live times independently for the 4 inputs. In addition, this card provides the interfacing capability for a line printer, a sample driver and a 'mouse'. (author) [fr

  15. Source coherence impairments in a direct detection direct sequence optical code-division multiple-access system.

    Science.gov (United States)

    Fsaifes, Ihsan; Lepers, Catherine; Lourdiane, Mounia; Gallion, Philippe; Beugin, Vincent; Guignard, Philippe

    2007-02-01

    We demonstrate that direct sequence optical code- division multiple-access (DS-OCDMA) encoders and decoders using sampled fiber Bragg gratings (S-FBGs) behave as multipath interferometers. In that case, chip pulses of the prime sequence codes generated by spreading in time-coherent data pulses can result from multiple reflections in the interferometers that can superimpose within a chip time duration. We show that the autocorrelation function has to be considered as the sum of complex amplitudes of the combined chip as the laser source coherence time is much greater than the integration time of the photodetector. To reduce the sensitivity of the DS-OCDMA system to the coherence time of the laser source, we analyze the use of sparse and nonperiodic quadratic congruence and extended quadratic congruence codes.

  16. Source coherence impairments in a direct detection direct sequence optical code-division multiple-access system

    Science.gov (United States)

    Fsaifes, Ihsan; Lepers, Catherine; Lourdiane, Mounia; Gallion, Philippe; Beugin, Vincent; Guignard, Philippe

    2007-02-01

    We demonstrate that direct sequence optical code- division multiple-access (DS-OCDMA) encoders and decoders using sampled fiber Bragg gratings (S-FBGs) behave as multipath interferometers. In that case, chip pulses of the prime sequence codes generated by spreading in time-coherent data pulses can result from multiple reflections in the interferometers that can superimpose within a chip time duration. We show that the autocorrelation function has to be considered as the sum of complex amplitudes of the combined chip as the laser source coherence time is much greater than the integration time of the photodetector. To reduce the sensitivity of the DS-OCDMA system to the coherence time of the laser source, we analyze the use of sparse and nonperiodic quadratic congruence and extended quadratic congruence codes.

  17. An energy efficient and high speed architecture for convolution computing based on binary resistive random access memory

    Science.gov (United States)

    Liu, Chen; Han, Runze; Zhou, Zheng; Huang, Peng; Liu, Lifeng; Liu, Xiaoyan; Kang, Jinfeng

    2018-04-01

    In this work we present a novel convolution computing architecture based on metal oxide resistive random access memory (RRAM) to process the image data stored in the RRAM arrays. The proposed image storage architecture shows performances of better speed-device consumption efficiency compared with the previous kernel storage architecture. Further we improve the architecture for a high accuracy and low power computing by utilizing the binary storage and the series resistor. For a 28 × 28 image and 10 kernels with a size of 3 × 3, compared with the previous kernel storage approach, the newly proposed architecture shows excellent performances including: 1) almost 100% accuracy within 20% LRS variation and 90% HRS variation; 2) more than 67 times speed boost; 3) 71.4% energy saving.

  18. Improved characteristics of amorphous indium-gallium-zinc-oxide-based resistive random access memory using hydrogen post-annealing

    Energy Technology Data Exchange (ETDEWEB)

    Kang, Dae Yun; Lee, Tae-Ho; Kim, Tae Geun, E-mail: tgkim1@korea.ac.kr [School of Electrical Engineering, Korea University, Seoul 02841 (Korea, Republic of)

    2016-08-15

    The authors report an improvement in resistive switching (RS) characteristics of amorphous indium-gallium-zinc-oxide (a-IGZO)-based resistive random access memory devices using hydrogen post-annealing. Because this a-IGZO thin film has oxygen off-stoichiometry in the form of deficient and excessive oxygen sites, the film properties can be improved by introducing hydrogen atoms through the annealing process. After hydrogen post-annealing, the device exhibited a stable bipolar RS, low-voltage set and reset operation, long retention (>10{sup 5 }s), good endurance (>10{sup 6} cycles), and a narrow distribution in each current state. The effect of hydrogen post-annealing is also investigated by analyzing the sample surface using X-ray photon spectroscopy and atomic force microscopy.

  19. Recollection Rejection: How Children Edit Their False Memories.

    Science.gov (United States)

    Brainerd, C. J.; Reyna, V. F.

    2002-01-01

    Presents new measure of children's use of an editing operation that suppresses false memories by accessing verbatim traces of true events. Application of the methodology showed that false-memory editing increased dramatically between early and middle childhood. Measure reacted appropriately to experimental manipulations. Developmental reductions…

  20. Gender Barriers to Access to Antiretroviral Therapy and its Link to ...

    African Journals Online (AJOL)

    Gender Barriers to Access to Antiretroviral Therapy and its Link to ... to assess executive function, verbal fluency, working memory, learning memory, recall, ... there were no gender differences in performance in the neuropsychological testing.

  1. Forgetting: the availability, accessibility, and intentional control problem. Part 2

    Directory of Open Access Journals (Sweden)

    Veronika V. Nourkova

    2016-12-01

    Full Text Available The paper focuses on the phenomenon of forgetting as a primal and generally productive memory process. The cases that require temporary and permanent forgetting of the data stored in the long-term memory are contrasted. The main methodological obstacle in forgetting research is identified as arising from the logical prohibition to argument from the negative, i.e. “the evidence of absence is not the evidence of absence”. Two mechanisms of forgetting are discussed in the paper: transformation of the memory trace and modulation of trace accessibility. The former mechanism of forgetting consists of memory trace destruction (memory trace decay, retroactive and proactive interference, and «catastrophic» interference or its transformation that leads to forming a new memory representation. The most promising way to legitimize the trace destruction mechanism is narrowing the further research to episodic memory subsystem. The latter mechanism of forgetting consists of both passive failure in access to appropriate memory content (the tip of the tongue phenomenon, the category size effect, the fan effect and the process of active retrieval inhibition. This phenomenon represents temporary inhibition of competing semantically similar responses in semantic memory, and motivational inhibition of self-deprecating memories in autobiographical memory. Thus, a variety of experimental paradigms in intentional forgetting research are considered. Contrary to the common claim that forgetting is а universal and homogeneous phenomenon, we propose that forgetting strategies might vary in different memory subsystems, and also depend on activity characteristics during encoding, storage and retrieval.

  2. The aftermath of memory retrieval for recycling visual working memory representations.

    Science.gov (United States)

    Park, Hyung-Bum; Zhang, Weiwei; Hyun, Joo-Seok

    2017-07-01

    We examined the aftermath of accessing and retrieving a subset of information stored in visual working memory (VWM)-namely, whether detection of a mismatch between memory and perception can impair the original memory of an item while triggering recognition-induced forgetting for the remaining, untested items. For this purpose, we devised a consecutive-change detection task wherein two successive testing probes were displayed after a single set of memory items. Across two experiments utilizing different memory-testing methods (whole vs. single probe), we observed a reliable pattern of poor performance in change detection for the second test when the first test had exhibited a color change. The impairment after a color change was evident even when the same memory item was repeatedly probed; this suggests that an attention-driven, salient visual change made it difficult to reinstate the previously remembered item. The second change detection, for memory items untested during the first change detection, was also found to be inaccurate, indicating that recognition-induced forgetting had occurred for the unprobed items in VWM. In a third experiment, we conducted a task that involved change detection plus continuous recall, wherein a memory recall task was presented after the change detection task. The analyses of the distributions of recall errors with a probabilistic mixture model revealed that the memory impairments from both visual changes and recognition-induced forgetting are explained better by the stochastic loss of memory items than by their degraded resolution. These results indicate that attention-driven visual change and recognition-induced forgetting jointly influence the "recycling" of VWM representations.

  3. Storage and binding of object features in visual working memory

    OpenAIRE

    Bays, Paul M; Wu, Emma Y; Husain, Masud

    2010-01-01

    An influential conception of visual working memory is of a small number of discrete memory “slots”, each storing an integrated representation of a single visual object, including all its component features. When a scene contains more objects than there are slots, visual attention controls which objects gain access to memory.

  4. Classic and contemporary perspectives on memory

    Directory of Open Access Journals (Sweden)

    Bentosela, Mariana

    2009-06-01

    Full Text Available Humans organize past events as “memories”, i.e. what we learn is encoded and stored in the brain, and by accumulating relevant environmental information we better cope with similar situations in the future. The main research on memory began in the 70s and the most important conclusions have been derived, on the one hand, from animal experiments, and on the other hand, from clinical studies of amnesic patients. Many classification systems of memory have been proposed. First, authors have distinguished among the different phases involved in the process of memory formation: short-term and long-term memory. Second, declarative and non-declarative memories have been recognized. Last, researchers have conceived the need to evaluate memory in a systematic manner through behavioral as well as pharmacological means, in different moments or times to be able to have independent access to the study of the different memory phases or types of memory. This approach to the study of memory has allowed evaluating drug effects on memory and also understanding the molecular mechanisms involved in memory processes. In addition, this research has also aimed at studying brain regions implicated in the diverse memory phenomena under investigation.

  5. An Account of the Accessioned Specimens in the Jose Vera Santos Memorial Herbarium, University of the Philippines Diliman

    Directory of Open Access Journals (Sweden)

    Sandra L. Yap

    2013-12-01

    Full Text Available The University of the Philippines Herbarium was established in 1908 and originally located in Ermita, Manila. The majority of its pre-war collections were destroyed during World War II, and no formal records of its specimens were preserved. Since then, multiple efforts to restore and improve the Herbarium have been proposed and implemented, most notably its move to the UP Diliman campus. In 1999, the Herbarium was off icially renamed as the Jose Vera Santos Memorial Herbarium after the noted grass expert, who initiated rehabilitation work in the Herbarium after the war. The Herbarium is registered with the international code PUH in the Index Herbariorum, a global directory of public herbaria managed by the New York Botanical Garden. To assess the accessioned (uniquely numbered and recorded collection of the Herbarium, an electronic database of its accessions was created.The Herbarium currently contains 14,648 accessions, 12,681 (86.6% of which were collected in the Philippines. This is comprised of 309 families, 1903 genera, and 4485 distinct species. Thirty-nine type specimens form part of the collection, only one of which is a holotype. On the basis of major plant groups, angiosperms make up 71% of the collection. Unsurprisingly, Family Poaceae has the largest number of specimens at 2,759 accessions. The earliest dated Philippine specimen was collected by E.D. Merrill in 1902, and roughly half of the total accessioned specimens were collected in the 1950s and 1970s. The two most prolif ic collectors were Santos and Leonardo L. Co, with 2,320 and 2,147 specimens, respectively. Luzon is the most well-represented island group with 2,752 specimens collected in Metro Manila alone. At present, PUH Curator James V. LaFrankie is working on the expansion of the collection and upgrading of the herbarium to encourage future educational and research activities.

  6. Event memory and moving in a well-known environment.

    Science.gov (United States)

    Tamplin, Andrea K; Krawietz, Sabine A; Radvansky, Gabriel A; Copeland, David E

    2013-11-01

    Research in narrative comprehension has repeatedly shown that when people read about characters moving in well-known environments, the accessibility of object information follows a spatial gradient. That is, the accessibility of objects is best when they are in the same room as the protagonist, and it becomes worse the farther away they are see, e.g., Morrow, Greenspan, & Bower, (Journal of Memory and Language, 26, 165-187, 1987). In the present study, we assessed this finding using an interactive environment in which we had people memorize a map and navigate a virtual simulation of the area. During navigation, people were probed with pairs of object names and indicated whether both objects were in the same room. In contrast to the narrative studies described above, several experiments showed no evidence of a clear spatial gradient. Instead, memory for objects in currently occupied locations (e.g., the location room) was more accessible, especially after a small delay, but no clear decline was evident in the accessibility of information in memory with increased distance. Also, memory for objects along the pathway of movement (i.e., rooms that a person only passed through) showed a transitory suppression effect that was present immediately after movement, but attenuated over time. These results were interpreted in light of the event horizon model of event cognition.

  7. What is the effect of basic emotions on directed forgetting ? Investigating the role of basic emotions in memory.

    Directory of Open Access Journals (Sweden)

    Artur Marchewka

    2016-08-01

    Full Text Available Studies presenting memory-facilitating effect of emotions typically focused on affective dimensions of arousal and valence. Little is known, however, about the extent to which stimulus-driven basic emotions could have distinct effects on memory. In the present paper we sought to examine the modulatory effect of disgust, fear and sadness on intentional remembering and forgetting using widely used item-method directed forgetting paradigm. Eighteen women underwent fMRI scanning during encoding phase in which they were asked either to remember (R or to forget (F pictures. In the test phase all previously used stimuli were re-presented together with the same number of new pictures and participants had to categorize them as old or new, irrespective of the F/R instruction. On the behavioral level we found a typical directed forgetting effect, i.e. higher recognition rates for to-be-remembered (TBR items than to-be-forgotten (TBF ones for both neutral and emotional categories. Emotional stimuli had higher recognition rate than neutral ones, while among emotional those eliciting disgust produced highest recognition, but at the same time induced more false alarms. Therefore when false alarm corrected recognition was examined the directed forgetting effect was equally strong irrespective of emotion. Additionally, even though subjects rated disgusting pictures as more arousing and negative than other picture categories, logistic regression on the item level showed that the effect of disgust on recognition memory was stronger than the effect of arousal or valence. On the neural level, ROI analyses (with valence and arousal covariates revealed that correctly recognized disgusting stimuli evoked the highest activity in the left amygdala compared to all other categories. This structure was also more activated for remembered vs. forgotten stimuli, but only in case of disgust or fear eliciting pictures. Our findings, despite several limitations, suggest that

  8. Retrieval-Induced Inhibition in Short-Term Memory.

    Science.gov (United States)

    Kang, Min-Suk; Choi, Joongrul

    2015-07-01

    We used a visual illusion called motion repulsion as a model system for investigating competition between two mental representations. Subjects were asked to remember two random-dot-motion displays presented in sequence and then to report the motion directions for each. Remembered motion directions were shifted away from the actual motion directions, an effect similar to the motion repulsion observed during perception. More important, the item retrieved second showed greater repulsion than the item retrieved first. This suggests that earlier retrieval exerted greater inhibition on the other item being held in short-term memory. This retrieval-induced motion repulsion could be explained neither by reduced cognitive resources for maintaining short-term memory nor by continued inhibition between short-term memory representations. These results indicate that retrieval of memory representations inhibits other representations in short-term memory. We discuss mechanisms of retrieval-induced inhibition and their implications for the structure of memory. © The Author(s) 2015.

  9. Beyond the magic number four: Remapping high-capacity, pre-attentive, fragile working memory

    NARCIS (Netherlands)

    Zerr, P.; Gayet, S.; Mulder, K.T.; Sligte, I.G.; Stigchel, S. van der

    2017-01-01

    Visual short term memory allows us to access visual information after termination of its retinal input. Generally, a distinction is made between a robust, capacity-limited form (working memory, WM) and high-capacity, pre-attentive, maskable forms (sensory memory, e.g. fragile memory, FM). Eye

  10. Subjective memory complaints are associated with brain activation supporting successful memory encoding.

    Science.gov (United States)

    Hayes, Jessica M; Tang, Lingfei; Viviano, Raymond P; van Rooden, Sanneke; Ofen, Noa; Damoiseaux, Jessica S

    2017-12-01

    Subjective memory complaints, the perceived decline in cognitive abilities in the absence of clinical deficits, may precede Alzheimer's disease. Individuals with subjective memory complaints show differential brain activation during memory encoding; however, whether such differences contribute to successful memory formation remains unclear. Here, we investigated how subsequent memory effects, activation which is greater for hits than misses during an encoding task, differed between healthy older adults aged 50 to 85 years with (n = 23) and without (n = 41) memory complaints. Older adults with memory complaints, compared to those without, showed lower subsequent memory effects in the occipital lobe, superior parietal lobe, and posterior cingulate cortex. In addition, older adults with more memory complaints showed a more negative subsequent memory effects in areas of the default mode network, including the posterior cingulate cortex, precuneus, and ventromedial prefrontal cortex. Our findings suggest that for successful memory formation, older adults with subjective memory complaints rely on distinct neural mechanisms which may reflect an overall decreased task-directed attention. Copyright © 2017 Elsevier Inc. All rights reserved.

  11. Multi-step resistive switching behavior of Li-doped ZnO resistance random access memory device controlled by compliance current

    International Nuclear Information System (INIS)

    Lin, Chun-Cheng; Tang, Jian-Fu; Su, Hsiu-Hsien; Hong, Cheng-Shong; Huang, Chih-Yu; Chu, Sheng-Yuan

    2016-01-01

    The multi-step resistive switching (RS) behavior of a unipolar Pt/Li 0.06 Zn 0.94 O/Pt resistive random access memory (RRAM) device is investigated. It is found that the RRAM device exhibits normal, 2-, 3-, and 4-step RESET behaviors under different compliance currents. The transport mechanism within the device is investigated by means of current-voltage curves, in-situ transmission electron microscopy, and electrochemical impedance spectroscopy. It is shown that the ion transport mechanism is dominated by Ohmic behavior under low electric fields and the Poole-Frenkel emission effect (normal RS behavior) or Li + ion diffusion (2-, 3-, and 4-step RESET behaviors) under high electric fields.

  12. Direct data access protocols benchmarking on DPM

    Science.gov (United States)

    Furano, Fabrizio; Devresse, Adrien; Keeble, Oliver; Mancinelli, Valentina

    2015-12-01

    The Disk Pool Manager is an example of a multi-protocol, multi-VO system for data access on the Grid that went though a considerable technical evolution in the last years. Among other features, its architecture offers the opportunity of testing its different data access frontends under exactly the same conditions, including hardware and backend software. This characteristic inspired the idea of collecting monitoring information from various testbeds in order to benchmark the behaviour of the HTTP and Xrootd protocols for the use case of data analysis, batch or interactive. A source of information is the set of continuous tests that are run towards the worldwide endpoints belonging to the DPM Collaboration, which accumulated relevant statistics in its first year of activity. On top of that, the DPM releases are based on multiple levels of automated testing that include performance benchmarks of various kinds, executed regularly every day. At the same time, the recent releases of DPM can report monitoring information about any data access protocol to the same monitoring infrastructure that is used to monitor the Xrootd deployments. Our goal is to evaluate under which circumstances the HTTP-based protocols can be good enough for batch or interactive data access. In this contribution we show and discuss the results that our test systems have collected under the circumstances that include ROOT analyses using TTreeCache and stress tests on the metadata performance.

  13. Memory Dysfunction

    Science.gov (United States)

    Matthews, Brandy R.

    2015-01-01

    Purpose of Review: This article highlights the dissociable human memory systems of episodic, semantic, and procedural memory in the context of neurologic illnesses known to adversely affect specific neuroanatomic structures relevant to each memory system. Recent Findings: Advances in functional neuroimaging and refinement of neuropsychological and bedside assessment tools continue to support a model of multiple memory systems that are distinct yet complementary and to support the potential for one system to be engaged as a compensatory strategy when a counterpart system fails. Summary: Episodic memory, the ability to recall personal episodes, is the subtype of memory most often perceived as dysfunctional by patients and informants. Medial temporal lobe structures, especially the hippocampal formation and associated cortical and subcortical structures, are most often associated with episodic memory loss. Episodic memory dysfunction may present acutely, as in concussion; transiently, as in transient global amnesia (TGA); subacutely, as in thiamine deficiency; or chronically, as in Alzheimer disease. Semantic memory refers to acquired knowledge about the world. Anterior and inferior temporal lobe structures are most often associated with semantic memory loss. The semantic variant of primary progressive aphasia (svPPA) is the paradigmatic disorder resulting in predominant semantic memory dysfunction. Working memory, associated with frontal lobe function, is the active maintenance of information in the mind that can be potentially manipulated to complete goal-directed tasks. Procedural memory, the ability to learn skills that become automatic, involves the basal ganglia, cerebellum, and supplementary motor cortex. Parkinson disease and related disorders result in procedural memory deficits. Most memory concerns warrant bedside cognitive or neuropsychological evaluation and neuroimaging to assess for specific neuropathologies and guide treatment. PMID:26039844

  14. The distribution and the functions of autobiographical memories: Why do older adults remember autobiographical memories from their youth?

    Science.gov (United States)

    Wolf, Tabea; Zimprich, Daniel

    2016-09-01

    In the present study, the distribution of autobiographical memories was examined from a functional perspective: we examined whether the extent to which long-term autobiographical memories were rated as having a self-, a directive, or a social function affects the location (mean age) and scale (standard deviation) of the memory distribution. Analyses were based on a total of 5598 autobiographical memories generated by 149 adults aged between 50 and 81 years in response to 51 cue-words. Participants provided their age at the time when the recalled events had happened and rated how frequently they recall these events for self-, directive, and social purposes. While more frequently using autobiographical memories for self-functions was associated with an earlier mean age, memories frequently shared with others showed a narrower distribution around a later mean age. The directive function, by contrast, did not affect the memory distribution. The results strengthen the assumption that experiences from an individual's late adolescence serve to maintain a sense of self-continuity throughout the lifespan. Experiences that are frequently shared with others, in contrast, stem from a narrow age range located in young adulthood.

  15. Evidence for parallel consolidation of motion direction and orientation into visual short-term memory.

    Science.gov (United States)

    Rideaux, Reuben; Apthorp, Deborah; Edwards, Mark

    2015-02-12

    Recent findings have indicated the capacity to consolidate multiple items into visual short-term memory in parallel varies as a function of the type of information. That is, while color can be consolidated in parallel, evidence suggests that orientation cannot. Here we investigated the capacity to consolidate multiple motion directions in parallel and reexamined this capacity using orientation. This was achieved by determining the shortest exposure duration necessary to consolidate a single item, then examining whether two items, presented simultaneously, could be consolidated in that time. The results show that parallel consolidation of direction and orientation information is possible, and that parallel consolidation of direction appears to be limited to two. Additionally, we demonstrate the importance of adequate separation between feature intervals used to define items when attempting to consolidate in parallel, suggesting that when multiple items are consolidated in parallel, as opposed to serially, the resolution of representations suffer. Finally, we used facilitation of spatial attention to show that the deterioration of item resolution occurs during parallel consolidation, as opposed to storage. © 2015 ARVO.

  16. Read method compensating parasitic sneak currents in a crossbar memristive memory

    KAUST Repository

    Zidan, Mohammed A.

    2017-03-02

    Methods are provided for mitigating problems caused by sneak- paths current during memory cell access in gateless arrays. Example methods contemplated herein utilize adaptive-threshold readout techniques that utilize the locality and hierarchy properties of the computer memory system to address this sneak-paths problem. The method of the invention is a method for reading a target memory cell located at an intersection of a target row of a gateless array and a target column of the gateless array, the method comprising: -reading a value of the target memory cell; and -calculating an actual value of the target memory cell based on the read value of the memory cell and a component of the read value caused by sneak path current. Utilizing either an "initial bits" strategy or a "dummy bits" strategy in order to calculate the component of the read value caused by sneak path current, example embodiments significantly reduce the number of memory accesses pixel for an array readout. In addition, these strategies consume an order of magnitude less power in comparison to alternative state-of-the-art readout techniques.

  17. Saying What's on Your Mind: Working Memory Effects on Sentence Production

    Science.gov (United States)

    Slevc, L. Robert

    2011-01-01

    The role of working memory (WM) in sentence comprehension has received considerable interest, but little work has investigated how sentence production relies on memory mechanisms. Three experiments investigated speakers' tendency to produce syntactic structures that allow for early production of material that is accessible in memory. In Experiment…

  18. With sadness comes accuracy; with happiness, false memory: mood and the false memory effect.

    Science.gov (United States)

    Storbeck, Justin; Clore, Gerald L

    2005-10-01

    The Deese-Roediger-McDermott paradigm lures people to produce false memories. Two experiments examined whether induced positive or negative moods would influence this false memory effect. The affect-as-information hypothesis predicts that, on the one hand, positive affective cues experienced as task-relevant feedback encourage relational processing during encoding, which should enhance false memory effects. On the other hand, negative affective cues are hypothesized to encourage item-specific processing at encoding, which should discourage such effects. The results of Experiment 1 are consistent with these predictions: Individuals in negative moods were significantly less likely to show false memory effects than those in positive moods or those whose mood was not manipulated. Experiment 2 introduced inclusion instructions to investigate whether moods had their effects at encoding or retrieval. The results replicated the false memory finding of Experiment 1 and provide evidence that moods influence the accessibility of lures at encoding, rather than influencing monitoring at retrieval of whether lures were actually presented.

  19. Commentary: cognitive-affective mechanisms and processes in autobiographical memory.

    Science.gov (United States)

    Conway, Martin A

    2003-03-01

    This commentary highlights some of the interesting points to emerge from the preceding papers about the self, social, and directive functions of autobiographical memory. Additionally some cognitive functions are also considered and especially the way in which autobiographical memory supports, constrains, and maintains the goals of the self. Directions for future research into the self, social, directive, and cognitive-affective functions and processes of autobiographical memory are reviewed. Emphasis is placed on future research into the function of autobiographical memory in representations of attachment.

  20. SuperB R&D computing program: HTTP direct access to distributed resources

    Science.gov (United States)

    Fella, A.; Bianchi, F.; Ciaschini, V.; Corvo, M.; Delprete, D.; Diacono, D.; Di Simone, A.; Franchini, P.; Donvito, G.; Giacomini, F.; Gianoli, A.; Longo, S.; Luitz, S.; Luppi, E.; Manzali, M.; Pardi, S.; Perez, A.; Rama, M.; Russo, G.; Santeramo, B.; Stroili, R.; Tomassetti, L.

    2012-12-01

    The SuperB asymmetric energy e+e- collider and detector to be built at the newly founded Nicola Cabibbo Lab will provide a uniquely sensitive probe of New Physics in the flavor sector of the Standard Model. Studying minute effects in the heavy quark and heavy lepton sectors requires a data sample of 75 ab-1 and a luminosity target of 1036cm-2s-1. The increasing network performance also in the Wide Area Network environment and the capability to read data remotely with good efficiency are providing new possibilities and opening new scenarios in the data access field. Subjects like data access and data availability in a distributed environment are key points in the definition of the computing model for an HEP experiment like SuperB. R&D efforts in such a field have been brought on during the last year in order to release the Computing Technical Design Report within 2013. WAN direct access to data has been identified as one of the more interesting viable option; robust and reliable protocols as HTTP/WebDAV and xrootd are the subjects of a specific R&D line in a mid-term scenario. In this work we present the R&D results obtained in the study of new data access technologies for typical HEP use cases, focusing on specific protocols such as HTTP and WebDAV in Wide Area Network scenarios. Reports on efficiency, performance and reliability tests performed in a data analysis context have been described. Future R&D plan includes HTTP and xrootd protocols comparison tests, in terms of performance, efficiency, security and features available.

  1. New directions in research about local memory websites

    NARCIS (Netherlands)

    M. de Kreek (Mike); E.A. van Zoonen (Liesbet)

    2013-01-01

    markdownabstractRecent studies apply highly variable terminology in explaining the benefits of interventions using local memory websites. Our literature review systematizes this terminology into three, clearer levels of analysis that fit neatly into the empowerment framework: concepts on the micro

  2. Unstructured Adaptive Meshes: Bad for Your Memory?

    Science.gov (United States)

    Biswas, Rupak; Feng, Hui-Yu; VanderWijngaart, Rob

    2003-01-01

    This viewgraph presentation explores the need for a NASA Advanced Supercomputing (NAS) parallel benchmark for problems with irregular dynamical memory access. This benchmark is important and necessary because: 1) Problems with localized error source benefit from adaptive nonuniform meshes; 2) Certain machines perform poorly on such problems; 3) Parallel implementation may provide further performance improvement but is difficult. Some examples of problems which use irregular dynamical memory access include: 1) Heat transfer problem; 2) Heat source term; 3) Spectral element method; 4) Base functions; 5) Elemental discrete equations; 6) Global discrete equations. Nonconforming Mesh and Mortar Element Method are covered in greater detail in this presentation.

  3. Conglomerate memory and cosmopolitanism

    Directory of Open Access Journals (Sweden)

    Susannah Ryan

    2016-01-01

    Full Text Available Under what conditions do countries and cultures considered radically different find a basis for allegiance and kinship? What part does memory play in this process? This article responds to these questions in two ways: 1 Through Emmanuel Levinas and Hannah Arendt, I propose that when an other appears in empathetic discourses that both honor difference and cite shared human experiences, seemingly irreconcilable people can develop a sense of mutual responsibility and 2 Conglomerate memory, memories that fuse together others through common pains, contributes to such an appearance. To illustrate this point, I turn to Congolese voices as they are articulated in online American discourses; although currently, authors of online texts typically rely on traditional narrative forms that position Central Africa as incommensurate to Western civilizations, the Internet's worldwide accessibility and intertextual capacities render it a place primed for developing international collectives by connecting memories while maintaining difference.

  4. Generic Database Cost Models for Hierarchical Memory Systems

    OpenAIRE

    Manegold, Stefan; Boncz, Peter; Kersten, Martin

    2002-01-01

    textabstractAccurate prediction of operator execution time is a prerequisite for database query optimization. Although extensively studied for conventional disk-based DBMSs, cost modeling in main-memory DBMSs is still an open issue. Recent database research has demonstrated that memory access is more and more becoming a significant---if not the major---cost component of database operations. If used properly, fast but small cache memories---usually organized in cascading hierarchy between CPU ...

  5. Working Memory Underpins Cognitive Development, Learning, and Education

    Science.gov (United States)

    Cowan, Nelson

    2014-01-01

    Working memory is the retention of a small amount of information in a readily accessible form. It facilitates planning, comprehension, reasoning, and problem solving. I examine the historical roots and conceptual development of the concept and the theoretical and practical implications of current debates about working memory mechanisms. Then, I…

  6. Potentiation of motor sub-networks for motor control but not working memory: Interaction of dACC and SMA revealed by resting-state directed functional connectivity

    Science.gov (United States)

    Diwadkar, Vaibhav A.; Asemi, Avisa; Burgess, Ashley; Chowdury, Asadur; Bressler, Steven L.

    2017-01-01

    The dorsal Anterior Cingulate Cortex (dACC) and the Supplementary Motor Area (SMA) are known to interact during motor coordination behavior. We previously discovered that the directional influences underlying this interaction in a visuo-motor coordination task are asymmetric, with the dACC→SMA influence being significantly greater than that in the reverse direction. To assess the specificity of this effect, here we undertook an analysis of the interaction between dACC and SMA in two distinct contexts. In addition to the motor coordination task, we also assessed these effects during a (n-back) working memory task. We applied directed functional connectivity analysis to these two task paradigms, and also to the rest condition of each paradigm, in which rest blocks were interspersed with task blocks. We report here that the previously known asymmetric interaction between dACC and SMA, with dACC→SMA dominating, was significantly larger in the motor coordination task than the memory task. Moreover the asymmetry between dACC and SMA was reversed during the rest condition of the motor coordination task, but not of the working memory task. In sum, the dACC→SMA influence was significantly greater in the motor task than the memory task condition, and the SMA→dACC influence was significantly greater in the motor rest than the memory rest condition. We interpret these results as suggesting that the potentiation of motor sub-networks during the motor rest condition supports the motor control of SMA by dACC during the active motor task condition. PMID:28278267

  7. Race perception and gaze direction differently impair visual working memory for faces: An event-related potential study.

    Science.gov (United States)

    Sessa, Paola; Dalmaso, Mario

    2016-01-01

    Humans are amazingly experts at processing and recognizing faces, however there are moderating factors of this ability. In the present study, we used the event-related potential technique to investigate the influence of both race and gaze direction on visual working memory (i.e., VWM) face representations. In a change detection task, we orthogonally manipulated race (own-race vs. other-race faces) and eye-gaze direction (direct gaze vs. averted gaze). Participants were required to encode identities of these faces. We quantified the amount of information encoded in VWM by monitoring the amplitude of the sustained posterior contralateral negativity (SPCN) time-locked to the faces. Notably, race and eye-gaze direction differently modulated SPCN amplitude such that other-race faces elicited reduced SPCN amplitudes compared with own-race faces only when displaying a direct gaze. On the other hand, faces displaying averted gaze, independently of their race, elicited increased SPCN amplitudes compared with faces displaying direct gaze. We interpret these findings as denoting that race and eye-gaze direction affect different face processing stages.

  8. Modeling the Role of Working Memory and Episodic Memory in Behavioral Tasks

    OpenAIRE

    Zilli, Eric A.; Hasselmo, Michael E.

    2008-01-01

    The mechanisms of goal-directed behavior have been studied using reinforcement learning theory, but these theoretical techniques have not often been used to address the role of memory systems in performing behavioral tasks. The present work addresses this shortcoming by providing a way in which working memory and episodic memory may be included in the reinforcement learning framework, then simulating the successful acquisition and performance of six behavioral tasks, drawn from or inspired by...

  9. Fabrication of tough epoxy with shape memory effects by UV-assisted direct-ink write printing.

    Science.gov (United States)

    Chen, Kaijuan; Kuang, Xiao; Li, Vincent; Kang, Guozheng; Qi, H Jerry

    2018-03-07

    3D printing of epoxy-based shape memory polymers with high mechanical strength, excellent thermal stability and chemical resistance is highly desirable for practical applications. However, thermally cured epoxy in general is difficult to print directly. There have been limited numbers of successes in printing epoxy but they suffer from relatively poor mechanical properties. Here, we present an ultraviolet (UV)-assisted 3D printing of thermally cured epoxy composites with high tensile toughness via a two-stage curing approach. The ink containing UV curable resin and epoxy oligomer is used for UV-assisted direct-ink write (DIW)-based 3D printing followed by thermal curing of the part containing the epoxy oligomer. The UV curable resin forms a network by photo polymerization after the 1st stage of UV curing, which can maintain the printed architecture at an elevated temperature. The 2nd stage thermal curing of the epoxy oligomer yields an interpenetrating polymer network (IPN) composite with highly enhanced mechanical properties. It is found that the printed IPN epoxy composites enabled by the two-stage curing show isotropic mechanical properties and high tensile toughness. We demonstrated that the 3D-printed high-toughness epoxy composites show good shape memory properties. This UV-assisted DIW 3D printing via a two-stage curing method can broaden the application of 3D printing to fabricate thermoset materials with enhanced tensile toughness and tunable properties for high-performance and functional applications.

  10. Multiple Memory Stores and Operant Conditioning: A Rationale for Memory's Complexity

    Science.gov (United States)

    Meeter, Martijn; Veldkamp, Rob; Jin, Yaochu

    2009-01-01

    Why does the brain contain more than one memory system? Genetic algorithms can play a role in elucidating this question. Here, model animals were constructed containing a dorsal striatal layer that controlled actions, and a ventral striatal layer that controlled a dopaminergic learning signal. Both layers could gain access to three modeled memory…

  11. The role of sleep in declarative memory consolidation--direct evidence by intracranial EEG.

    NARCIS (Netherlands)

    Axmacher, N.; Haupt, S.; Fernandez, G.S.E.; Elger, C.E.; Fell, J.

    2008-01-01

    Two step theories of memory formation assume that an initial learning phase is followed by a consolidation stage. Memory consolidation has been suggested to occur predominantly during sleep. Very recent findings, however, suggest that important steps in memory consolidation occur also during waking

  12. Evaluation of an intervention directed at the modification of memory beliefs in older adults

    NARCIS (Netherlands)

    Schmidt, I.W.; Zwart, J.F; Berg, I.J; Deelman, B.G.

    In clinical practice, memory interventions often aim to improve negative beliefs and expectations about memory in the elderly. The (implicit or explicit) assumption is often that changing beliefs and expectations about memory does not only improve subjective memory judgments, but leads to improved

  13. The two faces of selective memory retrieval: Earlier decline of the beneficial than the detrimental effect with older age.

    Science.gov (United States)

    Aslan, Alp; Schlichting, Andreas; John, Thomas; Bäuml, Karl-Heinz T

    2015-12-01

    Recent work with young adults has shown that, depending on study context access, selective memory retrieval can both impair and improve recall of other memories (Bäuml & Samenieh, 2010). Here, we investigated the 2 opposing effects of selective retrieval in older age. In Experiment 1, we examined 64 younger (20-35 years) and 64 older participants (above 60 years), and manipulated study context access using list-method directed forgetting. Whereas both age groups showed a detrimental effect of selective retrieval on to-be-remembered items, only younger but not older adults showed a beneficial effect on to-be-forgotten items. In Experiment 2, we examined 112 participants from a relatively wide age range (40-85 years), and manipulated study context access by varying the retention interval between study and test. Overall, a detrimental effect of selective retrieval arose when the retention interval was relatively short, but a beneficial effect when the retention interval was prolonged. Critically, the size of the beneficial but not the detrimental effect of retrieval decreased with age and this age-related decline was mediated by individuals' working memory capacity, as measured by the complex operation span task. Together, the results suggest an age-related dissociation in retrieval dynamics, indicating an earlier decline of the beneficial than the detrimental effect of selective retrieval with older age. (c) 2015 APA, all rights reserved).

  14. Are there multiple visual short-term memory stores?

    Science.gov (United States)

    Sligte, Ilja G; Scholte, H Steven; Lamme, Victor A F

    2008-02-27

    Classic work on visual short-term memory (VSTM) suggests that people store a limited amount of items for subsequent report. However, when human observers are cued to shift attention to one item in VSTM during retention, it seems as if there is a much larger representation, which keeps additional items in a more fragile VSTM store. Thus far, it is not clear whether the capacity of this fragile VSTM store indeed exceeds the traditional capacity limits of VSTM. The current experiments address this issue and explore the capacity, stability, and duration of fragile VSTM representations. We presented cues in a change-detection task either just after off-set of the memory array (iconic-cue), 1,000 ms after off-set of the memory array (retro-cue) or after on-set of the probe array (post-cue). We observed three stages in visual information processing 1) iconic memory with unlimited capacity, 2) a four seconds lasting fragile VSTM store with a capacity that is at least a factor of two higher than 3) the robust and capacity-limited form of VSTM. Iconic memory seemed to depend on the strength of the positive after-image resulting from the memory display and was virtually absent under conditions of isoluminance or when intervening light masks were presented. This suggests that iconic memory is driven by prolonged retinal activation beyond stimulus duration. Fragile VSTM representations were not affected by light masks, but were completely overwritten by irrelevant pattern masks that spatially overlapped the memory array. We find that immediately after a stimulus has disappeared from view, subjects can still access information from iconic memory because they can see an after-image of the display. After that period, human observers can still access a substantial, but somewhat more limited amount of information from a high-capacity, but fragile VSTM that is overwritten when new items are presented to the eyes. What is left after that is the traditional VSTM store, with a limit of

  15. Are there multiple visual short-term memory stores?

    Directory of Open Access Journals (Sweden)

    Ilja G Sligte

    Full Text Available BACKGROUND: Classic work on visual short-term memory (VSTM suggests that people store a limited amount of items for subsequent report. However, when human observers are cued to shift attention to one item in VSTM during retention, it seems as if there is a much larger representation, which keeps additional items in a more fragile VSTM store. Thus far, it is not clear whether the capacity of this fragile VSTM store indeed exceeds the traditional capacity limits of VSTM. The current experiments address this issue and explore the capacity, stability, and duration of fragile VSTM representations. METHODOLOGY/PRINCIPAL FINDINGS: We presented cues in a change-detection task either just after off-set of the memory array (iconic-cue, 1,000 ms after off-set of the memory array (retro-cue or after on-set of the probe array (post-cue. We observed three stages in visual information processing 1 iconic memory with unlimited capacity, 2 a four seconds lasting fragile VSTM store with a capacity that is at least a factor of two higher than 3 the robust and capacity-limited form of VSTM. Iconic memory seemed to depend on the strength of the positive after-image resulting from the memory display and was virtually absent under conditions of isoluminance or when intervening light masks were presented. This suggests that iconic memory is driven by prolonged retinal activation beyond stimulus duration. Fragile VSTM representations were not affected by light masks, but were completely overwritten by irrelevant pattern masks that spatially overlapped the memory array. CONCLUSIONS/SIGNIFICANCE: We find that immediately after a stimulus has disappeared from view, subjects can still access information from iconic memory because they can see an after-image of the display. After that period, human observers can still access a substantial, but somewhat more limited amount of information from a high-capacity, but fragile VSTM that is overwritten when new items are presented

  16. Working Memory and Hearing Aid Processing: Literature Findings, Future Directions, and Clinical Applications.

    Science.gov (United States)

    Souza, Pamela; Arehart, Kathryn; Neher, Tobias

    2015-01-01

    Working memory-the ability to process and store information-has been identified as an important aspect of speech perception in difficult listening environments. Working memory can be envisioned as a limited-capacity system which is engaged when an input signal cannot be readily matched to a stored representation or template. This "mismatch" is expected to occur more frequently when the signal is degraded. Because working memory capacity varies among individuals, those with smaller capacity are expected to demonstrate poorer speech understanding when speech is degraded, such as in background noise. However, it is less clear whether (and how) working memory should influence practical decisions, such as hearing treatment. Here, we consider the relationship between working memory capacity and response to specific hearing aid processing strategies. Three types of signal processing are considered, each of which will alter the acoustic signal: fast-acting wide-dynamic range compression, which smooths the amplitude envelope of the input signal; digital noise reduction, which may inadvertently remove speech signal components as it suppresses noise; and frequency compression, which alters the relationship between spectral peaks. For fast-acting wide-dynamic range compression, a growing body of data suggests that individuals with smaller working memory capacity may be more susceptible to such signal alterations, and may receive greater amplification benefit with "low alteration" processing. While the evidence for a relationship between wide-dynamic range compression and working memory appears robust, the effects of working memory on perceptual response to other forms of hearing aid signal processing are less clear cut. We conclude our review with a discussion of the opportunities (and challenges) in translating information on individual working memory into clinical treatment, including clinically feasible measures of working memory.

  17. Cognitive Architecture for Direction of Attention Founded on Subliminal Memory Searches, Pseudorandom and Nonstop

    OpenAIRE

    Burger, J. R.

    2008-01-01

    By way of explaining how a brain works logically, human associative memory is modeled with logical and memory neurons, corresponding to standard digital circuits. The resulting cognitive architecture incorporates basic psychological elements such as short term and long term memory. Novel to the architecture are memory searches using cues chosen pseudorandomly from short term memory. Recalls alternated with sensory images, many tens per second, are analyzed subliminally as an ongoing process, ...

  18. An analysis of periodic solutions of bi-directional associative memory networks with time-varying delays

    International Nuclear Information System (INIS)

    Cao Jinde; Jiang Qiuhao

    2004-01-01

    In this Letter, several sufficient conditions are derived for the existence and uniqueness of periodic oscillatory solution for bi-directional associative memory (BAM) networks with time-varying delays by employing a new Lyapunov functional and an elementary inequality, and all other solutions of the BAM networks converge exponentially to the unique periodic solution. These criteria are presented in terms of system parameters and have important leading significance in the design and applications of periodic neural circuits for delayed BAM. As an illustration, two numerical examples are worked out using the results obtained

  19. The effect of cue content on retrieval from autobiographical memory.

    Science.gov (United States)

    Uzer, Tugba; Brown, Norman R

    2017-01-01

    It has long been argued that personal memories are usually generated in an effortful search process in word-cueing studies. However, recent research (Uzer, Lee, & Brown, 2012) shows that direct retrieval of autobiographical memories, in response to word cues, is common. This invites the question of whether direct retrieval phenomenon is generalizable beyond the standard laboratory paradigm. Here we investigated prevalence of direct retrieval of autobiographical memories cued by specific and individuated cues versus generic cues. In Experiment 1, participants retrieved memories in response to cues from their own life (e.g., the names of friends) and generic words (e.g., chair). In Experiment 2, participants provided their personal cues two or three months prior to coming to the lab (min: 75days; max: 100days). In each experiment, RT was measured and participants reported whether memories were directly retrieved or generated on each trial. Results showed that personal cues elicited a high rate of direct retrieval. Personal cues were more likely to elicit direct retrieval than generic word cues, and as a consequence, participants responded faster, on average, to the former than to the latter. These results challenge the constructive view of autobiographical memory and suggest that autobiographical memories consist of pre-stored event representations, which are largely governed by associative mechanisms. These demonstrations offer theoretically interesting questions such as why are we not overwhelmed with directly retrieved memories cued by everyday familiar surroundings? Copyright © 2016 Elsevier B.V. All rights reserved.

  20. Memory dynamics under stress.

    Science.gov (United States)

    Quaedflieg, Conny W E M; Schwabe, Lars

    2018-03-01

    Stressful events have a major impact on memory. They modulate memory formation in a time-dependent manner, closely linked to the temporal profile of action of major stress mediators, in particular catecholamines and glucocorticoids. Shortly after stressor onset, rapidly acting catecholamines and fast, non-genomic glucocorticoid actions direct cognitive resources to the processing and consolidation of the ongoing threat. In parallel, control of memory is biased towards rather rigid systems, promoting habitual forms of memory allowing efficient processing under stress, at the expense of "cognitive" systems supporting memory flexibility and specificity. In this review, we discuss the implications of this shift in the balance of multiple memory systems for the dynamics of the memory trace. Specifically, stress appears to hinder the incorporation of contextual details into the memory trace, to impede the integration of new information into existing knowledge structures, to impair the flexible generalisation across past experiences, and to hamper the modification of memories in light of new information. Delayed, genomic glucocorticoid actions might reverse the control of memory, thus restoring homeostasis and "cognitive" control of memory again.

  1. Marijuana effects on long-term memory assessment and retrieval.

    Science.gov (United States)

    Darley, C F; Tinklenberg, J R; Roth, W T; Vernon, S; Kopell, B S

    1977-05-09

    The ability of 16 college-educated male subjects to recall from long-term memory a series of common facts was tested during intoxication with marijuana extract calibrated to 0.3 mg/kg delta-9-tetrahydrocannabinol and during placebo conditions. The subjects' ability to assess their memory capabilities was then determined by measuring how certain they were about the accuracy of their recall performance and by having them predict their performance on a subsequent recognition test involving the same recall items. Marijuana had no effect on recall or recognition performance. These results do not support the view that marijuana provides access to facts in long-term storage which are inaccessible during non-intoxication. During both marijuana and placebo conditions, subjects could accurately predict their recognition memory performance. Hence, marijuana did not alter the subjects' ability to accurately assess what information resides in long-term memory even though they did not have complete access to that information.

  2. Memory characteristics of silicon nitride with silicon nanocrystals as a charge trapping layer of nonvolatile memory devices

    International Nuclear Information System (INIS)

    Choi, Sangmoo; Yang, Hyundeok; Chang, Man; Baek, Sungkweon; Hwang, Hyunsang; Jeon, Sanghun; Kim, Juhyung; Kim, Chungwoo

    2005-01-01

    Silicon nitride with silicon nanocrystals formed by low-energy silicon plasma immersion ion implantation has been investigated as a charge trapping layer of a polycrystalline silicon-oxide-nitride-oxide-silicon-type nonvolatile memory device. Compared with the control sample without silicon nanocrystals, silicon nitride with silicon nanocrystals provides excellent memory characteristics, such as larger width of capacitance-voltage hysteresis, higher program/erase speed, and lower charge loss rate at elevated temperature. These improved memory characteristics are derived by incorporation of silicon nanocrystals into the charge trapping layer as additional accessible charge traps with a deeper effective trap energy level

  3. In-memory interconnect protocol configuration registers

    Energy Technology Data Exchange (ETDEWEB)

    Cheng, Kevin Y.; Roberts, David A.

    2017-09-19

    Systems, apparatuses, and methods for moving the interconnect protocol configuration registers into the main memory space of a node. The region of memory used for storing the interconnect protocol configuration registers may also be made cacheable to reduce the latency of accesses to the interconnect protocol configuration registers. Interconnect protocol configuration registers which are used during a startup routine may be prefetched into the host's cache to make the startup routine more efficient. The interconnect protocol configuration registers for various interconnect protocols may include one or more of device capability tables, memory-side statistics (e.g., to support two-level memory data mapping decisions), advanced memory and interconnect features such as repair resources and routing tables, prefetching hints, error correcting code (ECC) bits, lists of device capabilities, set and store base address, capability, device ID, status, configuration, capabilities, and other settings.

  4. In-memory interconnect protocol configuration registers

    Science.gov (United States)

    Cheng, Kevin Y.; Roberts, David A.

    2017-09-19

    Systems, apparatuses, and methods for moving the interconnect protocol configuration registers into the main memory space of a node. The region of memory used for storing the interconnect protocol configuration registers may also be made cacheable to reduce the latency of accesses to the interconnect protocol configuration registers. Interconnect protocol configuration registers which are used during a startup routine may be prefetched into the host's cache to make the startup routine more efficient. The interconnect protocol configuration registers for various interconnect protocols may include one or more of device capability tables, memory-side statistics (e.g., to support two-level memory data mapping decisions), advanced memory and interconnect features such as repair resources and routing tables, prefetching hints, error correcting code (ECC) bits, lists of device capabilities, set and store base address, capability, device ID, status, configuration, capabilities, and other settings.

  5. Scaling Non-Regular Shared-Memory Codes by Reusing Custom Loop Schedules

    Directory of Open Access Journals (Sweden)

    Dimitrios S. Nikolopoulos

    2003-01-01

    Full Text Available In this paper we explore the idea of customizing and reusing loop schedules to improve the scalability of non-regular numerical codes in shared-memory architectures with non-uniform memory access latency. The main objective is to implicitly setup affinity links between threads and data, by devising loop schedules that achieve balanced work distribution within irregular data spaces and reusing them as much as possible along the execution of the program for better memory access locality. This transformation provides a great deal of flexibility in optimizing locality, without compromising the simplicity of the shared-memory programming paradigm. In particular, the programmer does not need to explicitly distribute data between processors. The paper presents practical examples from real applications and experiments showing the efficiency of the approach.

  6. Multi-step resistive switching behavior of Li-doped ZnO resistance random access memory device controlled by compliance current

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Chun-Cheng [Department of Electrical Engineering, National Cheng Kung University, Tainan 701, Taiwan (China); Department of Mathematic and Physical Sciences, R.O.C. Air Force Academy, Kaohsiung 820, Taiwan (China); Tang, Jian-Fu; Su, Hsiu-Hsien [Department of Electrical Engineering, National Cheng Kung University, Tainan 701, Taiwan (China); Hong, Cheng-Shong; Huang, Chih-Yu [Department of Electronic Engineering, National Kaohsiung Normal University, Kaohsiung 802, Taiwan (China); Chu, Sheng-Yuan, E-mail: chusy@mail.ncku.edu.tw [Department of Electrical Engineering, National Cheng Kung University, Tainan 701, Taiwan (China); Center for Micro/Nano Science and Technology, National Cheng Kung University, Tainan 701, Taiwan (China)

    2016-06-28

    The multi-step resistive switching (RS) behavior of a unipolar Pt/Li{sub 0.06}Zn{sub 0.94}O/Pt resistive random access memory (RRAM) device is investigated. It is found that the RRAM device exhibits normal, 2-, 3-, and 4-step RESET behaviors under different compliance currents. The transport mechanism within the device is investigated by means of current-voltage curves, in-situ transmission electron microscopy, and electrochemical impedance spectroscopy. It is shown that the ion transport mechanism is dominated by Ohmic behavior under low electric fields and the Poole-Frenkel emission effect (normal RS behavior) or Li{sup +} ion diffusion (2-, 3-, and 4-step RESET behaviors) under high electric fields.

  7. Biodegradable Shape Memory Polymers in Medicine.

    Science.gov (United States)

    Peterson, Gregory I; Dobrynin, Andrey V; Becker, Matthew L

    2017-11-01

    Shape memory materials have emerged as an important class of materials in medicine due to their ability to change shape in response to a specific stimulus, enabling the simplification of medical procedures, use of minimally invasive techniques, and access to new treatment modalities. Shape memory polymers, in particular, are well suited for such applications given their excellent shape memory performance, tunable materials properties, minimal toxicity, and potential for biodegradation and resorption. This review provides an overview of biodegradable shape memory polymers that have been used in medical applications. The majority of biodegradable shape memory polymers are based on thermally responsive polyesters or polymers that contain hydrolyzable ester linkages. These materials have been targeted for use in applications pertaining to embolization, drug delivery, stents, tissue engineering, and wound closure. The development of biodegradable shape memory polymers with unique properties or responsiveness to novel stimuli has the potential to facilitate the optimization and development of new medical applications. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Synaptic Correlates of Working Memory Capacity.

    Science.gov (United States)

    Mi, Yuanyuan; Katkov, Mikhail; Tsodyks, Misha

    2017-01-18

    Psychological studies indicate that human ability to keep information in readily accessible working memory is limited to four items for most people. This extremely low capacity severely limits execution of many cognitive tasks, but its neuronal underpinnings remain unclear. Here we show that in the framework of synaptic theory of working memory, capacity can be analytically estimated to scale with characteristic time of short-term synaptic depression relative to synaptic current time constant. The number of items in working memory can be regulated by external excitation, enabling the system to be tuned to the desired load and to clear the working memory of currently held items to make room for new ones. Copyright © 2017 Elsevier Inc. All rights reserved.

  9. [Nostalgia and the functions of autobiographical memory].

    Science.gov (United States)

    Wolf, T

    2014-11-01

    Current research on autobiographical memory distinguishes between a self function, a directive function, and a social function of autobiographical memory. From a lifespan perspective, the use of autobiographical memory for these functions is expected to decrease with age. The present study extended these functions by the function of nostalgia: Often triggered by negative emotions, remembering personal and positive experiences might, among others, enhance positive effects. This emotion-regulating function is expected to become more important in old age. In the present study 273 adults (aged between 19 and 90 years) completed the Thinking About Life Experiences Questionnaire (TALE) as well as 11 newly developed items to assess the nostalgia function. Exploratory and confirmatory factor analyses supported a four-factor model reflecting the presumed self, directive, social, and nostalgia functions of autobiographical memory. The results showed a decrease in the use of autobiographical memory for self, directive and social functions with increasing age, whereas the nostalgia function followed a U-shaped pattern.

  10. Self-selection bipolar resistive switching phenomena observed in NbON/NbN bilayer for cross-bar array memory applications

    International Nuclear Information System (INIS)

    Kim, Hee-Dong; Yun, Min Ju; Kim, Tae Geun

    2014-01-01

    In this letter, to integrate bipolar resistive switching cells into cross bar array (CBA) structure, we study one-selector (1S) and one-resistor (1R) behavior of a niobium oxynitride (NbON) and niobium nitride (NbN) bilayer for the applications of resistive random access memory (RRAM). In this structure, a NbN layer exhibits bipolar switching characteristics while a NbON layer acts as the selector. The NbN-based 1S1R devices within a single RRAM memory cell can be directly integrated into a CBA structure without the need of extra diodes; this can significantly reduce the fabrication complexity

  11. High Temperature Memories in SiC Technology

    OpenAIRE

    Ekström, Mattias

    2014-01-01

    This thesis is part of the Working On Venus (WOV) project. The aim of the project is to design electronics in silicon carbide (SiC) that can withstand the extreme surface environmen  of Venus. This thesis investigates some possible computer memory technologies that could survive on the surface of Venus. A memory must be able to function at 460 °C and after a total radiation dose of at least 200 Gy (SiC). This thesis is a literature survey. The thesis covers several Random-Access Memory (RAM) ...

  12. Nanopatterned ferroelectrics for ultrahigh density rad-hard nonvolatile memories.

    Energy Technology Data Exchange (ETDEWEB)

    Brennecka, Geoffrey L.; Stevens, Jeffrey; Scrymgeour, David; Gin, Aaron V.; Tuttle, Bruce Andrew

    2010-09-01

    Radiation hard nonvolatile random access memory (NVRAM) is a crucial component for DOE and DOD surveillance and defense applications. NVRAMs based upon ferroelectric materials (also known as FERAMs) are proven to work in radiation-rich environments and inherently require less power than many other NVRAM technologies. However, fabrication and integration challenges have led to state-of-the-art FERAMs still being fabricated using a 130nm process while competing phase-change memory (PRAM) has been demonstrated with a 20nm process. Use of block copolymer lithography is a promising approach to patterning at the sub-32nm scale, but is currently limited to self-assembly directly on Si or SiO{sub 2} layers. Successful integration of ferroelectrics with discrete and addressable features of {approx}15-20nm would represent a 100-fold improvement in areal memory density and would enable more highly integrated electronic devices required for systems advances. Towards this end, we have developed a technique that allows us to carry out block copolymer self-assembly directly on a huge variety of different materials and have investigated the fabrication, integration, and characterization of electroceramic materials - primarily focused on solution-derived ferroelectrics - with discrete features of {approx}20nm and below. Significant challenges remain before such techniques will be capable of fabricating fully integrated NVRAM devices, but the tools developed for this effort are already finding broader use. This report introduces the nanopatterned NVRAM device concept as a mechanism for motivating the subsequent studies, but the bulk of the document will focus on the platform and technology development.

  13. Rapid influences of cued visual memories on attentional guidance

    NARCIS (Netherlands)

    van Moorselaar, D.; Battistoni, E.; Theeuwes, J.; Olivers, C.N.L.

    2015-01-01

    There is evidence that the deployment of attention can be biased by the content of visual working memory. Recently, it has been shown that focusing internal attention to a specific item in memory not only increases the accessibility of that specific item for retrieval, but also results in increased

  14. HFB : A FASTBUS multi event double port buffer memory

    International Nuclear Information System (INIS)

    Cerrito, L.; Lebbolo, H.

    1986-01-01

    The authors describe here the FB double port buffer memory developed at the LPNHE of the University Paris VI. Its purposes are to make available same features useful in the High Energy environment, to reduce, for a given amount of memory, the dead time data collection in a large size (in term of read-out channels) High Energy experiment and to get a buffer of memory as cheap and reliable as possible. The FB protocol, on the crate and cable side, is exploited using the coupler on 4 PAL's developed by G.Fremont and E.Sanchis. The DATA-Space is divided in 4 independent smaller blocks in a way such that a block can be accessed from a port while a different block is accessed from the other port. The two ports are: A, the FB crate port and B, the FB cable port. The buffer works as a rotary FIFO, looping over the 4 blocks of memory, but allowing for any random access. A mechanism is implemented on the board in order to be able to link different modules placed everywhere. If there are m modules, the looping will be around m 4 memory blocks. A multi event function is implemented on the board. HFB is quiped of two NTA for the DATA-Space on the port ''A'', and a flag to choose between them, to allow for a FB spying of DATA during the DAS dead time. HFB is a buffer of memory which can be used at each stage of a DAS: Frontend, Intermediate (MEB) or final, being completely FB standard. The HFB was designed for the LEP data acquisition system

  15. Personality dispositions and the function of autobiographical memory

    DEFF Research Database (Denmark)

    Rasmussen, Anne Scharling

    It has been proposed that autobiographical memory contains three broad functions: The directive function, the self-function and the social function. The purpose of the present study is to examine if this tripartite model reflect on both singular memories and personality traits.......It has been proposed that autobiographical memory contains three broad functions: The directive function, the self-function and the social function. The purpose of the present study is to examine if this tripartite model reflect on both singular memories and personality traits....

  16. Belief Inhibition in Children's Reasoning: Memory-Based Evidence

    Science.gov (United States)

    Steegen, Sara; Neys, Wim De

    2012-01-01

    Adult reasoning has been shown as mediated by the inhibition of intuitive beliefs that are in conflict with logic. The current study introduces a classic procedure from the memory field to investigate belief inhibition in 12- to 17-year-old reasoners. A lexical decision task was used to probe the memory accessibility of beliefs that were cued…

  17. Memory bias for emotional and illness-related words in patients with depression, anxiety and somatization disorders: an investigation with the directed forgetting task.

    Science.gov (United States)

    Wingenfeld, Katja; Terfehr, Kirsten; Meyer, Björn; Löwe, Bernd; Spitzer, Carsten

    2013-01-01

    Memory bias to emotion- and illness-related information plays a prominent role in many mental disorders, particularly major depressive disorder, anxiety disorders and somatoform disorder. The current study aimed to investigate memory bias in different mental disorders by using neutral, emotionally valenced and illness-related word stimuli in a directed forgetting task. Seventy-eight inpatients from a university-based psychosomatic hospital participated in the study. The item method of the directed forgetting task was used, in which participants are instructed to either forget or remember each item immediately after it has been presented. Memory performance was tested with a free recall test. Overall, 36 words were presented - 6 from each of 6 categories: neutral, negative, positive, illness related ('somatoform'), depression related, and anxiety related. Three words of each category were to be remembered and 3 were to be forgotten. Independently of the patients' diagnoses, we found that most patients had relative difficulties remembering anxiety- and depression-related words, compared to neutral words, when they were instructed to remember them. By contrast, in the 'instructed forgetting' condition, patients showed deficits in the ability to forget illness-related stimuli relative to neutral material. These effects were unspecific with regard to diagnosis. The results in the 'instructed remembering' condition might be interpreted in the context of cognitive avoidance instead of a memory bias. In the 'instructed forgetting' condition, it appeared that illness-related words were more difficult to suppress compared to the other word types, which could explain the observed memory bias. Copyright © 2012 S. Karger AG, Basel.

  18. Focalised stimulation using high definition transcranial direct current stimulation (HD-tDCS) to investigate declarative verbal learning and memory functioning.

    Science.gov (United States)

    Nikolin, Stevan; Loo, Colleen K; Bai, Siwei; Dokos, Socrates; Martin, Donel M

    2015-08-15

    Declarative verbal learning and memory are known to be lateralised to the dominant hemisphere and to be subserved by a network of structures, including those located in frontal and temporal regions. These structures support critical components of verbal memory, including working memory, encoding, and retrieval. Their relative functional importance in facilitating declarative verbal learning and memory, however, remains unclear. To investigate the different functional roles of these structures in subserving declarative verbal learning and memory performance by applying a more focal form of transcranial direct current stimulation, "High Definition tDCS" (HD-tDCS). Additionally, we sought to examine HD-tDCS effects and electrical field intensity distributions using computer modelling. HD-tDCS was administered to the left dorsolateral prefrontal cortex (LDLPFC), planum temporale (PT), and left medial temporal lobe (LMTL) to stimulate the hippocampus, during learning on a declarative verbal memory task. Sixteen healthy participants completed a single blind, intra-individual cross-over, sham-controlled study which used a Latin Square experimental design. Cognitive effects on working memory and sustained attention were additionally examined. HD-tDCS to the LDLPFC significantly improved the rate of verbal learning (p=0.03, η(2)=0.29) and speed of responding during working memory performance (p=0.02, η(2)=0.35), but not accuracy (p=0.12, η(2)=0.16). No effect of tDCS on verbal learning, retention, or retrieval was found for stimulation targeted to the LMTL or the PT. Secondary analyses revealed that LMTL stimulation resulted in increased recency (p=0.02, η(2)=0.31) and reduced mid-list learning effects (p=0.01, η(2)=0.39), suggesting an inhibitory effect on learning. HD-tDCS to the LDLPFC facilitates the rate of verbal learning and improved efficiency of working memory may underlie performance effects. This focal method of administrating tDCS has potential for probing

  19. Access to direct-acting antivirals for the treatment of hepatitis C in a country with limited resources.

    Science.gov (United States)

    Marciano, S; Haddad, L; Borzi, S M; D'Amico, C; Gaite, L A; Aubone, M V; Sirotinsky, M E; Ratusnu, N; Frola, M S; Aparicio, M C; Ríos, B; Anselmo, M N; Hansen, R; De Filippi, S; Dans, C García; de Labra, L; Peche, M A; Strella, T M; Ibáñez Duran, M; García Rosales, M B; Dirchwolf, M; Galdame, O A; Gadano, A C

    2018-04-12

    To estimate the proportion of patients who access to direct-acting antivirals agents (DAAs) for the treatment of hepatitisC in Argentina and to evaluate factors associated with failure to access to treatment. We performed a cross-sectional study of DAAs prescriptions written by centers participating in the telemedicine project ECHO TM -Hospital Italiano of Buenos Aires between January 2016 and February 2017. A total of 143 consecutive prescriptions were evaluated; the global access was 70% (95% CI: 62%-77%). The only factor independently associated with failure to access to treatment was belonging to the public healthcare system [OR 4.98 (95% CI: 2.05- 12.09)] in comparison to belonging to private insurance or HMOs. Patients with hepatitisC who belong to the public healthcare system are 4 times more likely to fail to access to treatment of hepatitisC than patients with private insurance or other kind of insurance. Copyright © 2018 Asociación Mexicana de Gastroenterología. Publicado por Masson Doyma México S.A. All rights reserved.

  20. James Joyce, music and memory

    OpenAIRE

    Brown, Katie

    2007-01-01

    This thesis, James Joyce, Music and Memory, explores the connection between music and Irish cultural memory in Joyce’s works from Chamber Music to the “pure music” of Finnegans Wake. Overall, it shows that Joyce’s ongoing desire to emulate musical forms must be seen in light of Joyce’s wish to come to terms with Irish cultural history, as these are the driving forces that bring about his changes in style. TARA (Trinity’s Access to Research Archive) has a robust takedown policy. Please cont...

  1. Action mechanisms of transcranial direct current stimulation in Alzheimer's disease and memory loss.

    Science.gov (United States)

    Hansen, Niels

    2012-01-01

    The pharmacological treatment of Alzheimer's disease (AD) is often limited and accompanied by drug side effects. Thus alternative therapeutic strategies such as non-invasive brain stimulation are needed. Few studies have demonstrated that transcranial direct current stimulation (tDCS), a method of neuromodulation with consecutive robust excitability changes within the stimulated cortex area, is beneficial in AD. There is also evidence that tDCS enhances memory function in cognitive rehabilitation in depressive patients, Parkinson's disease, and stroke. tDCS improves working and visual recognition memory in humans and object-recognition learning in the elderly. AD's neurobiological mechanisms comprise changes in neuronal activity and the cerebral blood flow (CBF) caused by altered microvasculature, synaptic dysregulation from ß-amyloid peptide accumulation, altered neuromodulation via degenerated modulatory amine transmitter systems, altered brain oscillations, and changes in network connectivity. tDCS alters (i) neuronal activity and (ii) human CBF, (iii) has synaptic and non-synaptic after-effects (iv), can modify neurotransmitters polarity-dependently, (v) and alter oscillatory brain activity and (vi) functional connectivity patterns in the brain. It thus is reasonable to use tDCS as a therapeutic instrument in AD as it improves cognitive function in manner based on a disease mechanism. Moreover, it could prove valuable in other types of dementia. Future large-scale clinical and mechanism-oriented studies may enable us to identify its therapeutic validity in other types of demential disorders.

  2. Working memory and hearing aid processing: Literature findings, future directions, and clinical applications

    Directory of Open Access Journals (Sweden)

    Pamela eSouza

    2015-12-01

    Full Text Available Working memory—the ability to process and store information—has been identified as an important aspect of speech perception in difficult listening environments. Working memory can be envisioned as a limited-capacity system which is engaged when an input signal cannot be readily matched to a stored representation or template. This mismatch is expected to occur more frequently when the signal is degraded. Because working memory capacity varies among individuals, those with smaller capacity are expected to demonstrate poorer speech understanding when speech is degraded, such as in background noise. However, it is less clear whether (and how working memory should influence practical decisions, such as hearing treatment. Here, we consider the relationship between working memory capacity and response to specific hearing aid processing strategies. Three types of signal processing are considered, each of which will alter the acoustic signal: fast-acting wide-dynamic range compression, which smooths the amplitude envelope of the input signal; digital noise reduction, which may inadvertently remove speech signal components as it suppresses noise; and frequency compression, which alters the relationship between spectral peaks. For fast-acting wide-dynamic range compression, a growing body of data suggests that individuals with smaller working memory capacity may be more susceptible to such signal alterations, and may receive greater amplification benefit with low alteration processing. While the evidence for a relationship between wide-dynamic range compression and working memory appears robust, the effects of working memory on perceptual response to other forms of hearing aid signal processing are less clear cut. We conclude our review with a discussion of the opportunities (and challenges in translating information on individual working memory into clinical treatment, including clinically-feasible measures of working memory.

  3. Contexts and Control Operations Used in Accessing List-Specific, Generalized, and Semantic Memories

    Science.gov (United States)

    Humphreys, Michael S.; Murray, Krista L.; Maguire, Angela M.

    2009-01-01

    The human ability to focus memory retrieval operations on a particular list, episode or memory structure has not been fully appreciated or documented. In Experiment 1-3, we make it increasingly difficult for participants to switch between a less recent list (multiple study opportunities), and a more recent list (single study opportunity). Task…

  4. Loss of object recognition memory produced by extended access to methamphetamine self-administration is reversed by positive allosteric modulation of metabotropic glutamate receptor 5.

    Science.gov (United States)

    Reichel, Carmela M; Schwendt, Marek; McGinty, Jacqueline F; Olive, M Foster; See, Ronald E

    2011-03-01

    Chronic methamphetamine (meth) abuse can lead to persisting cognitive deficits. Here, we utilized a long-access meth self-administration (SA) protocol to assess recognition memory and metabotropic glutamate receptor (mGluR) expression, and the possible reversal of cognitive impairments with the mGluR5 allosteric modulator, 3-cyano-N-(1,3-diphenyl-1H-pyrazol-5-yl) benzamide (CDPPB). Male, Long-Evans rats self-administered i.v. meth (0.02 mg/infusion) on an FR1 schedule of reinforcement or received yoked-saline infusions. After seven daily 1-h sessions, rats were switched to 6-h daily sessions for 14 days, and then underwent drug abstinence. Rats were tested for object recognition memory at 1 week after meth SA at 90 min and 24 h retention intervals. In a separate experiment, rats underwent the same protocol, but received either vehicle or CDPPB (30 mg/kg) after familiarization. Rats were killed on day 8 or 14 post-SA and brain tissue was obtained. Meth intake escalated over the extended access period. Additionally, meth-experienced rats showed deficits in both short- and long-term recognition memory, demonstrated by a lack of novel object exploration. The deficit at 90 min was reversed by CDPPB treatment. On day 8, meth intake during SA negatively correlated with mGluR expression in the perirhinal and prefrontal cortex, and mGluR5 receptor expression was decreased 14 days after discontinuation of meth. This effect was specific to mGluR5 levels in the perirhinal cortex, as no differences were identified in the hippocampus or in mGluR2/3 receptors. These results from a clinically-relevant animal model of addiction suggest that mGluR5 receptor modulation may be a potential treatment of cognitive dysfunction in meth addiction.

  5. Television as a Hybrid Repertoire of Memory. New Dynamic Practices of Cultural Memory in the Multi-Platform Era

    Directory of Open Access Journals (Sweden)

    Berber Hagedoorn

    2013-06-01

    Full Text Available In this article, television is reconsidered as a hybrid ‘repertoire’ ofmemory. It is demonstrated how new dynamic production and scheduling practicesin connection with highly accessible and participatory forms of user engagementoffer opportunities for television users to engage with the past, and how suchpractices affect television as a practice of memory. The media platform HollandDoc is discussed as a principal casestudy. By adopting and expanding Aleida Assmann’s model of the dynamics ofcultural memory between remembering and forgetting, a new model to studytelevision as cultural memory is proposed which represents the medium’shybridity in the multi-platform era.

  6. Semantic Memory and Verbal Working Memory Correlates of N400 to Subordinate Homographs

    Science.gov (United States)

    Salisbury, Dean F.

    2004-01-01

    N400 is an event-related brain potential that indexes operations in semantic memory conceptual space, whether elicited by language or some other representation (e.g., drawings). Language models typically propose three stages: lexical access or orthographic- and phonological-level analysis; lexical selection or word-level meaning and associate…

  7. A Novel Ni/WOX/W Resistive Random Access Memory with Excellent Retention and Low Switching Current

    Science.gov (United States)

    Chien, Wei-Chih; Chen, Yi-Chou; Lee, Feng-Ming; Lin, Yu-Yu; Lai, Erh-Kun; Yao, Yeong-Der; Gong, Jeng; Horng, Sheng-Fu; Yeh, Chiao-Wen; Tsai, Shih-Chang; Lee, Ching-Hsiung; Huang, Yu-Kai; Chen, Chun-Fu; Kao, Hsiao-Feng; Shih, Yen-Hao; Hsieh, Kuang-Yeu; Lu, Chih-Yuan

    2011-04-01

    The behavior of WOX resistive random access memory (ReRAM) is a strong function of the top electrode material, which controls the conduction mechanism and the forming process. When using a top electrode with low work function, the current conduction is limited by space charges. On the other hand, the mechanism becomes thermionic emission for devices with a high work function top electrode. These (thermionic) devices are also found to have higher initial resistance, reduced forming current, and larger resistance window. Based on these insights and considering the compatibility to complementary metal-oxide-semiconductor (CMOS) process, we proposed to use Ni as the top electrode for high performance WOX ReRAM devices. The new Ni/WOX/W device can be switched at a low current density less than 8×105 A/cm2, with RESET/SET resistance ratio greater than 100, and extremely good data retention of more than 300 years at 85 °C.

  8. Insect olfactory coding and memory at multiple timescales.

    Science.gov (United States)

    Gupta, Nitin; Stopfer, Mark

    2011-10-01

    Insects can learn, allowing them great flexibility for locating seasonal food sources and avoiding wily predators. Because insects are relatively simple and accessible to manipulation, they provide good experimental preparations for exploring mechanisms underlying sensory coding and memory. Here we review how the intertwining of memory with computation enables the coding, decoding, and storage of sensory experience at various stages of the insect olfactory system. Individual parts of this system are capable of multiplexing memories at different timescales, and conversely, memory on a given timescale can be distributed across different parts of the circuit. Our sampling of the olfactory system emphasizes the diversity of memories, and the importance of understanding these memories in the context of computations performed by different parts of a sensory system. Published by Elsevier Ltd.

  9. Silent store detection and recording in memory storage

    Energy Technology Data Exchange (ETDEWEB)

    Bose, Pradip; Cher, Chen-Yong; Nair, Ravi

    2017-03-14

    An aspect includes receiving a write request that includes a memory address and write data. Stored data is read from a memory location at the memory address. Based on determining that the memory location was not previously modified, the stored data is compared to the write data. Based on the stored data matching the write data, the write request is completed without writing the write data to the memory and a corresponding silent store bit, in a silent store bitmap is set. Based on the stored data not matching the write data, the write data is written to the memory location, the silent store bit is reset and a corresponding modified bit is set. At least one of an application and an operating system is provided access to the silent store bitmap.

  10. Silent store detection and recording in memory storage

    Energy Technology Data Exchange (ETDEWEB)

    Bose, Pradip; Cher, Chen-Yong; Nair, Ravi

    2017-03-07

    An aspect includes receiving a write request that includes a memory address and write data. Stored data is read from a memory location at the memory address. Based on determining that the memory location was not previously modified, the stored data is compared to the write data. Based on the stored data matching the write data, the write request is completed without writing the write data to the memory and a corresponding silent store bit, in a silent store bitmap is set. Based on the stored data not matching the write data, the write data is written to the memory location, the silent store bit is reset and a corresponding modified bit is set. At least one of an application and an operating system is provided access to the silent store bitmap.

  11. SODR Memory Control Buffer Control ASIC

    Science.gov (United States)

    Hodson, Robert F.

    1994-01-01

    The Spacecraft Optical Disk Recorder (SODR) is a state of the art mass storage system for future NASA missions requiring high transmission rates and a large capacity storage system. This report covers the design and development of an SODR memory buffer control applications specific integrated circuit (ASIC). The memory buffer control ASIC has two primary functions: (1) buffering data to prevent loss of data during disk access times, (2) converting data formats from a high performance parallel interface format to a small computer systems interface format. Ten 144 p in, 50 MHz CMOS ASIC's were designed, fabricated and tested to implement the memory buffer control function.

  12. Towards Terabit Memories

    Science.gov (United States)

    Hoefflinger, Bernd

    Memories have been the major yardstick for the continuing validity of Moore's law. In single-transistor-per-Bit dynamic random-access memories (DRAM), the number of bits per chip pretty much gives us the number of transistors. For decades, DRAM's have offered the largest storage capacity per chip. However, DRAM does not scale any longer, both in density and voltage, severely limiting its power efficiency to 10 fJ/b. A differential DRAM would gain four-times in density and eight-times in energy. Static CMOS RAM (SRAM) with its six transistors/cell is gaining in reputation because it scales well in cell size and operating voltage so that its fundamental advantage of speed, non-destructive read-out and low-power standby could lead to just 2.5 electrons/bit in standby and to a dynamic power efficiency of 2aJ/b. With a projected 2020 density of 16 Gb/cm², the SRAM would be as dense as normal DRAM and vastly better in power efficiency, which would mean a major change in the architecture and market scenario for DRAM versus SRAM. Non-volatile Flash memory have seen two quantum jumps in density well beyond the roadmap: Multi-Bit storage per transistor and high-density TSV (through-silicon via) technology. The number of electrons required per Bit on the storage gate has been reduced since their first realization in 1996 by more than an order of magnitude to 400 electrons/Bit in 2010 for a complexity of 32Gbit per chip at the 32 nm node. Chip stacking of eight chips with TSV has produced a 32GByte solid-state drive (SSD). A stack of 32 chips with 2 b/cell at the 16 nm node will reach a density of 2.5 Terabit/cm². Non-volatile memory with a density of 10 × 10 nm²/Bit is the target for widespread development. Phase-change memory (PCM) and resistive memory (RRAM) lead in cell density, and they will reach 20 Gb/cm² in 2D and higher with 3D chip stacking. This is still almost an order-of-magnitude less than Flash. However, their read-out speed is ~10-times faster, with as yet

  13. Difference in Subjective Accessibility of On Demand Recall of Visual, Taste, and Olfactory Memories

    OpenAIRE

    Zach, Petr; Zimmelová, Petra; Mrzílková, Jana; Kutová, Martina

    2018-01-01

    We present here significant difference in the evocation capability between sensory memories (visual, taste, and olfactory) throughout certain categories of the population. As object for this memory recall we selected French fries that are simple and generally known. From daily life we may intuitively feel that there is much better recall of the visual and auditory memory compared to the taste and olfactory ones. Our results in young (age 12–21 years) mostly females and some males show low cap...

  14. Radiation Damage in Electronic Memory Devices

    OpenAIRE

    Fetahović, Irfan; Pejović, Milić; Vujisić, Miloš

    2013-01-01

    This paper investigates the behavior of semiconductor memories exposed to radiation in order to establish their applicability in a radiation environment. The experimental procedure has been used to test radiation hardness of commercial semiconductor memories. Different types of memory chips have been exposed to indirect ionizing radiation by changing radiation dose intensity. The effect of direct ionizing radiation on semiconductor memory behavior has been analyzed by using Monte Carlo simula...

  15. The influence of aging on attentional refreshing and articulatory rehearsal during working memory on later episodic memory performance.

    Science.gov (United States)

    Loaiza, Vanessa M; McCabe, David P

    2013-01-01

    We investigated age-related changes in two proposed mechanisms of maintenance in working memory, articulatory rehearsal, and attentional refreshing, by examining the consequences of manipulating the opportunity for each on delayed recall. Both experiments utilized modified operation span tasks to vary the opportunity for articulatory rehearsal (Experiment 1) and attentional refreshing opportunities (Experiment 2). In both experiments, episodic memory was tested for items that had been initially studied during the respective operation span task. Older adults' episodic memory benefited less from opportunities for refreshing than younger adults. In contrast, articulatory rehearsal opportunities did not influence episodic memory for either age group. The results suggest that attentional refreshing, and not articulatory rehearsal, is important during working memory in order to bind more accessible traces at later tests, which appears to be more deficient in older adults than younger adults.

  16. On the susceptibility of adaptive memory to false memory illusions.

    Science.gov (United States)

    Howe, Mark L; Derbish, Mary H

    2010-05-01

    Previous research has shown that survival-related processing of word lists enhances retention for that material. However, the claim that survival-related memories are more accurate has only been examined when true recall and recognition of neutral material has been measured. In the current experiments, we examined the adaptive memory superiority effect for different types of processing and material, measuring accuracy more directly by comparing true and false recollection rates. Survival-related information and processing was examined using word lists containing backward associates of neutral, negative, and survival-related critical lures and type of processing (pleasantness, moving, survival) was varied using an incidental memory paradigm. Across four experiments, results showed that survival-related words were more susceptible than negative and neutral words to the false memory illusion and that processing information in terms of its relevance to survival independently increased this susceptibility to the false memory illusion. Overall, although survival-related processing and survival-related information resulted in poorer, not more accurate, memory, such inaccuracies may have adaptive significance. These findings are discussed in the context of false memory research and recent theories concerning the importance of survival processing and the nature of adaptive memory. Copyright 2009 Elsevier B.V. All rights reserved.

  17. Conversational assessment in memory clinic encounters: interactional profiling for differentiating dementia from functional memory disorders.

    Science.gov (United States)

    Jones, Danielle; Drew, Paul; Elsey, Christopher; Blackburn, Daniel; Wakefield, Sarah; Harkness, Kirsty; Reuber, Markus

    2016-01-01

    In the UK dementia is under-diagnosed, there is limited access to specialist memory clinics, and many of the patients referred to such clinics are ultimately found to have functional (non-progressive) memory disorders (FMD), rather than a neurodegenerative disorder. Government initiatives on 'timely diagnosis' aim to improve the rate and quality of diagnosis for those with dementia. This study seeks to improve the screening and diagnostic process by analysing communication between clinicians and patients during initial specialist clinic visits. Establishing differential conversational profiles could help the timely differential diagnosis of memory complaints. This study is based on video- and audio recordings of 25 initial consultations between neurologists and patients referred to a UK memory clinic. Conversation analysis was used to explore recurrent communicative practices associated with each diagnostic group. Two discrete conversational profiles began to emerge, to help differentiate between patients with dementia and functional memory complaints, based on (1) whether the patient is able to answer questions about personal information; (2) whether they can display working memory in interaction; (3) whether they are able to respond to compound questions; (4) the time taken to respond to questions; and (5) the level of detail they offer when providing an account of their memory failure experiences. The distinctive conversational profiles observed in patients with functional memory complaints on the one hand and neurodegenerative memory conditions on the other suggest that conversational profiling can support the differential diagnosis of functional and neurodegenerative memory disorders.

  18. Design of shape memory alloy actuators for direct power by an automotive battery

    International Nuclear Information System (INIS)

    Leary, M.; Huang, S.; Ataalla, T.; Baxter, A.; Subic, A.

    2013-01-01

    Highlights: ► We model Ni–Ti SMA actuators directly powered by a standard automotive battery. ► Feasible permutations for direct power are identified and confirmed experimentally. ► 0.5 mm diameter SMA of 225 mm length or larger is feasible for direct power. ► The feasibility of 0.25 mm SMA is greater, although the actuation force is lower. ► Prototype actuators are developed for long-stroke and short-stroke applications. -- Abstract: Nickel–Titanium (Ni–Ti) Shape Memory Alloys (SMAs) are increasingly utilized as mechanical actuators due to high power-to-mass ratio, high fatigue life and low cost. The implementation of SMA actuators in an automotive environment is of particular interest due to the potential for lower end-user functional efforts, together with reduced component mass and cost within a limited packaging space. In applications of this kind, the actuators are powered by a standard automotive (six cell lead-acid) battery. Although resistors and electronic devices can be used to avoid overload of either the SMA or battery system, the feasibility of supplying power to the actuators directly from the battery becomes a key objective for reducing system cost and complexity. In this study, the electrical resistivity of a linear Ni–Ti SMA actuator was theoretically calculated and experimentally verified. Based on this developed knowledge, the resistance of various actuator permutations was calculated, and the feasibility of operating the actuators with a standard automotive battery was assessed. To confirm the feasibility of powering SMA actuators directly from the automotive battery, two SMA actuator concepts were developed and experimentally validated.

  19. Holographic View of the Brain Memory Mechanism Based on Evanescent Superluminal Photons

    Directory of Open Access Journals (Sweden)

    Takaaki Musha

    2012-08-01

    Full Text Available D. Pollen and M. Trachtenberg proposed the holographic brain theory to help explain the existence of photographic memories in some people. They suggested that such individuals had more vivid memories because they somehow could access a very large region of their memory holograms. Hameroff suggested in his paper that cylindrical neuronal microtubule cavities, or centrioles, function as waveguides for the evanescent photons for quantum signal processing. The supposition is that microtubular structures of the brain function as a coherent fiber bundle set used to store holographic images, as would a fiber-optic holographic system. In this paper, the author proposes that superluminal photons propagating inside the microtubules via evanescent waves could provide the access needed to record or retrieve a quantum coherent entangled holographic memory.

  20. Relations between the functions of autobiographical memory and psychological wellbeing.

    Science.gov (United States)

    Waters, Theodore E A

    2014-01-01

    Researchers have proposed that autobiographical memory serves three basic functions in everyday life: self-definition, social connection, and directing behaviour (e.g., Bluck, Alea, Habermas, & Rubin, 2005). However, no research has examined relations between the functions of autobiographical memory and healthy functioning (i.e., psychological wellbeing). The present research examined the relations between the self, social, and directive functions of autobiographical memory and three factors of psychological wellbeing in single and recurring autobiographical memories. A total of 103 undergraduate students were recruited and provided ratings of each function for four autobiographical memories (two single, two recurring events). Results found that individuals who use their autobiographical memories to serve self, social, and directive functions reported higher levels of Purpose and Communion and Positive Relationships, and that these relations differ slightly by event type.