WorldWideScience

Sample records for dielectric interfaces studied

  1. Ionic Structure at Dielectric Interfaces

    Science.gov (United States)

    Jing, Yufei

    The behavior of ions in liquids confined between macromolecules determines the outcome of many nanoscale assembly processes in synthetic and biological materials such as colloidal dispersions, emulsions, hydrogels, DNA, cell membranes, and proteins. Theoretically, the macromolecule-liquid boundary is often modeled as a dielectric interface and an important quantity of interest is the ionic structure in a liquid confined between two such interfaces. The knowledge gleaned from the study of ionic structure in such models can be useful in several industrial applications, such as biosensors, lithium-ion batteries double-layer supercapacitors for energy storage and seawater desalination. Electrostatics plays a critical role in the development of such functional materials. Many of the functions of these materials, result from charge and composition heterogeneities. There are great challenges in solving electrostatics problems in heterogeneous media with arbitrary shapes because electrostatic interactions remains unknown but depend on the particular density of charge distributions. Charged molecules in heterogeneous media affect the media's dielectric response and hence the interaction between the charges is unknown since it depends on the media and on the geometrical properties of the interfaces. To determine the properties of heterogeneous systems including crucial effects neglected in classical mean field models such as the hard core of the ions, the dielectric mismatch and interfaces with arbitrary shapes. The effect of hard core interactions accounts properly for short range interactions and the effect of local dielectric heterogeneities in the presence of ions and/or charged molecules for long-range interactions are both analyzed via an energy variational principle that enables to update charges and the medium's response in the same simulation time step. In particular, we compute the ionic structure in a model system of electrolyte confined by two planar dielectric

  2. Metal-dielectric interfaces in gigascale electronics thermal and electrical stability

    CERN Document Server

    He, Ming

    2012-01-01

    Metal-dielectric interfaces are ubiquitous in modern electronics. As advanced gigascale electronic devices continue to shrink, the stability of these interfaces is becoming an increasingly important issue that has a profound impact on the operational reliability of these devices. In this book, the authors present the basic science underlying  the thermal and electrical stability of metal-dielectric interfaces and its relationship to the operation of advanced interconnect systems in gigascale electronics. Interface phenomena, including chemical reactions between metals and dielectrics, metallic-atom diffusion, and ion drift, are discussed based on fundamental physical and chemical principles. Schematic diagrams are provided throughout the book to illustrate  interface phenomena and the principles that govern them. Metal-Dielectric Interfaces in Gigascale Electronics  provides a unifying approach to the diverse and sometimes contradictory test results that are reported in the literature on metal-dielectric i...

  3. Oblique surface waves at an interface between a metal-dielectric superlattice and an isotropic dielectric

    International Nuclear Information System (INIS)

    Vuković, Slobodan M; Miret, Juan J; Zapata-Rodriguez, Carlos J; Jakšić, Zoran

    2012-01-01

    We investigate the existence and dispersion characteristics of surface waves that propagate at an interface between a metal-dielectric superlattice and an isotropic dielectric. Within the long-wavelength limit, when the effective-medium (EM) approximation is valid, the superlattice behaves like a uniaxial plasmonic crystal with the main optical axes perpendicular to the metal-dielectric interfaces. We demonstrate that if such a semi-infinite plasmonic crystal is cut normally to the layer interfaces and brought into contact with a semi-infinite dielectric, a new type of surface mode can appear. Such modes can propagate obliquely to the optical axes if favorable conditions regarding the thickness of the layers and the dielectric permittivities of the constituent materials are met. We show that losses within the metallic layers can be substantially reduced by making the layers sufficiently thin. At the same time, a dramatic enlargement of the range of angles for oblique propagation of the new surface modes is observed. This can lead, however, to field non-locality and consequently to failure of the EM approximation.

  4. Semiconductor/dielectric interface engineering and characterization

    Science.gov (United States)

    Lucero, Antonio T.

    The focus of this dissertation is the application and characterization of several, novel interface passivation techniques for III-V semiconductors, and the development of an in-situ electrical characterization. Two different interface passivation techniques were evaluated. The first is interface nitridation using a nitrogen radical plasma source. The nitrogen radical plasma generator is a unique system which is capable of producing a large flux of N-radicals free of energetic ions. This was applied to Si and the surface was studied using x-ray photoelectron spectroscopy (XPS). Ultra-thin nitride layers could be formed from 200-400° C. Metal-oxide-semiconductor capacitors (MOSCAPs) were fabricated using this passivation technique. Interface nitridation was able to reduce leakage current and improve the equivalent oxide thickness of the devices. The second passivation technique studied is the atomic layer deposition (ALD) diethylzinc (DEZ)/water treatment of sulfur treated InGaAs and GaSb. On InGaAs this passivation technique is able to chemically reduce higher oxidation states on the surface, and the process results in the deposition of a ZnS/ZnO interface passivation layer, as determined by XPS. Capacitance-voltage (C-V) measurements of MOSCAPs made on p-InGaAs reveal a large reduction in accumulation dispersion and a reduction in the density of interfacial traps. The same technique was applied to GaSb and the process was studied in an in-situ half-cycle XPS experiment. DEZ/H2O is able to remove all Sb-S from the surface, forming a stable ZnS passivation layer. This passivation layer is resistant to further reoxidation during dielectric deposition. The final part of this dissertation is the design and construction of an ultra-high vacuum cluster tool for in-situ electrical characterization. The system consists of three deposition chambers coupled to an electrical probe station. With this setup, devices can be processed and subsequently electrically characterized

  5. Improved polymer nanocomposite dielectric breakdown performance through barium titanate to epoxy interface control

    Energy Technology Data Exchange (ETDEWEB)

    Siddabattuni, Sasidhar [Missouri University of Science and Technology (formerly the University of Missouri-Rolla), Chemistry Department, 400W. 11th Street, Rolla, MO 65409 (United States); Schuman, Thomas P., E-mail: tschuman@mst.edu [Missouri University of Science and Technology (formerly the University of Missouri-Rolla), Chemistry Department, 400W. 11th Street, Rolla, MO 65409 (United States); Dogan, Fatih [Missouri University of Science and Technology, Materials Science and Engineering Department, 1400N. Bishop Avenue, Rolla, MO 65409 (United States)

    2011-11-15

    Highlights: > A covalent filler-matrix interface improves the dielectric properties of a polymer-particle nanocomposite dielectric. > A covalent interface reduced the polymer free volume around the nanoparticles as assessed through T{sub g} measurements. > Composite T{sub g} was raised and breakdown strength improved for nanocomposites with a covalent polymer-particle interface. > A larger Maxwell-Wagner (MW) relaxation correlated with reduced breakdown strengths and energy storage densities. > The MW relaxation could be considered a dielectric defect regarding breakdown strength and energy storage density. - Abstract: A composite approach to dielectric design has the potential to provide improved permittivity as well as high breakdown strength and thus afford greater electrical energy storage density. Interfacial coupling is an effective approach to improve the polymer-particle composite dielectric film resistance to charge flow and dielectric breakdown. A bi-functional interfacial coupling agent added to the inorganic oxide particles' surface assists dispersion into the thermosetting epoxy polymer matrix and upon composite cure reacts covalently with the polymer matrix. The composite then retains the glass transition temperature of pure polymer, provides a reduced Maxwell-Wagner relaxation of the polymer-particle composite, and attains a reduced sensitivity to dielectric breakdown compared to particle epoxy composites that lack interfacial coupling between the composite filler and polymer matrix. Besides an improved permittivity, the breakdown strength and thus energy density of a covalent interface nanoparticle barium titanate in epoxy composite dielectric film, at a 5 vol.% particle concentration, was significantly improved compared to a pure polymer dielectric film. The interfacially bonded, dielectric composite film had a permittivity {approx}6.3 and at a 30 {mu}m thickness achieved a calculated energy density of 4.6 J/cm{sup 3}.

  6. Improved polymer nanocomposite dielectric breakdown performance through barium titanate to epoxy interface control

    International Nuclear Information System (INIS)

    Siddabattuni, Sasidhar; Schuman, Thomas P.; Dogan, Fatih

    2011-01-01

    Highlights: → A covalent filler-matrix interface improves the dielectric properties of a polymer-particle nanocomposite dielectric. → A covalent interface reduced the polymer free volume around the nanoparticles as assessed through T g measurements. → Composite T g was raised and breakdown strength improved for nanocomposites with a covalent polymer-particle interface. → A larger Maxwell-Wagner (MW) relaxation correlated with reduced breakdown strengths and energy storage densities. → The MW relaxation could be considered a dielectric defect regarding breakdown strength and energy storage density. - Abstract: A composite approach to dielectric design has the potential to provide improved permittivity as well as high breakdown strength and thus afford greater electrical energy storage density. Interfacial coupling is an effective approach to improve the polymer-particle composite dielectric film resistance to charge flow and dielectric breakdown. A bi-functional interfacial coupling agent added to the inorganic oxide particles' surface assists dispersion into the thermosetting epoxy polymer matrix and upon composite cure reacts covalently with the polymer matrix. The composite then retains the glass transition temperature of pure polymer, provides a reduced Maxwell-Wagner relaxation of the polymer-particle composite, and attains a reduced sensitivity to dielectric breakdown compared to particle epoxy composites that lack interfacial coupling between the composite filler and polymer matrix. Besides an improved permittivity, the breakdown strength and thus energy density of a covalent interface nanoparticle barium titanate in epoxy composite dielectric film, at a 5 vol.% particle concentration, was significantly improved compared to a pure polymer dielectric film. The interfacially bonded, dielectric composite film had a permittivity ∼6.3 and at a 30 μm thickness achieved a calculated energy density of 4.6 J/cm 3 .

  7. Effect of Dielectric Interface on the Performance of MoS2 Transistors.

    Science.gov (United States)

    Li, Xuefei; Xiong, Xiong; Li, Tiaoyang; Li, Sichao; Zhang, Zhenfeng; Wu, Yanqing

    2017-12-27

    Because of their wide bandgap and ultrathin body properties, two-dimensional materials are currently being pursued for next-generation electronic and optoelectronic applications. Although there have been increasing numbers of studies on improving the performance of MoS 2 field-effect transistors (FETs) using various methods, the dielectric interface, which plays a decisive role in determining the mobility, interface traps, and thermal transport of MoS 2 FETs, has not been well explored and understood. In this article, we present a comprehensive experimental study on the effect of high-k dielectrics on the performance of few-layer MoS 2 FETs from 300 to 4.3 K. Results show that Al 2 O 3 /HfO 2 could boost the mobility and drain current. Meanwhile, MoS 2 transistors with Al 2 O 3 /HfO 2 demonstrate a 2× reduction in oxide trap density compared to that of the devices with the conventional SiO 2 substrate. Also, we observe a negative differential resistance effect on the device with 1 μm-channel length when using conventional SiO 2 as the gate dielectric due to self-heating, and this is effectively eliminated by using the Al 2 O 3 /HfO 2 gate dielectric. This dielectric engineering provides a highly viable route to realizing high-performance transition metal dichalcogenide-based FETs.

  8. Interface Study on Amorphous Indium Gallium Zinc Oxide Thin Film Transistors Using High-k Gate Dielectric Materials

    Directory of Open Access Journals (Sweden)

    Yu-Hsien Lin

    2015-01-01

    Full Text Available We investigated amorphous indium gallium zinc oxide (a-IGZO thin film transistors (TFTs using different high-k gate dielectric materials such as silicon nitride (Si3N4 and aluminum oxide (Al2O3 at low temperature process (<300°C and compared them with low temperature silicon dioxide (SiO2. The IGZO device with high-k gate dielectric material will expect to get high gate capacitance density to induce large amount of channel carrier and generate the higher drive current. In addition, for the integrating process of integrating IGZO device, postannealing treatment is an essential process for completing the process. The chemical reaction of the high-k/IGZO interface due to heat formation in high-k/IGZO materials results in reliability issue. We also used the voltage stress for testing the reliability for the device with different high-k gate dielectric materials and explained the interface effect by charge band diagram.

  9. Interface Study on Amorphous Indium Gallium Zinc Oxide Thin Film Transistors Using High-k Gate Dielectric Materials

    International Nuclear Information System (INIS)

    Lin, Y. H.; Chou, J. C.

    2015-01-01

    We investigated amorphous indium gallium zinc oxide (a-IGZO) thin film transistors (TFT_s) using different high-Κ gate dielectric materials such as silicon nitride (Si_3N_4) and aluminum oxide (Al_2O_3) at low temperature process (<300 degree) and compared them with low temperature silicon dioxide (SiO_2). The IGZO device with high-Κ gate dielectric material will expect to get high gate capacitance density to induce large amount of channel carrier and generate the higher drive current. In addition, for the integrating process of integrating IGZO device, post annealing treatment is an essential process for completing the process. The chemical reaction of the high-κ/IGZO interface due to heat formation in high-Κ/IGZO materials results in reliability issue. We also used the voltage stress for testing the reliability for the device with different high-Κ gate dielectric materials and explained the interface effect by charge band diagram.

  10. Interface trapping in (2 ¯ 01 ) β-Ga2O3 MOS capacitors with deposited dielectrics

    Science.gov (United States)

    Jayawardena, Asanka; Ramamurthy, Rahul P.; Ahyi, Ayayi C.; Morisette, Dallas; Dhar, Sarit

    2018-05-01

    The electrical properties of interfaces and the impact of post-deposition annealing have been investigated in gate oxides formed by low pressure chemical vapor deposition (LPCVD SiO2) and atomic layer deposition (Al2O3) on ( 2 ¯ 01 ) oriented n-type β-Ga2O3 single crystals. Capacitance-voltage based methods have been used to extract the interface state densities, including densities of slow `border' traps at the dielectric-Ga2O3 interfaces. It was observed that SiO2-β-Ga2O3 has a higher interface and border trap density than the Al2O3-β-Ga2O3. An increase in shallow interface states was also observed at the Al2O3-β-Ga2O3 interface after post-deposition annealing at higher temperature suggesting the high temperature annealing to be detrimental for Al2O3-Ga2O3 interfaces. Among the different dielectrics studied, LPCVD SiO2 was found to have the lowest dielectric leakage and the highest breakdown field, consistent with a higher conduction band-offset. These results are important for the processing of high performance β-Ga2O3 MOS devices as these factors will critically impact channel transport, threshold voltage stability, and device reliability.

  11. Bulk and interface dielectric functions: New results within the tight-binding approximation

    International Nuclear Information System (INIS)

    Elvira, V.D.; Duran, J.C.

    1991-01-01

    A tight-binding approach is used to analyze the dielectric behaviour of bulk semiconductors and semiconductor interfaces. This time interactions between second nearest neighbours are taken into account and several electrostatic models are proposed for the induced charge density around the atoms. The bulk dielectric function of different semiconductors (Si, Ge, GaAs and AlAs) are obtained and compared with other theoretical and experimental results. Finally, the energy band offset for GaAs-AlAs(1,0,0) interface is obtained and related to bulk properties of both semiconductors. The results presented in this paper show how the use of very simple but more realistic electrostatic models improve the analysis of the screening properties in semiconductors, giving a new support to the consistent tight-binding method for studying characteristics related to those properties. (Author)

  12. Equilibrium and surface stability of liquid dielectric interface in electrical and gravitational fields

    Energy Technology Data Exchange (ETDEWEB)

    Ievlev, I I; Isers, A B

    1976-01-01

    An examination is made of the problem of locating the stable equilibrium surface shape of the interface between two liquid, uniform, isotropic, ideal dielectrics subject to the force of gravity, surface tension, and electrical forces. The conditions for the equilibrium and surface stability of the interface were obtained from the minimum free energy principle. These conditions are used for solving problems on locating the stable equilibrium interface boundary between two dielectrics positioned between infinite charged vertical plates, between infinite vertical coaxial cylinders, between infinite grounded plates and two horizontal charged thin cylinders placed between them. 8 references, 4 figures.

  13. Interface Engineering and Gate Dielectric Engineering for High Performance Ge MOSFETs

    Directory of Open Access Journals (Sweden)

    Jiabao Sun

    2015-01-01

    Full Text Available In recent years, germanium has attracted intensive interests for its promising applications in the microelectronics industry. However, to achieve high performance Ge channel devices, several critical issues still have to be addressed. Amongst them, a high quality gate stack, that is, a low defect interface layer and a dielectric layer, is of crucial importance. In this work, we first review the existing methods of interface engineering and gate dielectric engineering and then in more detail we discuss and compare three promising approaches (i.e., plasma postoxidation, high pressure oxidation, and ozone postoxidation. It has been confirmed that these approaches all can significantly improve the overall performance of the metal-oxide-semiconductor field effect transistor (MOSFET device.

  14. Floating dielectric slab optical interconnection between metal-dielectric interface surface plasmon polariton waveguides.

    Science.gov (United States)

    Kang, Minsu; Park, Junghyun; Lee, Il-Min; Lee, Byoungho

    2009-01-19

    A simple and effective optical interconnection which connects two distanced single metal-dielectric interface surface plasmon waveguides by a floating dielectric slab waveguide (slab bridge) is proposed. Transmission characteristics of the suggested structure are numerically studied using rigorous coupled wave analysis, and design rules based on the study are given. In the wave-guiding part, if the slab bridge can support more than the fundamental mode, then the transmission efficiency of the interconnection shows strong periodic dependency on the length of the bridge, due to the multi-mode interference (MMI) effect. Otherwise, only small fluctuation occurs due to the Fabry-Pérot effect. In addition, light beating happens when the slab bridge is relatively short. In the wave-coupling part, on the other hand, gap-assisted transmission occurs at each overlapping region as a consequence of mode hybridization. Periodic dependency on the length of the overlap region also appears due to the MMI effect. According to these results, we propose design principles for achieving both high transmission efficiency and stability with respect to the variation of the interconnection distance, and we show how to obtain the transmission efficiency of 68.3% for the 1mm-long interconnection.

  15. Two-Dimensional Graphene-Gold Interfaces Serve as Robust Templates for Dielectric Capacitors.

    Science.gov (United States)

    Teshome, Tamiru; Datta, Ayan

    2017-10-04

    The electronic structures of novel heterostructures, namely, graphene-Au van der Waals (vdW) interfaces, have been studied using density functional theory. Dispersion-corrected PBE-D2 functionals are used to describe the phonon spectrum and binding energies. Ab initio molecular dynamics simulations reveal that the vdW framework is preserved till 1200 K. Beyond T = 1200 K, a transition of the quasiplanar Au into the three-dimensional cluster-like structure is observed. A dielectric capacitor is designed by placing 1-4 hexagonal boron nitride (h-BN) monolayers between graphene and Au conductive plates. Charge separation between the Au and graphene plates is carried out under the effect of an external field normal to the graphene-h-BN-Au interface. The gravimetric capacitances are computed as C 1 = 7.6 μF/g and C 2 = 3.2 μF/g for h-BN bilayers with the Au-graphene heterostructures. The capacitive behavior shows strong deviations from the classical charging models and exemplifies the importance of quantum phenomenon at short contacts, which eventually nullifies at large interelectrode distances. The graphene-Au interface is predicted to be an exciting vdW heterostructure with a potential application as a dielectric capacitor.

  16. New theory of effective work functions at metal/high-k dielectric interfaces : application to metal/high-k HfO2 and la2O 3 dielectric interfaces

    OpenAIRE

    Shiraishi, Kenji; Nakayama, Takashi; Akasaka, Yasushi; Miyazaki, Seiichi; Nakaoka, Takashi; Ohmori, Kenji; Ahmet, Parhat; Torii, Kazuyoshi; Watanabe, Heiji; Chikyow, Toyohiro; Nara, Yasuo; Iwai, Hiroshi; Yamada, Keisaku

    2006-01-01

    We have constructed a universal theory of the work functions at metal/high-k HfO2 and La2O3 dielectric interfaces by introducing a new concept of generalized charge neutrality levels. Our theory systematically reproduces the experimentally observed work functions of various gate metals on Hf-based high-k dielectrics, including the hitherto unpredictable behaviors of the work functions of p-metals. Our new concept provides effective guiding principles to achieving near-bandedge work functions ...

  17. Local Electronic And Dielectric Properties at Nanosized Interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Bonnell, Dawn A. [Univ. of Pennsylvania, Philadelphia, PA (United States)

    2015-02-23

    Final Report to the Department of Energy for period 6/1/2000 to 11/30/2014 for Grant # DE-FG02-00ER45813-A000 to the University of Pennsylvania Local Electronic And Dielectric Properties at Nanosized Interfaces PI: Dawn Bonnell The behavior of grain boundaries and interfaces has been a focus of fundamental research for decades because variations of structure and composition at interfaces dictate mechanical, electrical, optical and dielectric properties in solids. Similarly, the consequence of atomic and electronic structures of surfaces to chemical and physical interactions are critical due to their implications to catalysis and device fabrication. Increasing fundamental understanding of surfaces and interfaces has materially advanced technologies that directly bear on energy considerations. Currently, exciting developments in materials processing are enabling creative new electrical, optical and chemical device configurations. Controlled synthesis of nanoparticles, semiconducting nanowires and nanorods, optical quantum dots, etc. along with a range of strategies for assembling and patterning nanostructures portend the viability of new devices that have the potential to significantly impact the energy landscape. As devices become smaller the impact of interfaces and surfaces grows geometrically. As with other nanoscale phenomena, small interfaces do not exhibit the same properties as do large interfaces. The size dependence of interface properties had not been explored and understanding at the most fundamental level is necessary to the advancement of nanostructured devices. An equally important factor in the behavior of interfaces in devices is the ability to examine the interfaces under realistic conditions. For example, interfaces and boundaries dictate the behavior of oxide fuel cells which operate at extremely high temperatures in dynamic high pressure chemical environments. These conditions preclude the characterization of local properties during fuel cell

  18. Dielectric discontinuity at interfaces in the atomic-scale limit: permittivity of ultrathin oxide films on silicon.

    Science.gov (United States)

    Giustino, Feliciano; Umari, Paolo; Pasquarello, Alfredo

    2003-12-31

    Using a density-functional approach, we study the dielectric permittivity across interfaces at the atomic scale. Focusing on the static and high-frequency permittivities of SiO2 films on silicon, for oxide thicknesses from 12 A down to the atomic scale, we find a departure from bulk values in accord with experiment. A classical three-layer model accounts for the calculated permittivities and is supported by the microscopic polarization profile across the interface. The local screening varies on length scales corresponding to first-neighbor distances, indicating that the dielectric transition is governed by the chemical grading. Silicon-induced gap states are shown to play a minor role.

  19. Refraction at a curved dielectric interface - Geometrical optics solution

    Science.gov (United States)

    Lee, S.-W.; Sheshadri, M. S.; Mittra, R.; Jamnejad, V.

    1982-01-01

    The transmission of a spherical or plane wave through an arbitrarily curved dielectric interface is solved by the geometrical optics theory. The transmitted field is proportional to the product of the conventional Fresnel's transmission coefficient and a divergence factor (DF), which describes the cross-sectional variation (convergence or divergence) of a ray pencil as the latter propagates in the transmitted region. The factor DF depends on the incident wavefront, the curvatures of the interface, and the relative indices of the two media. Explicit matrix formulas for calculating DF are given, and its physical significance is illustrated via examples.

  20. Comparative study on nitridation and oxidation plasma interface treatment for AlGaN/GaN MIS-HEMTs with AlN gate dielectric

    Science.gov (United States)

    Zhu, Jie-Jie; Ma, Xiao-Hua; Hou, Bin; Chen, Li-Xiang; Zhu, Qing; Hao, Yue

    2017-02-01

    This paper demonstrated the comparative study on interface engineering of AlN/AlGaN/GaN metal-insulator-semiconductor high-electron-mobility transistors (MIS-HEMTs) by using plasma interface pre-treatment in various ambient gases. The 15 nm AlN gate dielectric grown by plasma-enhanced atomic layer deposition significantly suppressed the gate leakage current by about two orders of magnitude and increased the peak field-effect mobility by more than 50%. NH3/N2 nitridation plasma treatment (NPT) was used to remove the 3 nm poor-quality interfacial oxide layer and N2O/N2 oxidation plasma treatment (OPT) to improve the quality of interfacial layer, both resulting in improved dielectric/barrier interface quality, positive threshold voltage (V th) shift larger than 0.9 V, and negligible dispersion. In comparison, however, NPT led to further decrease in interface charges by 3.38 × 1012 cm-2 and an extra positive V th shift of 1.3 V. Analysis with fat field-effect transistors showed that NPT resulted in better sub-threshold characteristics and transconductance linearity for MIS-HEMTs compared with OPT. The comparative study suggested that direct removing the poor interfacial oxide layer by nitridation plasma was superior to improving the quality of interfacial layer by oxidation plasma for the interface engineering of GaN-based MIS-HEMTs.

  1. Axion-photon conversion caused by dielectric interfaces: quantum field calculation

    Energy Technology Data Exchange (ETDEWEB)

    Ioannisian, Ara N. [Yerevan Physics Institute, Alikhanian Br. 2, 375036 Yerevan (Armenia); Kazarian, Narine [Institute for Theoretical Physics and Modeling, 375036 Yerevan (Armenia); Millar, Alexander J.; Raffelt, Georg G., E-mail: ara.ioannisyan@cern.ch, E-mail: narinkaz@gmail.com, E-mail: millar@mpp.mpg.de, E-mail: raffelt@mpp.mpg.de [Max-Planck-Institut für Physik (Werner-Heisenberg-Institut), Föhringer Ring 6, 80805 München (Germany)

    2017-09-01

    Axion-photon conversion at dielectric interfaces, immersed in a near-homogeneous magnetic field, is the basis for the dielectric haloscope method to search for axion dark matter. In analogy to transition radiation, this process is possible because the photon wave function is modified by the dielectric layers ('Garibian wave function') and is no longer an eigenstate of momentum. A conventional first-order perturbative calculation of the transition probability between a quantized axion state and these distorted photon states provides the microwave production rate. It agrees with previous results based on solving the classical Maxwell equations for the combined system of axions and electromagnetic fields. We argue that in general the average photon production rate is given by our result, independently of the detailed quantum state of the axion field. Moreover, our result provides a new perspective on axion-photon conversion in dielectric haloscopes because the rate is based on an overlap integral between unperturbed axion and photon wave functions, in analogy to the usual treatment of microwave-cavity haloscopes.

  2. Synchrotron radiation x-ray photoelectron spectroscopy study on the interface chemistry of high-k PrxAl2-xO3 (x=0-2) dielectrics on TiN for dynamic random access memory applications

    Science.gov (United States)

    Schroeder, T.; Lupina, G.; Sohal, R.; Lippert, G.; Wenger, Ch.; Seifarth, O.; Tallarida, M.; Schmeisser, D.

    2007-07-01

    Engineered dielectrics combined with compatible metal electrodes are important materials science approaches to scale three-dimensional trench dynamic random access memory (DRAM) cells. Highly insulating dielectrics with high dielectric constants were engineered in this study on TiN metal electrodes by partly substituting Al in the wide band gap insulator Al2O3 by Pr cations. High quality PrAlO3 metal-insulator-metal capacitors were processed with a dielectric constant of 19, three times higher than in the case of Al2O3 reference cells. As a parasitic low dielectric constant interface layer between PrAlO3 and TiN limits the total performance gain, a systematic nondestructive synchrotron x-ray photoelectron spectroscopy study on the interface chemistry of PrxAl2-xO3 (x =0-2) dielectrics on TiN layers was applied to unveil its chemical origin. The interface layer results from the decreasing chemical reactivity of PrxAl2-xO3 dielectrics with increasing Pr content x to reduce native Ti oxide compounds present on unprotected TiN films. Accordingly, PrAlO3 based DRAM capacitors require strict control of the surface chemistry of the TiN electrode, a parameter furthermore of importance to engineer the band offsets of PrxAl2-xO3/TiN heterojunctions.

  3. SFG analysis of the molecular structures at the surfaces and buried interfaces of PECVD ultralow-dielectric constant pSiCOH: Reactive ion etching and dielectric recovery

    Science.gov (United States)

    Myers, John N.; Zhang, Xiaoxian; Huang, Huai; Shobha, Hosadurga; Grill, Alfred; Chen, Zhan

    2017-05-01

    Molecular structures at the surface and buried interface of an amorphous ultralow-k pSiCOH dielectric film were quantitatively characterized before and after reactive ion etching (RIE) and subsequent dielectric repair using sum frequency generation (SFG) vibrational spectroscopy and Auger electron spectroscopy. SFG results indicated that RIE treatment of the pSiCOH film resulted in a depletion of ˜66% of the surface methyl groups and changed the orientation of surface methyl groups from ˜47° to ˜40°. After a dielectric recovery process that followed the RIE treatment, the surface molecular structure was dominated by methyl groups with an orientation of ˜55° and the methyl surface coverage at the repaired surface was 271% relative to the pristine surface. Auger depth profiling indicated that the RIE treatment altered the top ˜25 nm of the film and that the dielectric recovery treatment repaired the top ˜9 nm of the film. Both SFG and Auger profiling results indicated that the buried SiCNH/pSiCOH interface was not affected by the RIE or the dielectric recovery process. Beyond characterizing low-k materials, the developed methodology is general and can be used to distinguish and characterize different molecular structures and elemental compositions at the surface, in the bulk, and at the buried interface of many different polymer or organic thin films.

  4. Electrochemical impedance spectroscopy for quantitative interface state characterization of planar and nanostructured semiconductor-dielectric interfaces

    Science.gov (United States)

    Meng, Andrew C.; Tang, Kechao; Braun, Michael R.; Zhang, Liangliang; McIntyre, Paul C.

    2017-10-01

    The performance of nanostructured semiconductors is frequently limited by interface defects that trap electronic carriers. In particular, high aspect ratio geometries dramatically increase the difficulty of using typical solid-state electrical measurements (multifrequency capacitance- and conductance-voltage testing) to quantify interface trap densities (D it). We report on electrochemical impedance spectroscopy (EIS) to characterize the energy distribution of interface traps at metal oxide/semiconductor interfaces. This method takes advantage of liquid electrolytes, which provide conformal electrical contacts. Planar Al2O3/p-Si and Al2O3/p-Si0.55Ge0.45 interfaces are used to benchmark the EIS data against results obtained from standard electrical testing methods. We find that the solid state and EIS data agree very well, leading to the extraction of consistent D it energy distributions. Measurements carried out on pyramid-nanostructured p-Si obtained by KOH etching followed by deposition of a 10 nm ALD-Al2O3 demonstrate the application of EIS to trap characterization of a nanostructured dielectric/semiconductor interface. These results show the promise of this methodology to measure interface state densities for a broad range of semiconductor nanostructures such as nanowires, nanofins, and porous structures.

  5. Investigation of dielectric breakdown in silica-epoxy nanocomposites using designed interfaces.

    Science.gov (United States)

    Bell, Michael; Krentz, Timothy; Keith Nelson, J; Schadler, Linda; Wu, Ke; Breneman, Curt; Zhao, Su; Hillborg, Henrik; Benicewicz, Brian

    2017-06-01

    Adding nano-sized fillers to epoxy has proven to be an effective method for improving dielectric breakdown strength (DBS). Evidence suggests that dispersion state, as well as chemistry at the filler-matrix interface can play a crucial role in property enhancement. Herein we investigate the contribution of both filler dispersion and surface chemistry on the AC dielectric breakdown strength of silica-epoxy nanocomposites. Ligand engineering was used to synthesize bimodal ligands onto 15nm silica nanoparticles consisting of long epoxy compatible, poly(glycidyl methacrylate) (PGMA) chains, and short, π-conjugated, electroactive surface ligands. Surface initiated RAFT polymerization was used to synthesize multiple graft densities of PGMA chains, ultimately controlling the dispersion of the filler. Thiophene, anthracene, and terthiophene were employed as π-conjugated surface ligands that act as electron traps to mitigate avalanche breakdown. Investigation of the synthesized multifunctional nanoparticles was effective in defining the maximum particle spacing or free space length (L f ) that still leads to property enhancement, as well as giving insight into the effects of varying the electronic nature of the molecules at the interface on breakdown strength. Optimization of the investigated variables was shown to increase the AC dielectric breakdown strength of epoxy composites as much as 34% with only 2wt% silica loading. Copyright © 2017 Elsevier Inc. All rights reserved.

  6. arXiv Axion-photon conversion caused by dielectric interfaces: quantum field calculation

    CERN Document Server

    Ioannisian, Ara N.; Millar, Alexander J.; Raffelt, Georg G.

    2017-09-05

    Axion-photon conversion at dielectric interfaces, immersed in a near-homogeneous magnetic field, is the basis for the dielectric haloscope method to search for axion dark matter. In analogy to transition radiation, this process is possible because the photon wave function is modified by the dielectric layers ("Garibian wave function") and is no longer an eigenstate of momentum. A conventional first-order perturbative calculation of the transition probability between a quantized axion state and these distorted photon states provides the microwave production rate. It agrees with previous results based on solving the classical Maxwell equations for the combined system of axions and electromagnetic fields. We argue that in general the average photon production rate is given by our result, independently of the detailed quantum state of the axion field. Moreover, our result provides a new perspective on axion-photon conversion in dielectric haloscopes because the rate is based on an overlap integral between unpertu...

  7. Accurate characterization and understanding of interface trap density trends between atomic layer deposited dielectrics and AlGaN/GaN with bonding constraint theory

    Energy Technology Data Exchange (ETDEWEB)

    Ramanan, Narayanan; Lee, Bongmook; Misra, Veena, E-mail: vmisra@ncsu.edu [Department of Electrical and Computer Engineering, North Carolina State University, 2410 Campus Shore Drive, Raleigh, North Carolina 27695 (United States)

    2015-06-15

    Many dielectrics have been proposed for the gate stack or passivation of AlGaN/GaN based metal oxide semiconductor heterojunction field effect transistors, to reduce gate leakage and current collapse, both for power and RF applications. Atomic Layer Deposition (ALD) is preferred for dielectric deposition as it provides uniform, conformal, and high quality films with precise monolayer control of film thickness. Identification of the optimum ALD dielectric for the gate stack or passivation requires a critical investigation of traps created at the dielectric/AlGaN interface. In this work, a pulsed-IV traps characterization method has been used for accurate characterization of interface traps with a variety of ALD dielectrics. High-k dielectrics (HfO{sub 2}, HfAlO, and Al{sub 2}O{sub 3}) are found to host a high density of interface traps with AlGaN. In contrast, ALD SiO{sub 2} shows the lowest interface trap density (<2 × 10{sup 12 }cm{sup −2}) after annealing above 600 °C in N{sub 2} for 60 s. The trend in observed trap densities is subsequently explained with bonding constraint theory, which predicts a high density of interface traps due to a higher coordination state and bond strain in high-k dielectrics.

  8. Characterization of a dielectric microdroplet thermal interface material with dispersed nanoparticles

    International Nuclear Information System (INIS)

    Hamdan, A.; Sahli, F.; Richards, R.; Richards, C.

    2012-01-01

    This work presents the fabrication and characterization of a dielectric microdroplet thermal interface material (TIM). Glycerin droplets, 1 μL, were tested as TIMs in this study. Copper nanoparticles having a diameter of 25 nm were dispersed in glycerin at different volume fractions to enhance its thermal conductivity. An increase of 57.5% in the thermal conductivity of glycerin was measured at a volume fraction of 15%. A minimum thermal interface resistance of 30.37 mm 2 K/W was measured for the glycerin microdroplets at a deformed droplet height of 10.2 μm. Good agreement between experimental measurements and the predictions of a model based on Maxwell’s equation of rules of mixtures was obtained. The effect of nanoparticles' size on the effective thermal conductivity of glycerin was studied. Nanoparticles with diameters of 60–80 and 300 nm were dispersed in glycerin at a volume fraction of 5%, and their results were compared to those of the 25 nm particles.

  9. Post-breakdown secondary discharges at the electrode/dielectric interface of a cylindrical barrier discharge

    Science.gov (United States)

    Carman, Robert; Ward, Barry; Kane, Deborah

    2011-10-01

    The electrical breakdown characteristics of a double-walled cylindrical dielectric barrier discharge (DBD) lamp with a neon buffer gas under pulsed voltage excitation have been investigated. Following the formation of plasma in the main discharge gap, we have observed secondary breakdown phenomena at the inner and outer mesh electrode/dielectric interfaces under specific operating conditions. Plasma formation at these interfaces is investigated by monitoring the Ozone production rate in controlled flows of ultra high purity oxygen together with the overall electrical voltage-charge characteristics of the lamp. The results show that this secondary breakdown only occurs after the main discharge plasma has been established, and that significant electrical power may be dissipated in generating these spurious secondary plasmas. The results are important with regards to optimising the design and identifying efficient operating regimes of DBD based devices that employ mesh-type or wire/strip electrodes.

  10. Interface Engineering for Atomic Layer Deposited Alumina Gate Dielectric on SiGe Substrates.

    OpenAIRE

    Zhang, L; Guo, Y; Hassan, VV; Tang, K; Foad, MA; Woicik, JC; Pianetta, P; Robertson, John; McIntyre, PC

    2016-01-01

    Optimization of the interface between high-k dielectrics and SiGe substrates is a challenging topic due to the complexity arising from the coexistence of Si and Ge interfacial oxides. Defective high-k/SiGe interfaces limit future applications of SiGe as a channel material for electronic devices. In this paper, we identify the surface layer structure of as-received SiGe and Al2O3/SiGe structures based on soft and hard X-ray photoelectron spectroscopy. As-received SiGe substrates have native Si...

  11. Impedance and dielectric characterizations of ionic partitioning in interfaces that membranous, biomimetic and gold surfaces form with electrolytes

    International Nuclear Information System (INIS)

    Chilcott, Terry C.; Guo, Chuan

    2013-01-01

    Silicon dioxide, organic monolayers covalently attached to silicon and gold are used as biosensor substrates and anchoring platforms for hybrid, tethered and supported lipid membranes used in membrane-protein studies. Electrical impedance spectroscopy (EIS) studies of gold in contact with potassium chloride electrolytes of concentrations ranging from 1 mM to 300 mM, characterized the gold–electrolyte interface as principally a Stern layer 20–30 Å thick and conductivity many orders of magnitude less than that of the bulk electrolyte. EIS studies of SiO 2 –electrolyte system that were similar to studies of a tetradecane–electrolyte system are presented herein that reveal an interface comprised of at least two interfacial layers and extending some 10 5 Å into the electrolyte. The average conductivity and thickness values for the layer in contact with the SiO 2 surface (∼10 −6 S m −1 and ∼28 Å, respectively) were of the order of magnitude expected for the Gouy–Chapman layer but the dependency of the thickness on concentration did not reflect the expected dependency of the Debye length over the full range of concentrations. The average values for the next layer (∼10 −3 S m −1 and ∼10 5 Å) exhibited a dependency on concentration similar to that expected for the bulk electrolyte. The theoretical derivations of ionic partitioning arising from the Born (dielectric) energy distributions in both the SiO 2 and gold interfaces were generally consistent with the respective EIS studies and revealed that partitioning in the SiO 2 interface mimicked that in bio-membranous interfaces. The dielectric characterizations suggest that; ionic partitioning in biomimetic interfaces play a role in long-ranging sequestration of organic molecules, the extensiveness of these interfaces contributes to differences in the lipid densities of bilayers formed on biomimetic substrates, and chloride ions have a greater affinity than the smaller potassium ions for gold

  12. Dielectric loss against piezoelectric power harvesting

    Science.gov (United States)

    Liang, Junrui; Shu-Hung Chung, Henry; Liao, Wei-Hsin

    2014-09-01

    Piezoelectricity is one of the most popular electromechanical transduction mechanisms for constructing kinetic energy harvesting systems. When a standard energy harvesting (SEH) interface circuit, i.e., bridge rectifier plus filter capacitor, is utilized for collecting piezoelectric power, the previous literature showed that the power conversion can be well predicted without much consideration for the effect of dielectric loss. Yet, as the conversion power gets higher by adopting power-boosting interface circuits, such as synchronized switch harvesting on inductor (SSHI), the neglect of dielectric loss might give rise to deviation in harvested power estimation. Given the continuous progress on power-boosting interface circuits, the role of dielectric loss in practical piezoelectric energy harvesting (PEH) systems should receive attention with better evaluation. Based on the integrated equivalent impedance network model, this fast track communication provides a comprehensive study on the susceptibility of harvested power in PEH systems under different conditions. It shows that, dielectric loss always counteracts piezoelectric power harvesting by causing charge leakage across piezoelectric capacitance. In particular, taking corresponding ideal lossless cases as references, the counteractive effect might be aggravated under one of the five conditions: larger dielectric loss tangent, lower vibration frequency, further away from resonance, weaker electromechanical coupling, or using power-boosting interface circuit. These relationships are valuable for the study of PEH systems, as they not only help explain the role of dielectric loss in piezoelectric power harvesting, but also add complementary insights for material, structure, excitation, and circuit considerations towards holistic evaluation and design for practical PEH systems.

  13. Dielectric loss against piezoelectric power harvesting

    International Nuclear Information System (INIS)

    Liang, Junrui; Shu-Hung Chung, Henry; Liao, Wei-Hsin

    2014-01-01

    Piezoelectricity is one of the most popular electromechanical transduction mechanisms for constructing kinetic energy harvesting systems. When a standard energy harvesting (SEH) interface circuit, i.e., bridge rectifier plus filter capacitor, is utilized for collecting piezoelectric power, the previous literature showed that the power conversion can be well predicted without much consideration for the effect of dielectric loss. Yet, as the conversion power gets higher by adopting power-boosting interface circuits, such as synchronized switch harvesting on inductor (SSHI), the neglect of dielectric loss might give rise to deviation in harvested power estimation. Given the continuous progress on power-boosting interface circuits, the role of dielectric loss in practical piezoelectric energy harvesting (PEH) systems should receive attention with better evaluation. Based on the integrated equivalent impedance network model, this fast track communication provides a comprehensive study on the susceptibility of harvested power in PEH systems under different conditions. It shows that, dielectric loss always counteracts piezoelectric power harvesting by causing charge leakage across piezoelectric capacitance. In particular, taking corresponding ideal lossless cases as references, the counteractive effect might be aggravated under one of the five conditions: larger dielectric loss tangent, lower vibration frequency, further away from resonance, weaker electromechanical coupling, or using power-boosting interface circuit. These relationships are valuable for the study of PEH systems, as they not only help explain the role of dielectric loss in piezoelectric power harvesting, but also add complementary insights for material, structure, excitation, and circuit considerations towards holistic evaluation and design for practical PEH systems. (fast track communications)

  14. Silicate formation at the interface of Pr-oxide as a high-K dielectric and Si(001) surfaces

    International Nuclear Information System (INIS)

    Schmeisser, D.; Zheng, F.; Perez-Dieste, V.; Himpsel, F.J.; LoNigro, R.; Toro, R.G.; Malandrino, G.; Fragala, I.L.

    2006-01-01

    The composition and chemical bonding of the first atoms across the interface between Si(001) and the dielectric determine the quality of dielectric gate stacks. An analysis of that hidden interface is a challenge as it requires both, high sensitivity and elemental and chemical state information. We used X-ray absorption spectroscopy in total electron yield and total fluorescence yield at the Si2p and the O1s edges to address that issue. We report on results of Pr 2 O 3 /Si(001) as prepared by both, epitaxial growth and metal organic chemical vapor deposition (MOCVD), and compare to the SiO 2 /Si(001) system as a reference. We find evidence for the silicate formation at the interface as derived from the characteristic features at the Si2p and the O1s edges. The results are in line with model experiments in which films of increasing film thickness are deposited in situ on bare Si(001) surfaces

  15. Selective enhancement of Selényi rings induced by the cross-correlation between the interfaces of a two-dimensional randomly rough dielectric film

    Science.gov (United States)

    Banon, J.-P.; Hetland, Ø. S.; Simonsen, I.

    2018-02-01

    By the use of both perturbative and non-perturbative solutions of the reduced Rayleigh equation, we present a detailed study of the scattering of light from two-dimensional weakly rough dielectric films. It is shown that for several rough film configurations, Selényi interference rings exist in the diffusely scattered light. For film systems supported by dielectric substrates where only one of the two interfaces of the film is weakly rough and the other planar, Selényi interference rings are observed at angular positions that can be determined from simple phase arguments. For such single-rough-interface films, we find and explain by a single scattering model that the contrast in the interference patterns is better when the top interface of the film (the interface facing the incident light) is rough than when the bottom interface is rough. When both film interfaces are rough, Selényi interference rings exist but a potential cross-correlation of the two rough interfaces of the film can be used to selectively enhance some of the interference rings while others are attenuated and might even disappear. This feature may in principle be used in determining the correlation properties of interfaces of films that otherwise would be difficult to access.

  16. Modulation of the adsorption properties at air-water interfaces of complexes of egg white ovalbumin with pectin by the dielectric constant

    NARCIS (Netherlands)

    Kudryashova, E.V.; Jongh, H.H.J.de

    2008-01-01

    The possibility of modulating the mesoscopic properties of food colloidal systems by the dielectric constant is studied by determining the impact of small amounts of ethanol (10%) on the adsorption of egg white ovalbumin onto the air-water interface in the absence and presence of pectin. The

  17. Measurements of water molecule density by tunable diode laser absorption spectroscopy in dielectric barrier discharges with gas-water interface

    Science.gov (United States)

    Tachibana, Kunihide; Nakamura, Toshihiro; Kawasaki, Mitsuo; Morita, Tatsuo; Umekawa, Toyofumi; Kawasaki, Masahiro

    2018-01-01

    We measured water molecule (H2O) density by tunable diode-laser absorption spectroscopy (TDLAS) for applications in dielectric barrier discharges (DBDs) with a gas-water interface. First, the effects of water temperature and presence of gas flow were tested using a Petri dish filled with water and a gas injection nozzle. Second, the TDLAS system was applied to the measurements of H2O density in two types of DBDs; one was a normal (non-inverted) type with a dielectric-covered electrode above a water-filled counter electrode and the other was an inverted type with a water-suspending mesh electrode above a dielectric-covered counter electrode. The H2O density in the normal DBD was close to the density estimated from the saturated vapor pressure, whereas the density in the inverted DBD was about half of that in the former type. The difference is attributed to the upward gas flow in the latter type, that pushes the water molecules up towards the gas-water interface.

  18. Insulator-semiconductor interface fixed charges in AlGaN/GaN metal-insulator-semiconductor devices with Al2O3 or AlTiO gate dielectrics

    Science.gov (United States)

    Le, Son Phuong; Nguyen, Duong Dai; Suzuki, Toshi-kazu

    2018-01-01

    We have investigated insulator-semiconductor interface fixed charges in AlGaN/GaN metal-insulator-semiconductor (MIS) devices with Al2O3 or AlTiO (an alloy of Al2O3 and TiO2) gate dielectrics obtained by atomic layer deposition on AlGaN. Analyzing insulator-thickness dependences of threshold voltages for the MIS devices, we evaluated positive interface fixed charges, whose density at the AlTiO/AlGaN interface is significantly lower than that at the Al2O3/AlGaN interface. This and a higher dielectric constant of AlTiO lead to rather shallower threshold voltages for the AlTiO gate dielectric than for Al2O3. The lower interface fixed charge density also leads to the fact that the two-dimensional electron concentration is a decreasing function of the insulator thickness for AlTiO, whereas being an increasing function for Al2O3. Moreover, we discuss the relationship between the interface fixed charges and interface states. From the conductance method, it is shown that the interface state densities are very similar at the Al2O3/AlGaN and AlTiO/AlGaN interfaces. Therefore, we consider that the lower AlTiO/AlGaN interface fixed charge density is not owing to electrons trapped at deep interface states compensating the positive fixed charges and can be attributed to a lower density of oxygen-related interface donors.

  19. Mechanistic interaction study of thin oxide dielectric with conducting organic electrode

    International Nuclear Information System (INIS)

    Sharma, Himani; Sethi, Kanika; Raj, P. Markondeya; Gerhardt, R.A.; Tummala, Rao

    2012-01-01

    Highlights: ► Thin film-oxide dielectric-organic electrode interface studies for investigating the leakage mechanism. ► XPS to elucidate chemical-structural changes on dielectric oxide surface. ► Correlates structural characterization data with capacitor leakage current and impedance spectroscopy characteristics. - Abstract: This paper aims at understanding the interaction of intrinsic conducting polymer, PEDT, with ALD-deposited Al 2 O 3 and thermally oxidized Ta 2 O 5 dielectrics, and the underlying mechanisms for increase in leakage currents in PEDT-based capacitors. Conducting polymers offer several advantages as electrodes for high surface area capacitors because of their lower resistance, self-healing and enhanced conformality. However, capacitors with in situ polymerized PEDT show poor electrical properties that are attributed to the interfacial interaction between the organic electrode and the oxide dielectric. This study focuses on characterizing these interactions. A combination of compositional, structural and electrical characterization techniques was applied to polymer-solid-state-capacitor to understand the interfacial chemical behavior and dielectric property deterioration of alumina and tantalum-oxide films. XPS and impedance studies were employed to understand the stiochiometric and compositional changes that occur in the dielectric film on interaction with in situ deposited PEDT. Based on the observations from several complimentary techniques, it is concluded that tantalum-pentoxide has more resistance towards chemical interaction with in situ polymerized PEDT. The thermally oxidized Ta 2 O 5 -PEDT system showed leakage current of 280 nA μF −1 at 3 V with a breakdown voltage of 30 V. On the other hand, Al 2 O 3 -PEDT capacitor showed leakage current of 50 μA μF −1 and a breakdown voltage of 40 V. The study reports direct evidence for the mechanism of resistivity drop in alumina dielectric with in situ polymerized PEDT electrode.

  20. Insulating electrodes: a review on biopotential front ends for dielectric skin–electrode interfaces

    International Nuclear Information System (INIS)

    Spinelli, Enrique; Haberman, Marcelo

    2010-01-01

    Insulating electrodes, also known as capacitive electrodes, allow acquiring biopotentials without galvanic contact with the body. They operate with displacement currents instead of real charge currents, and the electrolytic electrode–skin interface is replaced by a dielectric film. The use of insulating electrodes is not the end of electrode interface problems but the beginning of new ones: coupling capacitances are of the order of pF calling for ultra-high input impedance amplifiers and careful biasing, guarding and shielding techniques. In this work, the general requirements of front ends for capacitive electrodes are presented and the different contributions to the overall noise are discussed and estimated. This analysis yields that noise bounds depend on features of the available devices as current and voltage noise, but the final noise level also depends on parasitic capacitances, requiring a careful shield and printed circuit design. When the dielectric layer is placed on the skin, the present-day amplifiers allow achieving noise levels similar to those provided by wet electrodes. Furthermore, capacitive electrode technology allows acquiring high quality ECG signals through thin clothes. A prototype front end for capacitive electrodes was built and tested. ECG signals were acquired with these electrodes in direct contact with the skin and also through cotton clothes 350 µm thick. They were compared with simultaneously acquired signals by means of wet electrodes and no significant differences were observed between both output signals

  1. Interfacing Dielectric-Loaded Plasmonic and Silicon Photonic Waveguides: Theoretical Analysis and Experimental Demonstration

    DEFF Research Database (Denmark)

    Tsilipakos, O.; Pitilakis, A.; Yioultsis, T. V.

    2012-01-01

    A comprehensive theoretical analysis of end-fire coupling between dielectric-loaded surface plasmon polariton and rib/wire silicon-on-insulator (SOI) waveguides is presented. Simulations are based on the 3-D vector finite element method. The geometrical parameters of the interface are varied...... in order to identify the ones leading to optimum performance, i.e., maximum coupling efficiency. Fabrication tolerances about the optimum parameter values are also assessed. In addition, the effect of a longitudinal metallic stripe gap on coupling efficiency is quantified, since such gaps have been...

  2. Multiple Bloch surface waves in visible region of light at the interfaces between rugate filter/rugate filter and rugate filter/dielectric slab/rugate filter

    Science.gov (United States)

    Ullah Manzoor, Habib; Manzoor, Tareq; Hussain, Masroor; Manzoor, Sanaullah; Nazar, Kashif

    2018-04-01

    Surface electromagnetic waves are the solution of Maxwell’s frequency domain equations at the interface of two dissimilar materials. In this article, two canonical boundary-value problems have been formulated to analyze the multiplicity of electromagnetic surface waves at the interface between two dissimilar materials in the visible region of light. In the first problem, the interface between two semi-infinite rugate filters having symmetric refractive index profiles is considered and in the second problem, to enhance the multiplicity of surface electromagnetic waves, a homogeneous dielectric slab of 400 nm is included between two semi-infinite symmetric rugate filters. Numerical results show that multiple Bloch surface waves of different phase speeds, different polarization states, different degrees of localization and different field profiles are propagated at the interface between two semi-infinite rugate filters. Having two interfaces when a homogeneous dielectric layer is placed between two semi-infinite rugate filters has increased the multiplicity of electromagnetic surface waves.

  3. Study of physicochemical stability of the copper/polyphenylquinoxaline interfaces

    Science.gov (United States)

    Even, R.; Palleau, J.; Oberlin, J. C.; Pantel, R.; Laviale, D.; Templier, F.; Torres, J.; Giustiniani, R.; Cros, A.

    Thin film technologies are now applied in building up multilayered chip to chip interconnections to achieve agressive requirement such as high electrical performance or extreme compactness. Copper as conductor and a new polymeric material, a poly phenyl quinoxaline, as dielectric have been chose to fulfill demanding electrical and physical conditions. Thermal stability at the interface Cu/polymer and polymer/Cu during polymer curing process is very important to preserve good adhesion between the conductor and dielectric materials. We have studied in this work the interfacial behavior as a function of annealing temperature and of annealing atmosphere.

  4. Limitations of threshold voltage engineering of AlGaN/GaN heterostructures by dielectric interface charge density and manipulation by oxygen plasma surface treatments

    Science.gov (United States)

    Lükens, G.; Yacoub, H.; Kalisch, H.; Vescan, A.

    2016-05-01

    The interface charge density between the gate dielectric and an AlGaN/GaN heterostructure has a significant impact on the absolute value and stability of the threshold voltage Vth of metal-insulator-semiconductor (MIS) heterostructure field effect transistor. It is shown that a dry-etching step (as typically necessary for normally off devices engineered by gate-recessing) before the Al2O3 gate dielectric deposition introduces a high positive interface charge density. Its origin is most likely donor-type trap states shifting Vth to large negative values, which is detrimental for normally off devices. We investigate the influence of oxygen plasma annealing techniques of the dry-etched AlGaN/GaN surface by capacitance-voltage measurements and demonstrate that the positive interface charge density can be effectively compensated. Furthermore, only a low Vth hysteresis is observable making this approach suitable for threshold voltage engineering. Analysis of the electrostatics in the investigated MIS structures reveals that the maximum Vth shift to positive voltages achievable is fundamentally limited by the onset of accumulation of holes at the dielectric/barrier interface. In the case of the Al2O3/Al0.26Ga0.74N/GaN material system, this maximum threshold voltage shift is limited to 2.3 V.

  5. Towards low-voltage organic thin film transistors (OTFTs with solution-processed high-k dielectric and interface engineering

    Directory of Open Access Journals (Sweden)

    Yaorong Su

    2015-11-01

    Full Text Available Although impressive progress has been made in improving the performance of organic thin film transistors (OTFTs, the high operation voltage resulting from the low gate capacitance density of traditional SiO2 remains a severe limitation that hinders OTFTs'development in practical applications. In this regard, developing new materials with high-k characteristics at low cost is of great scientific and technological importance in the area of both academia and industry. Here, we introduce a simple solution-based technique to fabricate high-k metal oxide dielectric system (ATO at low-temperature, which can be used effectively to realize low-voltage operation of OTFTs. On the other hand, it is well known that the properties of the dielectric/semiconductor and electrode/semiconductor interfaces are crucial in controlling the electrical properties of OTFTs. By optimizing the above two interfaces with octadecylphosphonic acid (ODPA self-assembled monolayer (SAM and properly modified low-cost Cu, obviously improved device performance is attained in our low-voltage OTFTs. Further more, organic electronic devices on flexible substrates have attracted much attention due to their low-cost, rollability, large-area processability, and so on. Basing on the above results, outstanding electrical performance is achieved in flexible devices. Our studies demonstrate an effective way to realize low-voltage, high-performance OTFTs at low-cost.

  6. Dielectric properties of polymer-particle nanocomposites influenced by electronic nature of filler surfaces.

    Science.gov (United States)

    Siddabattuni, Sasidhar; Schuman, Thomas P; Dogan, Fatih

    2013-03-01

    The interface between the polymer and the particle has a critical role in altering the properties of a composite dielectric. Polymer-ceramic nanocomposites are promising dielectric materials for many electronic and power devices, combining the high dielectric constant of ceramic particles with the high dielectric breakdown strength of a polymer. Self-assembled monolayers of electron rich or electron poor organophosphate coupling groups were applied to affect the filler-polymer interface and investigate the role of this interface on composite behavior. The interface has potential to influence dielectric properties, in particular the leakage and breakdown resistance. The composite films synthesized from the modified filler particles dispersed into an epoxy polymer matrix were analyzed by dielectric spectroscopy, breakdown strength, and leakage current measurements. The data indicate that significant reduction in leakage currents and dielectric losses and improvement in dielectric breakdown strengths resulted when electropositive phenyl, electron-withdrawing functional groups were located at the polymer-particle interface. At a 30 vol % particle concentration, dielectric composite films yielded a maximum energy density of ~8 J·cm(-3) for TiO2-epoxy nanocomposites and ~9.5 J·cm(-3) for BaTiO3-epoxy nanocomposites.

  7. Investigation of the chemistry of the dielectric/FeCoTb interface by x-ray photoelectron spectroscopy and Auger electron spectroscopy

    International Nuclear Information System (INIS)

    Stickle, W.F.; Coulman, D.

    1987-01-01

    The interfacial chemistry of magneto-optic structures of sputter deposited SiO, SiO 2 , Si 3 N 4 /FeCoTb/SiO, SiO 2 , and Si 3 N 4 was studied in detail by x-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy (AES). XPS and AES depth profiles have revealed a substantial amount of redox chemistry at the dielectric/rare-earth transition metal interfaces. The chemical reactions occur preferentially with the terbium as revealed in the XPS portion of the study by the formation of terbium oxide and terbium silicide. In the case of Si 3 N 4 evidence of TbN/sub x/ has also been observed. ''As deposited'' and annealed samples of the magneto-optic structures are compared and contrasted. It is concluded that Si 3 N 4 is a superior dielectric for magneto-optic media

  8. The Study of Electrical Properties for Multilayer La2O3/Al2O3 Dielectric Stacks and LaAlO3 Dielectric Film Deposited by ALD.

    Science.gov (United States)

    Feng, Xing-Yao; Liu, Hong-Xia; Wang, Xing; Zhao, Lu; Fei, Chen-Xi; Liu, He-Lei

    2017-12-01

    The capacitance and leakage current properties of multilayer La 2 O 3 /Al 2 O 3 dielectric stacks and LaAlO 3 dielectric film are investigated in this paper. A clear promotion of capacitance properties is observed for multilayer La 2 O 3 /Al 2 O 3 stacks after post-deposition annealing (PDA) at 800 °C compared with PDA at 600 °C, which indicated the recombination of defects and dangling bonds performs better at the high-k/Si substrate interface for a higher annealing temperature. For LaAlO 3 dielectric film, compared with multilayer La 2 O 3 /Al 2 O 3 dielectric stacks, a clear promotion of trapped charges density (N ot ) and a degradation of interface trap density (D it ) can be obtained simultaneously. In addition, a significant improvement about leakage current property is observed for LaAlO 3 dielectric film compared with multilayer La 2 O 3 /Al 2 O 3 stacks at the same annealing condition. We also noticed that a better breakdown behavior for multilayer La 2 O 3 /Al 2 O 3 stack is achieved after annealing at a higher temperature for its less defects.

  9. Comprehensive Study of Lanthanum Aluminate High-Dielectric-Constant Gate Oxides for Advanced CMOS Devices

    Directory of Open Access Journals (Sweden)

    Masamichi Suzuki

    2012-03-01

    Full Text Available A comprehensive study of the electrical and physical characteristics of Lanthanum Aluminate (LaAlO3 high-dielectric-constant gate oxides for advanced CMOS devices was performed. The most distinctive feature of LaAlO3 as compared with Hf-based high-k materials is the thermal stability at the interface with Si, which suppresses the formation of a low-permittivity Si oxide interfacial layer. Careful selection of the film deposition conditions has enabled successful deposition of an LaAlO3 gate dielectric film with an equivalent oxide thickness (EOT of 0.31 nm. Direct contact with Si has been revealed to cause significant tensile strain to the Si in the interface region. The high stability of the effective work function with respect to the annealing conditions has been demonstrated through comparison with Hf-based dielectrics. It has also been shown that the effective work function can be tuned over a wide range by controlling the La/(La + Al atomic ratio. In addition, gate-first n-MOSFETs with ultrathin EOT that use sulfur-implanted Schottky source/drain technology have been fabricated using a low-temperature process.

  10. Nanostructure multilayer dielectric materials for capacitors and insulators

    Science.gov (United States)

    Barbee, Jr., Troy W.; Johnson, Gary W.

    1998-04-21

    A capacitor is formed of at least two metal conductors having a multilayer dielectric and opposite dielectric-conductor interface layers in between. The multilayer dielectric includes many alternating layers of amorphous zirconium oxide (ZrO.sub.2) and alumina (Al.sub.2 O.sub.3). The dielectric-conductor interface layers are engineered for increased voltage breakdown and extended service life. The local interfacial work function is increased to reduce charge injection and thus increase breakdown voltage. Proper material choices can prevent electrochemical reactions and diffusion between the conductor and dielectric. Physical vapor deposition is used to deposit the zirconium oxide (ZrO.sub.2) and alumina (Al.sub.2 O.sub.3) in alternating layers to form a nano-laminate.

  11. Nonlinear optics at interfaces

    International Nuclear Information System (INIS)

    Chen, C.K.

    1980-12-01

    Two aspects of surface nonlinear optics are explored in this thesis. The first part is a theoretical and experimental study of nonlinear intraction of surface plasmons and bulk photons at metal-dielectric interfaces. The second part is a demonstration and study of surface enhanced second harmonic generation at rough metal surfaces. A general formulation for nonlinear interaction of surface plasmons at metal-dielectric interfaces is presented and applied to both second and third order nonlinear processes. Experimental results for coherent second and third harmonic generation by surface plasmons and surface coherent antiStokes Raman spectroscopy (CARS) are shown to be in good agreement with the theory

  12. SFG analysis of the molecular structures at the surfaces and buried interfaces of PECVD ultralow-dielectric constant pSiCOH

    Science.gov (United States)

    Zhang, Xiaoxian; Myers, John N.; Huang, Huai; Shobha, Hosadurga; Chen, Zhan; Grill, Alfred

    2016-02-01

    PECVD deposited porous SiCOH with ultralow dielectric constant has been successfully integrated as the insulator in advanced interconnects to decrease the RC delay. The effects of NH3 plasma treatment and the effectiveness of the dielectric repair on molecular structures at the surface and buried interface of a pSiCOH film deposited on top of a SiCNH film on a Si wafer were fully characterized using sum frequency generation vibrational spectroscopy (SFG), supplemented by X-ray photoelectron spectroscopy. After exposure to NH3 plasma for 18 s, about 40% of the methyl groups were removed from the pSiCOH surface, and the average orientation of surface methyl groups tilted more towards the surface. The repair method used here effectively repaired the molecular structures at the pSiCOH surface but did not totally recover the entire plasma-damaged layer. Additionally, simulated SFG spectra with various average orientations of methyl groups at the SiCNH/pSiCOH buried interface were compared with the experimental SFG spectra collected using three different laser input angles to determine the molecular structural information at the SiCNH/pSiCOH buried interface after NH3 plasma treatment and repair. The molecular structures including the coverage and the average orientation of methyl groups at the buried interface were found to be unchanged by NH3 plasma treatment and repair.

  13. Theory of tailorable optical response of two-dimensional arrays of plasmonic nanoparticles at dielectric interfaces.

    Science.gov (United States)

    Sikdar, Debabrata; Kornyshev, Alexei A

    2016-09-22

    Two-dimensional arrays of plasmonic nanoparticles at interfaces are promising candidates for novel optical metamaterials. Such systems materialise from 'top-down' patterning or 'bottom-up' self-assembly of nanoparticles at liquid/liquid or liquid/solid interfaces. Here, we present a comprehensive analysis of an extended effective quasi-static four-layer-stack model for the description of plasmon-resonance-enhanced optical responses of such systems. We investigate in detail the effects of the size of nanoparticles, average interparticle separation, dielectric constants of the media constituting the interface, and the nanoparticle position relative to the interface. Interesting interplays of these different factors are explored first for normally incident light. For off-normal incidence, the strong effects of the polarisation of light are found at large incident angles, which allows to dynamically tune the reflectance spectra. All the predictions of the theory are tested against full-wave simulations, proving this simplistic model to be adequate within the quasi-static limit. The model takes seconds to calculate the system's optical response and makes it easy to unravel the effect of each system parameter. This helps rapid rationalization of experimental data and understanding of the optical signals from these novel 'metamaterials', optimised for light reflection or harvesting.

  14. Impact and Origin of Interface States in MOS Capacitor with Monolayer MoS2 and HfO2 High-k Dielectric.

    Science.gov (United States)

    Xia, Pengkun; Feng, Xuewei; Ng, Rui Jie; Wang, Shijie; Chi, Dongzhi; Li, Cequn; He, Zhubing; Liu, Xinke; Ang, Kah-Wee

    2017-01-13

    Two-dimensional layered semiconductors such as molybdenum disulfide (MoS 2 ) at the quantum limit are promising material for nanoelectronics and optoelectronics applications. Understanding the interface properties between the atomically thin MoS 2 channel and gate dielectric is fundamentally important for enhancing the carrier transport properties. Here, we investigate the frequency dispersion mechanism in a metal-oxide-semiconductor capacitor (MOSCAP) with a monolayer MoS 2 and an ultra-thin HfO 2 high-k gate dielectric. We show that the existence of sulfur vacancies at the MoS 2 -HfO 2 interface is responsible for the generation of interface states with a density (D it ) reaching ~7.03 × 10 11  cm -2  eV -1 . This is evidenced by a deficit S:Mo ratio of ~1.96 using X-ray photoelectron spectroscopy (XPS) analysis, which deviates from its ideal stoichiometric value. First-principles calculations within the density-functional theory framework further confirms the presence of trap states due to sulfur deficiency, which exist within the MoS 2 bandgap. This corroborates to a voltage-dependent frequency dispersion of ~11.5% at weak accumulation which decreases monotonically to ~9.0% at strong accumulation as the Fermi level moves away from the mid-gap trap states. Further reduction in D it could be achieved by thermally diffusing S atoms to the MoS 2 -HfO 2 interface to annihilate the vacancies. This work provides an insight into the interface properties for enabling the development of MoS 2 devices with carrier transport enhancement.

  15. High-k dielectrics as bioelectronic interface for field-effect transistors

    Energy Technology Data Exchange (ETDEWEB)

    Borstlap, D

    2007-03-15

    Ion-sensitive field-effect transistors (ISFETs) are employed as bioelectronic sensors for the cell-transistor coupling and for the detection of DNA sequences. For these applications, thermally grown SiO{sub 2} films are used as standard gate dielectric. In the first part of this dissertation, the suitability of high-k dielectrics was studied to increase the gate capacitance and hence the signal-to-noise ratio of bioelectronic ISFETs: Upon culturing primary rat neurons on the corresponding high-k dielectrics, Al{sub 2}O{sub 3}, yttria stabilised zirkonia (YSZ), DyScO{sub 3}, CeO{sub 2}, LaAlO{sub 3}, GdScO{sub 3} and LaScO{sub 3} proved to be biocompatible substrates. Comprehensive electrical and electrochemical current-voltage measurements and capacitance-voltage measurements were performed for the determination of the dielectric properties of the high-k dielectrics. In the second part of the dissertation, standard SiO{sub 2} ISFETs with lower input capacitance and high-k dielectric Al{sub 2}O{sub 3}, YSZ und DyScO{sub 3} ISFETs were comprehensively characterised and compared with each other regarding their signal-to-noise ratio, their ion sensitivity and their drift behaviour. The ion sensitivity measurements showed that the YSZ ISFETs were considerably more sensitive to K{sup +} and Na{sup +} ions than the SiO{sub 2}, Al{sub 2}O{sub 3} und DyScO{sub 3} ISFETs. In the final third part of the dissertation, bioelectronic experiments were performed with the high-k ISFETs. The shape of the signals, which were measured from HL-1 cells with YSZ ISFETs, differed considerably from the corresponding measurements with SiO{sub 2} and DyScO{sub 3} ISFETs: After the onset of the K{sup +} current, the action potentials measured with YSZ ISFETs showed a strong drift in the direction opposite to the K{sup +} current signal. First coupling experiments between HEK 293 cells, which were transfected with a K{sup +} ion channel, and YSZ ISFETs affirmed the assumption from the HL-1

  16. On the Evaluation of Gate Dielectrics for 4H-SiC Based Power MOSFETs

    Directory of Open Access Journals (Sweden)

    Muhammad Nawaz

    2015-01-01

    Full Text Available This work deals with the assessment of gate dielectric for 4H-SiC MOSFETs using technology based two-dimensional numerical computer simulations. Results are studied for variety of gate dielectric candidates with varying thicknesses using well-known Fowler-Nordheim tunneling model. Compared to conventional SiO2 as a gate dielectric for 4H-SiC MOSFETs, high-k gate dielectric such as HfO2 reduces significantly the amount of electric field in the gate dielectric with equal gate dielectric thickness and hence the overall gate current density. High-k gate dielectric further reduces the shift in the threshold voltage with varying dielectric thicknesses, thus leading to better process margin and stable device operating behavior. For fixed dielectric thickness, a total shift in the threshold voltage of about 2.5 V has been observed with increasing dielectric constant from SiO2 (k=3.9 to HfO2 (k=25. This further results in higher transconductance of the device with the increase of the dielectric constant from SiO2 to HfO2. Furthermore, 4H-SiC MOSFETs are found to be more sensitive to the shift in the threshold voltage with conventional SiO2 as gate dielectric than high-k dielectric with the presence of interface state charge density that is typically observed at the interface of dielectric and 4H-SiC MOS surface.

  17. Effect of the nanofilm thickness on the properties of the two-dimensional electron gas at the interface between two dielectrics

    Energy Technology Data Exchange (ETDEWEB)

    Gadiev, R. M., E-mail: gadiev.radik@gmail.com; Lachinov, A. N. [M. Akmullah Baskir State Pedagogical University (Russian Federation); Karamov, D. D. [Russian Academy of Sciences, Ufa Scientific Center (Russian Federation); Kiselev, D. A. [National University of Science and Technology MISiS (Russian Federation); Kornilov, V. M. [M. Akmullah Baskir State Pedagogical University (Russian Federation)

    2016-07-15

    The mechanism of formation of the two-dimensional conductivity along the interface between two polymer dielectrics is experimentally studied. The idea of “polar catastrophe,” which was successfully used earlier to explain the electronic properties of the interface between two perovskites LaAlO{sub 3}/SrTiO{sub 3}, is chosen as a base hypothesis. Piezoelectric response microscopy is used to reveal the presence of spontaneous polarization on the surface of a polymer film, and the remanent polarization is found to decrease with increasing film thickness. As in the case of perovskites, the polymer film thickness is found to strongly affect the electrical conductivity along the interface. Substantial differences between these phenomena are detected. The change in the electrical conductivity is shown to be caused by a significant increase in the charge carrier mobility when the film thickness decreases below a certain critical value. The relation between the change in the carrier mobility and the change in the spontaneous surface polarization of the polymer film when its thickness decreases is discussed.

  18. Study of interfacial strain at the α-Al2O3/monolayer MoS2 interface by first principle calculations

    Science.gov (United States)

    Yu, Sheng; Ran, Shunjie; Zhu, Hao; Eshun, Kwesi; Shi, Chen; Jiang, Kai; Gu, Kunming; Seo, Felix Jaetae; Li, Qiliang

    2018-01-01

    With the advances in two-dimensional (2D) transition metal dichalcogenides (TMDCs) based metal-oxide-semiconductor field-effect transistor (MOSFET), the interface between the semiconductor channel and gate dielectrics has received considerable attention due to its significant impacts on the morphology and charge transport of the devices. In this study, first principle calculations were utilized to investigate the strain effect induced by the interface between crystalline α-Al2O3 (0001)/h-MoS2 monolayer. The results indicate that the 1.3 nm Al2O3 can induce a 0.3% tensile strain on the MoS2 monolayer. The strain monotonically increases with thicker dielectric layers, inducing more significant impact on the properties of MoS2. In addition, the study on temperature effect indicates that the increasing temperature induces monotonic lattice expansion. This study clearly indicates that the dielectric engineering can effectively tune the properties of 2D TMDCs, which is very attractive for nanoelectronics.

  19. Interface characteristics of spin-on-dielectric SiO{sub x}-buffered passivation layers for AlGaN/GaN high electron mobility transistors

    Energy Technology Data Exchange (ETDEWEB)

    Ko, Pil-Seok; Park, Kyoung-Seok; Yoon, Yeo-Chang [Division of Electronics and Electrical Engineering, Dongguk University, 100-715 Seoul (Korea, Republic of); Sheen, Mi-Hyang [Department of Materials Science Engineering, Seoul National University, 151-742 Seoul (Korea, Republic of); Kim, Sam-Dong, E-mail: samdong@dongguk.edu [Division of Electronics and Electrical Engineering, Dongguk University, 100-715 Seoul (Korea, Republic of)

    2015-08-31

    To reveal the cause for significant enhancement of dc current performance of the AlGaN/GaN high electron mobility transistors (HEMTs) with the spin-on-dielectric (SOD) SiO{sub x}-buffered passivation structure compared to the conventional Si{sub 3}N{sub 4} passivation deposited by plasma-enhanced vapor deposition (PECVD), we characterized the passivation interfaces using the cross-sectional transmission electron microscopy, cathodoluminescence, capacitance–voltage (C–V) characterizations, and Hall-effect measurements. The interface state density of PECVD Si{sub 3}N{sub 4} passivation was in the range of 10{sup 12}–10{sup 13} cm{sup −2} eV{sup −1}, which is one-order higher than that of the SOD (10{sup 11}–10{sup 12} cm{sup −2} eV{sup −1}) as measured by C–V measurements from the metal–insulator–semiconductor capacitors. Higher density of effective oxide charge density (especially dominant contribution of ionic mobile charge) was also derived from the PECVD Si{sub 3}N{sub 4} passivation. A well-resolved reduction of the electron Hall mobility of the Si{sub 3}N{sub 4} passivation compared to that of the perhydropolysilazane SOD passivation, which can be due to the higher-density interface states and trap charges, can answer the relative dc current collapse of our HEMT devices. - Highlights: • Spin-on-dielectric (SOD)-buffered passivation for AlGaN/GaN HEMTs • Characterize the charge density and interface states using the C–V measurements • SOD-buffered passivation minimizes surface states at the interface. • DC performance of SOD-buffered structure is due to the interface characteristics.

  20. Investigations on Substrate Temperature-Induced Growth Modes of Organic Semiconductors at Dielectric/semiconductor Interface and Their Correlation with Threshold Voltage Stability in Organic Field-Effect Transistors.

    Science.gov (United States)

    Padma, Narayanan; Maheshwari, Priya; Bhattacharya, Debarati; Tokas, Raj B; Sen, Shashwati; Honda, Yoshihide; Basu, Saibal; Pujari, Pradeep Kumar; Rao, T V Chandrasekhar

    2016-02-10

    Influence of substrate temperature on growth modes of copper phthalocyanine (CuPc) thin films at the dielectric/semiconductor interface in organic field effect transistors (OFETs) is investigated. Atomic force microscopy (AFM) imaging at the interface reveals a change from 'layer+island' to "island" growth mode with increasing substrate temperatures, further confirmed by probing the buried interfaces using X-ray reflectivity (XRR) and positron annihilation spectroscopic (PAS) techniques. PAS depth profiling provides insight into the details of molecular ordering while positron lifetime measurements reveal the difference in packing modes of CuPc molecules at the interface. XRR measurements show systematic increase in interface width and electron density correlating well with the change from layer + island to coalesced huge 3D islands at higher substrate temperatures. Study demonstrates the usefulness of XRR and PAS techniques to study growth modes at buried interfaces and reveals the influence of growth modes of semiconductor at the interface on hole and electron trap concentrations individually, thereby affecting hysteresis and threshold voltage stability. Minimum hole trapping is correlated to near layer by layer formation close to the interface at 100 °C and maximum to the island formation with large voids between the grains at 225 °C.

  1. Quantum chemistry in arbitrary dielectric environments: Theory and implementation of nonequilibrium Poisson boundary conditions and application to compute vertical ionization energies at the air/water interface

    Science.gov (United States)

    Coons, Marc P.; Herbert, John M.

    2018-06-01

    Widely used continuum solvation models for electronic structure calculations, including popular polarizable continuum models (PCMs), usually assume that the continuum environment is isotropic and characterized by a scalar dielectric constant, ɛ. This assumption is invalid at a liquid/vapor interface or any other anisotropic solvation environment. To address such scenarios, we introduce a more general formalism based on solution of Poisson's equation for a spatially varying dielectric function, ɛ(r). Inspired by nonequilibrium versions of PCMs, we develop a similar formalism within the context of Poisson's equation that includes the out-of-equilibrium dielectric response that accompanies a sudden change in the electron density of the solute, such as that which occurs in a vertical ionization process. A multigrid solver for Poisson's equation is developed to accommodate the large spatial grids necessary to discretize the three-dimensional electron density. We apply this methodology to compute vertical ionization energies (VIEs) of various solutes at the air/water interface and compare them to VIEs computed in bulk water, finding only very small differences between the two environments. VIEs computed using approximately two solvation shells of explicit water molecules are in excellent agreement with experiment for F-(aq), Cl-(aq), neat liquid water, and the hydrated electron, although errors for Li+(aq) and Na+(aq) are somewhat larger. Nonequilibrium corrections modify VIEs by up to 1.2 eV, relative to models based only on the static dielectric constant, and are therefore essential to obtain agreement with experiment. Given that the experiments (liquid microjet photoelectron spectroscopy) may be more sensitive to solutes situated at the air/water interface as compared to those in bulk water, our calculations provide some confidence that these experiments can indeed be interpreted as measurements of VIEs in bulk water.

  2. Tuning Infrared Plasmon Resonance of Black Phosphorene Nanoribbon with a Dielectric Interface.

    Science.gov (United States)

    Debu, Desalegn T; Bauman, Stephen J; French, David; Churchill, Hugh O H; Herzog, Joseph B

    2018-02-19

    We report on the tunable edge-plasmon-enhanced absorption of phosphorene nanoribbons supported on a dielectric substrate. Monolayer anisotropic black phosphorous (phosphorene) nanoribbons are explored for light trapping and absorption enhancement on different dielectric substrates. We show that these phosphorene ribbons support infrared surface plasmons with high spatial confinement. The peak position and bandwidth of the calculated phosphorene absorption spectra are tunable with low loss over a wide wavelength range via the surrounding dielectric environment of the periodic nanoribbons. Simulation results show strong edge plasmon modes and enhanced absorption as well as a red-shift of the peak resonance wavelength. The periodic Fabry-Perot grating model was used to analytically evaluate the absorption resonance arising from the edge of the ribbons for comparison with the simulation. The results show promise for the promotion of phosphorene plasmons for both fundamental studies and potential applications in the infrared spectral range.

  3. Ion association at discretely-charged dielectric interfaces: Giant charge inversion

    Science.gov (United States)

    Wang, Zhi-Yong; Wu, Jianzhong

    2017-07-01

    Giant charge reversal has been identified for the first time by Monte Carlo simulation for a discretely charged surface in contact with a trivalent electrolyte solution. It takes place regardless of the surface charge density under study and the monovalent salt. In stark contrast to earlier predictions based on the 2-dimensional Wigner crystal model to describe strong correlation of counterions at the macroion surface, we find that giant charge reversal reflects an intricate interplay of ionic volume effects, electrostatic correlations, surface charge heterogeneity, and the dielectric response of the confined fluids. While the novel phenomenon is yet to be confirmed with experiment, the simulation results appear in excellent agreement with a wide range of existing observations in the subregime of charge inversion. Our findings may have far-reaching implications to understanding complex electrochemical phenomena entailing ionic fluids under dielectric confinements.

  4. Hydrogen release at metal-oxide interfaces: A first principle study of hydrogenated Al/SiO{sub 2} interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Jianqiu, E-mail: jianqiu@vt.edu [Department of Mechanical Engineering, Virginia Tech, Goodwin Hall, 635 Prices Fork Road - MC 0238, Blacksburg, VA 24061 (United States); Tea, Eric; Li, Guanchen [Department of Mechanical Engineering, Virginia Tech, Goodwin Hall, 635 Prices Fork Road - MC 0238, Blacksburg, VA 24061 (United States); Hin, Celine [Department of Mechanical Engineering, Virginia Tech, Goodwin Hall, 635 Prices Fork Road - MC 0238, Blacksburg, VA 24061 (United States); Department of Material Science and Engineering, Virginia Tech, Goodwin Hall, 635 Prices Fork Road-MC 0238, Blacksburg, VA 24061 (United States)

    2017-06-01

    Highlights: • Hydrogen release process at the Al/SiO{sub 2} metal-oxide interface has been investigated. • A mathematical model that estimates the hydrogen release potential has been proposed. • Al atoms, Al−O bonds, and Si−Al bonds are the major hydrogen traps at the Al/SiO{sub 2} interface. • Hydrogen atoms are primarily release from Al−H and O−H bonds at the Al/SiO{sub 2} metal-oxide interface. - Abstract: The Anode Hydrogen Release (AHR) mechanism at interfaces is responsible for the generation of defects, that traps charge carriers and can induce dielectric breakdown in Metal-Oxide-Semiconductor Field Effect Transistors. The AHR has been extensively studied at Si/SiO{sub 2} interfaces but its characteristics at metal-silica interfaces remain unclear. In this study, we performed Density Functional Theory (DFT) calculations to study the hydrogen release mechanism at the typical Al/SiO{sub 2} metal-oxide interface. We found that interstitial hydrogen atoms can break interfacial Al−Si bonds, passivating a Si sp{sup 3} orbital. Interstitial hydrogen atoms can also break interfacial Al−O bonds, or be adsorbed at the interface on aluminum, forming stable Al−H−Al bridges. We showed that hydrogenated O−H, Si−H and Al−H bonds at the Al/SiO{sub 2} interfaces are polarized. The resulting bond dipole weakens the O−H and Si−H bonds, but strengthens the Al−H bond under the application of a positive bias at the metal gate. Our calculations indicate that Al−H bonds and O−H bonds are more important than Si−H bonds for the hydrogen release process.

  5. Center for dielectric studies

    Science.gov (United States)

    Cross, L. E.; Newnham, R. E.; Biggers, J. V.

    1984-05-01

    This report focuses upon the parts of the Center program which have drawn most extensively upon Navy funds. In the basic study of polarization processes in high K dielectrics, major progress has been made in understanding the mechanisms in relaxor ferroelectric in the perovskite structure families. A new effort is also being mounted to obtain more precise evaluation of the internal stress effects in fine grained barium titanate. Related to reliability, studies of the effects of induced macro-defects are described, and preparation for the evaluation of space charge by internal potential distribution measurements discussed. To develop new processing methods for very thin dielectric layers, a new type of single barrier layer multilayer is discussed, and work on the thermal evaporation of oriented crystalline antimony sulphur iodide describe.

  6. Non-linear dielectric monitoring of biological suspensions

    International Nuclear Information System (INIS)

    Treo, E F; Felice, C J

    2007-01-01

    Non-linear dielectric spectroscopy as a tool for in situ monitoring of enzyme assumes a non-linear behavior of the sample when a sinusoidal voltage is applied to it. Even many attempts have been made to improve the original experiments, all of them had limited success. In this paper we present upgrades made to a non-linear dielectric spectrometer developed and the results obtained when using different cells. We emphasized on the electrode surface, characterizing the grinding and polishing procedure. We found that the biological medium does not behave as expected, and the non-linear response is generated in the electrode-electrolyte interface. The electrochemistry of this interface can bias unpredictably the measured non-linear response

  7. Photoresponse and photo-induced memory effect in the organic field-effect transistor based on AlOX nanoparticles at the interface of semiconductor/dielectric

    Science.gov (United States)

    Cheng, Yunfei; Wang, Wu

    2017-10-01

    In this work, the photoresponse and photo-induced memory effect were demonstrated in an organic field-effect transistor (OFET) with semiconductor pentacene and SiO2 as the active and gate dielectric layers, respectively. By inserting AlOX nanoparticles (NPs) at the interface of pentacene/SiO2, obvious enhancing photoresponse was obtained in the OFET with the maximum responsivity and photosensitivity of about 15 A/W and 100, respectively. Moreover, the stable photoinduced memory effect was achieved in the OFET, attributing to the photogenerated electrons captured by the interface traps of the AlOX NPs/SiO2.

  8. Single-layer graphene-assembled 3D porous carbon composites with PVA and Fe₃O₄ nano-fillers: an interface-mediated superior dielectric and EMI shielding performance.

    Science.gov (United States)

    Rao, B V Bhaskara; Yadav, Prasad; Aepuru, Radhamanohar; Panda, H S; Ogale, Satishchandra; Kale, S N

    2015-07-28

    In this study, a novel composite of Fe3O4 nanofiller-decorated single-layer graphene-assembled porous carbon (SLGAPC) with polyvinyl alcohol (PVA) having flexibility and a density of 0.75 g cm(-3) is explored for its dielectric and electromagnetic interference (EMI) response properties. The composite is prepared by the solution casting method and its constituents are optimized as 15 wt% SLGAPC and 20 wt% Fe3O4 through a novel solvent relaxation nuclear magnetic resonance experiment. The PVA-SLGAPC-Fe3O4 composite shows high dielectric permittivity in the range of 1 Hz-10 MHz, enhanced by a factor of 4 as compared to that of the PVA-SLGAPC composite, with a reduced loss by a factor of 2. The temperature dependent dielectric properties reveal the activation energy behaviour with reference to the glass transition temperature (80 °C) of PVA. The dielectric hysteresis with the temperature cycle reveals a remnant polarization. The enhanced dielectric properties are suggested to be the result of improvement in the localized polarization of the integrated interface system (Maxwell-Wagner-Sillars (MWS) polarization) formed by the uniform adsorption of Fe3O4 on the surface of SLGAPC conjugated with PVA. The EMI shielding property of the composite with a low thickness of 0.3 mm in the X-band (8.2-12.4 GHz) shows a very impressive shielding efficiency of ∼15 dB and a specific shielding effectiveness of 20 dB (g cm(-3))(-1), indicating the promising character of this material for flexible EMI shielding applications.

  9. Nanocomposite dielectrics-properties and implications

    Energy Technology Data Exchange (ETDEWEB)

    Nelson, J K; Hu, Y [Department of Electrical, Computer and Systems Engineering, Rensselaer Polytechnic Institute, Troy, NY 12180 (United States)

    2005-01-21

    The incorporation of nanoparticles into thermosetting resins is seen to impart desirable dielectric properties when compared with conventional (micron-sized particulates) composites. Although the improvements are accompanied by the mitigation of internal charge in the materials, the nature of the interfacial region is shown to be pivotal in determining the dielectric behaviour. In particular, it is shown that the conditions and enhanced area of the interface changes the bonding that may give rise to an interaction zone, which affects the interfacial polarization through the formation of local conductivity.

  10. Top-gate dielectric induced doping and scattering of charge carriers in epitaxial graphene

    Science.gov (United States)

    Puls, Conor P.; Staley, Neal E.; Moon, Jeong-Sun; Robinson, Joshua A.; Campbell, Paul M.; Tedesco, Joseph L.; Myers-Ward, Rachael L.; Eddy, Charles R.; Gaskill, D. Kurt; Liu, Ying

    2011-07-01

    We show that an e-gun deposited dielectric impose severe limits on epitaxial graphene-based device performance based on Raman spectroscopy and low-temperature transport measurements. Specifically, we show from studies of epitaxial graphene Hall bars covered by SiO2 that the measured carrier density is strongly inhomogenous and predominantly induced by charged impurities at the grapheme/dielectric interface that limit mobility via Coulomb interactions. Our work emphasizes that material integration of epitaxial graphene and a gate dielectric is the next major road block towards the realization of graphene-based electronics.

  11. Dielectric haloscopes: sensitivity to the axion dark matter velocity

    Energy Technology Data Exchange (ETDEWEB)

    Millar, Alexander J.; Redondo, Javier; Steffen, Frank D., E-mail: millar@mpp.mpg.de, E-mail: jredondo@unizar.es, E-mail: steffen@mpp.mpg.de [Max-Planck-Institut für Physik (Werner-Heisenberg-Institut), Föhringer Ring 6, 80805 München (Germany)

    2017-10-01

    We study the effect of the axion dark matter velocity in the recently proposed dielectric haloscopes, a promising avenue to search for well-motivated high mass (40–400 μeV) axions. We describe non-zero velocity effects for axion-photon mixing in a magnetic field and for the phenomenon of photon emission from interfaces between different dielectric media. As velocity effects are only important when the haloscope is larger than about 20% of the axion de Broglie wavelength, for the planned MADMAX experiment with 80 dielectric disks the velocity dependence can safely be neglected. However, an augmented MADMAX or a second generation experiment would be directionally sensitive to the axion velocity, and thus a sensitive measure of axion astrophysics.

  12. Hysteresis mechanism and control in pentacene organic field-effect transistors with polymer dielectric

    Directory of Open Access Journals (Sweden)

    Wei Huang

    2013-05-01

    Full Text Available Hysteresis mechanism of pentacene organic field-effect transistors (OFETs with polyvinyl alcohol (PVA and/or polymethyl methacrylate (PMMA dielectrics is studied. Through analyzing the electrical characteristics of OFETs with various PVA/PMMA arrangements, it shows that charge, which is trapped in PVA bulk and at the interface of pentacene/PVA, is one of the origins of hysteresis. The results also show that memory window is proportional to both trap amount in PVA and charge density at the gate/PVA or PVA/pentacene interfaces. Hence, the controllable memory window of around 0 ∼ 10 V can be realized by controlling the thickness and combination of triple-layer polymer dielectrics.

  13. Studies on metal-dielectric plasmonic structures.

    Energy Technology Data Exchange (ETDEWEB)

    Chettiar, Uday K. (Purdue University, West Lafayette, IN); Liu, Zhengtong (Purdue University, West Lafayette, IN); Thoreson, Mark D. (Purdue University, West Lafayette, IN); Shalaev, Vladimir M. (Purdue University, West Lafayette, IN); Drachev, Vladimir P. (Purdue University, West Lafayette, IN); Pack, Michael Vern; Kildishev, Alexander V. (Purdue University, West Lafayette, IN); Nyga, Piotr (Purdue University, West Lafayette, IN)

    2010-01-01

    The interaction of light with nanostructured metal leads to a number of fascinating phenomena, including plasmon oscillations that can be harnessed for a variety of cutting-edge applications. Plasmon oscillation modes are the collective oscillation of free electrons in metals under incident light. Previously, surface plasmon modes have been used for communication, sensing, nonlinear optics and novel physics studies. In this report, we describe the scientific research completed on metal-dielectric plasmonic films accomplished during a multi-year Purdue Excellence in Science and Engineering Graduate Fellowship sponsored by Sandia National Laboratories. A variety of plasmonic structures, from random 2D metal-dielectric films to 3D composite metal-dielectric films, have been studied in this research for applications such as surface-enhanced Raman sensing, tunable superlenses with resolutions beyond the diffraction limit, enhanced molecular absorption, infrared obscurants, and other real-world applications.

  14. Study on the dielectric properties of Al2O3/TiO2 sub-nanometric laminates: effect of the bottom electrode and the total thickness

    Science.gov (United States)

    Ben Elbahri, M.; Kahouli, A.; Mercey, B.; Lebedev, O.; Donner, W.; Lüders, U.

    2018-02-01

    Dielectrics based on amorphous sub-nanometric laminates of TiO2 and Al2O3 are subject to elevated dielectric losses and leakage currents, in large parts due to the extremely thin individual layer thickness chosen for the creation of the Maxwell-Wagner relaxation and therefore the high apparent dielectric constants. The optimization of performances of the laminate itself being strongly limited by this contradiction concerning its internal structure, we will show in this study that modifications of the dielectric stack of capacitors based on these sub-nanometric laminates can positively influence the dielectric losses and the leakage, as for example the nature of the electrodes, the introduction of thick insulating layers at the laminate/electrode interfaces and the modification of the total laminate thickness. The optimization of the dielectric stack leads to the demonstration of a capacitor with an apparent dielectric constant of 90, combined with low dielectric loss (tan δ) of 7 · 10-2 and with leakage currents smaller than 1  ×  10-6 A cm-2 at 10 MV m-1.

  15. First-Principles Study of Enhanced Magnetoelectric Effects at the Fe/MgO(001) Interface

    Science.gov (United States)

    Niranjan, M. K.; Jaswal, S. S.; Tsymbal, E. Y.; Duan, C.-G.

    2010-03-01

    The magnetoelectric effect allows affecting magnetic properties of materials by electric fields with potential for technological applications such as electrically controlled magnetic data storage. In this study we explore, using first-principles methods, the magnetoelectric effect at the Fe/MgO(001) interface^,1. By explicitly introducing an electric field in our density-functional calculations we demonstrate that the magnetic moment of Fe atoms at the interface changes linearly as a function of the applied electric field with the surface magnetoelectric coefficient being strongly enhanced as compared to that for the clean Fe(001) surface.^1 The effect originates from the increased screening charge associated with a large dielectric constant of MgO. The influence of electric field on relative occupancy of the Fe-3d orbitals leads to significant change in the surface magnetocrystalline anisotropy. These results are compared with the available experimental work.^2 Our results indicate that using high-k dielectrics at the interface with ferromagnetic metals may be very effective in controlling the magnetic properties by electric fields thereby leading to interesting device applications. ^1 C.-G. Duan et al., Phys. Rev. Lett. 101, 137201 (2008). ^2 T. Maruyama et al., Nat. Nanotech., 4, 158 (2009).

  16. Dielectric strength of SiO2 in a CMOS transistor structure

    International Nuclear Information System (INIS)

    Soden, J.M.

    1979-01-01

    The distribution of experimental dielectric strengths of SiO 2 gate dielectric in a CMOS transistor structure is shown to be composed of a primary, statistically-normal distribution of high dielectric strength and a secondary distribution spread through the lower dielectric strength region. The dielectric strength was not significantly affected by high level (1 x 10 6 RADS (Si)) gamma radiation or high temperature (200 0 C) stress. The primary distribution breakdowns occurred at topographical edges, mainly at the gate/field oxide interface, and the secondary distribution breakdowns occurred at random locations in the central region of the gate

  17. Performance of dielectric nanocomposites: matrix-free, hairy nanoparticle assemblies and amorphous polymer-nanoparticle blends.

    Science.gov (United States)

    Grabowski, Christopher A; Koerner, Hilmar; Meth, Jeffrey S; Dang, Alei; Hui, Chin Ming; Matyjaszewski, Krzysztof; Bockstaller, Michael R; Durstock, Michael F; Vaia, Richard A

    2014-12-10

    Demands to increase the stored energy density of electrostatic capacitors have spurred the development of materials with enhanced dielectric breakdown, improved permittivity, and reduced dielectric loss. Polymer nanocomposites (PNCs), consisting of a blend of amorphous polymer and dielectric nanofillers, have been studied intensely to satisfy these goals; however, nanoparticle aggregates, field localization due to dielectric mismatch between particle and matrix, and the poorly understood role of interface compatibilization have challenged progress. To expand the understanding of the inter-relation between these factors and, thus, enable rational optimization of low and high contrast PNC dielectrics, we compare the dielectric performance of matrix-free hairy nanoparticle assemblies (aHNPs) to blended PNCs in the regime of low dielectric contrast to establish how morphology and interface impact energy storage and breakdown across different polymer matrices (polystyrene, PS, and poly(methyl methacrylate), PMMA) and nanoparticle loadings (0-50% (v/v) silica). The findings indicate that the route (aHNP versus blending) to well-dispersed morphology has, at most, a minor impact on breakdown strength trends with nanoparticle volume fraction; the only exception being at intermediate loadings of silica in PMMA (15% (v/v)). Conversely, aHNPs show substantial improvements in reducing dielectric loss and maintaining charge/discharge efficiency. For example, low-frequency dielectric loss (1 Hz-1 kHz) of PS and PMMA aHNP films was essentially unchanged up to a silica content of 50% (v/v), whereas traditional blends showed a monotonically increasing loss with silica loading. Similar benefits are seen via high-field polarization loop measurements where energy storage for ∼15% (v/v) silica loaded PMMA and PS aHNPs were 50% and 200% greater than respective comparable PNC blends. Overall, these findings on low dielectric contrast PNCs clearly point to the performance benefits of

  18. Extrinsic and intrinsic contributions for dielectric behavior of La{sub 2}NiMnO{sub 6} ceramic

    Energy Technology Data Exchange (ETDEWEB)

    Cao, Zhenzhu, E-mail: czz03@163.com [Chemical Engineering College of Inner Mongolia University of Technology, Hohhot 010051 (China); Liu, Xiaoting; He, Weiyan [Chemical Engineering College of Inner Mongolia University of Technology, Hohhot 010051 (China); Ruan, Xuezheng [Key Laboratory of Inorganic Function Material and Device, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 200050 (China); Gao, Yanfang; Liu, Jinrong [Chemical Engineering College of Inner Mongolia University of Technology, Hohhot 010051 (China)

    2015-11-15

    The influences of electrode material, DC bias and temperature on the electrical and dielectric properties of LNMO ceramic have been investigated using impedance spectroscopy and dielectric measurements. Evidences from dielectric and impedance analysis showed that the giant dielectric constant and its notable tunability originated from extrinsic contribution from interface polarization. Low temperature and high frequency dielectric characterization revealed the low intrinsic dielectric constant.

  19. Study of Direct-Contact HfO2/Si Interfaces

    Directory of Open Access Journals (Sweden)

    Noriyuki Miyata

    2012-03-01

    Full Text Available Controlling monolayer Si oxide at the HfO2/Si interface is a challenging issue in scaling the equivalent oxide thickness of HfO2/Si gate stack structures. A concept that the author proposes to control the Si oxide interface by using ultra-high vacuum electron-beam HfO2 deposition is described in this review paper, which enables the so-called direct-contact HfO2/Si structures to be prepared. The electrical characteristics of the HfO2/Si metal-oxide-semiconductor capacitors are reviewed, which suggest a sufficiently low interface state density for the operation of metal-oxide-semiconductor field-effect-transistors (MOSFETs but reveal the formation of an unexpected strong interface dipole. Kelvin probe measurements of the HfO2/Si structures provide obvious evidence for the formation of dipoles at the HfO2/Si interfaces. The author proposes that one-monolayer Si-O bonds at the HfO2/Si interface naturally lead to a large potential difference, mainly due to the large dielectric constant of the HfO2. Dipole scattering is demonstrated to not be a major concern in the channel mobility of MOSFETs.

  20. Surface waves on metal-dielectric metamaterials

    DEFF Research Database (Denmark)

    Takayama, Osamu; Shkondin, Evgeniy; Panah, Mohammad Esmail Aryaee

    2016-01-01

    In this paper we analyze surface electromagnetic waves supported at an interface between an isotropic medium and an effective anisotropic material that can be realized by alternating conductive and dielectric layers with deep subwavelength thicknesses. This configuration can host various types...

  1. Improved dielectric functions in metallic films obtained via template stripping

    Science.gov (United States)

    Hyuk Park, Jong; Nagpal, Prashant; Oh, Sang-Hyun; Norris, David J.

    2012-02-01

    We compare the dielectric functions of silver interfaces obtained via thermal evaporation with those obtained with template stripping. Ellipsometry measurements show that the smoother template-stripped surfaces exhibit effective dielectric functions with a more negative real component and a smaller imaginary component, implying higher conductivity and less energy loss, respectively. These results agree with the relation between dielectric function and surface roughness derived from combining the effective-medium model and the Drude-Lorentz model. The improvement in the effective dielectric properties shows that metallic films prepared via template stripping can be favorable for applications in electronics, nanophotonics, and plasmonics.

  2. STABILITY OF A CYLINDRICAL SOLUTE-SOLVENT INTERFACE: EFFECT OF GEOMETRY, ELECTROSTATICS, AND HYDRODYNAMICS.

    Science.gov (United States)

    Li, B O; Sun, Hui; Zhou, Shenggao

    The solute-solvent interface that separates biological molecules from their surrounding aqueous solvent characterizes the conformation and dynamics of such molecules. In this work, we construct a solvent fluid dielectric boundary model for the solvation of charged molecules and apply it to study the stability of a model cylindrical solute-solvent interface. The motion of the solute-solvent interface is defined to be the same as that of solvent fluid at the interface. The solvent fluid is assumed to be incompressible and is described by the Stokes equation. The solute is modeled simply by the ideal-gas law. All the viscous force, hydrostatic pressure, solute-solvent van der Waals interaction, surface tension, and electrostatic force are balanced at the solute-solvent interface. We model the electrostatics by Poisson's equation in which the solute-solvent interface is treated as a dielectric boundary that separates the low-dielectric solute from the high-dielectric solvent. For a cylindrical geometry, we find multiple cylindrically shaped equilibrium interfaces that describe polymodal (e.g., dry and wet) states of hydration of an underlying molecular system. These steady-state solutions exhibit bifurcation behavior with respect to the charge density. For their linearized systems, we use the projection method to solve the fluid equation and find the dispersion relation. Our asymptotic analysis shows that, for large wavenumbers, the decay rate is proportional to wavenumber with the proportionality half of the ratio of surface tension to solvent viscosity, indicating that the solvent viscosity does affect the stability of a solute-solvent interface. Consequences of our analysis in the context of biomolecular interactions are discussed.

  3. Dielectric properties of nanosilica filled epoxy nanocomposites

    Indian Academy of Sciences (India)

    M G Veena

    Polymer nanocomposites are the 21st century engineering materials with wide range of ... the electronic industry for dielectric materials in electrical insulation ..... be ascribed to the interface barriers and chain entangle- ments towards the ...

  4. Dielectric Property Enhancement in Polymer Composites with Engineered Interfaces

    Science.gov (United States)

    Krentz, Timothy Michael

    This thesis reports studies into the dielectric behavior of polymer composites filled with silica nanoparticles. The permittivity and dielectric breakdown strength (DBS) of these materials are critical to their performance in insulating applications such as high voltage power transmission. Until now, the mechanisms which lead to improvements in DBS in these systems have been poorly understood, in part because the effects of dispersion of the filler and the filler's surface electronic characteristics have been confused. The new surface modifications created in this thesis permit these two parameters to be addressed independently, leading to the hypothesis that nanocomposite dielectric materials exhibit DBS enhancement when electron avalanches are prevented from proceeding to reach a critical size capable of causing failure. The same control of dispersion and surface properties also lead to changes in the permittivity of the composite based upon the polarizability and trapping behavior of the filler. In this work, the dispersion and surface states of silica nanoparticles were independently controlled with two separate populations of surface molecules. Two matrix materials were studied, and in each system, a different, matrix-compatible long chain polymer is required to control dispersion. Conversely, a second population of short molecules is shown to be capable of creating electronic traps associated with the silica nanoparticle surface which lead to DBS enhancements largely independent of the matrix, indicating that the same failure mechanism is operating in both epoxy and polypropylene. Progressive variation in dispersion quality is attained with this surface modification scheme. This creates progressively smaller volumes of matrix polymer unaffected by the filler. This work shows that when these volumes approach and become smaller than the same scale as predicted for electron avalanches, the greatest changes in DBS are seen. Likewise, the plateau behavior of this

  5. Efficient Algorithms for Electrostatic Interactions Including Dielectric Contrasts

    Directory of Open Access Journals (Sweden)

    Christian Holm

    2013-10-01

    Full Text Available Coarse-grained models of soft matter are usually combined with implicit solvent models that take the electrostatic polarizability into account via a dielectric background. In biophysical or nanoscale simulations that include water, this constant can vary greatly within the system. Performing molecular dynamics or other simulations that need to compute exact electrostatic interactions between charges in those systems is computationally demanding. We review here several algorithms developed by us that perform exactly this task. For planar dielectric surfaces in partial periodic boundary conditions, the arising image charges can be either treated with the MMM2D algorithm in a very efficient and accurate way or with the electrostatic layer correction term, which enables the user to use his favorite 3D periodic Coulomb solver. Arbitrarily-shaped interfaces can be dealt with using induced surface charges with the induced charge calculation (ICC* algorithm. Finally, the local electrostatics algorithm, MEMD(Maxwell Equations Molecular Dynamics, even allows one to employ a smoothly varying dielectric constant in the systems. We introduce the concepts of these three algorithms and an extension for the inclusion of boundaries that are to be held fixed at a constant potential (metal conditions. For each method, we present a showcase application to highlight the importance of dielectric interfaces.

  6. Origin of dielectric relaxor behavior in PVDF-based copolymer and terpolymer films

    Science.gov (United States)

    Pramanick, Abhijit; Osti, Naresh C.; Jalarvo, Niina; Misture, Scott T.; Diallo, Souleymane Omar; Mamontov, Eugene; Luo, Y.; Keum, Jong-Kahk; Littrell, Ken

    2018-04-01

    Relaxor ferroelectrics exhibit frequency-dispersion of their dielectric permittivity peak as a function of temperature, the origin of which has been widely debated. Microscopic understanding of such behavior for polymeric ferroelectrics has presented new challenges since unlike traditional ceramic ferroelectrics, dielectric relaxation in polymers is a consequence of short-range molecular dynamics that are difficult to measure directly. Here, through careful analysis of atomic-level H-atom dynamics as determined by Quasi-elastic Neutron Scattering (QENS), we show that short-range molecular dynamics within crystalline domains cannot explain the macroscopic frequency-dispersion of dielectric properties observed in prototypical polyvinylidene-fluoride (PVDF)-based relaxor ferroelectrics. Instead, from multiscale quantitative microstructural characterization, a clear correlation between the amount of crystalline-amorphous interfaces and dielectric relaxation is observed, which indicates that such interfaces play a central role. These results provide critical insights into the role of atomic and microscopic structures towards relaxor behavior in ferroelectric polymers, which will be important for their future design.

  7. Origin of dielectric relaxor behavior in PVDF-based copolymer and terpolymer films

    Directory of Open Access Journals (Sweden)

    Abhijit Pramanick

    2018-04-01

    Full Text Available Relaxor ferroelectrics exhibit frequency-dispersion of their dielectric permittivity peak as a function of temperature, the origin of which has been widely debated. Microscopic understanding of such behavior for polymeric ferroelectrics has presented new challenges since unlike traditional ceramic ferroelectrics, dielectric relaxation in polymers is a consequence of short-range molecular dynamics that are difficult to measure directly. Here, through careful analysis of atomic-level H-atom dynamics as determined by Quasi-elastic Neutron Scattering (QENS, we show that short-range molecular dynamics within crystalline domains cannot explain the macroscopic frequency-dispersion of dielectric properties observed in prototypical polyvinylidene-fluoride (PVDF-based relaxor ferroelectrics. Instead, from multiscale quantitative microstructural characterization, a clear correlation between the amount of crystalline-amorphous interfaces and dielectric relaxation is observed, which indicates that such interfaces play a central role. These results provide critical insights into the role of atomic and microscopic structures towards relaxor behavior in ferroelectric polymers, which will be important for their future design.

  8. Coulomb Green's function and image potential near a cylindrical diffuse interface

    Science.gov (United States)

    Xue, Changfeng; Huang, Qiongwei; Deng, Shaozhong

    2015-12-01

    In a preceding paper [Comput. Phys. Commun. 184 (1): 51-59, 2013], we revisited the problem of calculating Coulomb Green's function and image potential near a planar diffuse interface within which the dielectric permittivity of the inhomogeneous medium changes continuously along one Cartesian direction in a transition layer between two dissimilar dielectric materials. In the present paper, we consider a cylindrical diffuse interface within which the dielectric permittivity changes continuously along the radial direction instead. First we propose a specific cylindrical diffuse interface model, termed the quasi-harmonic diffuse interface model, that can admit analytical solution for the Green's function in terms of the modified Bessel functions. Then and more importantly we develop a robust numerical method for building Green's functions for any cylindrical diffuse interface models. The main idea of the numerical method is, after dividing a diffuse interface into multiple sublayers, to approximate the dielectric permittivity profile in each one of the sublayers by one of the quasi-harmonic functional form rather than simply by a constant value as one would normally do. Next we describe how to efficiently compute well-behaved ratios, products, and logarithmic derivatives of the modified Bessel functions so as to avoid direct evaluations of individual modified Bessel functions in our formulations. Finally we conduct numerical experiments to show the effectiveness of the quasi-harmonic diffuse interface model in overcoming the divergence of the image potential, to validate the numerical method in terms of its accuracy and convergence, and to demonstrate its capability for computing Green's functions for any cylindrical diffuse interface models.

  9. The Pr 2O 3/Si(0 0 1) interface studied by synchrotron radiation photo-electron spectroscopy

    Science.gov (United States)

    Schmeißer, D.; Müssig, H.-J.

    2003-10-01

    Pr 2O 3 is currently under consideration as a potential replacement for SiO 2 as the gate-dielectric material for sub-0.1 μm complementary metal-oxide-semiconductor (CMOS) technology. We studied the Pr 2O 3/Si(0 0 1) interface by a non-destructive depth profiling using synchrotron radiation photoelectron spectroscopy. Our data suggests that there is no silicide formation at the interface. Based on reported results, a chemical reactive interface exists, consisting of a mixed Si-Pr oxide such as (Pr 2O 3) x(SiO 2) 1- x, i.e. as a silicate phase with variable silicon content. This pseudo-binary alloy at the interface offers large flexibility toward successful integration of Pr 2O 3 into future CMOS technologies.

  10. Tailoring the Dielectric Layer Structure for Enhanced Performance of Organic Field-Effect Transistors: The Use of a Sandwiched Polar Dielectric Layer

    Directory of Open Access Journals (Sweden)

    Shijiao Han

    2016-07-01

    Full Text Available To investigate the origins of hydroxyl groups in a polymeric dielectric and its applications in organic field-effect transistors (OFETs, a polar polymer layer was inserted between two polymethyl methacrylate (PMMA dielectric layers, and its effect on the performance as an organic field-effect transistor (OFET was studied. The OFETs with a sandwiched dielectric layer of poly(vinyl alcohol (PVA or poly(4-vinylphenol (PVP containing hydroxyl groups had shown enhanced characteristics compared to those with only PMMA layers. The field-effect mobility had been raised more than 10 times in n-type devices (three times in the p-type one, and the threshold voltage had been lowered almost eight times in p-type devices (two times in the n-type. The on-off ratio of two kinds of devices had been enhanced by almost two orders of magnitude. This was attributed to the orientation of hydroxyl groups from disordered to perpendicular to the substrate under gate-applied voltage bias, and additional charges would be induced by this polarization at the interface between the semiconductor and dielectrics, contributing to the accumulation of charge transfer.

  11. Low-dielectric layer increases nanosecond electric discharges in distilled water

    KAUST Repository

    Hamdan, Ahmad

    2016-10-24

    Electric discharge in liquids is an emerging field of research, and is involved into various environmental applications (water purification, fuel reforming, nanomaterial synthesis, etc.). Increasing the treatment efficiency with simultaneous decreasing of the energy consumption are the main goals of today’s research. Here we present an experimental study of nanosecond discharge in distilled water covered by a layer of dielectric material. We demonstrate through this paper that the discharge efficiency can be improved by changing the interface position regarding the anode tip. The efficiency increase is due to the increase of the discharge probability as well as the plasma volume. The understanding of the experimental results is brought and strengthened by simulating the electric field distribution, using Comsol Multiphysics software. Because the dielectric permittivity (ε) is discontinuous at the interface, the electric field is enhanced by a factor that depends on the relative value of ε of the two liquids. The present result is very promising in future: opportunities for potential applications as well as fundamental studies for discharges in liquid.

  12. Photoemission study on electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces

    International Nuclear Information System (INIS)

    Fujimura, Nobuyuki; Ohta, Akio; Ikeda, Mitsuhisa; Makihara, Katsunori; Miyazaki, Seiichi

    2017-01-01

    Electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces have been investigated by X-ray photoelectron spectroscopy (XPS) under monochromatized Al Kα radiation. From the analysis of the cut-off energy for secondary photoelectrons measured at each thinning step of a dielectric layer by wet-chemical etching, an abrupt potential change caused by electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces has been clearly detected. Al-gate MOS capacitors with thermally-grown SiO_2 and a HfO_2/SiO_2 dielectric stack were fabricated to evaluate the Al work function from the flat band voltage shift of capacitance-voltage (C-V) characteristics. Comparing the results of XPS and C-V measurements, we have verified that electrical dipole formed at the interface can be directly measured by photoemission measurements. (author)

  13. Temperature Effects on a-IGZO Thin Film Transistors Using HfO2 Gate Dielectric Material

    Directory of Open Access Journals (Sweden)

    Yu-Hsien Lin

    2014-01-01

    Full Text Available This study investigated the temperature effect on amorphous indium gallium zinc oxide (a-IGZO thin film transistors (TFTs using hafnium oxide (HfO2 gate dielectric material. HfO2 is an attractive candidate as a high-κ dielectric material for gate oxide because it has great potential to exhibit superior electrical properties with a high drive current. In the process of integrating the gate dielectric and IGZO thin film, postannealing treatment is an essential process for completing the chemical reaction of the IGZO thin film and enhancing the gate oxide quality to adjust the electrical characteristics of the TFTs. However, the hafnium atom diffused the IGZO thin film, causing interface roughness because of the stability of the HfO2 dielectric thin film during high-temperature annealing. In this study, the annealing temperature was optimized at 200°C for a HfO2 gate dielectric TFT exhibiting high mobility, a high ION/IOFF ratio, low IOFF current, and excellent subthreshold swing (SS.

  14. Haptic interfaces using dielectric electroactive polymers

    Science.gov (United States)

    Ozsecen, Muzaffer Y.; Sivak, Mark; Mavroidis, Constantinos

    2010-04-01

    Quality, amplitude and frequency of the interaction forces between a human and an actuator are essential traits for haptic applications. A variety of Electro-Active Polymer (EAP) based actuators can provide these characteristics simultaneously with quiet operation, low weight, high power density and fast response. This paper demonstrates a rolled Dielectric Elastomer Actuator (DEA) being used as a telepresence device in a heart beat measurement application. In the this testing, heart signals were acquired from a remote location using a wireless heart rate sensor, sent through a network and DEA was used to haptically reproduce the heart beats at the medical expert's location. A series of preliminary human subject tests were conducted that demonstrated that a) DE based haptic feeling can be used in heart beat measurement tests and b) through subjective testing the stiffness and actuator properties of the EAP can be tuned for a variety of applications.

  15. High-κ gate dielectrics: Current status and materials properties considerations

    Science.gov (United States)

    Wilk, G. D.; Wallace, R. M.; Anthony, J. M.

    2001-05-01

    Many materials systems are currently under consideration as potential replacements for SiO2 as the gate dielectric material for sub-0.1 μm complementary metal-oxide-semiconductor (CMOS) technology. A systematic consideration of the required properties of gate dielectrics indicates that the key guidelines for selecting an alternative gate dielectric are (a) permittivity, band gap, and band alignment to silicon, (b) thermodynamic stability, (c) film morphology, (d) interface quality, (e) compatibility with the current or expected materials to be used in processing for CMOS devices, (f) process compatibility, and (g) reliability. Many dielectrics appear favorable in some of these areas, but very few materials are promising with respect to all of these guidelines. A review of current work and literature in the area of alternate gate dielectrics is given. Based on reported results and fundamental considerations, the pseudobinary materials systems offer large flexibility and show the most promise toward successful integration into the expected processing conditions for future CMOS technologies, especially due to their tendency to form at interfaces with Si (e.g. silicates). These pseudobinary systems also thereby enable the use of other high-κ materials by serving as an interfacial high-κ layer. While work is ongoing, much research is still required, as it is clear that any material which is to replace SiO2 as the gate dielectric faces a formidable challenge. The requirements for process integration compatibility are remarkably demanding, and any serious candidates will emerge only through continued, intensive investigation.

  16. Local field distribution near corrugated interfaces: Green function formalism versus effective medium theory

    International Nuclear Information System (INIS)

    Choy, C.W.; Xiao, J.J.; Yu, K.W.

    2007-01-01

    The recent Green function formalism (GFF) has been used to study the local field distribution near a periodic interface separating two homogeneous media of different dielectric constants. In the GFF, the integral equations can be solved conveniently because of the existence of an analytic expression for the kernel (Greenian). However, due to a severe singularity in the Greenian, the formalism was formerly applied to compute the electric fields away from the interface region. In this work, we have succeeded in extending the GFF to compute the electric field inside the interface region by taking advantage of a sum rule. To our surprise, the strengths of the electric fields are quite similar in both media across the interface, despite of the large difference in dielectric constants. Moreover, we propose a simple effective medium approximation (EMA) to compute the electric field inside the interface region. We show that the EMA can indeed give an excellent description of the electric field, except near a surface plasmon resonance

  17. Dielectrophoretic deformation of thin liquid films induced by surface charge patterns on dielectric substrates

    NARCIS (Netherlands)

    Berendsen, C.W.J.; Kuijpers, C.J.; Zeegers, J.C.H.; Darhuber, A.A.

    2013-01-01

    We studied the deformation of thin liquid films induced by surface charge patterns at the solid–liquid interface quantitatively by experiments and numerical simulations. We deposited a surface charge distribution on dielectric substrates by applying potential differences between a conductive liquid

  18. Effect of re-oxidation annealing process on the SiO2/SiC interface characteristics

    International Nuclear Information System (INIS)

    Yan Hongli; Jia Renxu; Tang Xiaoyan; Song Qingwen; Zhang Yuming

    2014-01-01

    The effect of the different re-oxidation annealing (ROA) processes on the SiO 2 /SiC interface characteristics has been investigated. With different annealing processes, the flat band voltage, effective dielectric charge density and interface trap density are obtained from the capacitance—voltage curves. It is found that the lowest interface trap density is obtained by the wet-oxidation annealing process at 1050 °C for 30 min, while a large number of effective dielectric charges are generated. The components at the SiO 2 /SiC interface are analyzed by X-ray photoelectron spectroscopy (XPS) testing. It is found that the effective dielectric charges are generated due to the existence of the C and H atoms in the wet-oxidation annealing process. (semiconductor technology)

  19. Demonstrating the application of dielectric polymer actuators for tactile feedback in a mobile consumer device.

    NARCIS (Netherlands)

    Moessinger, H.M.; Brokken, D.

    2010-01-01

    User interfaces of mobile consumer devices are becoming increasingly complex. To address this complexity touch-screen interfaces are used. They allow flexible design of the user interfaces but lack the tactile feedback mechanical buttons provide, limiting ease of use. Dielectric Elastomer Actuator

  20. Broadband dielectric spectroscopy of oxidized porous silicon

    International Nuclear Information System (INIS)

    Axelrod, Ekaterina; Urbach, Benayahu; Sa'ar, Amir; Feldman, Yuri

    2006-01-01

    Dielectric measurements accompanied by infrared absorption and photoluminescence (PL) spectroscopy were used to investigate the electrical and optical properties of oxidized porous silicon (PS). As opposed to non-oxidized PS, only high temperature relaxation processes could be resolved for oxidized PS. Two relaxation processes have been observed. The first process is related to dc-conductivity that dominates at high temperatures and low frequencies. After subtraction of dc-conductivity we could analyse a second high-temperature relaxation process that is related to interface polarization induced by charge carriers trapped at the host matrix-pore interfaces. We found that, while the main effect of the oxidation on the PL appears to be a size reduction in the silicon nanocrystals that gives rise to a blue shift of the PL spectrum, its main contribution to the dielectric properties turns out to be blocking of transport channels in the host tissue and activation of hopping conductivity between silicon nanocrystals

  1. Broadband dielectric spectroscopy of oxidized porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Axelrod, Ekaterina [Department of Applied Physics, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel); Urbach, Benayahu [Racah Institute of Physics and the Center for Nanoscience and Nanotechnology, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel); Sa' ar, Amir [Racah Institute of Physics and the Center for Nanoscience and Nanotechnology, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel); Feldman, Yuri [Department of Applied Physics, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel)

    2006-04-07

    Dielectric measurements accompanied by infrared absorption and photoluminescence (PL) spectroscopy were used to investigate the electrical and optical properties of oxidized porous silicon (PS). As opposed to non-oxidized PS, only high temperature relaxation processes could be resolved for oxidized PS. Two relaxation processes have been observed. The first process is related to dc-conductivity that dominates at high temperatures and low frequencies. After subtraction of dc-conductivity we could analyse a second high-temperature relaxation process that is related to interface polarization induced by charge carriers trapped at the host matrix-pore interfaces. We found that, while the main effect of the oxidation on the PL appears to be a size reduction in the silicon nanocrystals that gives rise to a blue shift of the PL spectrum, its main contribution to the dielectric properties turns out to be blocking of transport channels in the host tissue and activation of hopping conductivity between silicon nanocrystals.

  2. Interfacial nucleation behavior of inkjet-printed 6,13 bis(tri-isopropylsilylethynyl) pentacene on dielectric surfaces

    International Nuclear Information System (INIS)

    Wang, Xianghua; Lv, Shenchen; Chen, Mengjie; Qiu, Longzhen; Zhang, Guobing; Lu, Hongbo; Yuan, Miao; Qin, Mengzhi

    2015-01-01

    The performance of organic thin film transistors (OTFTs) is heavily dependent on the interface property between the organic semiconductor and the dielectric substrate. Device fabrication with bottom-gate architecture by depositing the semiconductors with a solution method is highly recommended for cost-effectiveness. Surface modification of the dielectric layer is employed as an effective approach to control film growth. Here, we perform surface modification via a self-assembled monolayer of silanes, a spin-coated polymer layer or UV-ozone cleaning, to prepare surfaces with different surface polarities and morphologies. The semiconductor is inkjet-printed on the surface-treated substrates as single-line films with overlapping drop assignment. Surface morphologies of the dielectric before film deposition and film morphologies of the inkjet-printed semiconductor are characterized with polarized microscopy and AFM. Electrical properties of the films are studied through organic thin-film transistors with bottom-gate/bottom-contact structure. With reduced surface polarity and nanoscale aggregation of silane molecules on the substrates, semiconductor nucleates from the interior interface between the ink solution and the substrate, which contributes to film growth with higher crystal coverage and better film quality at the interface. Surface treatment with hydrophobic silanes is a promising approach to fabrication of high performance OTFTs with nonpolar conjugated molecules via solution methods

  3. Interfacial nucleation behavior of inkjet-printed 6,13 bis(tri-isopropylsilylethynyl) pentacene on dielectric surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Xianghua, E-mail: xhwang@hfut.edu.cn; Lv, Shenchen; Chen, Mengjie; Qiu, Longzhen, E-mail: lzhqiu@hfut.edu.cn; Zhang, Guobing; Lu, Hongbo [Key Lab of Special Display Technology, Ministry of Education, National Engineering Lab of Special Display Technology, National Key Lab of Advanced Display Technology, Academy of Opto-Electronic Technology, Hefei University of Technology, Hefei 230009 (China); Yuan, Miao; Qin, Mengzhi [Key Lab of Special Display Technology, Ministry of Education, National Engineering Lab of Special Display Technology, National Key Lab of Advanced Display Technology, Academy of Opto-Electronic Technology, Hefei University of Technology, Hefei 230009 (China); School of Electronic Science and Applied Physics, Hefei University of Technology, Hefei 230009 (China)

    2015-01-14

    The performance of organic thin film transistors (OTFTs) is heavily dependent on the interface property between the organic semiconductor and the dielectric substrate. Device fabrication with bottom-gate architecture by depositing the semiconductors with a solution method is highly recommended for cost-effectiveness. Surface modification of the dielectric layer is employed as an effective approach to control film growth. Here, we perform surface modification via a self-assembled monolayer of silanes, a spin-coated polymer layer or UV-ozone cleaning, to prepare surfaces with different surface polarities and morphologies. The semiconductor is inkjet-printed on the surface-treated substrates as single-line films with overlapping drop assignment. Surface morphologies of the dielectric before film deposition and film morphologies of the inkjet-printed semiconductor are characterized with polarized microscopy and AFM. Electrical properties of the films are studied through organic thin-film transistors with bottom-gate/bottom-contact structure. With reduced surface polarity and nanoscale aggregation of silane molecules on the substrates, semiconductor nucleates from the interior interface between the ink solution and the substrate, which contributes to film growth with higher crystal coverage and better film quality at the interface. Surface treatment with hydrophobic silanes is a promising approach to fabrication of high performance OTFTs with nonpolar conjugated molecules via solution methods.

  4. Role of electron swarm studies in the development of gaseous dielectrics

    International Nuclear Information System (INIS)

    Christophorou, L.G.

    1981-01-01

    Recent knowledge provided by swarm studies allowing control of the number densities and energies of free electrons in electrically stressed gases is highlighted. This knowledge aided the discovery of new gas dielectrics and the tailoring of gas dielectric mixtures. The role of electron attachment in the choice of unitary gas dielectrics or electronegative components in dielectric gas mixtures, and the role of electron scattering at low energies in the choice of buffer gases for mixtures is outlined

  5. Research of Steel-dielectric Transition Using Subminiature Eddy-current Transducer

    Science.gov (United States)

    Dmitriev, S. F.; Malikov, V. N.; Sagalakov, A. M.; Ishkov, A. V.

    2018-05-01

    The research aims to develop a subminiature transducer for electrical steel investigation. The authors determined the capability to study steel characteristics at different depths based on variations of eddy-current transducer amplitude at the steel-dielectric boundary. A subminiature transformer-type transducer was designed, which enables to perform local investigations of ferromagnetic materials using an eddy-current method based on local studies of the steel electrical conductivity. Having the designed transducer as a basis, a hardware-software complex was built to perform experimental studies of steel at the interface boundary. Test results are reported for a specimen with continuous and discrete measurements taken at different frequencies. The article provides the key technical information about the eddy current transformer used and describes the methodology of measurements that makes it possible to control steel to dielectric transition.

  6. Pursuing Polymer Dielectric Interfacial Effect in Organic Transistors for Photosensing Performance Optimization.

    Science.gov (United States)

    Wu, Xiaohan; Chu, Yingli; Liu, Rui; Katz, Howard E; Huang, Jia

    2017-12-01

    Polymer dielectrics in organic field-effect transistors (OFETs) are essential to provide the devices with overall flexibility, stretchability, and printability and simultaneously introduce charge interaction on the interface with organic semiconductors (OSCs). The interfacial effect between various polymer dielectrics and OSCs significantly and intricately influences device performance. However, understanding of this effect is limited because the interface is buried and the interfacial charge interaction is difficult to stimulate and characterize. Here, this challenge is overcome by utilizing illumination to stimulate the interfacial effect in various OFETs and to characterize the responses of the effect by measuring photoinduced changes of the OFETs performances. This systemic investigation reveals the mechanism of the intricate interfacial effect in detail, and mathematically explains how the photosensitive OFETs characteristics are determined by parameters including polar group of the polymer dielectric and the OSC side chain. By utilizing this mechanism, performance of organic electronics can be precisely controlled and optimized. OFETs with strong interfacial effect can also show a signal additivity caused by repeated light pulses, which is applicable for photostimulated synapse emulator. Therefore, this work enlightens a detailed understanding on the interface effect and provides novel strategies for optimizing OFET photosensory performances.

  7. Interface engineering and reliability characteristics of hafnium dioxide with poly silicon gate and dual metal (ruthenium-tantalum alloy, ruthenium) gate electrode for beyond 65 nm technology

    Science.gov (United States)

    Kim, Young-Hee

    Chip density and performance improvements have been driven by aggressive scaling of semiconductor devices. In both logic and memory applications, SiO 2 gate dielectrics has reached its physical limit, direct tunneling resulting from scaling down of dielectrics thickness. Therefore high-k dielectrics have attracted a great deal of attention from industries as the replacement of conventional SiO2 gate dielectrics. So far, lots of candidate materials have been evaluated and Hf-based high-k dielectrics were chosen to the promising materials for gate dielectrics. However, lots of issues were identified and more thorough researches were carried out on Hf-based high-k dielectrics. For instances, mobility degradation, charge trapping, crystallization, Fermi level pinning, interface engineering, and reliability studies. In this research, reliability study of HfO2 were explored with poly gate and dual metal (Ru-Ta alloy, Ru) gate electrode as well as interface engineering. Hard breakdown and soft breakdown were compared and Weibull slope of soft breakdown was smaller than that of hard breakdown, which led to a potential high-k scaling issue. Dynamic reliability has been studied and the combination of trapping and detrapping contributed the enhancement of lifetime projection. Polarity dependence was shown that substrate injection might reduce lifetime projection as well as it increased soft breakdown behavior. Interface tunneling mechanism was suggested with dual metal gate technology. Soft breakdown (l st breakdown) was mainly due to one layer breakdown of bi-layer structure. Low weibull slope was in part attributed to low barrier height of HfO 2 compared to interface layer. Interface layer engineering was thoroughly studied in terms of mobility, swing, and short channel effect using deep sub-micron MOSFET devices. In fact, Hf-based high-k dielectrics could be scaled down to below EOT of ˜10A and it successfully achieved the competitive performance goals. However, it is

  8. Interfacial Cation-Defect Charge Dipoles in Stacked TiO2/Al2O3 Gate Dielectrics.

    Science.gov (United States)

    Zhang, Liangliang; Janotti, Anderson; Meng, Andrew C; Tang, Kechao; Van de Walle, Chris G; McIntyre, Paul C

    2018-02-14

    Layered atomic-layer-deposited and forming-gas-annealed TiO 2 /Al 2 O 3 dielectric stacks, with the Al 2 O 3 layer interposed between the TiO 2 and a p-type germanium substrate, are found to exhibit a significant interface charge dipole that causes a ∼-0.2 V shift of the flat-band voltage and suppresses the leakage current density for gate injection of electrons. These effects can be eliminated by the formation of a trilayer dielectric stack, consistent with the cancellation of one TiO 2 /Al 2 O 3 interface dipole by the addition of another dipole of opposite sign. Density functional theory calculations indicate that the observed interface-dependent properties of TiO 2 /Al 2 O 3 dielectric stacks are consistent in sign and magnitude with the predicted behavior of Al Ti and Ti Al point-defect dipoles produced by local intermixing of the Al 2 O 3 /TiO 2 layers across the interface. Evidence for such intermixing is found in both electrical and physical characterization of the gate stacks.

  9. Enhanced ZnO Thin-Film Transistor Performance Using Bilayer Gate Dielectrics

    KAUST Repository

    Alshammari, Fwzah Hamud; Nayak, Pradipta K.; Wang, Zhenwei; Alshareef, Husam N.

    2016-01-01

    We report ZnO TFTs using Al2O3/Ta2O5 bilayer gate dielectrics grown by atomic layer deposition. The saturation mobility of single layer Ta2O5 dielectric TFT was 0.1 cm2 V-1 s-1, but increased to 13.3 cm2 V-1 s-1 using Al2O3/Ta2O5 bilayer dielectric with significantly lower leakage current and hysteresis. We show that point defects present in ZnO film, particularly VZn, are the main reason for the poor TFT performance with single layer dielectric, although interfacial roughness scattering effects cannot be ruled out. Our approach combines the high dielectric constant of Ta2O5 and the excellent Al2O3/ZnO interface quality, resulting in improved device performance. © 2016 American Chemical Society.

  10. Enhanced ZnO Thin-Film Transistor Performance Using Bilayer Gate Dielectrics

    KAUST Repository

    Alshammari, Fwzah Hamud

    2016-08-24

    We report ZnO TFTs using Al2O3/Ta2O5 bilayer gate dielectrics grown by atomic layer deposition. The saturation mobility of single layer Ta2O5 dielectric TFT was 0.1 cm2 V-1 s-1, but increased to 13.3 cm2 V-1 s-1 using Al2O3/Ta2O5 bilayer dielectric with significantly lower leakage current and hysteresis. We show that point defects present in ZnO film, particularly VZn, are the main reason for the poor TFT performance with single layer dielectric, although interfacial roughness scattering effects cannot be ruled out. Our approach combines the high dielectric constant of Ta2O5 and the excellent Al2O3/ZnO interface quality, resulting in improved device performance. © 2016 American Chemical Society.

  11. Study of the dielectric properties of barium titanate-polymer composites

    International Nuclear Information System (INIS)

    Pant, H.C.; Patra, M.K.; Verma, Aditya; Vadera, S.R.; Kumar, N.

    2006-01-01

    A comparative study of complex dielectric properties has been carried out at the X-band of microwave frequencies of composites of barium titanate (BaTiO 3 ) with two different polymer matrices: insulating polyaniline (PANI) powder (emeraldine base) and maleic resin. From these studies, it is observed that the composites of BaTiO 3 with maleic resin show normal composite behavior and the dielectric constant follows the asymmetric Bruggeman model. In contrast, the composites of BaTiO 3 with PANI show an unusual behavior wherein even at a low concentration of PANI (5 wt.%) there is a drastic reduction in the dielectric constant of BaTiO 3 . This behavior of the dielectric constant is explained on the basis of coating of BaTiO 3 particles by PANI which in turn is attributed to the highly surface adsorbing character. The materials have also been characterized using Fourier transform infrared spectroscopy, powder X-ray diffraction, scanning electron microscopy and optical microscopy studies

  12. A general centroid determination methodology, with application to multilayer dielectric structures and thermally stimulated current measurements

    International Nuclear Information System (INIS)

    Miller, S.L.; Fleetwood, D.M.; McWhorter, P.J.; Reber, R.A. Jr.; Murray, J.R.

    1993-01-01

    A general methodology is developed to experimentally characterize the spatial distribution of occupied traps in dielectric films on a semiconductor. The effects of parasitics such as leakage, charge transport through more than one interface, and interface trap charge are quantitatively addressed. Charge transport with contributions from multiple charge species is rigorously treated. The methodology is independent of the charge transport mechanism(s), and is directly applicable to multilayer dielectric structures. The centroid capacitance, rather than the centroid itself, is introduced as the fundamental quantity that permits the generic analysis of multilayer structures. In particular, the form of many equations describing stacked dielectric structures becomes independent of the number of layers comprising the stack if they are expressed in terms of the centroid capacitance and/or the flatband voltage. The experimental methodology is illustrated with an application using thermally stimulated current (TSC) measurements. The centroid of changes (via thermal emission) in the amount of trapped charge was determined for two different samples of a triple-layer dielectric structure. A direct consequence of the TSC analyses is the rigorous proof that changes in interface trap charge can contribute, though typically not significantly, to thermally stimulated current

  13. Computational study of textured ferroelectric polycrystals: Dielectric and piezoelectric properties of template-matrix composites

    Science.gov (United States)

    Zhou, Jie E.; Yan, Yongke; Priya, Shashank; Wang, Yu U.

    2017-01-01

    Quantitative relationships between processing, microstructure, and properties in textured ferroelectric polycrystals and the underlying responsible mechanisms are investigated by phase field modeling and computer simulation. This study focuses on three important aspects of textured ferroelectric ceramics: (i) grain microstructure evolution during templated grain growth processing, (ii) crystallographic texture development as a function of volume fraction and seed size of the templates, and (iii) dielectric and piezoelectric properties of the obtained template-matrix composites of textured polycrystals. Findings on the third aspect are presented here, while an accompanying paper of this work reports findings on the first two aspects. In this paper, the competing effects of crystallographic texture and template seed volume fraction on the dielectric and piezoelectric properties of ferroelectric polycrystals are investigated. The phase field model of ferroelectric composites consisting of template seeds embedded in matrix grains is developed to simulate domain evolution, polarization-electric field (P-E), and strain-electric field (ɛ-E) hysteresis loops. The coercive field, remnant polarization, dielectric permittivity, piezoelectric coefficient, and dissipation factor are studied as a function of grain texture and template seed volume fraction. It is found that, while crystallographic texture significantly improves the polycrystal properties towards those of single crystals, a higher volume fraction of template seeds tends to decrease the electromechanical properties, thus canceling the advantage of ferroelectric polycrystals textured by templated grain growth processing. This competing detrimental effect is shown to arise from the composite effect, where the template phase possesses material properties inferior to the matrix phase, causing mechanical clamping and charge accumulation at inter-phase interfaces between matrix and template inclusions. The computational

  14. A hollow coaxial cable Fabry-Pérot resonator for liquid dielectric constant measurement

    Science.gov (United States)

    Zhu, Chen; Zhuang, Yiyang; Chen, Yizheng; Huang, Jie

    2018-04-01

    We report, for the first time, a low-cost and robust homemade hollow coaxial cable Fabry-Pérot resonator (HCC-FPR) for measuring liquid dielectric constant. In the HCC design, the traditional dielectric insulating layer is replaced by air. A metal disk is welded onto the end of the HCC serving as a highly reflective reflector, and an open cavity is engineered on the HCC. After the open cavity is filled with the liquid analyte (e.g., water), the air-liquid interface acts as a highly reflective reflector due to large impedance mismatch. As a result, an HCC-FPR is formed by the two highly reflective reflectors, i.e., the air-liquid interface and the metal disk. We measured the room temperature dielectric constant for ethanol/water mixtures with different concentrations using this homemade HCC-FPR. Monitoring the evaporation of ethanol in ethanol/water mixtures was also conducted to demonstrate the ability of the sensor for continuously monitoring the change in dielectric constant. The results revealed that the HCC-FPR could be a promising evaporation rate detection platform with high performance. Due to its great advantages, such as high robustness, simple configuration, and ease of fabrication, the novel HCC-FPR based liquid dielectric constant sensor is believed to be of high interest in various fields.

  15. Integration of atomic layer deposited high-k dielectrics on GaSb via hydrogen plasma exposure

    Directory of Open Access Journals (Sweden)

    Laura B. Ruppalt

    2014-12-01

    Full Text Available In this letter we report the efficacy of a hydrogen plasma pretreatment for integrating atomic layer deposited (ALD high-k dielectric stacks with device-quality p-type GaSb(001 epitaxial layers. Molecular beam eptiaxy-grown GaSb surfaces were subjected to a 30 minute H2/Ar plasma treatment and subsequently removed to air. High-k HfO2 and Al2O3/HfO2 bilayer insulating films were then deposited via ALD and samples were processed into standard metal-oxide-semiconductor (MOS capacitors. The quality of the semiconductor/dielectric interface was probed by current-voltage and variable-frequency admittance measurements. Measurement results indicate that the H2-plamsa pretreatment leads to a low density of interface states nearly independent of the deposited dielectric material, suggesting that pre-deposition H2-plasma exposure, coupled with ALD of high-k dielectrics, may provide an effective means for achieving high-quality GaSb MOS structures for advanced Sb-based digital and analog electronics.

  16. Gaussian-Based Smooth Dielectric Function: A Surface-Free Approach for Modeling Macromolecular Binding in Solvents

    Directory of Open Access Journals (Sweden)

    Arghya Chakravorty

    2018-03-01

    Full Text Available Conventional modeling techniques to model macromolecular solvation and its effect on binding in the framework of Poisson-Boltzmann based implicit solvent models make use of a geometrically defined surface to depict the separation of macromolecular interior (low dielectric constant from the solvent phase (high dielectric constant. Though this simplification saves time and computational resources without significantly compromising the accuracy of free energy calculations, it bypasses some of the key physio-chemical properties of the solute-solvent interface, e.g., the altered flexibility of water molecules and that of side chains at the interface, which results in dielectric properties different from both bulk water and macromolecular interior, respectively. Here we present a Gaussian-based smooth dielectric model, an inhomogeneous dielectric distribution model that mimics the effect of macromolecular flexibility and captures the altered properties of surface bound water molecules. Thus, the model delivers a smooth transition of dielectric properties from the macromolecular interior to the solvent phase, eliminating any unphysical surface separating the two phases. Using various examples of macromolecular binding, we demonstrate its utility and illustrate the comparison with the conventional 2-dielectric model. We also showcase some additional abilities of this model, viz. to account for the effect of electrolytes in the solution and to render the distribution profile of water across a lipid membrane.

  17. Ion association at discretely-charged dielectric interfaces: Giant charge inversion [Dielectric response controlled ion association at physically heterogeneous surfaces: Giant charge reversal

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Zhi -Yong [Chongqing Univ. of Technology, Chongqing (China); Univ. of California, Riverside, CA (United States); Wu, Jianzhong [Univ. of California, Riverside, CA (United States)

    2017-07-11

    Giant charge reversal has been identified for the first time by Monte Carlo simulation for a discretely charged surface in contact with a trivalent electrolyte solution. It takes place regardless of the surface charge density under study and the monovalent salt. In stark contrast to earlier predictions based on the 2-dimensional Wigner crystal model to describe strong correlation of counterions at the macroion surface, we find that giant charge reversal reflects an intricate interplay of ionic volume effects, electrostatic correlations, surface charge heterogeneity, and the dielectric response of the confined fluids. While the novel phenomenon is yet to be confirmed with experiment, the simulation results appear in excellent agreement with a wide range of existing observations in the subregime of charge inversion. Lastly, our findings may have far-reaching implications to understanding complex electrochemical phenomena entailing ionic fluids under dielectric confinements.

  18. Organic thin film transistors with polymer brush gate dielectrics synthesized by atom transfer radical polymerization

    DEFF Research Database (Denmark)

    Pinto, J.C.; Whiting, G.L.; Khodabakhsh, S.

    2008-01-01

    , synthesized by atom transfer radical polymerization (ATRP), were used to fabricate low voltage OFETs with both evaporated pentacene and solution deposited poly(3-hexylthiophene). The semiconductor-dielectric interfaces in these systems were studied with a variety of methods including scanning force microscopy...

  19. A Study on N{sub 2}O Direct Oxidation Process with Re-oxidation Annealing for the Improvement of Interface Properties in 4H-SiC MOS Capacitor

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Doohyung; Park, Kunsik; Yoo, Seongwook; Kim, Sanggi; Lee, Jinhwan; Kim, Kwangsoo [Electronics and Telecommunications Research Institute (ETRI), Daejeon (Korea, Republic of)

    2017-08-15

    The effect of N{sub 2}O direct oxidation processes with re-oxidation on SiC/SiO{sub 2} interface characteristics has been investigated. With different oxidation and post oxidation annealing (POA) processes, the flat-band voltage, effective dielectric charge density, and interface trap density are obtained from the capacitance-voltage curves. For the proposed N{sub 2}O direct oxidation processes with re-oxidation, oxides were grown in N{sub 2}O ambient, diluted in high-purity N{sub 2} to 10% concentration, for 5 h at 1230 ℃. After the growth, some samples were annealed additionally at 1200 ℃ in O{sub 2} or H{sub 2}O for 20 min. N{sub 2}O direct oxidation with re-oxidation processes was confirmed that SiC/SiO{sub 2} interface properties and dielectric stability have better performance than with other conventional oxidation processes. This oxidation technique is expected to improve gate dielectric stability for application to SiC MOS devices; in particular, it can be used to obtain high-quality SiC/SiO{sub 2} interface properties.

  20. Flexible neural interfaces with integrated stiffening shank

    Energy Technology Data Exchange (ETDEWEB)

    Tooker, Angela C.; Felix, Sarah H.; Pannu, Satinderpall S.; Shah, Kedar G.; Sheth, Heeral; Tolosa, Vanessa

    2017-10-17

    A neural interface includes a first dielectric material having at least one first opening for a first electrical conducting material, a first electrical conducting material in the first opening, and at least one first interconnection trace electrical conducting material connected to the first electrical conducting material. A stiffening shank material is located adjacent the first dielectric material, the first electrical conducting material, and the first interconnection trace electrical conducting material.

  1. Flexible neural interfaces with integrated stiffening shank

    Science.gov (United States)

    Tooker, Angela C.; Felix, Sarah H.; Pannu, Satinderpall S.; Shah, Kedar G.; Sheth, Heeral; Tolosa, Vanessa

    2016-07-26

    A neural interface includes a first dielectric material having at least one first opening for a first electrical conducting material, a first electrical conducting material in the first opening, and at least one first interconnection trace electrical conducting material connected to the first electrical conducting material. A stiffening shank material is located adjacent the first dielectric material, the first electrical conducting material, and the first interconnection trace electrical conducting material.

  2. Study of the dielectric properties of barium titanate-polymer composites

    Energy Technology Data Exchange (ETDEWEB)

    Pant, H.C. [R and D Laboratory, Defence Laboratory, Camouflage Division, Defence Laboratory Ratanada Palace, Jodhpur 342011, Rajasthan (India); Patra, M.K. [R and D Laboratory, Defence Laboratory, Camouflage Division, Defence Laboratory Ratanada Palace, Jodhpur 342011, Rajasthan (India); Verma, Aditya [R and D Laboratory, Defence Laboratory, Camouflage Division, Defence Laboratory Ratanada Palace, Jodhpur 342011, Rajasthan (India); Vadera, S.R. [R and D Laboratory, Defence Laboratory, Camouflage Division, Defence Laboratory Ratanada Palace, Jodhpur 342011, Rajasthan (India); Kumar, N. [R and D Laboratory, Defence Laboratory, Camouflage Division, Defence Laboratory Ratanada Palace, Jodhpur 342011, Rajasthan (India)]. E-mail: nkjainjd@yahoo.com

    2006-07-15

    A comparative study of complex dielectric properties has been carried out at the X-band of microwave frequencies of composites of barium titanate (BaTiO{sub 3}) with two different polymer matrices: insulating polyaniline (PANI) powder (emeraldine base) and maleic resin. From these studies, it is observed that the composites of BaTiO{sub 3} with maleic resin show normal composite behavior and the dielectric constant follows the asymmetric Bruggeman model. In contrast, the composites of BaTiO{sub 3} with PANI show an unusual behavior wherein even at a low concentration of PANI (5 wt.%) there is a drastic reduction in the dielectric constant of BaTiO{sub 3}. This behavior of the dielectric constant is explained on the basis of coating of BaTiO{sub 3} particles by PANI which in turn is attributed to the highly surface adsorbing character. The materials have also been characterized using Fourier transform infrared spectroscopy, powder X-ray diffraction, scanning electron microscopy and optical microscopy studies.

  3. Disclosed dielectric and electromechanical properties of hydrogenated nitrile–butadiene dielectric elastomer

    International Nuclear Information System (INIS)

    Yang, Dan; Tian, Ming; Dong, Yingchao; Liu, Haoliang; Yu, Yingchun; Zhang, Liqun

    2012-01-01

    This paper presents a comprehensive study of the effects of acrylonitrile content, crosslink density and plasticization on the dielectric and electromechanical performances of hydrogenated nitrile–butadiene dielectric elastomer. It was found that by increasing the acrylonitrile content of hydrogenated nitrile–butadiene dielectric elastomer, the dielectric constant will be improved accompanied with a sharp decrease of electrical breakdown strength leading to a small actuated strain. At a fixed electric field, a high crosslink density increased the elastic modulus of dielectric elastomer, but it also enhanced the electrical breakdown strength leading to a high actuated strain. Adding a plasticizer into the dielectric elastomer decreased the dielectric constant and electrical breakdown strength slightly, but reduced the elastic modulus sharply, which was beneficial for obtaining a large strain at low electric field from the dielectric elastomer. The largest actuated strain of 22% at an electric field of 30 kV mm −1 without any prestrain was obtained. Moreover, the hydrogenated nitrile–butadiene dielectric actuator showed good history dependence. This proposed material has great potential to be an excellent dielectric elastomer. (paper)

  4. imide, crystal structure, thermal and dielectric studies

    Indian Academy of Sciences (India)

    methyl imidazolium methylidene bis(trifluoromethanesulfonyl)imide, crystal structure, thermal and dielectric studies. BOUMEDIENE HADDAD1,2,3,∗, TAQIYEDDINE MOUMENE2, DIDIER VILLEMIN1,. JEAN-FRANÇOIS LOHIER1 and EL-HABIB ...

  5. Atomic layer deposition of dielectrics for carbon-based electronics

    Energy Technology Data Exchange (ETDEWEB)

    Kim, J., E-mail: jiyoung.kim@utdallas.edu; Jandhyala, S.

    2013-11-01

    Carbon based nanomaterials like nanotubes and graphene have emerged as future generation electronic materials for device applications because of their interesting properties such as high-mobility and ability to carry high-current densities compared to conventional semiconductor materials like silicon. Therefore, there is a need to develop techniques to integrate robust gate dielectrics with high-quality interfaces for these materials in order to attain maximum performance. To date, a variety of methods including physical vapor deposition, atomic layer deposition (ALD), physical assembly among others have been employed in order to integrate dielectrics for carbon nanotube and graphene based field-effect transistors. Owing to the difficulty in wetting pristine surfaces of nanotubes and graphene, most of the ALD methods require a seeding technique involving non-covalent functionalization of their surfaces in order to nucleate dielectric growth while maintaining their intrinsic properties. A comprehensive review regarding the various dielectric integration schemes for emerging devices and their limitations with respect to ALD based methods along with a future outlook is provided. - Highlights: • We introduce various dielectric integration schemes for carbon-based devices. • Physical vapor deposition methods tend to degrade device performance. • Atomic layer deposition on pristine surfaces of graphene and nanotube is difficult. • We review different seeding techniques for atomic layer deposition of dielectrics. • Compare the performance of graphene top-gate devices with different dielectrics.

  6. Atomic layer deposition of dielectrics for carbon-based electronics

    International Nuclear Information System (INIS)

    Kim, J.; Jandhyala, S.

    2013-01-01

    Carbon based nanomaterials like nanotubes and graphene have emerged as future generation electronic materials for device applications because of their interesting properties such as high-mobility and ability to carry high-current densities compared to conventional semiconductor materials like silicon. Therefore, there is a need to develop techniques to integrate robust gate dielectrics with high-quality interfaces for these materials in order to attain maximum performance. To date, a variety of methods including physical vapor deposition, atomic layer deposition (ALD), physical assembly among others have been employed in order to integrate dielectrics for carbon nanotube and graphene based field-effect transistors. Owing to the difficulty in wetting pristine surfaces of nanotubes and graphene, most of the ALD methods require a seeding technique involving non-covalent functionalization of their surfaces in order to nucleate dielectric growth while maintaining their intrinsic properties. A comprehensive review regarding the various dielectric integration schemes for emerging devices and their limitations with respect to ALD based methods along with a future outlook is provided. - Highlights: • We introduce various dielectric integration schemes for carbon-based devices. • Physical vapor deposition methods tend to degrade device performance. • Atomic layer deposition on pristine surfaces of graphene and nanotube is difficult. • We review different seeding techniques for atomic layer deposition of dielectrics. • Compare the performance of graphene top-gate devices with different dielectrics

  7. A Grand Challenge for CMOS Scaling: Alternate Gate Dielectrics

    Science.gov (United States)

    Wallace, Robert M.

    2001-03-01

    Many materials systems are currently under consideration as potential replacements for SiO2 as the gate dielectric material for sub-0.13 um complementary metal oxide semiconductor (CMOS) technology. The prospect of replacing SiO2 is a formidable task because the alternate gate dielectric must provide many properties that are, at a minimum, comparable to those of SiO2 yet with a much higher permittivity. A systematic examination of the required performance of gate dielectrics suggests that the key properties to consider in the selection an alternative gate dielectric candidate are (a) permittivity, band gap and band alignment to silicon, (b) thermodynamic stability, (c) film morphology, (d) interface quality, (e) compatibility with the current or expected materials to be used in processing for CMOS devices, (f) process compatibility, and (g) reliability. Many dielectrics appear favorable in some of these areas, but very few materials are promising with respect to all of these guidelines. We will review the performance requirements for materials associated with CMOS scaling, the challenges associated with these requirements, and the state-of-the-art in current research for alternate gate dielectrics. The requirements for process integration compatibility are remarkably demanding, and any serious candidates will emerge only through continued, intensive investigation.

  8. On gel electrophoresis of dielectric charged particles with hydrophobic surface: A combined theoretical and numerical study.

    Science.gov (United States)

    Majee, Partha Sarathi; Bhattacharyya, Somnath; Gopmandal, Partha Pratim; Ohshima, Hiroyuki

    2018-03-01

    A theoretical study on the gel electrophoresis of a charged particle incorporating the effects of dielectric polarization and surface hydrophobicity at the particle-liquid interface is made. A simplified model based on the weak applied field and low charge density assumption is also presented and compared with the full numerical model for a nonpolarizable particle to elucidate the nonlinear effects such as double layer polarization and relaxation as well as surface conduction. The main motivation of this study is to analyze the electrophoresis of the surface functionalized nanoparticle with tunable hydrophobicity or charged fluid drop in gel medium by considering the electrokinetic effects and hydrodynamic interactions between the particle and the gel medium. An effective medium approach, in which the transport in the electrolyte-saturated hydrogel medium is governed by the Brinkman equation, is adopted in the present analysis. The governing electrokinetic equations based on the conservation principles are solved numerically. The Navier-slip boundary condition along with the continuity condition of dielectric displacement are imposed on the surface of the hydrophobic polarizable particle. The impact of the slip length on the electrophoresis is profound for a thinner Debye layer, however, surface conduction effect also becomes significant for a hydrophobic particle. Impact of hydrophobicity and relaxation effects are higher for a larger particle. Dielectric polarization creates a reduction in its electrophoretic propulsion and has negligible impact at the thinner Debye length as well as lower gel screening length. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Analysis of the temporal electric fields in lossy dielectric media

    DEFF Research Database (Denmark)

    McAllister, Iain Wilson; Crichton, George C

    1991-01-01

    The time-dependent electric fields associated with lossy dielectric media are examined. The analysis illustrates that, with respect to the basic time constant, these lossy media can take a considerable time to attain a steady-state condition. Time-dependent field enhancement factors are considered......, and inherent surface-charge densities quantified. The calculation of electrostatic forces on a free, lossy dielectric particle is illustrated. An extension to the basic analysis demonstrates that, on reversal of polarity, the resultant tangential field at the interface could play a decisive role...

  10. Study of water mass transfer dynamics in frescoes by dielectric spectroscopy

    International Nuclear Information System (INIS)

    Olmi, R.; Riminesi, C.

    2008-01-01

    The knowledge of moisture content (M C) is essential for determining the state of preservation of various types of hand-work: from building materials such as bricks and concrete, to objects of artistic value, in particular frescoes and mural paintings. In all above, moisture is the primary source of damages, as it affects the durability of porous materials. Dielectric properties of porous materials are strongly affected by the presence of water, suggesting dielectric spectroscopy as a suitable non-invasive diagnostic technique. The development of a quantitative relationship between M C and permittivity requires to investigate the dynamics of water mass transfer in porous media, and to determine its effect on the dielectric properties. In this paper a coupled mass transfer/dielectric problem is introduced and solved numerically, based on a finite element model. Results are compared to experimental dielectric measurements performed on plaster samples by the open coaxial method. The application of the dielectric technique to frescoes monitoring is proposed, showing the results obtained is an on-site study.

  11. Shellac Films as a Natural Dielectric Layer for Enhanced Electron Transport in Polymer Field-Effect Transistors.

    Science.gov (United States)

    Baek, Seung Woon; Ha, Jong-Woon; Yoon, Minho; Hwang, Do-Hoon; Lee, Jiyoul

    2018-06-06

    Shellac, a natural polymer resin obtained from the secretions of lac bugs, was evaluated as a dielectric layer in organic field-effect transistors (OFETs) on the basis of donor (D)-acceptor (A)-type conjugated semiconducting copolymers. The measured dielectric constant and breakdown field of the shellac layer were ∼3.4 and 3.0 MV/cm, respectively, comparable with those of a poly(4-vinylphenol) (PVP) film, a commonly used dielectric material. Bottom-gate/top-contact OFETs were fabricated with shellac or PVP as the dielectric layer and one of three different D-A-type semiconducting copolymers as the active layer: poly(cyclopentadithiophene- alt-benzothiadiazole) with p-type characteristics, poly(naphthalene-bis(dicarboximide)- alt-bithiophene) [P(NDI2OD-T2)] with n-type characteristics, and poly(dithienyl-diketopyrrolopyrrole- alt-thienothiophene) [P(DPP2T-TT)] with ambipolar characteristics. The electrical characteristics of the fabricated OFETs were then measured. For all active layers, OFETs with a shellac film as the dielectric layer exhibited a better mobility than those with PVP. For example, the mobility of the OFET with a shellac dielectric and n-type P(NDI2OD-T2) active layer was approximately 2 orders of magnitude greater than that of the corresponding OFET with a PVP insulating layer. When P(DPP2T-TT) served as the active layer, the OFET with shellac as the dielectric exhibited ambipolar characteristics, whereas the corresponding OFET with the PVP dielectric operated only in hole-accumulation mode. The total density of states was analyzed using technology computer-aided design simulations. The results revealed that compared with the OFETs with PVP as the dielectric, the OFETs with shellac as the dielectric had a lower trap-site density at the polymer semiconductor/dielectric interface and much fewer acceptor-like trap sites acting as electron traps. These results demonstrate that shellac is a suitable dielectric material for D-A-type semiconducting

  12. Co-firing behavior of ZnTiO3-TiO2 dielectrics/hexagonal ferrite composites for multi-layer LC filters

    International Nuclear Information System (INIS)

    Wang Mao; Zhou Ji; Yue Zhenxing; Li Longtu; Gui Zhilun

    2003-01-01

    The low-temperature co-firing compatibility between ferrite and dielectric materials is the key issue in the production process of multi-layer chip LC filters. This paper presents the co-firing behavior and interfacial diffusion of ZnTiO 3 -TiO 2 dielectric/Co 2 Z hexagonal ferrite multi-layer composites. It has been testified that proper constitutional modification is feasible to diminish co-firing mismatch and enhance co-firing compatibility. Interfacial reactions occur at the interface, which can strengthen combinations between ferrite layers and dielectric layers. Titanium and barium tend to concentrate at the interface; iron and zinc have a wide diffusion range

  13. Optically levitating dielectrics in the quantum regime: Theory and protocols

    International Nuclear Information System (INIS)

    Romero-Isart, O.; Pflanzer, A. C.; Cirac, J. I.; Juan, M. L.; Quidant, R.; Kiesel, N.; Aspelmeyer, M.

    2011-01-01

    We provide a general quantum theory to describe the coupling of light with the motion of a dielectric object inside a high-finesse optical cavity. In particular, we derive the total Hamiltonian of the system as well as a master equation describing the state of the center-of-mass mode of the dielectric and the cavity-field mode. In addition, a quantum theory of elasticity is used to study the coupling of the center-of-mass motion with internal vibrational excitations of the dielectric. This general theory is applied to the recent proposal of using an optically levitating nanodielectric as a cavity optomechanical system [see Romero-Isart et al., New J. Phys. 12, 033015 (2010); Chang et al., Proc. Natl. Acad. Sci. USA 107, 1005 (2010)]. On this basis, we also design a light-mechanics interface to prepare non-Gaussian states of the mechanical motion, such as quantum superpositions of Fock states. Finally, we introduce a direct mechanical tomography scheme to probe these genuine quantum states by time-of- flight experiments.

  14. Multilayer graphene growth on polar dielectric substrates using chemical vapour deposition

    Science.gov (United States)

    Karamat, S.; Çelik, K.; Shah Zaman, S.; Oral, A.

    2018-06-01

    High quality of graphene is necessary for its applications at industrial scale production. The most convenient way is its direct growth on dielectrics which avoid the transfer route of graphene from metal to dielectric substrate usually followed by graphene community. The choice of a suitable dielectric for the gate material which can replace silicon dioxide (SiO2) is in high demand. Various properties like permittivity, thermodynamic stability, film morphology, interface quality, bandgap and band alignment of other dielectrics with graphene needs more exploration. A potential dielectric material is required which could be used to grow graphene with all these qualities. Direct growth of graphene on magnesium oxide (MgO) substrates is an interesting idea and will be a new addition in the library of 2D materials. The present work is about the direct growth of graphene on MgO substrates by an ambient pressure chemical vapour deposition (CVD) method. We address the surface instability issue of the polar oxides which is the most challenging factor in MgO. Atomic force microscopy (AFM) measurements showed the topographical features of the graphene coated on MgO. X-ray photoelectron spectroscopy (XPS) study is carried out to extract information regarding the presence of necessary elements, their bonding with substrates and to confirm the sp-2 hybridization of carbon, which is a characteristic feature of graphene film. The chemical shift is due to the surface reconstruction of MgO in the prepared samples. For graphene-MgO interface, valence band offset (VBO) and conduction band offset (CBO) extracted from valence band spectra reported. Further, we predicted the energy band diagram for single layer and thin film of graphene. By using the room-temperature energy band gap values of MgO and graphene, the CBO is calculated to be 6.85 eV for single layer and 5.66 eV for few layer (1-3) of graphene layers.

  15. Thin film silicon on silicon nitride for radiation hardened dielectrically isolated MISFET's

    International Nuclear Information System (INIS)

    Neamen, D.; Shedd, W.; Buchanan, B.

    1975-01-01

    The permanent ionizing radiation effects resulting from charge trapping in a silicon nitride isolation dielectric have been determined for a total ionizing dose up to 10 7 rads (Si). Junction FET's, whose active channel region is directly adjacent to the silicon-silicon nitride interface, were used to measure the effects of the radiation induced charge trapping in the Si 3 N 4 isolation dielectric. The JFET saturation current and channel conductance versus junction gate voltage and substrate voltage were characterized as a function of the total ionizing radiation dose. The experimental results on the Si 3 N 4 are compared to results on similar devices with SiO 2 dielectric isolation. The ramifications of using the silicon nitride for fabricating radiation hardened dielectrically isolated MIS devices are discussed

  16. A Comprehensive Study on Dielectric Properties of Volcanic Rock/PANI Composites

    Science.gov (United States)

    Kiliç, M.; Karabul, Y.; Okutan, M.; İçelli, O.

    2016-05-01

    Basalt is a very well-known volcanic rock that is dark colored and relatively rich in iron and magnesium, almost located each country in the world. These rocks have been used in the refused rock industry, to produce building tiles, construction industrial, highway engineering. Powders and fibers of basalt rocks are widely used of radiation shielding, thermal stability, heat and sound insulation. This study examined three different basalt samples (coded CM-1, KYZ-13 and KYZ-24) collected from different regions of Van province in Turkey. Polyaniline (PANI) is one of the representative conductive polymers due to its fine environmental stability, huge electrical conductivity, as well as a comparatively low cost. Also, the electrical and thermal properties of polymer composites containing PANI have been widely studied. The dielectric properties of Basalt/Polyaniline composites in different concentrations (10, 25, 50 wt.% PANI) have been investigated by dielectric spectroscopy method at the room temperature. The dielectric parameters (dielectric constants, loss and strength) were measured in the frequency range of 102 Hz-106 Hz at room temperature. The electrical mechanism change with PANI dopant. A detailed dielectrically analysis of these composites will be presented.

  17. Dielectric relaxation studies of dilute solutions of amides

    Energy Technology Data Exchange (ETDEWEB)

    Malathi, M.; Sabesan, R.; Krishnan, S

    2003-11-15

    The dielectric constants and dielectric losses of formamide, acetamide, N-methyl acetamide, acetanilide and N,N-dimethyl acetamide in dilute solutions of 1,4-dioxan/benzene have been measured at 308 K using 9.37 GHz, dielectric relaxation set up. The relaxation time for the over all rotation {tau}{sub (1)} and that for the group rotation {tau}{sub (2)} of (the molecules were determined using Higasi's method. The activation energies for the processes of dielectric relaxation and viscous flow were determined by using Eyring's rate theory. From relaxation time behaviour of amides in non-polar solvent, solute-solvent and solute-solute type of molecular association is proposed.

  18. Analytical Modeling of Triple-Metal Hetero-Dielectric DG SON TFET

    Science.gov (United States)

    Mahajan, Aman; Dash, Dinesh Kumar; Banerjee, Pritha; Sarkar, Subir Kumar

    2018-02-01

    In this paper, a 2-D analytical model of triple-metal hetero-dielectric DG TFET is presented by combining the concepts of triple material gate engineering and hetero-dielectric engineering. Three metals with different work functions are used as both front- and back gate electrodes to modulate the barrier at source/channel and channel/drain interface. In addition to this, front gate dielectric consists of high-K HfO2 at source end and low-K SiO2 at drain side, whereas back gate dielectric is replaced by air to further improve the ON current of the device. Surface potential and electric field of the proposed device are formulated solving 2-D Poisson's equation and Young's approximation. Based on this electric field expression, tunneling current is obtained by using Kane's model. Several device parameters are varied to examine the behavior of the proposed device. The analytical model is validated with TCAD simulation results for proving the accuracy of our proposed model.

  19. Reflectance properties of one-dimensional metal-dielectric ternary photonic crystal

    Energy Technology Data Exchange (ETDEWEB)

    Pandey, G. N., E-mail: gnpandey2009@gmail.com [Department of Physics, Amity Institute of Applied Sciences, AmityUniversity, Noida (U.P.) (India); Kumar, Narendra [Department of Physics (CASH), Modi University of Science and Technology, Lakshmangarh, Sikar, Rajsthan (India); Thapa, Khem B. [Department of Physics, U I E T, ChhatrapatiShahu Ji Maharaj University, Kanpur- (UP) (India); Ojha, S. P. [Department of Physics IIT, Banaras Hindu University (India)

    2016-05-06

    Metallic photonic crystal has a very important application in absorption enhancement in solar cells. It has been found that an ultra-thin metallic layer becomes transparent due to internal scattering of light through the each interface of the dielectric and metal surfaces. The metal has absorption due to their surface plasmon and the plasmon has important parameters for changing optical properties of the metal. We consider ternary metallic-dielectric photonic crystal (MDPC) for having large probabilities to change the optical properties of the MDPC and the photonic crystals may be changed by changing dimensionality, symmetry, lattice parameters, Filling fraction and effective refractive index refractive index contrast. In this present communication, we try to show that the photonic band gap in ternary metal-dielectric photonic crystal can be significantly enlarged when air dielectric constant is considered. All the theoretical analyses are made based on the transfer matrix method together with the Drude model of metal.

  20. Influence of particle surface properties on the dielectric behavior of silica/epoxy nanocomposites

    International Nuclear Information System (INIS)

    Cheng Lihong; Zheng Liaoying; Li Guorong; Zeng Jiangtao; Yin Qingrui

    2008-01-01

    Silica/epoxy composites have been widely used in functional electric device applications. Silica nanoparticles, both unmodified and modified with the coupling agent KH-550, were used to prepare epoxy composites. Dielectric measurements showed that nanocomposites exhibit a higher dielectric constant than the control sample, and had more obvious dielectric relaxation characteristics. Results showed that particle surface properties have a profound effect on the dielectric behavior of the nanocomposites. These characteristics are attributed to the local ununiformity of the microstructure caused by the large interface area and the interaction between the filler and the matrix. This phenomenon is explained in terms of prolonging chemical chains created during the curing process. The mechanism is discussed with measurements of X-ray diffraction (XRD) and Fourier transform infrared (FTIR)

  1. Dielectric property study of poly(4-vinylphenol)-graphene oxide nanocomposite thin film

    Science.gov (United States)

    Roy, Dhrubojyoti

    2018-05-01

    Thin film capacitor device having a sandwich structure of indium tin oxide (ITO)-coated glass/polymer or polymer nanocomposite /silver has been fabricated and their dielectric and leakage current properties has been studied. The dielectric properties of the capacitors were characterized for frequencies ranging from 1 KHz to 1 MHz. 5 wt% Poly(4-vinylphenol)(PVPh)-Graphene (GO) nanocomposite exhibited an increase in dielectric constant to 5.6 and small rise in dielectric loss to around˜0.05 at 10 KHz w.r.t polymer. The DC conductivity measurements reveal rise of leakage current in nanocomposite.

  2. Structural-optical study of high-dielectric-constant oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy)]. E-mail: maria.losurdo@ba.imip.cnr.it; Giangregorio, M.M. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy); Luchena, M. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy); Capezzuto, P. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy); Bruno, G. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy); Toro, R.G. [Dipartimento di Scienze Chimiche, Universita di Catania, and INSTM-UdR Catania, Viale A. Doria 6, I-95125 Catania (Italy); Malandrino, G. [Dipartimento di Scienze Chimiche, Universita di Catania, and INSTM-UdR Catania, Viale A. Doria 6, I-95125 Catania (Italy); Fragala, I.L. [Dipartimento di Scienze Chimiche, Universita di Catania, and INSTM-UdR Catania, Viale A. Doria 6, I-95125 Catania (Italy); Nigro, R. Lo [Istituto di Microelettronica e Microsistemi, IMM-CNR, Stradale Primosole 50, I-95121 Catania (Italy)

    2006-10-31

    High-k polycrystalline Pr{sub 2}O{sub 3} and amorphous LaAlO{sub 3} oxide thin films deposited on Si(0 0 1) are studied. The microstructure is investigated using X-ray diffraction and scanning electron microscopy. Optical properties are determined in the 0.75-6.5 eV photon energy range using spectroscopic ellipsometry. The polycrystalline Pr{sub 2}O{sub 3} films have an optical gap of 3.86 eV and a dielectric constant of 16-26, which increases with film thickness. Similarly, very thin amorphous LaAlO{sub 3} films have the optical gap of 5.8 eV, and a dielectric constant below 14 which also increases with film thickness. The lower dielectric constant compared to crystalline material is an intrinsic characteristic of amorphous films.

  3. HfO2 as gate dielectric on Ge: Interfaces and deposition techniques

    International Nuclear Information System (INIS)

    Caymax, M.; Van Elshocht, S.; Houssa, M.; Delabie, A.; Conard, T.; Meuris, M.; Heyns, M.M.; Dimoulas, A.; Spiga, S.; Fanciulli, M.; Seo, J.W.; Goncharova, L.V.

    2006-01-01

    To fabricate MOS gate stacks on Ge, one can choose from a multitude of metal oxides as dielectric material which can be deposited by many chemical or physical vapor deposition techniques. As a few typical examples, we will discuss here the results from atomic layer deposition (ALD), metal organic CVD (MOCVD) and molecular beam deposition (MBD) using HfO 2 /Ge as materials model system. It appears that a completely interface layer free HfO 2 /Ge combination can be made in MBD, but this results in very bad capacitors. The same bad result we find if HfGe y (Hf germanides) are formed like in the case of MOCVD on HF-dipped Ge. A GeO x interfacial layer appears to be indispensable (if no other passivating materials are applied), but the composition of this interfacial layer (as determined by XPS, TOFSIMS and MEIS) is determining for the C/V quality. On the other hand, the presence of Ge in the HfO 2 layer is not the most important factor that can be responsible for poor C/V, although it can still induce bumps in C/V curves, especially in the form of germanates (Hf-O-Ge). We find that most of these interfacial GeO x layers are in fact sub-oxides, and that this could be (part of) the explanation for the high interfacial state densities. In conclusion, we find that the Ge surface preparation is determining for the gate stack quality, but it needs to be adapted to the specific deposition technique

  4. Microstructure and chemical analysis of Hf-based high-k dielectric layers in metal-insulator-metal capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Thangadurai, P. [Department of Materials Engineering, Technion - Israel Institute of Technology, Haifa 32000 (Israel); Mikhelashvili, V.; Eisenstein, G. [Department of Electrical Engineering, Technion - Israel Institute of Technology, Haifa 32000 (Israel); Kaplan, W.D., E-mail: kaplan@tx.technion.ac.i [Department of Materials Engineering, Technion - Israel Institute of Technology, Haifa 32000 (Israel)

    2010-05-31

    The microstructure and chemistry of the high-k gate dielectric significantly influences the performance of metal-insulator-metal (MIM) and metal-oxide-semiconductor devices. In particular, the local structure, chemistry, and inter-layer mixing are important phenomena to be understood. In the present study, high resolution and analytical transmission electron microscopy are combined to study the local structure, morphology, and chemistry in MIM capacitors containing a Hf-based high-k dielectric. The gate dielectric, bottom and gate electrodes were deposited on p-type Si(100) wafers by electron beam evaporation. Four chemically distinguishable sub-layers were identified within the dielectric stack. One is an unintentionally formed 4.0 nm thick interfacial layer of Ta{sub 2}O{sub 5} at the interface between the Ta electrode and the dielectric. The other three layers are based on HfN{sub x}O{sub y} and HfTiO{sub y}, and intermixing between the nearby sub-layers including deposited SiO{sub 2}. Hf-rich clusters were found in the HfN{sub x}O{sub y} layer adjacent to the Ta{sub 2}O{sub 5} layer.

  5. Frequency dispersion analysis of thin dielectric MOS capacitor in a five-element model

    Science.gov (United States)

    Zhang, Xizhen; Zhang, Sujuan; Zhu, Huichao; Pan, Xiuyu; Cheng, Chuanhui; Yu, Tao; Li, Xiangping; Cheng, Yi; Xing, Guichao; Zhang, Daming; Luo, Xixian; Chen, Baojiu

    2018-02-01

    An Al/ZrO2/IL/n-Si (IL: interface layer) MOS capacitor has been fabricated by metal organic decomposition of ZrO2 and thermal deposition Al. We have measured parallel capacitance (C m) and parallel resistance (R m) versus bias voltage curves (C m, R m-V) at different AC signal frequency (f), and C m, R m-f curves at different bias voltage. The curves of C m, R m-f measurements show obvious frequency dispersion in the range of 100 kHz-2 MHz. The energy band profile shows that a large voltage is applied on the ZrO2 layer and IL at accumulation, which suggests possible dielectric polarization processes by some traps in ZrO2 and IL. C m, R m-f data are used for frequency dispersion analysis. To exclude external frequency dispersion, we have extracted the parameters of C (real MOS capacitance), R p (parallel resistance), C IL (IL capacitance), R IL (IL resistance) and R s (Si resistance) in a five-element model by using a three-frequency method. We have analyzed intrinsic frequency dispersion of C, R p, C IL, R IL and R s by studying the dielectric characteristics and Si surface layer characteristics. At accumulation, the dispersion of C and R p is attributed to dielectric polarization such as dipolar orientation and oxide traps. The serious dispersion of C IL and R IL are relative to other dielectric polarization, such as border traps and fixed oxide traps. The dispersion of R s is mainly attributed to contact capacitance (C c) and contact resistance (R c). At depletion and inversion, the frequency dispersion of C, R p, C IL, R IL, and R s are mainly attributed to the depletion layer capacitance (C D). The interface trap capacitance (C it) and interface trap resistance (R it) are not dominant for the dispersion of C, R p, C IL, R IL, and R s.

  6. Design and Development of Embedded Based System for the Measurement of Dielectric Constant Spectroscopy for Liquids

    Directory of Open Access Journals (Sweden)

    V. V. Ramana C. H.

    2010-09-01

    Full Text Available An embedded based system for the measurement of dielectric constant spectroscopy (for frequencies 1 kHz, 10 kHz, 100 kHz, 1 MHz and 10 MHz for liquids has been designed and developed. It is based on the principle that the change in frequency of an MAX 038 function generator, when the liquid forms the dielectric medium of the dielectric cell, is measured with a microcontroller. Atmel’s AT89LP6440 microcontroller is used in the present study. Further, an LCD module is interfaced with the microcontroller in 4-bit mode, which reduces the hardware complexity. Software is developed in C using Keil’s C-cross compiler. The instrument system covers a wide range of dielectric constants for various liquids at various frequencies and at different temperatures. The system is quite successful in the measurement of dielectric constant in liquids with an accuracy of ± 0.01 %. The dielectric constant is very dependent on the frequency of their measurement. No one-measurement technique is available, however, that will give the frequency range needed to characterize the liquid sample. The paper deals with the hardware and software details.

  7. Dielectric spectroscopy studies of low-disorder and low-dimensional materials

    OpenAIRE

    Tripathi, Pragya

    2016-01-01

    In this thesis we employ dielectric spectroscopy (in different implementations) to study the dielectric properties of different materials ranging from completely disordered supercooled liquids to low-disorder solids with only ratcheting reorientational motions, to low-dimensional systems such as thin films or needle-like crystals. The probed material properties include the electrical conductivity, the space-charge processes due to sample heterogeneities, molecular dynamics, hydrogen-bond dyna...

  8. Rb2Ti2O5 : Superionic conductor with colossal dielectric constant

    Science.gov (United States)

    Federicci, Rémi; Holé, Stéphane; Popa, Aurelian Florin; Brohan, Luc; Baptiste, Benoît.; Mercone, Silvana; Leridon, Brigitte

    2017-08-01

    Electrical conductivity and high dielectric constant are in principle self-excluding, which makes the terms insulator and dielectric usually synonymous. This is certainly true when the electrical carriers are electrons, but not necessarily in a material where ions are extremely mobile, electronic conduction is negligible, and the charge transfer at the interface is immaterial. Here we demonstrate in a perovskite-derived structure containing five-coordinated Ti atoms, a colossal dielectric constant (up to 109) together with very high ionic conduction 10-3Scm-1 at room temperature. Coupled investigations of I -V and dielectric constant behavior allow us to demonstrate that, due to ion migration and accumulation, this material behaves like a giant dipole, exhibiting colossal electrical polarization (of the order of 0.1Ccm-2 ). Therefore it may be considered as a "ferro-ionet" and is extremely promising in terms of applications.

  9. Numerical study of the lateral resolution in electrostatic force microscopy for dielectric samples

    International Nuclear Information System (INIS)

    Riedel, C; AlegrIa, A; Colmenero, J; Schwartz, G A; Saenz, J J

    2011-01-01

    We present a study of the lateral resolution in electrostatic force microscopy for dielectric samples in both force and gradient modes. Whereas previous studies have reported expressions for metallic surfaces having potential heterogeneities (Kelvin probe force microscopy), in this work we take into account the presence of a dielectric medium. We introduce a definition of the lateral resolution based on the force due to a test particle being either a point charge or a polarizable particle on the dielectric surface. The behaviour has been studied over a wide range of typical experimental parameters: tip-sample distance (1-20) nm, sample thickness (0-5) μm and dielectric constant (1-20), using the numerical simulation of the equivalent charge method. For potential heterogeneities on metallic surfaces expressions are in agreement with the bibliography. The lateral resolution of samples having a dielectric constant of more than 10 tends to metallic behaviour. We found a characteristic thickness of 100 nm, above which the lateral resolution measured on the dielectric surface is close to that of an infinite medium. As previously reported, the lateral resolution is better in the gradient mode than in the force mode. Finally, we showed that for the same experimental conditions, the lateral resolution is better for a polarizable particle than for a charge, i.e. dielectric heterogeneities should always look 'sharper' (better resolved) than inhomogeneous charge distributions. This fact should be taken into account when interpreting images of heterogeneous samples.

  10. Numerical study of the lateral resolution in electrostatic force microscopy for dielectric samples

    Energy Technology Data Exchange (ETDEWEB)

    Riedel, C; AlegrIa, A; Colmenero, J [Departamento de Fisica de Materiales UPV/EHU, Facultad de Quimica, Apartado 1072, 20080 San Sebastian (Spain); Schwartz, G A [Centro de Fisica de Materiales CSIC-UPV/EHU, Paseo Manuel de Lardizabal 5, 20018 San Sebastian (Spain); Saenz, J J, E-mail: riedel@ies.univ-montp2.fr [Donostia International Physics Center, Paseo Manuel de Lardizabal 4, 20018 San Sebastian (Spain)

    2011-07-15

    We present a study of the lateral resolution in electrostatic force microscopy for dielectric samples in both force and gradient modes. Whereas previous studies have reported expressions for metallic surfaces having potential heterogeneities (Kelvin probe force microscopy), in this work we take into account the presence of a dielectric medium. We introduce a definition of the lateral resolution based on the force due to a test particle being either a point charge or a polarizable particle on the dielectric surface. The behaviour has been studied over a wide range of typical experimental parameters: tip-sample distance (1-20) nm, sample thickness (0-5) {mu}m and dielectric constant (1-20), using the numerical simulation of the equivalent charge method. For potential heterogeneities on metallic surfaces expressions are in agreement with the bibliography. The lateral resolution of samples having a dielectric constant of more than 10 tends to metallic behaviour. We found a characteristic thickness of 100 nm, above which the lateral resolution measured on the dielectric surface is close to that of an infinite medium. As previously reported, the lateral resolution is better in the gradient mode than in the force mode. Finally, we showed that for the same experimental conditions, the lateral resolution is better for a polarizable particle than for a charge, i.e. dielectric heterogeneities should always look 'sharper' (better resolved) than inhomogeneous charge distributions. This fact should be taken into account when interpreting images of heterogeneous samples.

  11. Study of PECVD films containing flourine and carbon and diamond like carbon films for ultra low dielectric constant interlayer dielectric applications

    Science.gov (United States)

    Sundaram, Nandini Ganapathy

    Lowering the capacitance of Back-end-of-line (BEOL) structures by decreasing the dielectric permittivity of the interlayer dielectric material in integrated circuits (ICs) lowers device delay times, power consumption and parasitic capacitance. a:C-F films that are thermally stable at 400°C were deposited using tetrafluorocarbon and disilane (5% by volume in Helium) as precursors. The bulk dielectric constant (k) of the film was optimized from 2.0 / 2.2 to 1.8 / 1.91 as-deposited and after heat treatment. Films, with highly promising k-values but discarded for failing to meet shrinkage rate requirements were salvaged by utilizing a novel extended heat treatment scheme. Film properties including chemical bond structure, F/C ratio, refractive index, surface planarity, contact angle, dielectric constant, flatband voltage shift, breakdown field potential and optical energy gap were evaluated by varying process pressure, power, substrate temperature and flow rate ratio (FRR) of processing gases. Both XPS and FTIR results confirmed that the stoichiometry of the ultra-low k (ULK) film is close to that of CF2 with no oxygen. C-V characteristics indicated the presence of negative charges that are either interface trapped charges or bulk charges. Average breakdown field strength was in the range of 2-8 MV/cm while optical energy gap varied between 2.2 eV and 3.4 eV. Irradiation or plasma damage significantly impacts the ability to integrate the film in VSLI circuits. The film was evaluated after exposure to oxygen plasma and HMDS vapors and no change in the FTIR spectra or refractive index was observed. Film is resistant to attack by developers CD 26 and KOH. While the film dissolves in UVN-30 negative resist, it is impermeable to PGDMA. A 12% increase in dielectric constant and a decrease in contact angle from 65° to 47° was observed post e-beam exposure. The modified Gaseous Electronics Conference (mGEC) reference cell was used to deposit DLC films using CH4 and Argon as

  12. Studies on dielectric properties of ferrocenylhydrazone coordinated polymers irradiated by γ-rays

    International Nuclear Information System (INIS)

    Lin Yun; Chen Jie; Lin Zhanru

    2007-01-01

    The three ferrocenylhydrazone coordinated metal polymers were synthesized (PZM). The effect of the 60 Co γ irradiation on microwave dielectric properties and their temperature-dielectric properties were studies. It has been found that the dielectric parameters (ε', tgδ) of coordinated polymers increase along with the absorbed doses and coordinated metals in order Cu, Co, Ni, However, the dependent curves of dielectric parameters on arise-down temperature are universal. On the other hand, the small changes in chemical structure before and after irradiation were confirmed by IR differential spectrometry and SEM. It is possible to make such coordinated polymers as a multifunctional polymeric material with optical, electric and magnetic properties, which may be potentially used in microwave communication. (authors)

  13. Electronic relaxation of deep bulk trap and interface state in ZnO ceramics

    International Nuclear Information System (INIS)

    Yang Yan; Li Sheng-Tao; Ding Can; Cheng Peng-Fei

    2011-01-01

    This paper investigates the electronic relaxation of deep bulk trap and interface state in ZnO ceramics based on dielectric spectra measured in a wide range of temperature, frequency and bias, in addition to the steady state response. It discusses the nature of net current flowing over the barrier affected by interface state, and then obtains temperature-dependent barrier height by approximate calculation from steady I—V (current—voltage) characteristics. Additional conductance and capacitance arising from deep bulk trap relaxation are calculated based on the displacement of the cross point between deep bulk trap and Fermi level under small AC signal. From the resonances due to deep bulk trap relaxation on dielectric spectra, the activation energies are obtained as 0.22 eV and 0.35 eV, which are consistent with the electronic levels of the main defect interstitial Zn and vacancy oxygen in the depletion layer. Under moderate bias, another resonance due to interface relaxation is shown on the dielectric spectra. The DC-like conductance is also observed in high temperature region on dielectric spectra, and the activation energy is much smaller than the barrier height in steady state condition, which is attributed to the displacement current coming from the shallow bulk trap relaxation or other factors. (fluids, plasmas and electric discharges)

  14. Microwave dielectric study of polar liquids at 298 K

    Science.gov (United States)

    Maharolkar, Aruna P.; Murugkar, A.; Khirade, P. W.

    2018-05-01

    Present paper deals with study of microwave dielectric properties like dielectric constant, viscosity, density and refractive index for the binary mixtures of Dimethylsulphoxide (DMSO) and Methanol over the entire concentration range were measured at 298K. The experimental data further used to determine the excess properties viz. excess static dielectric constant, excess molar volume, excess viscosity& derived properties viz. molar refraction&Bruggman factor. The values of excess properties further fitted with Redlich-Kister (R-K Fit) equation to calculate the binary coefficients and standard deviation. The resulting excess parameters are used to indicate the presence of intermolecular interactions and strength of intermolecular interactions between the molecules in the binary mixtures. Excess parameters indicate structure breaking factor in the mixture predominates in the system.

  15. Temperature-dependent dielectric function of germanium in the UV–vis spectral range: A first-principles study

    International Nuclear Information System (INIS)

    Yang, J.Y.; Liu, L.H.; Tan, J.Y.

    2014-01-01

    The study of temperature dependence of thermophysical parameter dielectric function is key to understanding thermal radiative transfer in high-temperature environments. Limited by self-radiation and thermal oxidation, however, it is difficult to directly measure the high-temperature dielectric function of solids with present experimental technologies. In this work, we implement two first-principles methods, the ab initio molecular dynamics (AIMD) and density functional perturbation theory (DFPT), to study the temperature dependence of dielectric function of germanium (Ge) in the UV–vis spectral range in order to provide data of high-temperature dielectric function for radiative transfer study in high-temperature environments. Both the two methods successfully predict the temperature dependence of dielectric function of Ge. Moreover, the good agreement between the calculated results of the AIMD approach and experimental data at 825 K enables us to predict the high-temperature dielectric function of Ge with the AIMD method in the UV–vis spectral range. - Highlights: • The temperature dependence of dielectric function of germanium (Ge) is investigated with two first-principles methods. • The temperature effect on dielectric function of Ge is discussed. • The high-temperature dielectric function of Ge is predicted

  16. Novel organic semiconductors and dielectric materials for high performance and low-voltage organic thin-film transistors

    Science.gov (United States)

    Yoon, Myung-Han

    Two novel classes of organic semiconductors based on perfluoroarene/arene-modified oligothiophenes and perfluoroacyl/acyl-derivatized quaterthiophens are developed. The frontier molecular orbital energies of these compounds are studied by optical spectroscopy and electrochemistry while solid-state/film properties are investigated by thermal analysis, x-ray diffraction, and scanning electron microscopy. Organic thin film transistors (OTFTs) performance parameters are discussed in terms of the interplay between semiconductor molecular energetics and film morphologies/microstructures. For perfluoroarene-thiophene oligomer systems, majority charge carrier type and mobility exhibit a strong correlation with the regiochemistry of perfluoroarene incorporation. In quaterthiophene-based semiconductors, carbonyl-functionalization allows tuning of the majority carrier type from p-type to ambipolar and to n-type. In situ conversion of a p-type semiconducting film to n-type film is also demonstrated. Very thin self-assembled or spin-on organic dielectric films have been integrated into OTFTs to achieve 1 - 2 V operating voltages. These new dielectrics are deposited either by layer-by-layer solution phase deposition of molecular precursors or by spin-coating a mixture of polymer and crosslinker, resulting in smooth and virtually pinhole-free thin films having exceptionally large capacitances (300--700 nF/cm2) and low leakage currents (10 -9 - 10-7 A/cm2). These organic dielectrics are compatible with various vapor- or solution-deposited p- and n-channel organic semiconductors. Furthermore, it is demonstrated that spin-on crosslinked-polymer-blend dielectrics can be employed for large-area/patterned electronics, and complementary inverters. A general approach for probing semiconductor-dielectric interface effects on OTFT performance parameters using bilayer gate dielectrics is presented. Organic semiconductors having p-, n-type, or ambipolar majority charge carriers are grown on

  17. Structural, photoconductivity, and dielectric studies of polythiophene-tin oxide nanocomposites

    Energy Technology Data Exchange (ETDEWEB)

    Murugavel, S., E-mail: starin85@gmail.com; Malathi, M., E-mail: mmalathi@vit.ac.in

    2016-09-15

    Highlights: • Synthesis of polythiophene-tin oxide nanocomposites confirmed by FTIR and EDAX. • SEM shows SnO{sub 2} nanoparticles embedded within polythiophene matrix. • Stability and isoelectric point suggest nanoparticle–matrix interaction. • High dielectric constant due to high Maxwell–Wagner interfacial polarization. - Abstract: Polythiophene-tinoxide (PT-SnO{sub 2}) nanocomposites were prepared by in situ chemical oxidative polymerization, in the presence of various concentrations of SnO{sub 2} nanoparticles. Samples were characterized by X-ray diffraction, Fourier-transform infrared spectroscopy, thermogravimetric analysis, X-ray photoelectron spectroscopy and Zeta potential measurements. Morphologies and elemental compositions were investigated by transmission electron microscopy, field-emission scanning electron microscopy and energy-dispersive X-ray spectroscopy. The photoconductivity of the nanocomposites was studied by field-dependent dark and photo conductivity measurements. Their dielectric properties were investigated using dielectric spectroscopy, in the frequency range of 1kHz–1 MHz. The results indicated that the SnO{sub 2} nanoparticles in the PT-SnO{sub 2} nanocomposite were responsible for its enhanced dielectric performance.

  18. Investigation of Vacuum Insulator Surface Dielectric Strength with Nanosecond Pulses

    International Nuclear Information System (INIS)

    Nunnally, W.C.; Krogh, M.; Williams, C.; Trimble, D.; Sampayan, S.; Caporaso, G.

    2003-01-01

    The maximum vacuum insulator surface dielectric strength determines the acceleration electric field gradient possible in a short pulse accelerator. Previous work has indicated that higher electric field strengths along the insulator-vacuum interface might be obtained as the pulse duration is decreased. In this work, a 250 kV, single ns wide impulse source was applied to small diameter, segmented insulators samples in a vacuum to evaluate the multi-layer surface dielectric strength of the sample construction. Resonances in the low inductance test geometry were used to obtain unipolar, pulsed electric fields in excess of 100 MV/m on the insulator surface. The sample construction, experimental arrangement and experimental results are presented for the initial data in this work. Modeling of the multi-layer structure is discussed and methods of improving insulator surface dielectric strength in a vacuum are proposed

  19. A CMOS pressure sensor with integrated interface for passive RFID applications

    International Nuclear Information System (INIS)

    Deng, Fangming; He, Yigang; Wu, Xiang; Fu, Zhihui

    2014-01-01

    This paper presents a CMOS pressure sensor with integrated interface for passive RFID sensing applications. The pressure sensor consists of three parts: top electrode, dielectric layer and bottom electrode. The dielectric layer consists of silicon oxide and an air gap. The bottom electrode is made of polysilicon. The gap is formed by sacrificial layer release and the Al vapor process is used to seal the gap and form the top electrode. The sensor interface is based on phase-locked architecture, which allows the use of fully digital blocks. The proposed pressure sensor and interface is fabricated in a 0.18 μm CMOS process. The measurement results show the pressure sensor achieves excellent linearity with a sensitivity of 1.2 fF kPa −1 . The sensor interface consumes only 1.1 µW of power at 0.5 V voltage supply, which is at least an order of magnitude better than state-of-the-art designs. (paper)

  20. Dielectrics in electric fields

    CERN Document Server

    Raju, Gorur G

    2003-01-01

    Discover nontraditional applications of dielectric studies in this exceptionally crafted field reference or text for seniors and graduate students in power engineering tracks. This text contains more than 800 display equations and discusses polarization phenomena in dielectrics, the complex dielectric constant in an alternating electric field, dielectric relaxation and interfacial polarization, the measurement of absorption and desorption currents in time domains, and high field conduction phenomena. Dielectrics in Electric Fields is an interdisciplinary reference and text for professionals and students in electrical and electronics, chemical, biochemical, and environmental engineering; physical, surface, and colloid chemistry; materials science; and chemical physics.

  1. Theory of the forces exerted by Laguerre-Gaussian light beams on dielectrics

    International Nuclear Information System (INIS)

    Loudon, Rodney

    2003-01-01

    The classical theory of the electromagnetic field associated with paraxial Laguerre-Gaussian light is generalized to apply to propagation in a bulk dielectric, and the theory is quantized to obtain expressions for the electric and magnetic field operators. The forms of the Poynting vector and angular momentum density operators are derived and their expectation values for a single-photon wave packet are obtained. The Lorentz force operator in the dielectric is resolved into longitudinal, radial, and azimuthal components. The theory is extended to apply to an interface between two semi-infinite dielectric media, one of which is transparent with an incident single-photon pulse, and the other of which is weakly attenuating. For a pulse that is much shorter than the attenuation length, the theory can separately identify the surface and bulk contributions to the Lorentz force on the attenuating dielectric. Particular attention is given to the transfer of longitudinal and angular momentum to the dielectric from light incident from free space. The resulting expressions for the shift and rotation of a transparent dielectric slab are shown to agree with those obtained from Einstein box theories

  2. Boron nitride as two dimensional dielectric: Reliability and dielectric breakdown

    Energy Technology Data Exchange (ETDEWEB)

    Ji, Yanfeng; Pan, Chengbin; Hui, Fei; Shi, Yuanyuan; Lanza, Mario, E-mail: mlanza@suda.edu.cn [Institute of Functional Nano and Soft Materials, Collaborative Innovation Center of Suzhou Nano Science and Technology, Soochow University, 199 Ren-Ai Road, Suzhou 215123 (China); Zhang, Meiyun; Long, Shibing [Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029 (China); Lian, Xiaojuan; Miao, Feng [National Laboratory of Solid State Microstructures, School of Physics, Collaborative Innovation Center of Advanced Microstructures, Nanjing University, Nanjing 210093 (China); Larcher, Luca [DISMI, Università di Modena e Reggio Emilia, 42122 Reggio Emilia (Italy); Wu, Ernest [IBM Research Division, Essex Junction, Vermont 05452 (United States)

    2016-01-04

    Boron Nitride (BN) is a two dimensional insulator with excellent chemical, thermal, mechanical, and optical properties, which make it especially attractive for logic device applications. Nevertheless, its insulating properties and reliability as a dielectric material have never been analyzed in-depth. Here, we present the first thorough characterization of BN as dielectric film using nanoscale and device level experiments complementing with theoretical study. Our results reveal that BN is extremely stable against voltage stress, and it does not show the reliability problems related to conventional dielectrics like HfO{sub 2}, such as charge trapping and detrapping, stress induced leakage current, and untimely dielectric breakdown. Moreover, we observe a unique layer-by-layer dielectric breakdown, both at the nanoscale and device level. These findings may be of interest for many materials scientists and could open a new pathway towards two dimensional logic device applications.

  3. Optical Properties of Metal-Dielectric Structures Based on Photon-Crystal Opal Matrices

    Science.gov (United States)

    Vanin, A. I.; Lukin, A. E.; Romanov, S. G.; Solovyev, V. G.; Khanin, S. D.; Yanikov, M. V.

    2018-04-01

    Optical properties of novel metal-dielectric nanocomposite materials based on opal matrices have been investigated. The position of optical resonances of nanocomposites, obtained by embedding of silver into the opal matrix by the electrothermodiffusion method, is explained by the Bragg diffraction, and an asymmetric form of resonance curves is attributed to the Fano resonance. An anomalous transmission and absorption of light by hybrid plasmon-photonic layered heterostructures, which is apparently associated with excitation of surface plasmon-polaritons, propagating along "metal-dielectric" interfaces, was revealed.

  4. Microstructure Study For Optimization Of Dielectric Property Of Electrical Porcelain

    International Nuclear Information System (INIS)

    Tak, S. K.; Shekhawat, M. S.; Mangal, R.

    2010-01-01

    Five sample mixtures of kaolin, ball clay, feldspar and Quartz were formulated and porcelain samples fabricated. Crystalline phases and mullite morphology were studied using XRD and SEM respectively. A composition of 30% kaolin, 15% ball clay, 30% feldspar and 25% quartz yielded a body with high dielectric strength of 19 kV/mm compare to an ISO graded product having dielectric strength 14.6 KV/mm after firing at 1225 deg. C.

  5. Characterizing the effects of free carriers in fully etched, dielectric-clad silicon waveguides

    Science.gov (United States)

    Sharma, Rajat; Puckett, Matthew W.; Lin, Hung-Hsi; Vallini, Felipe; Fainman, Yeshaiahu

    2015-06-01

    We theoretically characterize the free-carrier plasma dispersion effect in fully etched silicon waveguides, with various dielectric material claddings, due to fixed interface charges and trap states at the silicon-dielectric interfaces. The values used for these charges are obtained from the measured capacitance-voltage characteristics of SiO2, SiNx, and Al2O3 thin films deposited on silicon substrates. The effect of the charges on the properties of silicon waveguides is then calculated using the semiconductor physics tool Silvaco in combination with the finite-difference time-domain method solver Lumerical. Our results show that, in addition to being a critical factor in the analysis of such active devices as capacitively driven silicon modulators, this effect should also be taken into account when considering the propagation losses of passive silicon waveguides.

  6. Frequency Dependent Electrical and Dielectric Properties of Au/P3HT:PCBM:F4-TCNQ/n-Si Schottky Barrier Diode

    Science.gov (United States)

    Taşçıoğlu, İ.; Tüzün Özmen, Ö.; Şağban, H. M.; Yağlıoğlu, E.; Altındal, Ş.

    2017-04-01

    In this study, poly(3-hexylthiophene):[6,6]-phenyl-C61-butyric acid methyl ester: 2,3,5,6-tetrafluoro-7,7,8,8-tetracyanoquinodimethane (P3HT:PCBM:F4-TCNQ) organic film was deposited on n-type silicon (n-Si) substrate by spin coating method. The electrical and dielectric analysis of Au/P3HT:PCBM:F4-TCNQ/n-Si Schottky barrier diode was conducted by means of capacitance-voltage ( C- V) and conductance-voltage ( G/ ω- V) measurements in the frequency range of 10 kHz-2 MHz. The C- V- f plots exhibit fairly large frequency dispersion due to excess capacitance caused by the presence of interface states ( N ss). The values of N ss located in semiconductor bandgap at the organic film/semiconductor interface were calculated by Hill-Coleman method. Experimental results show that dielectric constant ( ɛ') and dielectric loss ( ɛ″) decrease with increasing frequency, whereas loss tangent (tan δ) remains nearly the same. The decrease in ɛ' and ɛ″ was interpreted by the theory of dielectric relaxation due to interfacial polarization. It is also observed that ac electrical conductivity ( σ ac) and electric modulus ( M' and M″) increase with increasing frequency.

  7. Numerical study on characteristic of two-dimensional metal/dielectric photonic crystals

    International Nuclear Information System (INIS)

    Zong Yi-Xin; Xia Jian-Bai; Wu Hai-Bin

    2017-01-01

    An improved plan-wave expansion method is adopted to theoretically study the photonic band diagrams of two-dimensional (2D) metal/dielectric photonic crystals. Based on the photonic band structures, the dependence of flat bands and photonic bandgaps on two parameters (dielectric constant and filling factor) are investigated for two types of 2D metal/dielectric (M/D) photonic crystals, hole and cylinder photonic crystals. The simulation results show that band structures are affected greatly by these two parameters. Flat bands and bandgaps can be easily obtained by tuning these parameters and the bandgap width may reach to the maximum at certain parameters. It is worth noting that the hole-type photonic crystals show more bandgaps than the corresponding cylinder ones, and the frequency ranges of bandgaps also depend strongly on these parameters. Besides, the photonic crystals containing metallic medium can obtain more modulation of photonic bands, band gaps, and large effective refractive index, etc. than the dielectric/dielectric ones. According to the numerical results, the needs of optical devices for flat bands and bandgaps can be met by selecting the suitable geometry and material parameters. (paper)

  8. High temperature dielectric studies of indium-substituted NiCuZn nanoferrites

    Science.gov (United States)

    Hashim, Mohd.; Raghasudha, M.; Shah, Jyoti; Shirsath, Sagar E.; Ravinder, D.; Kumar, Shalendra; Meena, Sher Singh; Bhatt, Pramod; Alimuddin; Kumar, Ravi; Kotnala, R. K.

    2018-01-01

    In this study, indium (In3+)-substituted NiCuZn nanostructured ceramic ferrites with a chemical composition of Ni0.5Cu0.25Zn0.25Fe2-xInxO4 (0.0 ≤ x ≤ 0.5) were prepared by chemical synthesis involving sol-gel chemistry. Single phased cubic spinel structure materials were prepared successfully according to X-ray diffraction and transmission electron microscopy analyses. The dielectric properties of the prepared ferrites were measured using an LCR HiTester at temperatures ranging from room temperature to 300 °C at different frequencies from 102 Hz to 5 × 106 Hz. The variations in the dielectric parameters ε‧ and (tanδ) with temperature demonstrated the frequency- and temperature-dependent characteristics due to electron hopping between the ions. The materials had low dielectric loss values in the high frequency range at all temperatures, which makes them suitable for high frequency microwave applications. A qualitative explanation is provided for the dependences of the dielectric constant and dielectric loss tangent on the frequency, temperature, and composition. Mӧssbauer spectroscopy was employed at room temperature to characterize the magnetic behavior.

  9. Yttrium scandate thin film as alternative high-permittivity dielectric for germanium gate stack formation

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Cimang, E-mail: cimang@adam.t.u-tokyo.ac.jp; Lee, Choong Hyun; Nishimura, Tomonori; Toriumi, Akira [Department of Materials Engineering, The University of Tokyo, 7-3-1 Hongo, Tokyo 113-8656 (Japan); JST, CREST, 7-3-1 Hongo, Tokyo 113-8656 (Japan)

    2015-08-17

    We investigated yttrium scandate (YScO{sub 3}) as an alternative high-permittivity (k) dielectric thin film for Ge gate stack formation. Significant enhancement of k-value is reported in YScO{sub 3} comparing to both of its binary compounds, Y{sub 2}O{sub 3} and Sc{sub 2}O{sub 3}, without any cost of interface properties. It suggests a feasible approach to a design of promising high-k dielectrics for Ge gate stack, namely, the formation of high-k ternary oxide out of two medium-k binary oxides. Aggressive scaling of equivalent oxide thickness (EOT) with promising interface properties is presented by using YScO{sub 3} as high-k dielectric and yttrium-doped GeO{sub 2} (Y-GeO{sub 2}) as interfacial layer, for a demonstration of high-k gate stack on Ge. In addition, we demonstrate Ge n-MOSFET performance showing the peak electron mobility over 1000 cm{sup 2}/V s in sub-nm EOT region by YScO{sub 3}/Y-GeO{sub 2}/Ge gate stack.

  10. First-principles study of dielectric properties of cerium oxide

    International Nuclear Information System (INIS)

    Yamamoto, Takenori; Momida, Hiroyoshi; Hamada, Tomoyuki; Uda, Tsuyoshi; Ohno, Takahisa

    2005-01-01

    We have theoretically investigated the dielectric properties of fluorite CeO 2 as well as hexagonal and cubic Ce 2 O 3 by using first-principles pseudopotentials techniques within the local density approximation. Calculated electronic and lattice dielectric constants of CeO 2 are in good agreement with previous theoretical and experimental results. For Ce 2 O 3 , the hexagonal phase has a lattice dielectric constant comparable to that of CeO 2 , whereas the cubic phase has a much smaller one. We have concluded that the enhancement of the dielectric constant in CeO 2 epitaxially grown on Si is not due to its lattice expansion experimentally observed nor regular formation of oxygen vacancies in CeO 2

  11. Electronic structure and STM imaging of the KBr-InSb interface

    Energy Technology Data Exchange (ETDEWEB)

    Ciochoń, Piotr, E-mail: ciochon.piotr@gmail.com; Olszowska, Natalia; Kołodziej, Jacek J.

    2017-07-01

    Highlights: • The structure of the InSb (001) surface covered with thin KBr layers is reported. • KBr growth does not perturb strongly the structure of a clean InSb surface. • A model of the system with KBr treated as a thin dielectric layer is proposed. • The atomic structure of the KBr-InSb interface is directly imaged using STM. - Abstract: We study the properties of the InSb (001) surface covered with ultrathin KBr films, with a thickness of 1–4 ML. KBr deposition does not strongly perturb the crystallographic structure of the InSb surface and the electronic structure of the substrate also remains unaffected by the overlayer. A simple model of the studied system is proposed, in which a thin KBr layer is treated as a dielectric film, modifying potential barrier for the electrons tunneling to/from the InSb substrate. Apparent step heights on the KBr film, measured using scanning tunneling microscope (STM), agree well with the predictions of the model and the atomically-resolved STM images show the structure of the InSb-KBr interface. Our results demonstrate that STM may be used as a tool for investigations of the semiconductor–insulator interfaces.

  12. Characterization of lead zirconate titanate (PZT)--indium tin oxide (ITO) thin film interface

    International Nuclear Information System (INIS)

    Sreenivas, K.; Sayer, M.; Laursen, T.; Whitton, J.L.; Pascual, R.; Johnson, D.J.; Amm, D.T.

    1990-01-01

    In this paper the interface between ultrathin sputtered lead zirconate titanate (PZT) films and a conductive electrode (indium tin oxide-ITO) is investigated. Structural and compositional changes at the PZT-ITO interface have been examined by surface analysis and depth profiling techniques of glancing angle x-ray diffraction, Rutherford backscattering (RBS), SIMS, Auger electron spectroscopy (AES), and elastic recoil detection analysis (ERDA). Studies indicate significant interdiffusion of lead into the underlying ITP layer and glass substrate with a large amount of residual stress at the interface. Influence of such compositional deviations at the interface is correlated to an observed thickness dependence in the dielectric properties of PZT films

  13. Dielectric and electrical study of PPy doped PVA-PVP films

    Science.gov (United States)

    Jha, Sushma; Tripathi, Deepti

    2018-05-01

    Dielectric parameters of free standing films of pure PVA (PolyvinylAlcohol) and PVA with varying concentrations of PVP(Polyvinylpyrrolidone) and Polypyrrole were prepared and studied in low frequency range (100Hz - 2MHz). The results show that dielectric constant, loss tangent and conductivity increase sharply on increasing the concentration of PVP above 50wt% in polymer matrix. PVA-PVP film with low concentration of PPy showed improvement in the values of complex permittivity, loss tangent and ac conductivity within the experimental frequency range. This eco - friendly polymeric material will be studied for its probable application for RFI/EMI shielding, biosensors, capacitors & insulation purposes.

  14. Interface effects on acceptor qubits in silicon and germanium

    International Nuclear Information System (INIS)

    Abadillo-Uriel, J C; Calderón, M J

    2016-01-01

    Dopant-based quantum computing implementations often require the dopants to be situated close to an interface to facilitate qubit manipulation with local gates. Interfaces not only modify the energies of the bound states but also affect their symmetry. Making use of the successful effective mass theory we study the energy spectra of acceptors in Si or Ge taking into account the quantum confinement, the dielectric mismatch and the central cell effects. The presence of an interface puts constraints to the allowed symmetries and leads to the splitting of the ground state in two Kramers doublets (Mol et al 2015 Appl. Phys. Lett. 106 203110). Inversion symmetry breaking also implies parity mixing which affects the allowed optical transitions. Consequences for acceptor qubits are discussed. (paper)

  15. A preliminary study on the dielectric constant of WPC based on some tropical woods

    International Nuclear Information System (INIS)

    Chia, L.H.L.; Chua, P.H.; Hon, Y.S.; Lee, E.

    1986-01-01

    The use of WPC as an important insulating material is studied by determining its dielectric constant. The variation of dielectric constant with moisture content is also investigated. Preliminary results show that all untreated woods studied have a higher dielectric constant than their polymer composites with the exception of Kapur and Keruing. It is therefore postulated that the presence of polymers has led to a decrease in the number of polarizable units. Such a material may be useful commercially. (author)

  16. Mathematic modeling of the method of measurement relative dielectric permeability

    Science.gov (United States)

    Plotnikova, I. V.; Chicherina, N. V.; Stepanov, A. B.

    2018-05-01

    The method of measuring relative permittivity’s and the position of the interface between layers of a liquid medium is considered in the article. An electric capacitor is a system consisting of two conductors that are separated by a dielectric layer. It is mathematically proven that at any given time it is possible to obtain the values of the relative permittivity in the layers of the liquid medium and to determine the level of the interface between the layers of the two-layer liquid. The estimation of measurement errors is made.

  17. Super dielectric capacitor using scaffold dielectric

    OpenAIRE

    Phillips, Jonathan

    2018-01-01

    Patent A capacitor having first and second electrodes and a scaffold dielectric. The scaffold dielectric comprises an insulating material with a plurality of longitudinal channels extending across the dielectric and filled with a liquid comprising cations and anions. The plurality of longitudinal channels are substantially parallel and the liquid within the longitudinal channels generally has an ionic strength of at least 0.1. Capacitance results from the migrations of...

  18. Vacancy-fluorine complexes and their impact on the properties of metal-oxide transistors with high-k gate dielectrics studied using monoenergetic positron beams

    Science.gov (United States)

    Uedono, A.; Inumiya, S.; Matsuki, T.; Aoyama, T.; Nara, Y.; Ishibashi, S.; Ohdaira, T.; Suzuki, R.; Miyazaki, S.; Yamada, K.

    2007-09-01

    Vacancy-fluorine complexes in metal-oxide semiconductors (MOS) with high-k gate dielectrics were studied using a positron annihilation technique. F+ ions were implanted into Si substrates before the deposition of gate dielectrics (HfSiON). The shift of threshold voltage (Vth) in MOS capacitors and an increase in Fermi level position below the HfSiON/Si interface were observed after F+ implantation. Doppler broadening spectra of the annihilation radiation and positron lifetimes were measured before and after HfSiON fabrication processes. From a comparison between Doppler broadening spectra and those obtained by first-principles calculation, the major defect species in Si substrates after annealing treatment (1050 °C, 5 s) was identified as vacancy-fluorine complexes (V3F2). The origin of the Vth shift in the MOS capacitors was attributed to V3F2 located in channel regions.

  19. Method of making dielectric capacitors with increased dielectric breakdown strength

    Science.gov (United States)

    Ma, Beihai; Balachandran, Uthamalingam; Liu, Shanshan

    2017-05-09

    The invention is directed to a process for making a dielectric ceramic film capacitor and the ceramic dielectric laminated capacitor formed therefrom, the dielectric ceramic film capacitors having increased dielectric breakdown strength. The invention increases breakdown strength by embedding a conductive oxide layer between electrode layers within the dielectric layer of the capacitors. The conductive oxide layer redistributes and dissipates charge, thus mitigating charge concentration and micro fractures formed within the dielectric by electric fields.

  20. Effect of Au{sup 8+} irradiation on Ni/n-GaP Schottky diode: Its influence on interface state density and relaxation time

    Energy Technology Data Exchange (ETDEWEB)

    Shiwakoti, N.; Bobby, A. [Department of Applied Physics, Indian Institute of Technology (ISM) Dhanbad, Jharkhand 826004 (India); Asokan, K. [Inter University Accelerator Centre, Aruna Asaf Ali Marg, New Delhi 110067 (India); Antony, Bobby, E-mail: bka.ism@gmail.com [Department of Applied Physics, Indian Institute of Technology (ISM) Dhanbad, Jharkhand 826004 (India)

    2017-01-01

    The in-situ capacitance-frequency and conductance-frequency measurements of 100 MeV Au{sup 8+} swift heavy ion irradiated Ni/n-GaP Schottky structure at a constant bias voltage have been carried out in the frequency range 1 kHz–1 MHz at room temperature. The interface states density and the relaxation time of the charge carriers have been calculated from Nicollian and Brews method. Various dielectric parameters such as dielectric constant, dielectric loss, loss tangent, series resistance, ac conductivity, real and imaginary parts of electric modulus have been extracted and analyzed under complex permittivity and complex electric modulus formalisms. The capacitance and conductance characteristics are found to exhibit complex behaviors at lower frequency region (1–20 kHz) for all the samples. The observed peaks and dips at low frequency region are attributed to the relaxation mechanisms of charge carriers and the interface or dipolar polarization at the interface. The dielectric properties are found to be effectively changed by the ion fluence which is attributed to the variation in interface states density and their relaxation time.

  1. Investigation via numerical simulation of limiting currents in the presence of dielectric loads

    International Nuclear Information System (INIS)

    Baedke, W. C.

    2009-01-01

    An investigation of the space-charge-limited currents for unneutralized relativistic particle beams drifting through a dielectrically loaded cylindrical conductor is presented. The first limiting current expression investigated assumes a uniform axial velocity profile, is commonly found in the literature, and has been applied to solid and annular beams with and without a dielectric present. The second limiting current expression investigated is self-consistent and is developed for annular beams in the presence of a dielectric load provided that the beams' inner and outer radii are less than the dielectric inner radius. Comparing both of these expressions to particle-in-cell simulations shows that the first expression under predicts the limiting current by no more than 20% and no less than 10% for all geometries and relativistic mass factors considered. It is also shown that the second expression over predicts the limiting current for all scenarios investigated by as much as 20% and in certain cases only a few percent. In addition, estimates for the accumulated charge densities at the vacuum-dielectric interface are presented and the possibility of breakdown within the dielectric is addressed.

  2. Numerical study on characteristic of two-dimensional metal/dielectric photonic crystals

    Science.gov (United States)

    Zong, Yi-Xin; Xia, Jian-Bai; Wu, Hai-Bin

    2017-04-01

    An improved plan-wave expansion method is adopted to theoretically study the photonic band diagrams of two-dimensional (2D) metal/dielectric photonic crystals. Based on the photonic band structures, the dependence of flat bands and photonic bandgaps on two parameters (dielectric constant and filling factor) are investigated for two types of 2D metal/dielectric (M/D) photonic crystals, hole and cylinder photonic crystals. The simulation results show that band structures are affected greatly by these two parameters. Flat bands and bandgaps can be easily obtained by tuning these parameters and the bandgap width may reach to the maximum at certain parameters. It is worth noting that the hole-type photonic crystals show more bandgaps than the corresponding cylinder ones, and the frequency ranges of bandgaps also depend strongly on these parameters. Besides, the photonic crystals containing metallic medium can obtain more modulation of photonic bands, band gaps, and large effective refractive index, etc. than the dielectric/dielectric ones. According to the numerical results, the needs of optical devices for flat bands and bandgaps can be met by selecting the suitable geometry and material parameters. Project supported by the National Basic Research Program of China (Grant No. 2011CB922200) and the National Natural Science Foundation of China (Grant No. 605210010).

  3. Dielectric and AC Conductivity Studies in PPy-Ag Nanocomposites

    OpenAIRE

    Praveenkumar, K.; Sankarappa, T.; Ashwajeet, J. S.; Ramanna, R.

    2015-01-01

    Polypyrrole and silver nanoparticles have been synthesized at 277 K by chemical route. Nanoparticles of polypyrrole-silver (PPy-Ag) composites were prepared by mixing polypyrrole and silver nanoparticles in different weight percentages. Dielectric properties as a function of temperature in the range from 300 K to 550 K and frequency in the range from 50 Hz to 1 MHz have been measured. Dielectric constant decreased with increase in frequency and temperature. Dielectric loss decreased with incr...

  4. Band Offsets and Interfacial Properties of HfAlO Gate Dielectric Grown on InP by Atomic Layer Deposition.

    Science.gov (United States)

    Yang, Lifeng; Wang, Tao; Zou, Ying; Lu, Hong-Liang

    2017-12-01

    X-ray photoelectron spectroscopy and high-resolution transmission electron microscopy have been used to determine interfacial properties of HfO 2 and HfAlO gate dielectrics grown on InP by atomic layer deposition. An undesirable interfacial InP x O y layer is easily formed at the HfO 2 /InP interface, which can severely degrade the electrical performance. However, an abrupt interface can be achieved when the growth of the HfAlO dielectric on InP starts with an ultrathin Al 2 O 3 layer. The valence and conduction band offsets for HfAlO/InP heterojunctions have been determined to be 1.87 ± 0.1 and 2.83 ± 0.1 eV, respectively. These advantages make HfAlO a potential dielectric for InP MOSFETs.

  5. Structural and dielectric studies on Ag doped nano ZnSnO3

    Science.gov (United States)

    Deepa, K.; Angel, S. Lilly; Rajamanickam, N.; Jayakumar, K.; Ramachandran, K.

    2018-04-01

    Undoped and Ag-doped nano Zinc Stannate (ZSO) ternary oxide were prepared by co-precipitation method. The crystallographic, morphological and optical properties of the synthesized nanoparticles were studied using X-ray diffraction (XRD) and UV-Visible spectroscopy (UV-Vis) and Scanning electron microscopy (SEM). The electrical properties of the synthesized samples were studied by dielectric measurements. Higher concentration Ag doped ZSO nanoparticles exhibit higher dielectric constant at low frequency.

  6. Growth, characterization and dielectric property studies of gel grown ...

    Indian Academy of Sciences (India)

    Administrator

    chemical reaction method. Plate-like single ... Barium succinate; gel growth; single crystals; dielectric constant; dielectric loss. 1. .... The chemical reaction involved in the birth of a new .... due to the displacement of electrons and ions, respec-.

  7. Band-Bending of Ga-Polar GaN Interfaced with Al2O3 through Ultraviolet/Ozone Treatment.

    Science.gov (United States)

    Kim, Kwangeun; Ryu, Jae Ha; Kim, Jisoo; Cho, Sang June; Liu, Dong; Park, Jeongpil; Lee, In-Kyu; Moody, Baxter; Zhou, Weidong; Albrecht, John; Ma, Zhenqiang

    2017-05-24

    Understanding the band bending at the interface of GaN/dielectric under different surface treatment conditions is critically important for device design, device performance, and device reliability. The effects of ultraviolet/ozone (UV/O 3 ) treatment of the GaN surface on the energy band bending of atomic-layer-deposition (ALD) Al 2 O 3 coated Ga-polar GaN were studied. The UV/O 3 treatment and post-ALD anneal can be used to effectively vary the band bending, the valence band offset, conduction band offset, and the interface dipole at the Al 2 O 3 /GaN interfaces. The UV/O 3 treatment increases the surface energy of the Ga-polar GaN, improves the uniformity of Al 2 O 3 deposition, and changes the amount of trapped charges in the ALD layer. The positively charged surface states formed by the UV/O 3 treatment-induced surface factors externally screen the effect of polarization charges in the GaN, in effect, determining the eventual energy band bending at the Al 2 O 3 /GaN interfaces. An optimal UV/O 3 treatment condition also exists for realizing the "best" interface conditions. The study of UV/O 3 treatment effect on the band alignments at the dielectric/III-nitride interfaces will be valuable for applications of transistors, light-emitting diodes, and photovoltaics.

  8. Development of a dielectric ceramic based on diatomite-titania part two: dielectric properties characterization

    Directory of Open Access Journals (Sweden)

    Medeiros Jamilson Pinto

    1998-01-01

    Full Text Available Dielectric properties of sintered diatomite-titania ceramics are presented. Specific capacitance, dissipation factor, quality factor and dielectric constant were determined as a function of sintering temperature, titania content and frequency; the temperature coefficient of capacitance was measured as a function of frequency. Besides leakage current, the dependence of the insulation resistance and the dielectric strength on the applied dc voltage were studied. The results show that diatomite-titania compositions can be used as an alternative dielectric.

  9. Dielectric nanoresonators for light manipulation

    Science.gov (United States)

    Yang, Zhong-Jian; Jiang, Ruibin; Zhuo, Xiaolu; Xie, Ya-Ming; Wang, Jianfang; Lin, Hai-Qing

    2017-07-01

    Nanostructures made of dielectric materials with high or moderate refractive indexes can support strong electric and magnetic resonances in the optical region. They can therefore function as nanoresonators. In addition to plasmonic metal nanostructures that have been widely investigated, dielectric nanoresonators provide a new type of building blocks for realizing powerful and versatile nanoscale light manipulation. In contrast to plasmonic metal nanostructures, nanoresonators made of appropriate dielectric materials are low-cost, earth-abundant and have very small or even negligible light energy losses. As a result, they will find potential applications in a number of photonic devices, especially those that require low energy losses. In this review, we describe the recent progress on the experimental and theoretical studies of dielectric nanoresonators. We start from the basic theory of the electromagnetic responses of dielectric nanoresonators and their fabrication methods. The optical properties of individual dielectric nanoresonators are then elaborated, followed by the coupling behaviors between dielectric nanoresonators, between dielectric nanoresonators and substrates, and between dielectric nanoresonators and plasmonic metal nanostructures. The applications of dielectric nanoresonators are further described. Finally, the challenges and opportunities in this field are discussed.

  10. Contribution of Dielectric Screening to the Total Capacitance of Few-Layer Graphene Electrodes.

    Science.gov (United States)

    Zhan, Cheng; Jiang, De-en

    2016-03-03

    We apply joint density functional theory (JDFT), which treats the electrode/electrolyte interface self-consistently, to an electric double-layer capacitor (EDLC) based on few-layer graphene electrodes. The JDFT approach allows us to quantify a third contribution to the total capacitance beyond quantum capacitance (CQ) and EDL capacitance (CEDL). This contribution arises from the dielectric screening of the electric field by the surface of the few-layer graphene electrode, and we therefore term it the dielectric capacitance (CDielec). We find that CDielec becomes significant in affecting the total capacitance when the number of graphene layers in the electrode is more than three. Our investigation sheds new light on the significance of the electrode dielectric screening on the capacitance of few-layer graphene electrodes.

  11. Dielectric relaxation studies of some primary alcohols and their mixture with water

    International Nuclear Information System (INIS)

    Ahmad, S.S.; Yaqub, M.

    2003-01-01

    The complex dielectric constant of ethyl alcohol, methyl alcohol and 1- propanol and their mixtures with water of different concentration, (0 to 100% by weight) at the temperature of 303K has been evaluated, within the frequency range of (100KHz- 100 MHz). Moreover, the viscosity mu of each alcohol and its mixture with water have been measured at this temperature. The dielectric properties have been evaluated by Hartshorn and Ward apparatus. The purpose of this work is to study the influence of aliphatic group, size and shape on the extent of hydrogen bonding and also to obtain the thermodynamic data on hydrogen bond formation in the pure liquid state and its mixture. The width of the semicircle plot determines the distribution of average relaxation time. Dielectric relaxation time in pure alcohols and their water mixture has been calculated from the respected Cole-Cole plot and dielectric data. A single relaxation time of 117.16ps has been obtained for the molecules of pure methanol, whereas, the dielectric data of prophyl alcohol which indicates the viscosity water have been measured at the temperature 303 K. The dielectric properties in distribution of relaxation time, which is in good agreement with the Davidson-cole representation. The molecules in liquid mixture within frequency range, the mixture has more than one relaxation item, leading to the shortening of main relaxation time as compared with the pure alcohol and broadening of the complex permitivity spectra. The dependence of the dielectric relaxation on composition shows a remarkable behavior. Results are discussed in the light of H-bonded molecules. (author)

  12. The influence of carbon doping on the performance of Gd2O3 as high-k gate dielectric

    International Nuclear Information System (INIS)

    Shekhter, P.; Yehezkel, S.; Shriki, A.; Eizenberg, M.; Chaudhuri, A. R.; Osten, H. J.; Laha, A.

    2014-01-01

    One of the approaches for overcoming the issue of leakage current in modern metal-oxide-semiconductor devices is utilizing the high dielectric constants of lanthanide based oxides. We investigated the effect of carbon doping directly into Gd 2 O 3 layers on the performance of such devices. It was found that the amount of carbon introduced into the dielectric is above the solubility limit; carbon atoms enrich the oxide-semiconductor interface and cause a significant shift in the flat band voltage of the stack. Although the carbon atoms slightly degrade this interface, this method has a potential for tuning the flat band voltage of such structures

  13. Investigation of steel to dielectric transition using microminiature eddy-current converter

    Directory of Open Access Journals (Sweden)

    Malikov Vladimir

    2018-01-01

    Full Text Available The research aims to develop a microminiature converter for electrical steel investigation. The research topic is considered relevant due to the need for evaluation and forecasting of safe operating life of electric steel products. The authors determined the capability to study steel characteristics at different depths based on variations of eddy-current converter amplitude at the steel-dielectric boundary. A microminiature transformer-type converter was designed, which enables to perform local investigations of ferromagnetic materials using eddy-current method based on local studies of the steel electrical conductivity. Having the designed converter as a basis, a hardware-software complex was built to perform experimental studies of steel at the interface boundary. A system was developed for automated converter relocation above the studied object at a specified velocity. Test results are reported for a specimen with continuous and discrete measurements taken at different frequencies. Response dependence of eddy-current converter was found to demonstrate non-linear behavior at steel to dielectric transition. The effect of gap between the eddy-current converter and the test object is investigated.

  14. Study of dielectric relaxation and AC conductivity of InP:S single crystal

    Science.gov (United States)

    El-Nahass, M. M.; Ali, H. A. M.; El-Shazly, E. A.

    2012-07-01

    The dielectric relaxation and AC conductivity of InP:S single crystal were studied in the frequency range from 100 to 5.25 × 105 Hz and in the temperature range from 296 to 455 K. The dependence of the dielectric constant (ɛ1) and the dielectric loss (ɛ2) on both frequency and temperature was investigated. Since no peak was observed on the dielectric loss, we used a method based on the electric modulus to evaluate the activation energy of the dielectric relaxation. Scaling of the electric modulus spectra showed that the charge transport dynamics is independent of temperature. The AC conductivity (σAC) was found to obey the power law: Aωs. Analysis of the AC conductivity data and the frequency exponent showed that the correlated barrier hopping (CBH) model is the dominant mechanism for the AC conduction. The variation of AC conductivity with temperature at different frequencies showed that σAC is a thermally activated process.

  15. Numerical studies on soliton propagation in the dielectric media by the nonlinear Lorentz computational model

    International Nuclear Information System (INIS)

    Abe, H.; Okuda, H.

    1994-06-01

    Soliton propagation in the dielectric media has been simulated by using the nonlinear Lorentz computational model, which was recently developed to study the propagation of electromagnetic waves in a linear and a nonlinear dielectric. The model is constructed by combining a microscopic model used in the semi-classical approximation for dielectric media and the particle model developed for the plasma simulations. The carrier wave frequency is retained in the simulation so that not only the envelope of the soliton but also its phase can be followed in time. It is shown that the model may be useful for studying pulse propagation in the dielectric media

  16. Tunable optical response at the plasmon-polariton frequency in dielectric-graphene-metamaterial systems

    Science.gov (United States)

    Calvo-Velasco, D. M.; Porras-Montenegro, N.

    2018-04-01

    By using the scattering matrix formalism, it is studied the optical properties of one dimensional photonic crystals made of multiple layers of dielectric and uniaxial anisotropic single negative electric metamaterial with Drude type responses, with inclusions of graphene in between the dielectric-dielectric interfaces (DGMPC). The transmission spectra for transverse electric (TE) and magnetic (TM) polarization are presented as a function of the incidence angle, the graphene chemical potential, and the metamaterial plasma frequencies. It is found for the TM polarization the tunability of the DGMPC optical response with the graphene chemical potential, which can be observed by means of transmission or reflexion bands around the metamaterial plasmon-polariton frequency, with bandwidths depending on both the incidence angle and the metamaterial plasma frequency. Also, the transmission band is observed when losses in the metamaterial slabs are considered for finite systems. The conditions for the appearance of these bands are shown analytically. We consider this work contributes to open new possibilities to the design of photonic devices with DGMPCs.

  17. Mechanical loss in tantala/silica dielectric mirror coatings

    International Nuclear Information System (INIS)

    Penn, Steven D; Sneddon, Peter H; Armandula, Helena; Betzwieser, Joseph C; Cagnoli, Gianpietro; Camp, Jordan; Crooks, D R M; Fejer, Martin M; Gretarsson, Andri M; Harry, Gregory M; Hough, Jim; Kittelberger, Scott E; Mortonson, Michael J; Route, Roger; Rowan, Sheila; Vassiliou, Christophoros C

    2003-01-01

    Current interferometric gravitational wave detectors use test masses with mirror coatings formed from multiple layers of dielectric materials, most commonly alternating layers of SiO 2 (silica) and Ta 2 O 5 (tantala). However, mechanical loss in the Ta 2 O 5 /SiO 2 coatings may limit the design sensitivity for advanced detectors. We have investigated sources of mechanical loss in the Ta 2 O 5 /SiO 2 coatings, including loss associated with the coating-substrate interface, with the coating-layer interfaces and with the coating materials. Our results indicate that the loss is associated with the coating materials and that the loss of Ta 2 O 5 is substantially larger than that of SiO 2

  18. Real-time observations of interface formation for barium strontium titanate films on silicon

    Science.gov (United States)

    Mueller, A. H.; Suvorova, N. A.; Irene, E. A.; Auciello, O.; Schultz, J. A.

    2002-05-01

    Ba.5Sr.5TiO3 (BST) film growth by ion sputtering on bare and thermally oxidized silicon was observed in real time using in-situ spectroscopic ellipsometry and time of flight ion scattering and recoil spectrometry techniques. At the outset of BST film deposition on silicon, an approximately 30 Å film with intermediate static dielectric constant (K˜12) and refractive index (n˜2.6 at photon energies of 1.5-3.25 eV) interface layer formed on bare silicon. The interface layer growth rate was greatly reduced on an oxidized silicon substrate. The results have profound implications on the static dielectric constant of BST.

  19. Real-time observations of interface formation for barium strontium titanate films on silicon

    International Nuclear Information System (INIS)

    Mueller, A.H.; Suvorova, N.A.; Irene, E.A.; Auciello, O.; Schultz, J.A.

    2002-01-01

    Ba .5 Sr .5 TiO 3 (BST) film growth by ion sputtering on bare and thermally oxidized silicon was observed in real time using in-situ spectroscopic ellipsometry and time of flight ion scattering and recoil spectrometry techniques. At the outset of BST film deposition on silicon, an approximately 30 Aa film with intermediate static dielectric constant (K∼12) and refractive index (n∼2.6 at photon energies of 1.5-3.25 eV) interface layer formed on bare silicon. The interface layer growth rate was greatly reduced on an oxidized silicon substrate. The results have profound implications on the static dielectric constant of BST

  20. Depth Profiling of La2O3 ∕ HfO2 Stacked Dielectrics for Nanoelectronic Device Applications

    KAUST Repository

    Alshareef, Husam N.

    2011-01-03

    Nanoscale La2O3 /HfO2 dielectric stacks have been studied using high resolution Rutherford backscattering spectrometry. The measured distance of the tail-end of the La signal from the dielectric/Si interface suggests that the origin of the threshold voltage shifts and the carrier mobility degradation may not be the same. Up to 20% drop in mobility and 500 mV shift in threshold voltage was observed as the La signal reached the Si substrate. Possible reasons for these changes are proposed, aided by depth profiling and bonding analysis. © 2011 The Electrochemical Society.

  1. Total internal reflection effect on gyrotropic interface

    Science.gov (United States)

    Glushchenko, Alexander G.; Glushchenko, Eugene P.; Zhukov, Sergey V.

    2018-02-01

    This article considers the physical features of total internal reflection at gyrotropic and isotropic interfaces for two cases: electrical gyrotropy (plasma) and magnetic gyrotropy (ferrite). It is shown that the plasma magnetization may lead to the formation of the total internal reflection effect, which does not occur in isotropic plasma. The threshold values of the magnetic field, which are necessary for the total internal reflection effect, are determined. The total internal reflection effect on a ferrite-dielectric interface for waves emanating from different angles is observed in various frequency ranges and magnetization fields. The study points out the possibility of changing the total internal reflection angle value in large limits due to a change in the external magnetic field magnitude. The calculation results of the total internal reflection angle dependence on the external magnetic field magnitude are presented. The formulas are elaborated for calculating the total internal reflection angles of different interfaces for gyrotropic and isotropic media. The generalized formulas are defined for calculating the Doppler effect in the gyrotropic media. The study demonstrates how the velocity of the media interface affects the limiting angle of total internal refection.

  2. M-Adapting Low Order Mimetic Finite Differences for Dielectric Interface Problems

    Energy Technology Data Exchange (ETDEWEB)

    McGregor, Duncan A. [Oregon State Univ., Corvallis, OR (United States); Gyrya, Vitaliy [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Manzini, Gianmarco [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2016-03-07

    We consider a problem of reducing numerical dispersion for electromagnetic wave in the domain with two materials separated by a at interface in 2D with a factor of two di erence in wave speed. The computational mesh in the homogeneous parts of the domain away from the interface consists of square elements. Here the method construction is based on m-adaptation construction in homogeneous domain that leads to fourth-order numerical dispersion (vs. second order in non-optimized method). The size of the elements in two domains also di ers by a factor of two, so as to preserve the same value of Courant number in each. Near the interface where two meshes merge the mesh with larger elements consists of degenerate pentagons. We demonstrate that prior to m-adaptation the accuracy of the method falls from second to rst due to breaking of symmetry in the mesh. Next we develop m-adaptation framework for the interface region and devise an optimization criteria. We prove that for the interface problem m-adaptation cannot produce increase in method accuracy. This is in contrast to homogeneous medium where m-adaptation can increase accuracy by two orders.

  3. Suppression in the electrical hysteresis by using CaF2 dielectric layer for p-GaN MIS capacitors

    Science.gov (United States)

    Sang, Liwen; Ren, Bing; Liao, Meiyong; Koide, Yasuo; Sumiya, Masatomo

    2018-04-01

    The capacitance-voltage (C-V) hysteresis in the bidirectional measurements of the p-GaN metal-insulator-semiconductor (MIS) capacitor is suppressed by using a CaF2 dielectric layer and a post annealing treatment. The density of trapped charge states at the CaF2/p-GaN interface is dramatically reduced from 1.3 × 1013 cm2 to 1.1 × 1011/cm2 compared to that of the Al2O3/p-GaN interface with a large C-V hysteresis. It is observed that the disordered oxidized interfacial layer can be avoided by using the CaF2 dielectric. The downward band bending of p-GaN is decreased from 1.51 to 0.85 eV as a result of the low-density oxides-related trap states. Our work indicates that the CaF2 can be used as a promising dielectric layer for the p-GaN MIS structures.

  4. Quantitative approach to relate dielectric constant studies with TSDC studies of 50 MeV Si ion irradiated kapton-H polymide

    International Nuclear Information System (INIS)

    Quamara, J.K.; Garg, Maneesha; Sridharbabu, Y.; Prabhavathi, T.

    2003-01-01

    Temperature and frequency dependent dielectric behaviour has been investigated for pristine and swift heavy ion irradiated (Si ion, 50 MeV energy) kapton-H polyimide in the temperature range of 30 to 250 deg C at frequencies 120 Hz, 1 kHz, 10 kHz and 100 kHz respectively. The dielectric relaxation behaviour of the same samples was also studied using thermally stimulated discharge current (TSDC) technique. A quantitative approach is developed using a well-known Clausius Mossotti equation to relate the TSDC findings to the dielectric constant studies. An overall increase in the dielectric constant of the irradiated samples are also in conformity to the TSDC findings. (author)

  5. Use of water vapor for suppressing the growth of unstable low-κ interlayer in HfTiO gate-dielectric Ge metal-oxide-semiconductor capacitors with sub-nanometer capacitance equivalent thickness

    International Nuclear Information System (INIS)

    Xu, J.P.; Zou, X.; Lai, P.T.; Li, C.X.; Chan, C.L.

    2009-01-01

    Annealing of high-permittivity HfTiO gate dielectric on Ge substrate in different gases (N 2 , NH 3 , NO and N 2 O) with or without water vapor is investigated. Analysis by transmission electron microscopy indicates that the four wet anneals can greatly suppress the growth of a GeO x interlayer at the dielectric/Ge interface, and thus decrease interface states, oxide charges and gate leakage current. Moreover, compared with the wet N 2 anneal, the wet NH 3 , NO and N 2 O anneals decrease the equivalent permittivity of the gate dielectric due to the growth of a GeO x N y interlayer. Among the eight anneals, the wet N 2 anneal produces the best dielectric performance with an equivalent relative permittivity of 35, capacitance equivalent thickness of 0.81 nm, interface-state density of 6.4 x 10 11 eV -1 cm -2 and gate leakage current of 2.7 x 10 -4 A/cm 2 at V g = 1 V

  6. Preparation, Structural and Dielectric Properties of Solution Grown Polyvinyl Alcohol(PVA) Film

    Science.gov (United States)

    Nangia, Rakhi; Shukla, Neeraj K.; Sharma, Ambika

    2017-08-01

    Flexible dielectrics with high permittivity have been investigated extensively due to their applications in electronic industry. In this work, structural and electrical characteristics of polymer based film have been analysed. Poly vinyl alcohol (PVA) film was prepared by solution casting method. X-ray diffraction (XRD) characterization technique is used to investigate the structural properties. The semi-crystalline nature has been determined by the analysis of the obtained XRD pattern. Electrical properties of the synthesized film have been analysed from the C-V and I-V curves obtained at various frequencies and temperatures. Low conductivity values confirm the insulating behaviour of the film. However, it is found that conductivity increases with temperature. Also, the dielectric permittivity is found to be higher at lower frequencies and higher temperatures, that proves PVA to be an excellent dielectric material which can be used in interface electronics. Dielectric behaviour of the film has been explained based on dipole orientations to slow and fast varying electric field. However further engineering can be done to modulate the structural, electrical properties of the film.

  7. High-frequency dielectric study of proustite crystals Ag3AsS3

    Science.gov (United States)

    Bordovsky, V. A.; Gunia, N. Yu; Castro, R. A.

    2014-12-01

    The dielectric properties of the crystals proustite in the frequency of 106-109 Hz and a temperature range of 173 to 473 K were studied. The dispersion of the dielectric parameters indicates the existence of non-Debye relaxation mechanism correlates with structural changes in the phase transition region. The charge transfer is temperature activated with an activation energy of 2.40 ± 0.01 eV.

  8. Study on influences of TiN capping layer on time-dependent dielectric breakdown characteristic of ultra-thin EOT high- k metal gate NMOSFET with kMC TDDB simulations

    International Nuclear Information System (INIS)

    Xu Hao; Yang Hong; Luo Wei-Chun; Xu Ye-Feng; Wang Yan-Rong; Tang Bo; Wang Wen-Wu; Qi Lu-Wei; Li Jun-Feng; Yan Jiang; Zhu Hui-Long; Zhao Chao; Chen Da-Peng; Ye Tian-Chun

    2016-01-01

    The thickness effect of the TiN capping layer on the time dependent dielectric breakdown (TDDB) characteristic of ultra-thin EOT high- k metal gate NMOSFET is investigated in this paper. Based on experimental results, it is found that the device with a thicker TiN layer has a more promising reliability characteristic than that with a thinner TiN layer. From the charge pumping measurement and secondary ion mass spectroscopy (SIMS) analysis, it is indicated that the sample with the thicker TiN layer introduces more Cl passivation at the IL/Si interface and exhibits a lower interface trap density. In addition, the influences of interface and bulk trap density ratio N it / N ot are studied by TDDB simulations through combining percolation theory and the kinetic Monte Carlo (kMC) method. The lifetime reduction and Weibull slope lowering are explained by interface trap effects for TiN capping layers with different thicknesses. (paper)

  9. Numerical studies on the electromagnetic properties of the nonlinear Lorentz Computational model for the dielectric media

    International Nuclear Information System (INIS)

    Abe, H.; Okuda, H.

    1994-06-01

    We study linear and nonlinear properties of a new computer simulation model developed to study the propagation of electromagnetic waves in a dielectric medium in the linear and nonlinear regimes. The model is constructed by combining a microscopic model used in the semi-classical approximation for the dielectric media and the particle model developed for the plasma simulations. It is shown that the model may be useful for studying linear and nonlinear wave propagation in the dielectric media

  10. Studies on conductivity and dielectric properties of polyaniline–zinc ...

    Indian Academy of Sciences (India)

    Unknown

    Abstract. In the present paper, we report electrical conductivity and dielectric studies on the composites of conducting polyaniline (PANI) with crystalline semiconducting ZnS powder, wherein PANI has been taken as inclusion and ZnS crystallites as the host matrix. From the studies, it has been observed that the value of.

  11. Study of Super Dielectric Material for Novel Paradigm Capacitors

    Science.gov (United States)

    2018-03-01

    density, power density, dielectric constant, constant current, constant voltage, electric field minimization, dipole 15. NUMBER OF PAGES 85 16. PRICE... Technology and Strategies for Improvement ..................................................................................6 4. Super Dielectric...ds infinitesimal displacement dt infinitesimal time DT discharge time dV infinitesimal voltage E electric field Etot total energy EC Lab

  12. Microscopic theoretical study of frequency dependent dielectric constant of heavy fermion systems

    Science.gov (United States)

    Shadangi, Keshab Chandra; Rout, G. C.

    2017-05-01

    The dielectric polarization and the dielectric constant plays a vital role in the deciding the properties of the Heavy Fermion Systems. In the present communication we consider the periodic Anderson's Model which consists of conduction electron kinetic energy, localized f-electron kinetic energy and the hybridization between the conduction and localized electrons, besides the Coulomb correlation energy. We calculate dielectric polarization which involves two particle Green's functions which are calculated by using Zubarev's Green's function technique. Using the equations of motion of the fermion electron operators. Finally, the temperature and frequency dependent dielectric constant is calculated from the dielectric polarization function. The charge susceptibility and dielectric constant are computed numerically for different physical parameters like the position (Ef) of the f-electron level with respect to fermi level, the strength of the hybridization (V) between the conduction and localized f-electrons, Coulomb correlation potential temperature and optical phonon wave vector (q). The results will be discussed in a reference to the experimental observations of the dielectric constants.

  13. Multiscale molecular dynamics using the matched interface and boundary method

    International Nuclear Information System (INIS)

    Geng Weihua; Wei, G.W.

    2011-01-01

    The Poisson-Boltzmann (PB) equation is an established multiscale model for electrostatic analysis of biomolecules and other dielectric systems. PB based molecular dynamics (MD) approach has a potential to tackle large biological systems. Obstacles that hinder the current development of PB based MD methods are concerns in accuracy, stability, efficiency and reliability. The presence of complex solvent-solute interface, geometric singularities and charge singularities leads to challenges in the numerical solution of the PB equation and electrostatic force evaluation in PB based MD methods. Recently, the matched interface and boundary (MIB) method has been utilized to develop the first second order accurate PB solver that is numerically stable in dealing with discontinuous dielectric coefficients, complex geometric singularities and singular source charges. The present work develops the PB based MD approach using the MIB method. New formulation of electrostatic forces is derived to allow the use of sharp molecular surfaces. Accurate reaction field forces are obtained by directly differentiating the electrostatic potential. Dielectric boundary forces are evaluated at the solvent-solute interface using an accurate Cartesian-grid surface integration method. The electrostatic forces located at reentrant surfaces are appropriately assigned to related atoms. Extensive numerical tests are carried out to validate the accuracy and stability of the present electrostatic force calculation. The new PB based MD method is implemented in conjunction with the AMBER package. MIB based MD simulations of biomolecules are demonstrated via a few example systems.

  14. Surface plasmon on topological insulator/dielectric interface enhanced ZnO ultraviolet photoluminescence

    Directory of Open Access Journals (Sweden)

    Zhi-Min Liao

    2012-06-01

    Full Text Available It has recently been predicted that the surface plasmons are allowed to exist on the interface between a topological insulator and vacuum. Surface plasmons can be employed to enhance the optical emission from various illuminants. Here, we study the photoluminescence properties of the ZnO/Bi2Te3 hybrid structures. Thin flakes of Bi2Te3, a typical three-dimensional topological insulator, were prepared on ZnO crystal surface by mechanical exfoliation method. The ultraviolet emission from ZnO was found to be enhanced by the Bi2Te3 thin flakes, which was attributed to the surface plasmon – photon coupling at the Bi2Te3/ZnO interface.

  15. Nitride passivation of the interface between high-k dielectrics and SiGe

    Energy Technology Data Exchange (ETDEWEB)

    Sardashti, Kasra [Department of Chemistry and Biochemistry, University of California, San Diego, La Jolla, California 92093-0358 (United States); Materials Science and Engineering Program, University of California, San Diego, La Jolla, California 92093-0411 (United States); Hu, Kai-Ting [Department of Chemistry and Biochemistry, University of California, San Diego, La Jolla, California 92093-0358 (United States); Department of Mechanical and Aerospace Engineering, University of California, San Diego, La Jolla, California 92093-0411 (United States); Tang, Kechao; McIntyre, Paul [Department of Materials Science and Engineering, Stanford University, Stanford, California 94305 (United States); Madisetti, Shailesh; Oktyabrsky, Serge [Colleges of Nanoscale Science and Engineering, SUNY Polytechnic Institute, Albany, New York 12222 (United States); Siddiqui, Shariq; Sahu, Bhagawan [TD Research, GLOBALFOUNDRIES US, Inc., Albany, New York 12203 (United States); Yoshida, Naomi; Kachian, Jessica; Dong, Lin [Applied Materials, Inc., Santa Clara, California 95054 (United States); Fruhberger, Bernd [California Institute for Telecommunications and Information Technology, University of California San Diego, La Jolla, California 92093-0436 (United States); Kummel, Andrew C., E-mail: akummel@ucsd.edu [Department of Chemistry and Biochemistry, University of California, San Diego, La Jolla, California 92093-0358 (United States)

    2016-01-04

    In-situ direct ammonia (NH{sub 3}) plasma nitridation has been used to passivate the Al{sub 2}O{sub 3}/SiGe interfaces with Si nitride and oxynitride. X-ray photoelectron spectroscopy of the buried Al{sub 2}O{sub 3}/SiGe interface shows that NH{sub 3} plasma pre-treatment should be performed at high temperatures (300 °C) to fully prevent Ge nitride and oxynitride formation at the interface and Ge out-diffusion into the oxide. C-V and I-V spectroscopy results show a lower density of interface traps and smaller gate leakage for samples with plasma nitridation at 300 °C.

  16. Surface Waves on Metamaterials Interfaces

    DEFF Research Database (Denmark)

    Takayama, Osamu; Shkondin, Evgeniy; Panah, Mohammad Esmail Aryaee

    2016-01-01

    We analyze surface electromagnetic waves supported at the interface between isotropic medium and effective anisotropic material that can be realized by alternating conductive and dielectrics layers. This configuration can host various types of surface waves and therefore can serve as a rich...... platform for applications of surface photonics. Most of these surface waves are directional and as such their propagation can be effectively controlled by changing wavelength or material parameters tuning....

  17. Self-Powered Random Number Generator Based on Coupled Triboelectric and Electrostatic Induction Effects at the Liquid-Dielectric Interface.

    Science.gov (United States)

    Yu, Aifang; Chen, Xiangyu; Cui, Haotian; Chen, Libo; Luo, Jianjun; Tang, Wei; Peng, Mingzeng; Zhang, Yang; Zhai, Junyi; Wang, Zhong Lin

    2016-12-27

    Modern cryptography increasingly employs random numbers generated from physical sources in lieu of conventional software-based pseudorandom numbers, primarily owing to the great demand of unpredictable, indecipherable cryptographic keys from true random numbers for information security. Thus, far, the sole demonstration of true random numbers has been generated through thermal noise and/or quantum effects, which suffers from expensive and complex equipment. In this paper, we demonstrate a method for self-powered creation of true random numbers by using triboelectric technology to collect random signals from nature. This random number generator based on coupled triboelectric and electrostatic induction effects at the liquid-dielectric interface includes an elaborately designed triboelectric generator (TENG) with an irregular grating structure, an electronic-optical device, and an optical-electronic device. The random characteristics of raindrops are harvested through TENG and consequently transformed and converted by electronic-optical device and an optical-electronic device with a nonlinear characteristic. The cooperation of the mechanical, electrical, and optical signals ensures that the generator possesses complex nonlinear input-output behavior and contributes to increased randomness. The random number sequences are deduced from final electrical signals received by an optical-electronic device using a familiar algorithm. These obtained random number sequences exhibit good statistical characteristics, unpredictability, and unrepeatability. Our study supplies a simple, practical, and effective method to generate true random numbers, which can be widely used in cryptographic protocols, digital signatures, authentication, identification, and other information security fields.

  18. Dielectric Behavior of Low Microwave Loss Unit Cell for All Dielectric Metamaterial

    Directory of Open Access Journals (Sweden)

    Tianhuan Luo

    2015-01-01

    Full Text Available With a deep study of the metamaterial, its unit cells have been widely extended from metals to dielectrics. The dielectric based unit cells attract much attention because of the advantage of easy preparation, tunability, and higher frequency response, and so forth. Using the conventional solid state method, we prepared a kind of incipient ferroelectrics (calcium titanate, CaTiO3 with higher microwave permittivity and lower loss, which can be successfully used to construct metamaterials. The temperature and frequency dependence of dielectric constant are also measured under different sintering temperatures. The dielectric spectra showed a slight permittivity decrease with the increase of temperature and exhibited a loss of 0.0005, combined with a higher microwave dielectric constant of ~167 and quality factor Q of 2049. Therefore, CaTiO3 is a kind of versatile and potential metamaterial unit cell. The permittivity of CaTiO3 at higher microwave frequency was also examined in the rectangular waveguide and we got the permittivity of 165, creating a new method to test permittivity at higher microwave frequency.

  19. Study of dielectric liquids at room temperature for high energy x ray Tomography

    International Nuclear Information System (INIS)

    Lepert, S.

    1989-09-01

    The detection of X rays by means of a dielectric liquid detector system, at room temperature, is discussed. The physico-chemical properties of a dielectric liquid, the construction of a cleaning device and of two electrode configurations, and the utilization of different amplifier models are studied. The results allowed the analysis and characterization of the behavior of the dielectric liquid under X ray irradiation. Data obtained is confirmed by computerized simulation. The choice of Tetramethyl-germanium for the X ray tomography, applied in nondestructive analysis, is explained. The investigation of the system parameters allowed the setting of the basis of a prototype project for a multi-detector [fr

  20. Computation of Dielectric Response in Molecular Solids for High Capacitance Organic Dielectrics.

    Science.gov (United States)

    Heitzer, Henry M; Marks, Tobin J; Ratner, Mark A

    2016-09-20

    The dielectric response of a material is central to numerous processes spanning the fields of chemistry, materials science, biology, and physics. Despite this broad importance across these disciplines, describing the dielectric environment of a molecular system at the level of first-principles theory and computation remains a great challenge and is of importance to understand the behavior of existing systems as well as to guide the design and synthetic realization of new ones. Furthermore, with recent advances in molecular electronics, nanotechnology, and molecular biology, it has become necessary to predict the dielectric properties of molecular systems that are often difficult or impossible to measure experimentally. In these scenarios, it is would be highly desirable to be able to determine dielectric response through efficient, accurate, and chemically informative calculations. A good example of where theoretical modeling of dielectric response would be valuable is in the development of high-capacitance organic gate dielectrics for unconventional electronics such as those that could be fabricated by high-throughput printing techniques. Gate dielectrics are fundamental components of all transistor-based logic circuitry, and the combination high dielectric constant and nanoscopic thickness (i.e., high capacitance) is essential to achieving high switching speeds and low power consumption. Molecule-based dielectrics offer the promise of cheap, flexible, and mass producible electronics when used in conjunction with unconventional organic or inorganic semiconducting materials to fabricate organic field effect transistors (OFETs). The molecular dielectrics developed to date typically have limited dielectric response, which results in low capacitances, translating into poor performance of the resulting OFETs. Furthermore, the development of better performing dielectric materials has been hindered by the current highly empirical and labor-intensive pace of synthetic

  1. Numerical study of the influence of dielectric tube on propagation of atmospheric pressure plasma jet based on coplanar dielectric barrier discharge

    Science.gov (United States)

    Haixin, HU; Feng, HE; Ping, ZHU; Jiting, OUYANG

    2018-05-01

    A 2D fluid model was employed to simulate the influence of dielectric on the propagation of atmospheric pressure helium plasma jet based on coplanar dielectric barrier discharge (DBD). The spatio-temporal distributions of electron density, ionization rate, electrical field, spatial charge and the spatial structure were obtained for different dielectric tubes that limit the helium flow. The results show that the change of the relative permittivity of the dielectric tube where the plasma jet travels inside has no influence on the formation of DBD itself, but has great impact on the jet propagation. The velocity of the plasma jet changes drastically when the jet passes from a tube of higher permittivity to one of lower permittivity, resulting in an increase in jet length, ionization rate and electric field, as well as a change in the distribution of space charges and discharge states. The radius of the dielectric tube has a great influence on the ring-shaped or solid bullet structure. These results can well explain the behavior of the plasma jet from the dielectric tube into the ambient air and the hollow bullet in experiments.

  2. Dielectric spectroscopy of Ag-starch nanocomposite films

    Science.gov (United States)

    Meena; Sharma, Annu

    2018-04-01

    In the present work Ag-starch nanocomposite films were fabricated via chemical reduction route. The formation of Ag nanoparticles was confirmed using transmission electron microscopy (TEM). Further the effect of varying concentration of Ag nanoparticles on the dielectric properties of starch has been studied. The frequency response of dielectric constant (ε‧), dielectric loss (ε″) and dissipation factor tan(δ) has been studied in the frequency range of 100 Hz to 1 MHz. Dielectric data was further analysed using Cole-Cole plots. The dielectric constant of starch was found to be 4.4 which decreased to 2.35 in Ag-starch nanocomposite film containing 0.50 wt% of Ag nanoparticles. Such nanocomposites with low dielectric constant have potential applications in microelectronic technologies.

  3. Interface depolarization field as common denominator of fatigue and size effect in Pb(Zr0.54Ti0.46)O3 ferroelectric thin film capacitors

    Science.gov (United States)

    Bouregba, R.; Sama, N.; Soyer, C.; Poullain, G.; Remiens, D.

    2010-05-01

    Dielectric, hysteresis and fatigue measurements are performed on Pb(Zr0.54Ti0.46)O3 (PZT) thin film capacitors with different thicknesses and different electrode configurations, using platinum and LaNiO3 conducting oxide. The data are compared with those collected in a previous work devoted to study of size effect by R. Bouregba et al., [J. Appl. Phys. 106, 044101 (2009)]. Deterioration of the ferroelectric properties, consecutive to fatigue cycling and thickness downscaling, presents very similar characteristics and allows drawing up a direct correlation between the two phenomena. Namely, interface depolarization field (Edep) resulting from interface chemistry is found to be the common denominator, fatigue phenomena is manifestation of strengthen of Edep in the course of time. Change in dielectric permittivity, in remnant and coercive values as well as in the shape of hysteresis loops are mediated by competition between degradation of dielectric properties of the interfaces and possible accumulation of interface space charge. It is proposed that presence in the band gap of trap energy levels with large time constant due to defects in small nonferroelectric regions at the electrode—PZT film interfaces ultimately governs the aging process. Size effect and aging process may be seen as two facets of the same underlying mechanism, the only difference lies in the observation time of the phenomena.

  4. γ-rays irradiation effects on dielectric properties of Ti/Au/GaAsN Schottky diodes with 1.2%N

    Science.gov (United States)

    Teffahi, A.; Hamri, D.; Djeghlouf, A.; Abboun Abid, M.; Saidane, A.; Al Saqri, N.; Felix, J. F.; Henini, M.

    2018-06-01

    Dielectric properties of As grown and irradiated Ti /Au/GaAsN Schottky diodes with 1.2%N are investigated using capacitance/conductance-voltage measurements in 90-290 K temperature range and 50-2000 kHz frequency range. Extracted parameters are interface state density, series resistance, dielectric constant, dielectric loss, tangent loss and ac conductivity. It is shown that exposure to γ-rays irradiation leads to reduction in effective trap density believed to result from radiation-induced traps annulations. An increase in series resistance is attributed to a net doping reduction. Dielectric constant (ε') shows usual step-like transitions with corresponding relaxation peaks in dielectric loss. These peaks shift towards lower temperature as frequency decrease. Temperature dependant ac conductivity followed an Arrhenius relation with activation energy of 153 meV in the 200-290 K temperature range witch correspond to As vacancy. The results indicate that γ-rays irradiation improves the dielectric and electrical properties of the diode due to the defect annealing effect.

  5. Mechanistic study of plasma damage to porous low-k: Process development and dielectric recovery

    Science.gov (United States)

    Shi, Hualiang

    Low-k dielectrics with porosity are being introduced to reduce the RC delay of Cu/low-k interconnect. However, during the O2 plasma ashing process, the porous low-k dielectrics tend to degrade due to methyl depletion, moisture uptake, and densification, increasing the dielectric constant and leakage current. This dissertation presents a study of the mechanisms of plasma damage and dielectric recovery. The kinetics of plasma interaction with low-k dielectrics was investigated both experimentally and theoretically. By using a gap structure, the roles of ion, photon, and radical in producing damage on low-k dielectrics were differentiated. Oxidative plasma induced damage was proportional to the oxygen radical density, enhanced by VUV photon, and increased with substrate temperature. Ion bombardment induced surface densification, blocking radical diffusion. Two analytical models were derived to quantify the plasma damage. Based on the radical diffusion, reaction, and recombination inside porous low-k dielectrics, a plasma altered layer model was derived to interpret the chemical effect in the low ion energy region. It predicted that oxidative plasma induced damage can be reduced by decreasing pore radius, substrate temperature, and oxygen radical density and increasing carbon concentration and surface recombination rate inside low-k dielectrics. The model validity was verified by experiments and Monte-Carlo simulations. This model was also extended to the patterned low-k structure. Based on the ion collision cascade process, a sputtering yield model was introduced to interpret the physical effect in the high ion energy region. The model validity was verified by checking the ion angular and energy dependences of sputtering yield using O2/He/Ar plasma, low-k dielectrics with different k values, and a Faraday cage. Low-k dielectrics and plasma process were optimized to reduce plasma damage, including increasing carbon concentration in low-k dielectrics, switching plasma

  6. Use of water vapor for suppressing the growth of unstable low-{kappa} interlayer in HfTiO gate-dielectric Ge metal-oxide-semiconductor capacitors with sub-nanometer capacitance equivalent thickness

    Energy Technology Data Exchange (ETDEWEB)

    Xu, J.P. [Department of Electronic Science and Technology, Huazhong University of Science and Technology, Wuhan, 430074 (China); Zou, X. [School of Electromachine and Architecture Engineering, Jianghan University, Wuhan, 430056 (China); Lai, P.T. [Department of Electrical and Electronic Engineering, University of Hong Kong, Pokfulam Road (Hong Kong)], E-mail: laip@eee.hku.hk; Li, C.X.; Chan, C.L. [Department of Electrical and Electronic Engineering, University of Hong Kong, Pokfulam Road (Hong Kong)

    2009-03-02

    Annealing of high-permittivity HfTiO gate dielectric on Ge substrate in different gases (N{sub 2}, NH{sub 3}, NO and N{sub 2}O) with or without water vapor is investigated. Analysis by transmission electron microscopy indicates that the four wet anneals can greatly suppress the growth of a GeO{sub x} interlayer at the dielectric/Ge interface, and thus decrease interface states, oxide charges and gate leakage current. Moreover, compared with the wet N{sub 2} anneal, the wet NH{sub 3}, NO and N{sub 2}O anneals decrease the equivalent permittivity of the gate dielectric due to the growth of a GeO{sub x}N{sub y} interlayer. Among the eight anneals, the wet N{sub 2} anneal produces the best dielectric performance with an equivalent relative permittivity of 35, capacitance equivalent thickness of 0.81 nm, interface-state density of 6.4 x 10{sup 11} eV{sup -1} cm{sup -2} and gate leakage current of 2.7 x 10{sup -4} A/cm{sup 2} at V{sub g} = 1 V.

  7. Electronic structure imperfections and chemical bonding at graphene interfaces

    Science.gov (United States)

    Schultz, Brian Joseph

    The manifestation of novel phenomena upon scaling to finite size has inspired a paradigm shift in materials science that takes advantage of the distinctive electrical and physical properties of nanomaterials. Remarkably, the simple honeycomb arrangement of carbon atoms in a single atomic layer has become renowned for exhibiting never-before-seen electronic and physical phenomena. This archetypal 2-dimensional nanomaterial is known as graphene, a single layer of graphite. Early reports in the 1950's eluded to graphene-like nanostructures that were evidenced from exfoliation of oxidized graphite followed by chemical reduction, absorbed carbon on transition metals, and thermal decomposition of SiC. Furthermore, the earliest tight binding approximation calculations in the 1950's held clues that a single-layer of graphite would behave drastically different than bulk graphite. Not until 2004, when Giem and Novoselov first synthesized graphene by mechanical exfoliation from highly-oriented pyrolytic graphite did the field of graphene-based research bloom within the scientific community. Since 2004, the availability and relatively straight forward synthesis of single-layer graphene (SLG) enabled the observation of remarkable phenomena including: massless Dirac fermions, extremely high mobilities of its charge carriers, room temperature half-integer quantum Hall effect, the Rashba effect, and the potential for ballistic conduction over macroscopic distances. These enticing electronic properties produce the drive to study graphene for use in truly nanoscale electrical interconnects, integrated circuits, transparent conducting electrodes, ultra-high frequency transistors, and spintronic devices, just to name a few. Yet, for almost all real world applications graphene will need to be interfaced with other materials, metals, dielectrics, organics, or any combination thereof that in turn are constituted from various inorganic and organic components. Interfacing graphene, a

  8. Dielectric and modulus studies of polycrystalline BaZrO3 ceramic

    Science.gov (United States)

    Saini, Deepash S.; Singh, Sunder; Kumar, Anil; Bhattacharya, D.

    2018-05-01

    In the present work, dielectric and modulus studies of polycrystalline BaZrO3 ceramic, prepared by modified combustion method followed by conventional sintering, are investigated over the frequency range of 100 Hz to 106 Hz at different temperatures from 250 to 500 °C in air. The high value of dielectric constant (ɛ' ˜ 103) of BaZrO3 at high temperature and low frequency can be attributed to the Maxwell-Wagner polarization mechanism as well as to the thermally activated mechanism of charge carriers. Electric modulus reveal two type relaxations in the 250 °C to 800 °C temperature region as studied at different frequencies over 100 Hz to 106 Hz in air.

  9. Charge accumulation in lossy dielectrics: a review

    DEFF Research Database (Denmark)

    Rasmussen, Jørgen Knøster; McAllister, Iain Wilson; Crichton, George C

    1999-01-01

    At present, the phenomenon of charge accumulation in solid dielectrics is under intense experimental study. Using a field theoretical approach, we review the basis for charge accumulation in lossy dielectrics. Thereafter, this macroscopic approach is applied to planar geometries such that the mat......At present, the phenomenon of charge accumulation in solid dielectrics is under intense experimental study. Using a field theoretical approach, we review the basis for charge accumulation in lossy dielectrics. Thereafter, this macroscopic approach is applied to planar geometries...

  10. Dielectric and electro-optical parameters of two ferroelectric liquid crystals: a comparative study

    International Nuclear Information System (INIS)

    Kumar Misra, Abhishek; Kumar Srivastava, Abhishek; Shukla, J P; Manohar, Rajiv

    2008-01-01

    Dielectric relaxation and an electro-optical study of two ferroelectric liquid crystals having different spontaneous polarizations (Felix 16/100 and Felix 17/000) showing SmC* and SmA phases have been performed in the temperature range 30-80 compfn C. The experimental data have been used to determine different relaxation parameters, viz. distribution parameter, relaxation frequency, dielectric strength and rotational viscosity. The Goldstone mode of dielectric permittivity has been well observed for both the samples under investigation. The activation energy of both the samples has also been determined by the best theoretical fitting of the Arrhenius plot. We have also evaluated the optical response time and anchoring energy coefficients from electro-optical measurement techniques for these samples.

  11. Dielectric Scattering Patterns for Efficient Light Trapping in Thin-Film Solar Cells.

    Science.gov (United States)

    van Lare, Claire; Lenzmann, Frank; Verschuuren, Marc A; Polman, Albert

    2015-08-12

    We demonstrate an effective light trapping geometry for thin-film solar cells that is composed of dielectric light scattering nanocavities at the interface between the metal back contact and the semiconductor absorber layer. The geometry is based on resonant Mie scattering. It avoids the Ohmic losses found in metallic (plasmonic) nanopatterns, and the dielectric scatterers are well compatible with nearly all types of thin-film solar cells, including cells produced using high temperature processes. The external quantum efficiency of thin-film a-Si:H solar cells grown on top of a nanopatterned Al-doped ZnO, made using soft imprint lithography, is strongly enhanced in the 550-800 nm spectral band by the dielectric nanoscatterers. Numerical simulations are in good agreement with experimental data and show that resonant light scattering from both the AZO nanostructures and the embedded Si nanostructures are important. The results are generic and can be applied on nearly all thin-film solar cells.

  12. Structure and Properties of Epitaxial Dielectrics on gallium nitride

    Science.gov (United States)

    Wheeler, Virginia Danielle

    GaN is recognized as a possible material for metal oxide semiconductor field effect transistors (MOSFETs) used in high temperature, high power and high speed electronic applications. However, high gate leakage and low device breakdown voltages limit their use in these applications. The use of high-kappa dielectrics, which have both a high permittivity (ε) and high band gap energy (Eg), can reduce the leakage current density that adversely affects MOS devices. La2O3 and Sc2O 3 are rare earth oxides with a large Eg (6.18 eV and 6.3 eV respectively) and a relatively high ε (27 and 14.1 respectively), which make them good candidates for enhancing MOSFET performance. Epitaxial growth of oxides is a possible approach to reducing leakage current and Fermi level pinning related to a high density of interface states for dielectrics on compound semiconductors. In this work, La2O3 and Sc2O 3 were characterized structurally and electronically as potential epitaxial gate dielectrics for use in GaN based MOSFETs. GaN surface treatments were examined as a means for additional interface passivation and influencing subsequent oxide formation. Potassium persulfate (K2(SO4)2) and potassium hydroxide (KOH) were explored as a way to achieve improved passivation and desired surface termination for GaN films deposited on sapphire substrates by metal organic chemical vapor deposition (MOCVD). X-ray photoelectron spectroscopy (XPS) showed that KOH left a nitrogen-rich interface, while K2(SO 4)2 left a gallium-rich interface, which provides a way to control surface oxide formation. K2(SO4)2 exhibited a shift in the O1s peak indicating the formation of a gallium-rich GaOx at the surface with decreased carbon contaminants. GaO x acts as a passivating layer prior to dielectric deposition, which resulted in an order of magnitude reduction in leakage current, a reduced hysteresis window, and an overall improvement in device performance. Furthermore, K2(SO4)2 resulted in an additional 0.4 eV of

  13. Conductivity, dielectric behavior and FTIR studies of high molecular weight poly(vinylchloride)-lithium triflate polymer electrolytes

    International Nuclear Information System (INIS)

    Ramesh, S.; Chai, M.F.

    2007-01-01

    Thin films of high molecular weight polyvinyl chloride (PVC) with lithium triflate (LiCF 3 SO 3 ) salt were prepared by solution casting method. The ionic conductivity and dielectric measurements were carried out on these films over a wide frequency regime at various temperatures. The conductivity-temperature plots were found to obey classical Arrhenius relationship. The dielectric behavior was analysed using dielectric permittivity and dielectric modulus of the samples. FTIR studies show some simple overlapping and shift in peaks between high molecular weight polyvinyl chloride (PVC) with lithium triflate (LiCF 3 SO 3 ) salt in the polymer electrolyte complexes

  14. Mechanical and dielectric characterization of hemp fibre reinforced polypropylene (HFRPP by dry impregnation process

    Directory of Open Access Journals (Sweden)

    2010-03-01

    Full Text Available Natural fibres such as jute, coir, sisal, bamboo and pineapple are known to have high specific strength and can be effectively used in composites in various applications. The use of hemp fibres to reinforce the polymer aroused great interest and expectations amongst scientists and materials engineers. In this paper, composites with isotactic polypropylene (iPP matrix and hemp fibres were studied. These materials were manufactured via the patented FIBROLINE process based on the principle of the dry impregnation of a fibre assembly with a thermoplastic powder (iPP, using an alternating electric field. The aim of this paper is to show the influence of fibre/matrix interfaces on dielectric properties coupled with mechanical behaviours. Fibres or more probably the fibre/matrix interfaces allow the diffusion of electric charges and delocalise the polarisation energy. In this way, damages are limited during mechanical loading and the mechanical properties of the composites increase. The structure of composite samples was investigated by X-ray and FTIR analysis. The mechanical properties were analysed by quasistatic and dynamic tests. The dielectric investigations were carried out using the SEMME (Scanning Electron Microscope Mirror Effect method coupled with the measurement of the induced current (ICM.

  15. Dielectric and thermal studies on gel grown strontium tartrate ...

    Indian Academy of Sciences (India)

    Administrator

    frequencies (110–700 kHz) of the applied a.c. field. It increases ... lytical studies. It is explained that crystallographic change due to polymorphic phase transition may be occur- ... inexpensive and unique method for growing crystals that show poor ... on dielectric and thermal characteristics of this material are described. 2.

  16. Structure and properties of interfaces in ceramics

    International Nuclear Information System (INIS)

    Bonnell, D.; Ruehle, M.; Chowdhry, U.

    1995-01-01

    The motivation for the symposium was the observation that interfaces in crystallographically and compositionally complex systems often dictate the performance and reliability of devices that utilize functional ceramics. The current level of understanding of interface-property relations in silicon-based devices required over 30 years of intensive research. Similar issues influence the relationship between atomic bonding at interfaces and properties in functional ceramic systems. The current understanding of these complex interfaces does not allow correlation between atomic structure and interface properties, in spite of their importance to a number of emerging technologies (wireless communications, radar-based positioning systems, sensors, etc.). The objective of this symposium was to focus attention on these fundamental issues by featuring recent theoretical and experimental work from various disciplines that impact the understanding of interface chemistry, structure, and properties. The emphasis was on relating properties of surfaces and interfaces to structure through an understanding of atomic level phenomena. Interfaces of interest include metal/ceramic, ceramic/ceramic, ceramic/vapor, etc., in electronic, magnetic, optical, ferroelectric, piezoelectric, and dielectric applications. Sixty one papers have been processed separately for inclusion on the data base

  17. Non-linear dielectric spectroscopy of microbiological suspensions

    Science.gov (United States)

    Treo, Ernesto F; Felice, Carmelo J

    2009-01-01

    Background Non-linear dielectric spectroscopy (NLDS) of microorganism was characterized by the generation of harmonics in the polarization current when a microorganism suspension was exposed to a sinusoidal electric field. The biological nonlinear response initially described was not well verified by other authors and the results were susceptible to ambiguous interpretation. In this paper NLDS was performed to yeast suspension in tripolar and tetrapolar configuration with a recently developed analyzer. Methods Tripolar analysis was carried out by applying sinusoidal voltages up to 1 V at the electrode interface. Tetrapolar analysis was carried on with sinusoidal field strengths from 0.1 V cm-1 to 70 V cm-1. Both analyses were performed within a frequency range from 1 Hz through 100 Hz. The harmonic amplitudes were Fourier-analyzed and expressed in dB. The third harmonic, as reported previously, was investigated. Statistical analysis (ANOVA) was used to test the effect of inhibitor an activator of the plasma membrane enzyme in the measured response. Results No significant non-linearities were observed in tetrapolar analysis, and no observable changes occurred when inhibitor and activator were added to the suspension. Statistical analysis confirmed these results. When a pure sinus voltage was applied to an electrode-yeast suspension interface, variations higher than 25 dB for the 3rd harmonic were observed. Variation higher than 20 dB in the 3rd harmonics has also been found when adding an inhibitor or activator of the membrane-bounded enzymes. These variations did not occur when the suspension was boiled. Discussion The lack of result in tetrapolar cells suggest that there is no, if any, harmonic generation in microbiological bulk suspension. The non-linear response observed was originated in the electrode-electrolyte interface. The frequency and voltage windows observed in previous tetrapolar analysis were repeated in the tripolar measurements, but maximum were not

  18. Non-linear dielectric spectroscopy of microbiological suspensions

    Directory of Open Access Journals (Sweden)

    Felice Carmelo J

    2009-09-01

    Full Text Available Abstract Background Non-linear dielectric spectroscopy (NLDS of microorganism was characterized by the generation of harmonics in the polarization current when a microorganism suspension was exposed to a sinusoidal electric field. The biological nonlinear response initially described was not well verified by other authors and the results were susceptible to ambiguous interpretation. In this paper NLDS was performed to yeast suspension in tripolar and tetrapolar configuration with a recently developed analyzer. Methods Tripolar analysis was carried out by applying sinusoidal voltages up to 1 V at the electrode interface. Tetrapolar analysis was carried on with sinusoidal field strengths from 0.1 V cm-1 to 70 V cm-1. Both analyses were performed within a frequency range from 1 Hz through 100 Hz. The harmonic amplitudes were Fourier-analyzed and expressed in dB. The third harmonic, as reported previously, was investigated. Statistical analysis (ANOVA was used to test the effect of inhibitor an activator of the plasma membrane enzyme in the measured response. Results No significant non-linearities were observed in tetrapolar analysis, and no observable changes occurred when inhibitor and activator were added to the suspension. Statistical analysis confirmed these results. When a pure sinus voltage was applied to an electrode-yeast suspension interface, variations higher than 25 dB for the 3rd harmonic were observed. Variation higher than 20 dB in the 3rd harmonics has also been found when adding an inhibitor or activator of the membrane-bounded enzymes. These variations did not occur when the suspension was boiled. Discussion The lack of result in tetrapolar cells suggest that there is no, if any, harmonic generation in microbiological bulk suspension. The non-linear response observed was originated in the electrode-electrolyte interface. The frequency and voltage windows observed in previous tetrapolar analysis were repeated in the tripolar

  19. Interface engineering of semiconductor/dielectric heterojunctions toward functional organic thin-film transistors.

    Science.gov (United States)

    Zhang, Hongtao; Guo, Xuefeng; Hui, Jingshu; Hu, Shuxin; Xu, Wei; Zhu, Daoben

    2011-11-09

    Interface modification is an effective and promising route for developing functional organic field-effect transistors (OFETs). In this context, however, researchers have not created a reliable method of functionalizing the interfaces existing in OFETs, although this has been crucial for the technological development of high-performance CMOS circuits. Here, we demonstrate a novel approach that enables us to reversibly photocontrol the carrier density at the interface by using photochromic spiropyran (SP) self-assembled monolayers (SAMs) sandwiched between active semiconductors and gate insulators. Reversible changes in dipole moment of SPs in SAMs triggered by lights with different wavelengths produce two distinct built-in electric fields on the OFET that can modulate the channel conductance and consequently threshold voltage values, thus leading to a low-cost noninvasive memory device. This concept of interface functionalization offers attractive new prospects for the development of organic electronic devices with tailored electronic and other properties.

  20. Porous (Ba,SrTiO3 ceramics for tailoring dielectric and tunability properties: Modelling and experiment

    Directory of Open Access Journals (Sweden)

    Roxana E. Stanculescu

    2017-12-01

    Full Text Available 3D Finite Element Method simulations were employed in order to describe tunability properties in anisotropic porous paraelectric structures. The simulations predicted that properties of a ceramic can be tailored by using various levels of porosity. Porous Ba0.6Sr0.4TiO3 (BST ceramics have been studied in order to investigate the influence of porosity on their functional properties. The BST ceramics with various porosity levels have been obtained by solid-state reaction. Lamellar graphite in different concentration of 10, 20 and 35 vol.% was added as sacrificial pore forming agent. The structural, microstructural, dielectric and tunability properties were investigated. By comparison with dense BST ceramic, porous samples present a fracture mode transformation from intragranular to an intergranular fracture and a decrease of grain size. Lower dielectric constants, low dielectric losses, but higher values of tunability than in the dense material were obtained in the porous BST structures as a result of local field inhomogeneity generated by the presence of air pores-ceramic interfaces.

  1. Conductivity, dielectric behavior and FTIR studies of high molecular weight poly(vinylchloride)-lithium triflate polymer electrolytes

    Energy Technology Data Exchange (ETDEWEB)

    Ramesh, S. [Faculty of Engineering and Science, Universiti Tunku Abdul Rahman, Setapak, 53300 Kuala Lumpur (Malaysia)]. E-mail: ramesh@mail.utar.edu.my; Chai, M.F. [Faculty of Engineering and Science, Universiti Tunku Abdul Rahman, Setapak, 53300 Kuala Lumpur (Malaysia)

    2007-05-15

    Thin films of high molecular weight polyvinyl chloride (PVC) with lithium triflate (LiCF{sub 3}SO{sub 3}) salt were prepared by solution casting method. The ionic conductivity and dielectric measurements were carried out on these films over a wide frequency regime at various temperatures. The conductivity-temperature plots were found to obey classical Arrhenius relationship. The dielectric behavior was analysed using dielectric permittivity and dielectric modulus of the samples. FTIR studies show some simple overlapping and shift in peaks between high molecular weight polyvinyl chloride (PVC) with lithium triflate (LiCF{sub 3}SO{sub 3}) salt in the polymer electrolyte complexes.

  2. Confined and interface phonons in combined cylindrical nanoheterosystem

    Directory of Open Access Journals (Sweden)

    O.M.Makhanets

    2006-01-01

    Full Text Available The spectra of all types of phonons existing in a complicated combined nanoheterosystem consisting of three cylindrical quantum dots embedded into the cylindrical quantum wire placed into vacuum are studied within the dielectric continuum model. It is shown that there are confined optical (LO and interface phonons of two types: top surface optical (TSO and side surface optical (SSO modes of vibration in such a nanosystem. The dependences of phonon energies on the quasiwave numbers and geometrical parameters of quantum dots are investigated and analysed.

  3. The mechanical design of hybrid graphene/boron nitride nanotransistors: Geometry and interface effects

    Science.gov (United States)

    Einalipour Eshkalak, Kasra; Sadeghzadeh, Sadegh; Jalaly, Maisam

    2018-02-01

    From electronic point of view, graphene resembles a metal or semi-metal and boron nitride is a dielectric material (band gap = 5.9 eV). Hybridization of these two materials opens band gap of the graphene which has expansive applications in field-effect graphene transistors. In this paper, the effect of the interface structure on the mechanical properties of a hybrid graphene/boron nitride was studied. Young's modulus, fracture strain and tensile strength of the models were simulated. Three likely types (hexagonal, octagonal and decagonal) were found for the interface of hybrid sheet after relaxation. Although Csbnd B bonds at the interface were indicated to result in more promising electrical properties, nitrogen atoms are better choice for bonding to carbon for mechanical applications.

  4. Structural, dielectric and piezoelectric study of Ca-, Zr-modified ...

    Indian Academy of Sciences (India)

    Home; Journals; Bulletin of Materials Science; Volume 40; Issue 5. Structural, dielectric and piezoelectric study of Ca-, Zr-modified BaTiO 3 lead-free ceramics. H MSOUNI A TACHAFINE M EL AATMANI D FASQUELLE J C CARRU M EL HAMMIOUI M RGUITI A ZEGZOUTI A OUTZOURHIT M DAOUD. Volume 40 Issue 5 ...

  5. Dielectric properties of isolated clusters beam deflection studies

    CERN Document Server

    Heiles, Sven

    2013-01-01

    A broad range of state-of-the-art methods to determine properties of clusters are presented. The experimental setup and underlying physical concepts of these experiments are described. Furthermore, existing theoretical models to explain the experimental observations are introduced and the possibility to deduce structural information from measurements of dielectric properties is discussed. Additional case studies are presented in the book to emphasize the possibilities but also drawbacks of the methods.

  6. Electronic polarizability of light crude oil from optical and dielectric studies

    Science.gov (United States)

    George, A. K.; Singh, R. N.

    2017-07-01

    In the present paper we report the temperature dependence of density, refractive indices and dielectric constant of three samples of crude oils. The API gravity number estimated from the temperature dependent density studies revealed that the three samples fall in the category of light oil. The measured data of refractive index and the density are used to evaluate the polarizability of these fluids. Molar refractive index and the molar volume are evaluated through Lorentz-Lorenz equation. The function of the refractive index, FRI , divided by the mass density ρ, is a constant approximately equal to one-third and is invariant with temperature for all the samples. The measured values of the dielectric constant decrease linearly with increasing temperature for all the samples. The dielectric constant estimated from the refractive index measurements using Lorentz-Lorentz equation agrees well with the measured values. The results are promising since all the three measured properties complement each other and offer a simple and reliable method for estimating crude oil properties, in the absence of sufficient data.

  7. Transport and dielectric studies on silver based molybdo-tungstate quaternary superionic conducting glasses

    International Nuclear Information System (INIS)

    Prasad, P.S.S.; Radhakrishna, S.

    1988-01-01

    The molybdo-tungstate (MoO 3 -WO 3 ) combination of glass formers with silver oxide (Ag 2 O) as glass modifier and silver iodide (AgI) as ionic conductor were prepared to study the transport and dielectric properties of 60% AgI-40% (x Ag 2 O-y(WO 3 -MoO 3 )) for x/y=0.33 to 3.0 and establish the feasibility of using these glasses as electrolytes in the fabrication and characterisation of solid state batteries and potential memory devices. The details of the preparation of glasses and methods of measurement of their capacitance, dielectric loss factor and ac conductivity in the frequency range 100 Hz - 100 kHz from 30-120 C have been reported. The electronic contribution to the total conductivity, the ionic and electronic transport numbers were determined using Wagners dc polarisation technique. The observed high ionic and low electronic conductivities were attributed to the formation of ionic clusters in the glass and the effect of mixing two glass formers. The observed total ionic conductivity and its temperature dependence was explained using Arrhenius relation σ=σ 0 /T exp(-E/RT) and the measured dielectric constant and dielectric loss were explained on the basis of Jonschers theory. The frequency dependence of dielectric constant obeys the theory based on the polarisation of ions. 25 refs.; 8 figs

  8. Study of dielectric properties of adulterated milk concentration and freshness

    Science.gov (United States)

    Jitendra Murthy, V.; Sai Kiranmai, N.; Kumar, Sanjeev

    2017-08-01

    The knowledge of dielectric properties may hold a potential to develop a new technique for quality evaluation of milk. The dielectric properties of water diluted cow’s milk with milk concentration from 70 percent to 100 percent stored during 36hour storage at 22°C and 144 hour at 5°C were measured at room temperature for frequencies ranging from 10 to 4500 MHz and at low, high & at microwave frequencies using X band bench and open-ended coaxial-line probe technology, along with electrical conductivity. The raw milk had the lowest dielectric constant (ɛ‧) when the frequency was higher than about 20M.Hz, and had the highest loss (ɛ″) or decepation factor tan (δ) at each frequency. The penetration depth (dp) increased with decreasing frequency, water content and storage time, which was large enough to detect dielectric properties changes in milk samples and provide large scale RF pasteurization processes. The loss factor can be an indicator in predicting milk concentration and freshness.

  9. Controlling Chain Conformations of High-k Fluoropolymer Dielectrics to Enhance Charge Mobilities in Rubrene Single-Crystal Field-Effect Transistors.

    Science.gov (United States)

    Adhikari, Jwala M; Gadinski, Matthew R; Li, Qi; Sun, Kaige G; Reyes-Martinez, Marcos A; Iagodkine, Elissei; Briseno, Alejandro L; Jackson, Thomas N; Wang, Qing; Gomez, Enrique D

    2016-12-01

    A novel photopatternable high-k fluoropolymer, poly(vinylidene fluoride-bromotrifluoroethylene) P(VDF-BTFE), with a dielectric constant (k) between 8 and 11 is demonstrated in thin-film transistors. Crosslinking P(VDF-BTFE) reduces energetic disorder at the dielectric-semiconductor interface by controlling the chain conformations of P(VDF-BTFE), thereby leading to approximately a threefold enhancement in the charge mobility of rubrene single-crystal field-effect transistors. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Investigation of high- k yttrium copper titanate thin films as alternative gate dielectrics

    International Nuclear Information System (INIS)

    Monteduro, Anna Grazia; Ameer, Zoobia; Rizzato, Silvia; Martino, Maurizio; Caricato, Anna Paola; Maruccio, Giuseppe; Tasco, Vittorianna; Lekshmi, Indira Chaitanya; Hazarika, Abhijit; Choudhury, Debraj; Sarma, D D

    2016-01-01

    Nearly amorphous high- k yttrium copper titanate thin films deposited by laser ablation were investigated in both metal–oxide–semiconductor (MOS) and metal–insulator–metal (MIM) junctions in order to assess the potentialities of this material as a gate oxide. The trend of dielectric parameters with film deposition shows a wide tunability for the dielectric constant and AC conductivity, with a remarkably high dielectric constant value of up to 95 for the thick films and conductivity as low as 6  ×  10 −10 S cm −1 for the thin films deposited at high oxygen pressure. The AC conductivity analysis points out a decrease in the conductivity, indicating the formation of a blocking interface layer, probably due to partial oxidation of the thin films during cool-down in an oxygen atmosphere. Topography and surface potential characterizations highlight differences in the thin film microstructure as a function of the deposition conditions; these differences seem to affect their electrical properties. (paper)

  11. Nonlinear Dielectric Response of Water Treed XLPE Cable Insulation

    Energy Technology Data Exchange (ETDEWEB)

    Hvidsten, Sverre

    1999-07-01

    Condition assessment of XLPE power cables is becoming increasingly important for the utilities, due to a large number of old cables in service with high probability of failure caused by water tree degradation. The commercial available techniques are generally based upon measurements of the dielectric response, either by time (polarisation/depolarisation current or return voltage) or frequency domain measurements. Recently it has been found that a high number of water trees in XLPE insulated cables causes the dielectric response to increase more than linearly with increasing test voltage. This nonlinear feature of water tree degraded XLPE insulation has been suggested to be of a great importance, both for diagnostic purposes, and for fundamental understanding of the water tree phenomenon itself. The main purpose of this thesis have been to study the nonlinear feature of the dielectric response measured on watertreed XLPE insulation. This has been performed by dielectric response measurements in both time and frequency domain, numerical calculations of losses of simplified water tree models, and fmally water content and water permeation measurements on single water trees. The dielectric response measurements were performed on service aged cable samples and laboratory aged Rogowski type objects. The main reason for performing laboratory ageing was to facilitate diagnostic testing as a function of ageing time of samples containing mainly vented water trees. A new method, based upon inserting NaC1 particles at the interface between the upper semiconductive screen and the insulation, was found to successfully enhance initiation and growth of vented water trees. AC breakdown strength testing show that it is the vented water trees that reduce the breakdown level of both the laboratory aged test objects and service aged cable samples. Vented water treeing was found to cause the dielectric response to become nonlinear at a relatively low voltage level. However, the measured

  12. Photoconductivity and dielectric studies of potassium pentaborate

    Indian Academy of Sciences (India)

    Single crystal of potassium pentaborate (KB5) has been grown by solution growth ... equipped with the Gunn Oscillator guided with rectangular wave-guide. ... its dielectric behaviour with the change of frequency has also been investigated.

  13. Thermal, FT–IR and dielectric studies of gel grown sodium oxalate ...

    Indian Academy of Sciences (India)

    WINTEC

    Institute of Diploma Studies, Nirma University of Science and Technology, Ahmedabad 384 481, India. MS received 29 ... dielectric response at various frequencies of applied field. ... 1987). Many oxalates exist in nature, for example, copper.

  14. Giant tunability of the two-dimensional electron gas at the interface of γ-Al2O3/SrTiO3

    DEFF Research Database (Denmark)

    Niu, Wei; Zhang, Yu; Gan, Yulin

    2017-01-01

    a dielectric solid insulator, i.e. in the configuration of conventional field-effect transistors. To surpass this long-standing limit, we used ionic liquids as the dielectric layer for electrostatic gating of oxide interfaces in an electric double layer transistor (EDLT) configuration. Herein, we reported......Two-dimensional electron gases (2DEGs) formed at the interface between two oxide insulators provide a rich platform for the next generation of electronic devices. However, their high carrier density makes it rather challenging to control the interface properties under a low electric field through...

  15. Self-consistent field model for strong electrostatic correlations and inhomogeneous dielectric media.

    Science.gov (United States)

    Ma, Manman; Xu, Zhenli

    2014-12-28

    Electrostatic correlations and variable permittivity of electrolytes are essential for exploring many chemical and physical properties of interfaces in aqueous solutions. We propose a continuum electrostatic model for the treatment of these effects in the framework of the self-consistent field theory. The model incorporates a space- or field-dependent dielectric permittivity and an excluded ion-size effect for the correlation energy. This results in a self-energy modified Poisson-Nernst-Planck or Poisson-Boltzmann equation together with state equations for the self energy and the dielectric function. We show that the ionic size is of significant importance in predicting a finite self energy for an ion in an inhomogeneous medium. Asymptotic approximation is proposed for the solution of a generalized Debye-Hückel equation, which has been shown to capture the ionic correlation and dielectric self energy. Through simulating ionic distribution surrounding a macroion, the modified self-consistent field model is shown to agree with particle-based Monte Carlo simulations. Numerical results for symmetric and asymmetric electrolytes demonstrate that the model is able to predict the charge inversion at high correlation regime in the presence of multivalent interfacial ions which is beyond the mean-field theory and also show strong effect to double layer structure due to the space- or field-dependent dielectric permittivity.

  16. Self-consistent field model for strong electrostatic correlations and inhomogeneous dielectric media

    Energy Technology Data Exchange (ETDEWEB)

    Ma, Manman, E-mail: mmm@sjtu.edu.cn; Xu, Zhenli, E-mail: xuzl@sjtu.edu.cn [Department of Mathematics, Institute of Natural Sciences, and MoE Key Laboratory of Scientific and Engineering Computing, Shanghai Jiao Tong University, Shanghai 200240 (China)

    2014-12-28

    Electrostatic correlations and variable permittivity of electrolytes are essential for exploring many chemical and physical properties of interfaces in aqueous solutions. We propose a continuum electrostatic model for the treatment of these effects in the framework of the self-consistent field theory. The model incorporates a space- or field-dependent dielectric permittivity and an excluded ion-size effect for the correlation energy. This results in a self-energy modified Poisson-Nernst-Planck or Poisson-Boltzmann equation together with state equations for the self energy and the dielectric function. We show that the ionic size is of significant importance in predicting a finite self energy for an ion in an inhomogeneous medium. Asymptotic approximation is proposed for the solution of a generalized Debye-Hückel equation, which has been shown to capture the ionic correlation and dielectric self energy. Through simulating ionic distribution surrounding a macroion, the modified self-consistent field model is shown to agree with particle-based Monte Carlo simulations. Numerical results for symmetric and asymmetric electrolytes demonstrate that the model is able to predict the charge inversion at high correlation regime in the presence of multivalent interfacial ions which is beyond the mean-field theory and also show strong effect to double layer structure due to the space- or field-dependent dielectric permittivity.

  17. Geometrical-optics code for computing the optical properties of large dielectric spheres.

    Science.gov (United States)

    Zhou, Xiaobing; Li, Shusun; Stamnes, Knut

    2003-07-20

    Absorption of electromagnetic radiation by absorptive dielectric spheres such as snow grains in the near-infrared part of the solar spectrum cannot be neglected when radiative properties of snow are computed. Thus a new, to our knowledge, geometrical-optics code is developed to compute scattering and absorption cross sections of large dielectric particles of arbitrary complex refractive index. The number of internal reflections and transmissions are truncated on the basis of the ratio of the irradiance incident at the nth interface to the irradiance incident at the first interface for a specific optical ray. Thus the truncation number is a function of the angle of incidence. Phase functions for both near- and far-field absorption and scattering of electromagnetic radiation are calculated directly at any desired scattering angle by using a hybrid algorithm based on the bisection and Newton-Raphson methods. With these methods a large sphere's absorption and scattering properties of light can be calculated for any wavelength from the ultraviolet to the microwave regions. Assuming that large snow meltclusters (1-cm order), observed ubiquitously in the snow cover during summer, can be characterized as spheres, one may compute absorption and scattering efficiencies and the scattering phase function on the basis of this geometrical-optics method. A geometrical-optics method for sphere (GOMsphere) code is developed and tested against Wiscombe's Mie scattering code (MIE0) and a Monte Carlo code for a range of size parameters. GOMsphere can be combined with MIE0 to calculate the single-scattering properties of dielectric spheres of any size.

  18. Numerical investigation of dielectric barrier discharges

    Science.gov (United States)

    Li, Jing

    1997-12-01

    A dielectric barrier discharge (DBD) is a transient discharge occurring between two electrodes in coaxial or planar arrangements separated by one or two layers of dielectric material. The charge accumulated on the dielectric barrier generates a field in a direction opposite to the applied field. The discharge is quenched before an arc is formed. It is one of the few non-thermal discharges that operates at atmospheric pressure and has the potential for use in pollution control. In this work, a numerical model of the dielectric barrier discharge is developed, along with the numerical approach. Adaptive grids based on the charge distribution is used. A self-consistent method is used to solve for the electric field and charge densities. The Successive Overrelaxation (SOR) method in a non-uniform grid spacing is used to solve the Poisson's equation in the cylindrically-symmetric coordinate. The Flux Corrected Transport (FCT) method is modified to solve the continuity equations in the non-uniform grid spacing. Parametric studies of dielectric barrier discharges are conducted. General characteristics of dielectric barrier discharges in both anode-directed and cathode-directed streamer are studied. Effects of the dielectric capacitance, the applied field, the resistance in external circuit and the type of gases (O2, air, N2) are investigated. We conclude that the SOR method in an adaptive grid spacing for the solution of the Poisson's equation in the cylindrically-symmetric coordinate is convergent and effective. The dielectric capacitance has little effect on the g-factor of radical production, but it determines the strength of the dielectric barrier discharge. The applied field and the type of gases used have a significant role on the current peak, current pulse duration and radical generation efficiency, discharge strength, and microstreamer radius, whereas the external series resistance has very little effect on the streamer properties. The results are helpful in

  19. Effects of the gate dielectric on the subthreshold transport of carbon nanotube network transistors grown by using plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Jeong, Seung Geun; Park, Wan Jun

    2010-01-01

    In this study, we investigated the subthreshold slope of random network carbon nanotube transistors with different geometries and passivations. Single-wall carbon nanotubes with lengths of 1-2 m were grown by using plasma-enhanced chemical vapor deposition to form the transistor channels. A critical channel length, where the subthreshold slope was saturated, of 7 μm was obtained. This was due to the percolational behavior of the nanotube random networks. With the dielectric passivation, the subthreshold slope was dramatically reduced from 9 V/decade to 0.9 V/decade by reducing interfacial trap sites, which then reduced the interface capacitance between the nanotube network and the gate dielectric.

  20. Theoretical and Experimental Studies of New Polymer-Metal High-Dielectric Constant Nanocomposites

    Science.gov (United States)

    Ginzburg, Valeriy; Elwell, Michael; Myers, Kyle; Cieslinski, Robert; Malowinski, Sarah; Bernius, Mark

    2006-03-01

    High-dielectric-constant (high-K) gate materials are important for the needs of electronics industry. Most polymers have dielectric constant in the range 2 materials with K > 10 it is necessary to combine polymers with ceramic or metal nanoparticles. Several formulations based on functionalized Au-nanoparticles (R ˜ 5 -— 10 nm) and PMMA matrix polymer are prepared. Nanocomposite films are subsequently cast from solution. We study the morphology of those nanocomposites using theoretical (Self-Consistent Mean-Field Theory [SCMFT]) and experimental (Transmission Electron Microscopy [TEM]) techniques. Good qualitative agreement between theory and experiment is found. The study validates the utility of SCMFT as screening tool for the preparation of stable (or at least metastable) polymer/nanoparticle mixtures.

  1. Lattices of dielectric resonators

    CERN Document Server

    Trubin, Alexander

    2016-01-01

    This book provides the analytical theory of complex systems composed of a large number of high-Q dielectric resonators. Spherical and cylindrical dielectric resonators with inferior and also whispering gallery oscillations allocated in various lattices are considered. A new approach to S-matrix parameter calculations based on perturbation theory of Maxwell equations, developed for a number of high-Q dielectric bodies, is introduced. All physical relationships are obtained in analytical form and are suitable for further computations. Essential attention is given to a new unified formalism of the description of scattering processes. The general scattering task for coupled eigen oscillations of the whole system of dielectric resonators is described. The equations for the  expansion coefficients are explained in an applicable way. The temporal Green functions for the dielectric resonator are presented. The scattering process of short pulses in dielectric filter structures, dielectric antennas  and lattices of d...

  2. Dielectric studies of Graphene and Glass Fiber reinforced composites

    Science.gov (United States)

    Praveen, D.; Shashi Kumar, M. E.; Pramod, R.

    2018-02-01

    Graphene and E-glass fibres are one of the key materials used currently due to their unique chemical and mechanical properties. Lately graphene has attracted many researchers across academic fraternity as it can yield better properties with lesser reinforcement percentages. The current research emphasizes on the development of graphene-based nanocomposites and its investigation on dielectric applications. The composites were fabricated by adding graphene reinforcements from 1%-3% by weight using conventional Hand-lay process. A thorough investigation was carried out to determine the dielectric behaviour of the nano-composites using impedance analyser according to ASTM standards. The dielectric measurements were carried out in the temperature range of 300K to 400K in a step of 20K. The current research proposes the material for application in capacitor industry as the sample of 2.5% weight fraction showed highest value of K with 14 at 26.1 Hz and 403K.

  3. Dielectric and gravimetric studies of water binding to lysozyme

    International Nuclear Information System (INIS)

    Bone, S.

    1996-01-01

    Time domain dielectric spectroscopy and hydration isotherm measurements as a function of temperature have been applied to hydrated lysozyme powder. Two dielectric dispersions were identified, the first centred at approximately 8 MHz and a second above 1 GHz. The higher dispersion is considered to be the result of rotational relaxation of water molecules bound to the enzyme. In this case the results indicate the existence of a population of 32 water molecules per lysozyme molecule which are irrotationally bound to the lysozyme structure. A larger population of water molecules is relatively free to respond to the electric field and exhibits a dipole moment close to that of vapour phase water molecules. Multi-temperature hydration isotherm measurements are used to calculate enthalpies and entropies associated with the binding of water to lysozyme. Discontinuities both in dielectric and in thermodynamic characteristics in the range 10-14% hydration are interpreted as a re-ordering of the water structure on the enzyme surface

  4. Investigation of the dielectric properties of shale

    International Nuclear Information System (INIS)

    Martemyanov, Sergey M.

    2011-01-01

    The article is dedicated to investigation of the dielectric properties of oil shale. Investigations for samples prepared from shale mined at the deposit in Jilin Province in China were done. The temperature and frequency dependences of rock characteristics needed to calculate the processes of their thermal processing are investigated. Frequency dependences for the relative dielectric constant and dissipation factor of rock in the frequency range from 0,1 Hz to 1 MHz are investigated. The temperature dependences for rock resistance, dielectric capacitance and dissipation factor in the temperature range from 20 to 600°C are studied. Key words: shale, dielectric properties, relative dielectric constant, dissipation factor, temperature dependence, frequency dependence

  5. Two-phase mixed media dielectric with macro dielectric beads for enhancing resistivity and breakdown strength

    Science.gov (United States)

    Falabella, Steven; Meyer, Glenn A; Tang, Vincent; Guethlein, Gary

    2014-06-10

    A two-phase mixed media insulator having a dielectric fluid filling the interstices between macro-sized dielectric beads packed into a confined volume, so that the packed dielectric beads inhibit electro-hydrodynamically driven current flows of the dielectric liquid and thereby increase the resistivity and breakdown strength of the two-phase insulator over the dielectric liquid alone. In addition, an electrical apparatus incorporates the two-phase mixed media insulator to insulate between electrical components of different electrical potentials. And a method of electrically insulating between electrical components of different electrical potentials fills a confined volume between the electrical components with the two-phase dielectric composite, so that the macro dielectric beads are packed in the confined volume and interstices formed between the macro dielectric beads are filled with the dielectric liquid.

  6. Dielectric and physiochemical study of binary mixture of nitrobenzene with toluene

    Science.gov (United States)

    Mohod, Ajay G.; Deshmukh, S. D.; Pattebahadur, K. L.; Undre, P. B.; Patil, S. S.; Khirade, P. W.

    2018-05-01

    This paper presents the study of binary mixture of Nitrobenzene (NB) with Toluene (TOL) for eleven different concentrations at room temperature. The determined Dielectric Constant (ɛ0) Density (ρ) and Refractive index (nD) values of binary mixture are used to calculate the excess properties i.e. Excess Dielectric Constant (ɛ0E), Excess Molar Volume (VmE), Excess Refractive Index (nDE) and Excess Molar Refraction (RmE) of mixture over the entire composition range and fitted to the Redlich-Kister equation. The Kirkwood Correlation Factor (geff) and other parameters were used to discuss the information about the orientation of dipoles and the solute-solvent interaction of binary mixture at molecular level over the entire range of concentration.

  7. An experimental study of electrical and dielectric properties of consolidated clayey materials

    International Nuclear Information System (INIS)

    Comparon, L.

    2005-06-01

    This study is devoted to the electrical and dielectric properties of consolidated clays. A better understanding of the conduction and polarization phenomena in clays is necessary to better interpret in situ measurements in terms of water saturation and texture. An experimental study was carried out on synthetic clay samples (kaolinite and smectite) compacted with various water contents, porosities and mineralogical compositions, on a large frequency range, using three laboratory setups. The electrical properties of natural argillites (from ANDRA) were then investigated. We found that the response of the synthetic samples is mainly controlled by water content on the whole frequency range; two polarization phenomena were observed, which were related to the Maxwell-Wagner polarization and the electrical double layer polarization around the clay particles. The electrical response of argillites is more complex; it is controlled by water content but also by the microstructure of the rock. In these rocks, the electrical and dielectric anisotropies are high; anisotropy was also measured for the synthetic clays. The existing models explain the high frequency limit of the dielectric permittivity of the clayey materials, but the low frequency part of the spectra (≤1 MHz) needs theoretical developments. (author)

  8. Characterization of dielectric materials

    Energy Technology Data Exchange (ETDEWEB)

    King, Danny J.; Babinec, Susan; Hagans, Patrick L.; Maxey, Lonnie C.; Payzant, Edward A.; Daniel, Claus; Sabau, Adrian S.; Dinwiddie, Ralph B.; Armstrong, Beth L.; Howe, Jane Y.; Wood, III, David L.; Nembhard, Nicole S.

    2017-06-27

    A system and a method for characterizing a dielectric material are provided. The system and method generally include applying an excitation signal to electrodes on opposing sides of the dielectric material to evaluate a property of the dielectric material. The method can further include measuring the capacitive impedance across the dielectric material, and determining a variation in the capacitive impedance with respect to either or both of a time domain and a frequency domain. The measured property can include pore size and surface imperfections. The method can still further include modifying a processing parameter as the dielectric material is formed in response to the detected variations in the capacitive impedance, which can correspond to a non-uniformity in the dielectric material.

  9. Transmission of electric dipole radiation through an interface

    Energy Technology Data Exchange (ETDEWEB)

    Arnoldus, Henk F., E-mail: hfa1@msstate.edu [Department of Physics and Astronomy, Mississippi State University, P.O. Drawer 5167, Mississippi State, MS 39762-5167 (United States); Berg, Matthew J., E-mail: matt.berg@msstate.edu [Department of Physics and Astronomy, Mississippi State University, P.O. Drawer 5167, Mississippi State, MS 39762-5167 (United States); Li, Xin, E-mail: Xin.Li@millersville.edu [Department of Physics, P.O. Box 1002, Millersville University, Millersville, PA 17551 (United States)

    2014-02-07

    We consider the transmission of electric dipole radiation through an interface between two dielectrics, for the case of a vertical dipole. Energy flows along the field lines of the Poynting vector, and in the optical near field these field lines are curves (as opposed to optical rays). When the radiation passes through the interface into a thicker medium, the field lines bend to the normal (as rays do), but the transmission angle is not related to the angle of incidence. The redirection of the radiation at the interface is determined by the angle dependence of the transmission coefficient. This near-field redistribution is responsible for the far-field angular power pattern. When the transmission medium is thinner than the embedding medium of the dipole, some energy flows back and forth through the interface in an oscillating fashion. In each area where field lines dip below the interface, an optical vortex appears just above the interface. The centers of these vortices are concentric singular circles around the dipole axis.

  10. OTFT with pentacene-gate dielectric interface modified by silicon nanoparticles

    International Nuclear Information System (INIS)

    Jakabovic, J.; Kovac, J.; Srnanek, R.; Guldan, S.; Donoval, D.; Weis, M.; Sokolsky, M.; Cirak, J.; Broch, K.; Schreiber, F.

    2011-01-01

    We have for the first time investigated the structural and electrical properties of pentacene OTFT deposited on the semiconductor-gate insulator interface covered with SiNPs monolayer prepared by the LB method and compared these to a reference sample (without SiNPs). The micro-Raman, AFM and XRD measurements confirmed that the pentacene layer deposited on the semiconductor-gate insulator interface covered with a SiNPs monolayer on both hydrophobic and hydrophilic surfaces changes the structure. The Raman measurements show that the average value of α is between 0.8 and 1.0. The different structural quality of pentacene leads to better OTFTs electrical characteristics mainly saturation current of OTFTs with SiNPs increasing (∼ 2.5 x) with storing time (85 days) in comparison to OTFTs without SiNPs, which decrease similarly after 85 days.

  11. Significantly Elevated Dielectric and Energy Storage Traits in Boron Nitride Filled Polymer Nano-composites with Topological Structure

    Science.gov (United States)

    Feng, Yefeng; Zhang, Jianxiong; Hu, Jianbing; Li, Shichun; Peng, Cheng

    2018-03-01

    Interface induced polarization has a prominent influence on dielectric properties of 0-3 type polymer based composites containing Si-based semi-conductors. The disadvantages of composites were higher dielectric loss, lower breakdown strength and energy storage density, although higher permittivity was achieved. In this work, dielectric, conductive, breakdown and energy storage properties of four nano-composites have been researched. Based on the cooperation of fluoropolymer/alpha-SiC layer and fluoropolymer/hexagonal-BN layer, it was confirmed constructing the heterogeneous layer-by-layer composite structure rather than homogeneous mono-layer structure could significantly reduce dielectric loss, promote breakdown strength and increase energy storage density. The former worked for a larger dielectric response and the latter layer acted as a robust barrier of charge carrier transfer. The best nano-composite could possess a permittivity of 43@100 Hz ( 3.3 times of polymer), loss of 0.07@100 Hz ( 37% of polymer), discharged energy density of 2.23 J/cm3@249 kV/cm ( 10 times of polymer) and discharged energy efficiency of 54%@249 kV/cm ( 5 times of polymer). This work might enlighten a facile route to achieve the promising high energy storage composite dielectrics by constructing the layer-by-layer topological structure.

  12. A simple method for reducing inevitable dielectric loss in high-permittivity dielectric elastomers

    DEFF Research Database (Denmark)

    Madsen, Frederikke Bahrt; Yu, Liyun; Mazurek, Piotr Stanislaw

    2016-01-01

    elastomer matrix, with high dielectric permittivity and a low Young's modulus, aligned with no loss of mechanical stability, was prepared through the use of commercially available chloropropyl-functional silicone oil mixed into a tough commercial liquid silicone rubber silicone elastomer. The addition...... also decreased the dielectric losses of an elastomer containing dielectric permittivity-enhancing TiO2 fillers. Commercially available chloropropyl-functional silicone oil thus constitutes a facile method for improved silicone DEs, with very low dielectric losses.......Commercial viability of dielectric elastomers (DEs) is currently limited by a few obstacles, including high driving voltages (in the kV range). Driving voltage can be lowered by either decreasing the Young's modulus or increasing the dielectric permittivity of silicone elastomers, or a combination...

  13. Aging of Dielectric Properties below Tg

    DEFF Research Database (Denmark)

    Olsen, Niels Boye; Dyre, Jeppe; Christensen, Tage Emil

    The dielectric loss at 1Hz in TPP is studied during a temperature step from one equilibrium state to another. In the applied cryostate the temperature can be equilibrated on a timescale of 1 second. The aging time dependence of the dielectric loss is studied below Tg applying temperature steps...

  14. Electromigration study of Al thin films deposited on low dielectric polyimide and SiO sub 2 ILD

    CERN Document Server

    Eun, B S

    1999-01-01

    The electromigration characteristics of Al-1 %Si-0.5 %Cu films deposited onto three kinds of polyimides (PI-2734, PI-2611, and BG-2480) and onto SiO sub 2 prepared by low pressure chemical vapor deposition have been investigated. The Al lines deposited onto SiO sub 2 showed about a one-order higher electromigration lifetime than those deposited onto polyimide interlayer dielectrics (ILDs). The electromigration characteristics degraded as the polyimide thickness increased. Joule heat which accumulated at the Al/polyimide interface was the main cause of the decrease in the electromigration reliability because the thermal conductivity of the polyimides was about one order lower than that of SiO sub 2.

  15. Evaluation of Dielectric-Barrier-Discharge Actuator Substrate Materials

    Science.gov (United States)

    Wilkinson, Stephen P.; Siochi, Emilie J.; Sauti, Godfrey; Xu, Tian-Bing; Meador, Mary Ann; Guo, Haiquan

    2014-01-01

    A key, enabling element of a dielectric barrier discharge (DBD) actuator is the dielectric substrate material. While various investigators have studied the performance of different homogeneous materials, most often in the context of related DBD experiments, fundamental studies focused solely on the dielectric materials have received less attention. The purpose of this study was to conduct an experimental assessment of the body-force-generating performance of a wide range of dielectric materials in search of opportunities to improve DBD actuator performance. Materials studied included commonly available plastics and glasses as well as a custom-fabricated polyimide aerogel. Diagnostics included static induced thrust, electrical circuit parameters for 2D surface discharges and 1D volume discharges, and dielectric material properties. Lumped-parameter circuit simulations for the 1D case were conducted showing good correspondence to experimental data provided that stray capacitances are included. The effect of atmospheric humidity on DBD performance was studied showing a large influence on thrust. The main conclusion is that for homogeneous, dielectric materials at forcing voltages less than that required for streamer formation, the material chemical composition appears to have no effect on body force generation when actuator impedance is properly accounted for.

  16. Analytical solutions of nonlocal Poisson dielectric models with multiple point charges inside a dielectric sphere

    Science.gov (United States)

    Xie, Dexuan; Volkmer, Hans W.; Ying, Jinyong

    2016-04-01

    The nonlocal dielectric approach has led to new models and solvers for predicting electrostatics of proteins (or other biomolecules), but how to validate and compare them remains a challenge. To promote such a study, in this paper, two typical nonlocal dielectric models are revisited. Their analytical solutions are then found in the expressions of simple series for a dielectric sphere containing any number of point charges. As a special case, the analytical solution of the corresponding Poisson dielectric model is also derived in simple series, which significantly improves the well known Kirkwood's double series expansion. Furthermore, a convolution of one nonlocal dielectric solution with a commonly used nonlocal kernel function is obtained, along with the reaction parts of these local and nonlocal solutions. To turn these new series solutions into a valuable research tool, they are programed as a free fortran software package, which can input point charge data directly from a protein data bank file. Consequently, different validation tests can be quickly done on different proteins. Finally, a test example for a protein with 488 atomic charges is reported to demonstrate the differences between the local and nonlocal models as well as the importance of using the reaction parts to develop local and nonlocal dielectric solvers.

  17. Energy Storage via Polyvinylidene Fluoride Dielectric on the Counterelectrode of Dye-Sensitized Solar Cells.

    Science.gov (United States)

    Huang, Xuezhen; Zhang, Xi; Jiang, Hongrui

    2014-02-15

    To study the fundamental energy storage mechanism of photovoltaically self-charging cells (PSCs) without involving light-responsive semiconductor materials such as Si powder and ZnO nanowires, we fabricate a two-electrode PSC with the dual functions of photocurrent output and energy storage by introducing a PVDF film dielectric on the counterelectrode of a dye-sensitized solar cell. A layer of ultrathin Au film used as a quasi-electrode establishes a shared interface for the I - /I 3 - redox reaction and for the contact between the electrolyte and the dielectric for the energy storage, and prohibits recombination during the discharging period because of its discontinuity. PSCs with a 10-nm-thick PVDF provide a steady photocurrent output and achieve a light-to-electricity conversion efficiency ( η) of 3.38%, and simultaneously offer energy storage with a charge density of 1.67 C g -1 . Using this quasi-electrode design, optimized energy storage structures may be used in PSCs for high energy storage density.

  18. Inductive dielectric analyzer

    International Nuclear Information System (INIS)

    Agranovich, Daniel; Popov, Ivan; Ben Ishai, Paul; Feldman, Yuri; Polygalov, Eugene

    2017-01-01

    One of the approaches to bypass the problem of electrode polarization in dielectric measurements is the free electrode method. The advantage of this technique is that, the probing electric field in the material is not supplied by contact electrodes, but rather by electromagnetic induction. We have designed an inductive dielectric analyzer based on a sensor comprising two concentric toroidal coils. In this work, we present an analytic derivation of the relationship between the impedance measured by the sensor and the complex dielectric permittivity of the sample. The obtained relationship was successfully employed to measure the dielectric permittivity and conductivity of various alcohols and aqueous salt solutions. (paper)

  19. Field-Effect Spectroscopy of Interface States

    Science.gov (United States)

    1988-12-31

    ed.), Physics and Chemistry of Il-V Compound Semiconductor Interfaces, Plenum, New York, 1985, p. 327. HETEROJUNCTION AND DIELECTRICALLY INSULATED GATE...Electron Devices. voi. ED-29. pp. 1059-1064, 1982. chemistry , and physics from San Diego State Uni- 131 T. H. Mies, W. M. Paulson, and M. S...1982). 40. T. Y. Chang, R. F. Leheny, R. E. Nahory, E. Silberg , A. A. Ballman, E. A. Carid’ and C. J. Harrold, IEEE Electron. Dev. Lett. EDL- 3, 56

  20. Periodicity effects on compound waves guided by a thin metal slab sandwiched between two periodically nonhomogeneous dielectric materials

    Science.gov (United States)

    Chiadini, Francesco; Fiumara, Vincenzo; Scaglione, Antonio; Lakhtakia, Akhlesh

    2017-10-01

    Surface-plasmon-polariton waves can be compounded when a sufficiently thin metal layer is sandwiched between two half spaces filled with dissimilar periodically nonhomogeneous dielectric materials. We solved the boundary-value problem for compound waves guided by a layer of a homogeneous and isotropic metal sandwiched between a structurally chiral material (SCM) and a periodically multilayered isotropic dielectric (PMLID) material. We found that the periodicities of the PMLID material and the SCM are crucial to excite a multiplicity of compound guided waves arising from strong coupling between the two interfaces.

  1. Dielectric inspection of erythrocyte morphology

    International Nuclear Information System (INIS)

    Hayashi, Yoshihito; Oshige, Ikuya; Katsumoto, Yoichi; Omori, Shinji; Yasuda, Akio; Asami, Koji

    2008-01-01

    We performed a systematic study of the sensitivity of dielectric spectroscopy to erythrocyte morphology. Namely, rabbit erythrocytes of four different shapes were prepared by precisely controlling the pH of the suspending medium, and their complex permittivities over the frequency range from 0.1 to 110 MHz were measured and analyzed. Their quantitative analysis shows that the characteristic frequency and the broadening parameter of the dielectric relaxation of interfacial polarization are highly specific to the erythrocyte shape, while they are insensitive to the cell volume fraction. Therefore, these two dielectric parameters can be used to differentiate erythrocytes of different shapes, if dielectric spectroscopy is applied to flow-cytometric inspection of single blood cells. In addition, we revealed the applicability and limitations of the analytical theory of interfacial polarization to explain the experimental permittivities of non-spherical erythrocytes

  2. Dielectric inspection of erythrocyte morphology

    Energy Technology Data Exchange (ETDEWEB)

    Hayashi, Yoshihito; Oshige, Ikuya; Katsumoto, Yoichi; Omori, Shinji; Yasuda, Akio [Life Science Laboratory, Materials Laboratories, Sony Corporation, Sony Bioinformatics Center, Tokyo Medical and Dental University, Bunkyo-ku, Tokyo 113-8510 (Japan); Asami, Koji [Laboratory of Molecular Aggregation Analysis, Division of Multidisciplinary Chemistry, Institute for Chemical Research, Kyoto University, Uji, Kyoto 611-0011 (Japan)], E-mail: Yoshihito.Hayashi@jp.sony.com

    2008-05-21

    We performed a systematic study of the sensitivity of dielectric spectroscopy to erythrocyte morphology. Namely, rabbit erythrocytes of four different shapes were prepared by precisely controlling the pH of the suspending medium, and their complex permittivities over the frequency range from 0.1 to 110 MHz were measured and analyzed. Their quantitative analysis shows that the characteristic frequency and the broadening parameter of the dielectric relaxation of interfacial polarization are highly specific to the erythrocyte shape, while they are insensitive to the cell volume fraction. Therefore, these two dielectric parameters can be used to differentiate erythrocytes of different shapes, if dielectric spectroscopy is applied to flow-cytometric inspection of single blood cells. In addition, we revealed the applicability and limitations of the analytical theory of interfacial polarization to explain the experimental permittivities of non-spherical erythrocytes.

  3. Radiation Characteristics Enhancement of Dielectric Resonator Antenna Using Solid/Discrete Dielectric Lenses

    Directory of Open Access Journals (Sweden)

    H. A. E. Malhat

    2015-02-01

    Full Text Available The radiation characteristics of the dielectric resonator antennas (DRA is enhanced using different types of solid and discrete dielectric lenses. One of these approaches is by loading the DRA with planar superstrate, spherical lens, or by discrete lens (transmitarray. The dimensions and dielectric constant of each lens are optimized to maximize the gain of the DRA. A comparison between the radiations characteristics of the DRA loaded with different lenses are introduced. The design of the dielectric transmitarray depends on optimizing the heights of the dielectric material of the unit cell. The optimized transmitarray achieves 7 dBi extra gain over the single DRA with preserving the circular polarization. The proposed antenna is suitable for various applications that need high gain and focused antenna beam.

  4. Radiation-induced interface state generation in MOS devices with reoxidised nitrided SiO2 gate dielectrics

    International Nuclear Information System (INIS)

    Lo, G.Q.; Shih, D.K.; Ting, W.; Kwong, D.L.

    1989-01-01

    In this letter, the radiation-induced interface state generation ΔD it in MOS devices with reoxidised nitrided gate oxides has been studied. The reoxidised nitrided oxides were fabricated by rapid thermal reoxidation (RTO) of rapidly thermal nitrided (RTN) SiO 2 . The devices were irradiated by exposure to X-rays at doses of 0.5-5.0 Mrad (Si). It is found that the RTO process improves the radiation hardness of RTN oxides in terms of interface state generation. The enhanced interface ''hardness'' of reoxidised nitrided oxides is attributed to the strainless interfacial oxide regrowth or reduction of hydrogen concentration during RTO of RTN oxides. (author)

  5. Polymer/metal oxide hybrid dielectrics for low voltage field-effect transistors with solution-processed, high-mobility semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Held, Martin; Schießl, Stefan P.; Gannott, Florentina [Department of Materials Science and Engineering, Friedrich-Alexander-Universität Erlangen-Nürnberg, Erlangen D-91058 (Germany); Institute for Physical Chemistry, Universität Heidelberg, Heidelberg D-69120 (Germany); Miehler, Dominik [Department of Materials Science and Engineering, Friedrich-Alexander-Universität Erlangen-Nürnberg, Erlangen D-91058 (Germany); Zaumseil, Jana, E-mail: zaumseil@uni-heidelberg.de [Institute for Physical Chemistry, Universität Heidelberg, Heidelberg D-69120 (Germany)

    2015-08-24

    Transistors for future flexible organic light-emitting diode (OLED) display backplanes should operate at low voltages and be able to sustain high currents over long times without degradation. Hence, high capacitance dielectrics with low surface trap densities are required that are compatible with solution-processable high-mobility semiconductors. Here, we combine poly(methyl methacrylate) (PMMA) and atomic layer deposition hafnium oxide (HfO{sub x}) into a bilayer hybrid dielectric for field-effect transistors with a donor-acceptor polymer (DPPT-TT) or single-walled carbon nanotubes (SWNTs) as the semiconductor and demonstrate substantially improved device performances for both. The ultra-thin PMMA layer ensures a low density of trap states at the semiconductor-dielectric interface while the metal oxide layer provides high capacitance, low gate leakage and superior barrier properties. Transistors with these thin (≤70 nm), high capacitance (100–300 nF/cm{sup 2}) hybrid dielectrics enable low operating voltages (<5 V), balanced charge carrier mobilities and low threshold voltages. Moreover, the hybrid layers substantially improve the bias stress stability of the transistors compared to those with pure PMMA and HfO{sub x} dielectrics.

  6. Dielectric properties of PMMA/Soot nanocomposites.

    Science.gov (United States)

    Clayton, Lanetra M; Cinke, Martin; Meyyappan, M; Harmon, Julie P

    2007-07-01

    Dielectric analysis (DEA) of relaxation behavior in poly(methyl methacrylate) (PMMA) soot nanocomposites is described herein. The soot, an inexpensive material, consists of carbon nanotubes, amorphous and graphitic carbon and metal particles. Results are compared to earlier studies on PMMA/multi-walled nanotube (MWNT) composites and PMMA/single-walled nanotube (SWNT) composites. The beta relaxation process appeared to be unaffected by the presence of the soot, as was noted earlier in nanotube composites. The gamma relaxation region in PMMA, normally dielectrically inactive, was "awakened" in the PMMA/soot composite. This occurrence is consistent with previously published data on nanotube composites. The dielectric permittivity, s', increased with soot content. The sample with 1% soot exhibited a permittivity (at 100 Hz and 25 degrees C) of 7.3 as compared to 5.1 for neat PMMA. Soot increased the dielectric strength, deltaE, of the composites. The 1% soot sample exhibited a dielectric strength of 6.38, while the neat PMMA had a value of 2.95 at 40 degrees C. The symmetric broadening term (alpha) was slightly higher for the 1% composite at temperatures near the secondary relaxation and near the primary relaxation, but all samples deviated from symmetrical semi-circular behavior (alpha = 1). The impact of the soot filler is seen more clearly in dielectric properties than in mechanical properties studies conducted earlier.

  7. Dielectric studies of molecular motions in glassy and liquid nicotine

    Energy Technology Data Exchange (ETDEWEB)

    Kaminski, K [Institute of Physics, Silesian University, ulica Uniwersytecka 4, 40-007 Katowice (Poland); Paluch, M [Institute of Physics, Silesian University, ulica Uniwersytecka 4, 40-007 Katowice (Poland); Ziolo, J [Institute of Physics, Silesian University, ulica Uniwersytecka 4, 40-007 Katowice (Poland); Ngai, K L [Naval Research Laboratory, Washington DC 20375-5320 (United States)

    2006-06-21

    The dielectric permittivity and loss spectra of glassy and liquid states of nicotine have been measured over the frequency range 10{sup -2}-10{sup 9} Hz. The relaxation spectra are similar to common small molecular glass-forming substances, showing the structural {alpha}-relaxation and its precursor, the Johari-Goldstein {beta}-relaxation. The {alpha}-relaxation is well described by the Fourier transform of the Kohlrausch-Williams-Watts stretched exponential function with an approximately constant stretch exponent that is equal to 0.70 as the glass transition temperature is approached. The dielectric {alpha}-relaxation time measured over 11 orders of magnitude cannot be described by a single Vogel-Fulcher-Tamman-Hesse equation. The most probable Johari-Goldstein {beta}-relaxation time determined from the dielectric spectra is in good agreement with the primitive relaxation time of the coupling model calculated from parameters of the structural {alpha}-relaxation. The shape of the dielectric spectra of nicotine is compared with that of other glass-formers having about the same stretch exponent, and they are shown to be nearly isomorphic. The results indicate that the molecular dynamics of nicotine conform to the general pattern found in other glass-formers, and the presence of the universal Johari-Goldstein secondary relaxation, which plays a role in the crystallization of amorphous pharmaceuticals.

  8. Inertial polarization of dielectrics

    OpenAIRE

    Zavodovsky, A. G.

    2011-01-01

    It was proved that accelerated motion of a linear dielectric causes its polarization. Accelerated translational motion of a dielectric's plate leads to the positive charge of the surface facing the direction of motion. Metal plates of a capacitor were used to register polarized charges on a dielectric's surface. Potential difference between the capacitor plates is proportional to acceleration, when acceleration is constant potential difference grows with the increase of a dielectric's area, o...

  9. Quantum confinement and dielectric profiles of colloidal nanoplatelets of halide inorganic and hybrid organic-inorganic perovskites

    Science.gov (United States)

    Sapori, Daniel; Kepenekian, Mikaël; Pedesseau, Laurent; Katan, Claudine; Even, Jacky

    2016-03-01

    Quantum confinement as well as high frequency ε∞ and static εs dielectric profiles are described for nanoplatelets of halide inorganic perovskites CsPbX3 (X = I, Br, Cl) and hybrid organic-inorganic perovskites (HOP) in two-dimensional (2D) and three-dimensional (3D) structures. 3D HOP are currently being sought for their impressive photovoltaic ability. Prior to this sudden popularity, 2D HOP materials were driving intense activity in the field of optoelectronics. Such developments have been enriched by the recent ability to synthesize colloidal nanostructures of controlled sizes of 2D and 3D HOP. This raises the need to achieve a thorough description of the electronic structure and dielectric properties of these systems. In this work, we go beyond the abrupt dielectric interface model and reach the atomic scale description. We examine the influence of the nature of the halogen and of the cation on the band structure and dielectric constants. Similarly, we survey the effect of dimensionality and shape of the perovskite. In agreement with recent experimental results, we show an increase of the band gap and a decrease of ε∞ when the size of a nanoplatelet reduces. By inspecting 2D HOP, we find that it cannot be described as a simple superposition of independent inorganic and organic layers. Finally, the dramatic impact of ionic contributions on the dielectric constant εs is analysed.Quantum confinement as well as high frequency ε∞ and static εs dielectric profiles are described for nanoplatelets of halide inorganic perovskites CsPbX3 (X = I, Br, Cl) and hybrid organic-inorganic perovskites (HOP) in two-dimensional (2D) and three-dimensional (3D) structures. 3D HOP are currently being sought for their impressive photovoltaic ability. Prior to this sudden popularity, 2D HOP materials were driving intense activity in the field of optoelectronics. Such developments have been enriched by the recent ability to synthesize colloidal nanostructures of controlled

  10. Silver Nanowire/MnO2 Nanowire Hybrid Polymer Nanocomposites: Materials with High Dielectric Permittivity and Low Dielectric Loss.

    Science.gov (United States)

    Zeraati, Ali Shayesteh; Arjmand, Mohammad; Sundararaj, Uttandaraman

    2017-04-26

    This study reports the fabrication of hybrid nanocomposites based on silver nanowire/manganese dioxide nanowire/poly(methyl methacrylate) (AgNW/MnO 2 NW/PMMA), using a solution casting technique, with outstanding dielectric permittivity and low dielectric loss. AgNW was synthesized using the hard-template technique, and MnO 2 NW was synthesized employing a hydrothermal method. The prepared AgNW:MnO 2 NW (2.0:1.0 vol %) hybrid nanocomposite showed a high dielectric permittivity (64 at 8.2 GHz) and low dielectric loss (0.31 at 8.2 GHz), which are among the best reported values in the literature in the X-band frequency range (8.2-12.4 GHz). The superior dielectric properties of the hybrid nanocomposites were attributed to (i) dimensionality match between the nanofillers, which increased their synergy, (ii) better dispersion state of AgNW in the presence of MnO 2 NW, (iii) positioning of ferroelectric MnO 2 NW in between AgNWs, which increased the dielectric permittivity of nanodielectrics, thereby increasing dielectric permittivity of the hybrid nanocomposites, (iv) barrier role of MnO 2 NW, i.e., cutting off the contact spots of AgNWs and leading to lower dielectric loss, and (v) AgNW aligned structure, which increased the effective surface area of AgNWs, as nanoelectrodes. Comparison of the dielectric properties of the developed hybrid nanocomposites with the literature highlights their great potential for flexible capacitors.

  11. Study of dielectric and piezoelectric properties of CNT reinforced PZT-PVA 0-3 composite

    Science.gov (United States)

    Vyas, Prince; Prajapat, Rampratap; Manmeeta, Saxena, Dhiraj

    2016-05-01

    Ferroelectric ceramic/polymer composites have the compliance of polymers which overcome the problems of brittleness in ceramics. By imbedding piezoelectric ceramic powder into a polymer matrix, 0-3 composites with good mechanical properties and high dielectric breakdown strength can be developed. The obtained composites of 0-3 connectivity exhibit the piezoelectric properties of ceramics and flexibility, strength and lightness of polymer. These composites can be used in vibration sensing and transducer applications specially as piezoelectric sensors. A potential way to improve piezoelectric& dielectric properties of theses composites is by inclusion of another conductive phase in these composites as reported in the literature. In present work, we prepared PZT-PVA 0-3 composites with 60% ceramic volume fraction reinforced with CNTs with volume ranging from 0 to 1.5 vol%. These CNT reinforced composites were obtained using hot press method with thickness of 200 µm having 0-3 conductivity. These composites were poled applying DC voltage. Dielectric properties of these samples were obtained in a wide frequency range (100 Hz to 1 Mhz) at room temperature. The piezoelectric properties of these composites were analyzed by measuring piezoelectric charge constants (d33). The dielectric and piezoelectric properties of these composites were studied as a function of CNT volume content. In these reinforced composites, CNTs act as a conductive filler dispersed in the matrix which in turn facilitates poling and results in an increase of the piezoelectric properties of the composite due to formation of percolation path through the composites. With a CNT content of 0.3 vol.% in PZT/PVA/CNTs, an increase of 61.3 % was observed in piezoelectric strain factors (d33). In these CNT reinforced composites, a substantial increase (approx. 67%) was also observed in dielectric constant and approximately 89% increase was observed in dielectric loss factor. Results so obtained are in the good

  12. Reliability of in vivo measurements of the dielectric properties of anisotropic tissue: a simulative study

    International Nuclear Information System (INIS)

    Huo Xuyang; Shi Xuetao; You Fusheng; Fu Feng; Liu Ruigang; Tang Chi; Dong Xiuzhen; Lu Qiang

    2013-01-01

    A simulative study was performed to measure the dielectric properties of anisotropic tissue using several in vivo and in vitro probes. COMSOL Multiphysics was selected to carry out the simulation. Five traditional probes and a newly designed probe were used in this study. One of these probes was an in vitro measurement probe and the other five were in vivo. The simulations were performed in terms of the minimal tissue volume for in vivo measurements, the calibration of a probe constant, the measurement performed on isotropic tissue and the measurement performed on anisotropic tissue. Results showed that the in vitro probe can be used to measure the in-cell dielectric properties of isotropic and anisotropic tissues. When measured with the five in vivo probes, the dielectric properties of isotropic tissue were all measured accurately. For the measurements performed on anisotropic tissue, large errors were observed when the four traditional in vivo probes were used, but only a small error was observed when the new in vivo probe was used. This newly designed five-electrode in vivo probe may indicate the dielectric properties of anisotropic tissue more accurately than these four traditional in vivo probes. (paper)

  13. Dielectric materials for electrical engineering

    CERN Document Server

    Martinez-Vega, Juan

    2013-01-01

    Part 1 is particularly concerned with physical properties, electrical ageing and modeling with topics such as the physics of charged dielectric materials, conduction mechanisms, dielectric relaxation, space charge, electric ageing and life end models and dielectric experimental characterization. Part 2 concerns some applications specific to dielectric materials: insulating oils for transformers, electrorheological fluids, electrolytic capacitors, ionic membranes, photovoltaic conversion, dielectric thermal control coatings for geostationary satellites, plastics recycling and piezoelectric poly

  14. Cast dielectric composite linear accelerator

    Science.gov (United States)

    Sanders, David M [Livermore, CA; Sampayan, Stephen [Manteca, CA; Slenes, Kirk [Albuquerque, NM; Stoller, H M [Albuquerque, NM

    2009-11-10

    A linear accelerator having cast dielectric composite layers integrally formed with conductor electrodes in a solventless fabrication process, with the cast dielectric composite preferably having a nanoparticle filler in an organic polymer such as a thermosetting resin. By incorporating this cast dielectric composite the dielectric constant of critical insulating layers of the transmission lines of the accelerator are increased while simultaneously maintaining high dielectric strengths for the accelerator.

  15. The impact of porosity on the formation of manganese based copper diffusion barrier layers on low-κ dielectric materials

    International Nuclear Information System (INIS)

    McCoy, A P; Bogan, J; Walsh, L; Byrne, C; O’Connor, R; Hughes, G; Woicik, J C

    2015-01-01

    This work investigates the impact of porosity in low-κ dielectric materials on the chemical and structural properties of deposited Mn thin films for copper diffusion barrier layer applications. X-ray photoelectron spectrscopy (XPS) results highlight the difficulty in distinguishing between the various Mn oxidation states which form at the interlayer dielectric (ILD)/Mn interface. The presence of MnSiO 3 and MnO were identified using x-ray absorption spectroscopy (XAS) measurements on both porous and non-porous dielectric materials with evidence of Mn 2 O 3 and Mn 3 O 4 in the deposited film on the latter surface. It is shown that a higher proportion of deposited Mn converts to Mn silicate on an ILD film which has 50% porosity compared with the same dielectric material with no porosity, which is attributed to an enhanced chemical interaction with the effective larger surface area of porous dielectric materials. Transmission electron microscopy (TEM) and energy-dispersive x-ray spectroscopy (EDX) data shows that the Mn overlayer remains predominately surface localised on both porous and non-porous materials. (paper)

  16. Improved Dielectric Films For Capacitors

    Science.gov (United States)

    Yen, Shiao-Ping S.; Lewis, Carol R.; Cygan, Peter J.; Jow, T. Richard

    1994-01-01

    Dielectric films made from blends of some commercially available high-dielectric-constant cyanoresins with each other and with cellulose triacetate (CTA) have both high dielectric constants and high breakdown strengths. Dielectric constants as high as 16.2. Films used to produce high-energy-density capacitors.

  17. Dielectric and electrical conductivity studies of bulk lead (II) oxide (PbO)

    Energy Technology Data Exchange (ETDEWEB)

    Darwish, A.A.A., E-mail: aaadarwish@gmail.com [Department of Physics, Faculty of Education at Al-Mahweet, Sana’a University, Al-Mahwit (Yemen); Department of Physics, Faculty of Science, University of Tabuk, P.O. Box 741, Tabuk 71491, Tabuk (Saudi Arabia); El-Zaidia, E.F.M.; El-Nahass, M.M. [Department of Physics, Faculty of Education, Ain Shams University, Rorxy, Cairo 11757 (Egypt); Hanafy, T.A. [Department of Physics, Faculty of Science, University of Tabuk, P.O. Box 741, Tabuk 71491, Tabuk (Saudi Arabia); Department of Physics, Faculty of Science, Fayoum University, 63514 El Fayoum (Egypt); Al-Zubaidi, A.A. [Department of Physics, Faculty of Science, University of Tabuk, P.O. Box 741, Tabuk 71491, Tabuk (Saudi Arabia)

    2014-03-15

    Highlights: • The AC measurements of PbO were measured at temperature range 313–523 K. • The dielectric constants increased with temperature. • The mechanism responsible for AC conduction is electronic hopping. -- Abstract: The dielectric properties, the impedance spectroscopy and AC conductivity of bulk PbO have been investigated as a function of frequency and temperature. The measurements were carried out in the frequency range from 40 to 5 × 10{sup 6} Hz and in temperature range from 313 to 523 K. The frequency response of dielectric constant, ε{sub 1}, and dielectric loss index, ε{sub 2}, as a function of temperature were studied. The values of ε{sub 1} and ε{sub 2} were found to decrease with the increase in frequency. However, they increase with the increase in temperature. The presence of a single arc in the complex modulus spectrum at different temperatures confirms the single-phase character of the PbO. The AC conductivity exhibited a universal dynamic response: σ{sub AC} = Aω{sup s}. The AC conductivity was also found to increase with increasing temperature and frequency. The correlation barrier hopping (CBH) model was found to apply to the AC conductivity data. The calculated values of s were decreased with temperature. This behavior reveals that the conduction mechanism for PbO samples is CBH. The activation energy for AC conductivity decreases with increasing frequency. This confirms that the hopping conduction to the dominant mechanism for PbO samples.

  18. SiO2/AlON stacked gate dielectrics for AlGaN/GaN MOS heterojunction field-effect transistors

    Science.gov (United States)

    Watanabe, Kenta; Terashima, Daiki; Nozaki, Mikito; Yamada, Takahiro; Nakazawa, Satoshi; Ishida, Masahiro; Anda, Yoshiharu; Ueda, Tetsuzo; Yoshigoe, Akitaka; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji

    2018-06-01

    Stacked gate dielectrics consisting of wide bandgap SiO2 insulators and thin aluminum oxynitride (AlON) interlayers were systematically investigated in order to improve the performance and reliability of AlGaN/GaN metal–oxide–semiconductor (MOS) devices. A significantly reduced gate leakage current compared with that in a single AlON layer was achieved with these structures, while maintaining the superior thermal stability and electrical properties of the oxynitride/AlGaN interface. Consequently, distinct advantages in terms of the reliability of the gate dielectrics, such as an improved immunity against electron injection and an increased dielectric breakdown field, were demonstrated for AlGaN/GaN MOS capacitors with optimized stacked structures having a 3.3-nm-thick AlON interlayer.

  19. Differential Thermal Analysis and Dielectric Studies on 2-Methyl-2-Nitro-Propane under High Pressure

    Science.gov (United States)

    Büsing, D.; Jenau, M.; Reuter, J.; Würflinger, A.; Tamarit, J. Li.

    1995-05-01

    Differential thermal analysis and dielectric studies under pressures up to 300 MPa and temperatures of about 200 to 350 K have been performed on 2-methyl-2-nitro-propane (TBN). TBN displays an orientationally disordered phase (ODIC), solid I, and two non-plastic phases, solids II and III. The coexistence region of the plastic phase I increases with increasing pressure, whereas the low-temperature phase II apparently vanishes at a triple point I, II, III, above 300 MPa. The static permittivity increases on freezing, characterizing the solid I as an ODIC phase. In the frame of the Kirkwood-Onsager-Fröhlich theory the g-factor is about unity, discounting specific dielectric correlations. The dielectric behaviour of TBN is similar to previously studied related compounds, such as 2-chloro-2-methyl-propane or 2-brome- 2-methyl-propane

  20. Nonlinear electroelastic deformations of dielectric elastomer composites: II - Non-Gaussian elastic dielectrics

    Science.gov (United States)

    Lefèvre, Victor; Lopez-Pamies, Oscar

    2017-02-01

    This paper presents an analytical framework to construct approximate homogenization solutions for the macroscopic elastic dielectric response - under finite deformations and finite electric fields - of dielectric elastomer composites with two-phase isotropic particulate microstructures. The central idea consists in employing the homogenization solution derived in Part I of this work for ideal elastic dielectric composites within the context of a nonlinear comparison medium method - this is derived as an extension of the comparison medium method of Lopez-Pamies et al. (2013) in nonlinear elastostatics to the coupled realm of nonlinear electroelastostatics - to generate in turn a corresponding solution for composite materials with non-ideal elastic dielectric constituents. Complementary to this analytical framework, a hybrid finite-element formulation to construct homogenization solutions numerically (in three dimensions) is also presented. The proposed analytical framework is utilized to work out a general approximate homogenization solution for non-Gaussian dielectric elastomers filled with nonlinear elastic dielectric particles that may exhibit polarization saturation. The solution applies to arbitrary (non-percolative) isotropic distributions of filler particles. By construction, it is exact in the limit of small deformations and moderate electric fields. For finite deformations and finite electric fields, its accuracy is demonstrated by means of direct comparisons with finite-element solutions. Aimed at gaining physical insight into the extreme enhancement in electrostriction properties displayed by emerging dielectric elastomer composites, various cases wherein the filler particles are of poly- and mono-disperse sizes and exhibit different types of elastic dielectric behavior are discussed in detail. Contrary to an initial conjecture in the literature, it is found (inter alia) that the isotropic addition of a small volume fraction of stiff (semi

  1. On the physics of both surface overcharging and charge reversal at heterophase interfaces.

    Science.gov (United States)

    Wang, Zhi-Yong; Zhang, Pengli; Ma, Zengwei

    2018-02-07

    The conventional paradigm for characterizing surface overcharging and charge reversal is based on the so-called Stern layer, in which surface dissociation reaction and specific chemical adsorption are assumed to take place. In this article, a series of Monte Carlo simulations have been applied to obtain useful insights into the underlying physics responsible for these two kinds of anomalous phenomena at the interface of two dielectrics, with special emphasis on the case of divalent counterions that are more relevant in natural and biological environments. At a weakly charged surface, it is found that independent of the type of surface charge distribution and the dielectric response of the solution, the overcharging event is universally driven by the ion size-asymmetric effect. Exceptionally, the overcharging still persists when the surface is highly charged but is only restricted to the case of discrete surface charge in a relatively low dielectric medium. As compared to the adsorption onto the homogeneously smeared charge surface that has the same average affinity for counterions, on the other hand, charge reversal under the action of a dielectric response can be substantially enhanced in the discrete surface charge representation due to strong association of counterions with interfacial groups, and the degree of enhancement depends in a nontrivial way on the reduction of the medium dielectric constant and the steric effects of finite ion size. Rather interestingly, the charge reversal is of high relevance to the overcharging of interfaces because the overwhelming interfacial association forces the coions closer to the surface due to their smaller size than the counterions. Upon the addition of a monovalent salt to the solution, the interfacial association with divalent counterions makes surface overcharging and charge reversal widely unaffected, in contrast to the prevailing notion that screening of surface charge of a homogeneous nature is determined by the

  2. Ceramic-polymer nanocomposites with increased dielectric permittivity and low dielectric loss

    International Nuclear Information System (INIS)

    Bhardwaj, Sumit; Paul, Joginder; Raina, K. K.; Thakur, N. S.; Kumar, Ravi

    2014-01-01

    The use of lead free materials in device fabrication is very essential from environmental point of view. We have synthesized the lead free ferroelectric polymer nanocomposite films with increased dielectric properties. Lead free bismuth titanate has been used as active ceramic nanofillers having crystallite size 24nm and PVDF as the polymer matrix. Ferroelectric β-phase of the polymer composite films was confirmed by X-ray diffraction pattern. Mapping data confirms the homogeneous dispersion of ceramic particles into the polymer matrix. Frequency dependent dielectric constant increases up to 43.4 at 100Hz, whereas dielectric loss decreases with 7 wt% bismuth titanate loading. This high dielectric constant lead free ferroelectric polymer films can be used for energy density applications

  3. Studying The Effect of Various Parameters on The Characteristics of The Dielectric and Metallic Photonic Crystals

    International Nuclear Information System (INIS)

    Ismail, M.; Badawy, Z.M.; Abdel-Rahman, E.

    2015-01-01

    Transmittance characteristics of two types of photonic crystals have been analysed using the transfer matrix method. The first one is the dielectric photonic crystal (DPC), and the second is the metallic photonic crystal (MPC). The effect of the most parameters on the transmission spectra of the dielectric and metallic photonic crystals has been studied

  4. Elaboration and dielectric characterization of a doped ferroelectric ...

    African Journals Online (AJOL)

    ... 1150,1180 and 1200 °C successively to optimize the sintering temperature optimal where the density of the sample is maximum (near theoretical density) and therefore the product has better physical quality. The study of dielectric properties of all samples showed a high permittivity dielectric εr = 18018, low dielectric loss: ...

  5. Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer.

    Science.gov (United States)

    Qian, Qingkai; Li, Baikui; Hua, Mengyuan; Zhang, Zhaofu; Lan, Feifei; Xu, Yongkuan; Yan, Ruyue; Chen, Kevin J

    2016-06-09

    Transistors based on MoS2 and other TMDs have been widely studied. The dangling-bond free surface of MoS2 has made the deposition of high-quality high-k dielectrics on MoS2 a challenge. The resulted transistors often suffer from the threshold voltage instability induced by the high density traps near MoS2/dielectric interface or inside the gate dielectric, which is detrimental for the practical applications of MoS2 metal-oxide-semiconductor field-effect transistor (MOSFET). In this work, by using AlN deposited by plasma enhanced atomic layer deposition (PEALD) as an interfacial layer, top-gate dielectrics as thin as 6 nm for single-layer MoS2 transistors are demonstrated. The AlN interfacial layer not only promotes the conformal deposition of high-quality Al2O3 on the dangling-bond free MoS2, but also greatly enhances the electrical stability of the MoS2 transistors. Very small hysteresis (ΔVth) is observed even at large gate biases and high temperatures. The transistor also exhibits a low level of flicker noise, which clearly originates from the Hooge mobility fluctuation instead of the carrier number fluctuation. The observed superior electrical stability of MoS2 transistor is attributed to the low border trap density of the AlN interfacial layer, as well as the small gate leakage and high dielectric strength of AlN/Al2O3 dielectric stack.

  6. DIELECTRIC WAKE FIELD RESONATOR ACCELERATOR MODULE

    Energy Technology Data Exchange (ETDEWEB)

    Hirshfield, Jay L.

    2013-11-06

    Results are presented from experiments, and numerical analysis of wake fields set up by electron bunches passing through a cylindrical or rectangular dielectric-lined structure. These bunches excite many TM-modes, with Ez components of the wake fields sharply localized on the axis of the structure periodically behind the bunches. The experiment with the cylindrical structure, carried out at ATF Brookhaven National Laboratory, used up to three 50 MeV bunches spaced by one wake field period (21 cm) to study the superposition of wake fields by measuring the energy loss of each bunch after it passed through the 53-cm long dielectric element. The millimeter-wave spectrum of radiation excited by the passage of bunches is also studied. Numerical analysis was aimed not only to simulate the behavior of our device, but in general to predict dielectric wake field accelerator performance. It is shown that one needs to match the radius of the cylindrical dielectric channel with the bunch longitudinal rms-length to achieve optimal performance.

  7. Charge and field coupling phenomena at metal-oxide interfaces and their applications

    Science.gov (United States)

    Voora, Venkata M.

    Heterostructures composed of polar materials, such as ferroelectric and/or piezoelectric, are interesting due to their interface lattice charge coupling (LCC) effects. In this thesis, coupling effects between switchable ferroelectric and non-switchable piezoelectric semiconductor spontaneous polarizations are addressed. Also discussed is a dielectric continuum model approach for studying LCC effects in double layer piezoelectric semiconductor-ferroelectric and triple layer piezoelectric semiconductor-ferroelectric-piezoelectric semiconductor heterostructures. The dielectric continuum model augments the effects of electric field driven switchable polarization due to LCC with depletion layer formation in semiconductor heterostructures. Electrical investigations were used to study a reference single layer (BaTiO3), a double layer (BaTiO3-ZnO), and a triple layer (ZnO-BaTiO 3-ZnO) heterostructure grown by pulsed laser deposition. The coupling between the non-switchable spontaneous polarization of ZnO and the electrically switchable spontaneous polarization of BaTiO3 causes strong asymmetric polarization hysteresis behavior. The n-type ZnO layer within double and triple layered heterostructures reveals hysteresis-dependent capacitance variations upon formation of depletion layers at the ZnO/BaTiO 3 interfaces. Model analysis show very good agreement between the generated data and the experimental results. The dielectric continuum model approach allows for the derivation of the amount and orientation of the spontaneous polarization of the piezoelectric constituents, and can be generalized towards multiple layer piezoelectric semiconductor-ferroelectric heterostructures. Based on experimental results the polarization coupled ZnO-BaTiO 3-ZnO heterostructures is identified as a two-terminal unipolar ferroelectric bi-junction transistor which can be utilized in memory storage devices. Furthermore it is discussed, that the triple layer heterostructure with magnetically

  8. CPdock: the complementarity plot for docking of proteins: implementing multi-dielectric continuum electrostatics.

    Science.gov (United States)

    Basu, Sankar

    2017-12-07

    The complementarity plot (CP) is an established validation tool for protein structures, applicable to both globular proteins (folding) as well as protein-protein complexes (binding). It computes the shape and electrostatic complementarities (S m , E m ) for amino acid side-chains buried within the protein interior or interface and plots them in a two-dimensional plot having knowledge-based probabilistic quality estimates for the residues as well as for the whole structure. The current report essentially presents an upgraded version of the plot with the implementation of the advanced multi-dielectric functionality (as in Delphi version 6.2 or higher) in the computation of electrostatic complementarity to make the validation tool physico-chemically more realistic. The two methods (single- and multi-dielectric) agree decently in their resultant E m values, and hence, provisions for both methods have been kept in the software suite. So to speak, the global electrostatic balance within a well-folded protein and/or a well-packed interface seems only marginally perturbed by the choice of different internal dielectric values. However, both from theoretical as well as practical grounds, the more advanced multi-dielectric version of the plot is certainly recommended for potentially producing more reliable results. The report also presents a new methodology and a variant plot, namely CP dock , based on the same principles of complementarity specifically designed to be used in the docking of proteins. The efficacy of the method to discriminate between good and bad docked protein complexes has been tested on a recent state-of-the-art docking benchmark. The results unambiguously indicate that CP dock can indeed be effective in the initial screening phase of a docking scoring pipeline before going into more sophisticated and computationally expensive scoring functions. CP dock has been made available at https://github.com/nemo8130/CPdock . Graphical Abstract An example showing

  9. Gate dielectric strength dependent performance of CNT MOSFET and CNT TFET: A tight binding study

    Directory of Open Access Journals (Sweden)

    Md. Shamim Sarker

    Full Text Available This paper presents a comparative study between CNT MOSFET and CNT TFET taking into account of different dielectric strength of gate oxide materials. Here we have studied the transfer characteristics, on/off current (ION/IOFF ratio and subthreshold slope of the device using Non Equilibrium Greens Function (NEGF formalism in tight binding frameworks. The results are obtained by solving the NEGF and Poisson’s equation self-consistently in NanoTCADViDES environment and found that the ON state performance of CNT MOSFET and CNT TFET have significant dependency on the dielectric strength of the gate oxide materials. The figure of merits of the devices also demonstrates that the CNT TFET is promising for high-speed and low-power logic applications. Keywords: CNT TFET, Subthreshold slop, Barrier width, Conduction band (C.B and Valance band (V.B, Oxide dielectric strength, Tight binding approach

  10. Plane-wave diffraction by periodic structures with artificial anisotropic dielectrics

    International Nuclear Information System (INIS)

    Kazerooni, Azadeh Semsar; Shahabadi, Mahmoud

    2010-01-01

    Periodic structures with artificial anisotropic dielectrics are studied. The artificial anisotropic dielectric material in this work is made of two alternating isotropic dielectric layers. By a proper choice of the dielectric constant of the layers, we can realize a uniaxial anisotropic medium with controllable anisotropy. The artificial anisotropic dielectric is then used in periodic structures. For these structures, the optical axis of the artificial dielectric is assumed to be parallel or perpendicular to the period of the structure. Diffraction of plane waves by these structures is analyzed by a fully vectorial rigorous matrix method based on a generalized transmission line (TL) formulation. The propagation constants and field distributions are computed and diffraction properties of such structures are studied to show that, by a proper choice of structural parameters, these periodic structures with artificial anisotropic dielectrics can be used as polarizers or polarizing mirrors

  11. Dielectric dispersion, relaxation dynamics and thermodynamic studies of Beta-Alanine in aqueous solutions using picoseconds time domain reflectometry

    Science.gov (United States)

    Vinoth, K.; Ganesh, T.; Senthilkumar, P.; Sylvester, M. Maria; Karunakaran, D. J. S. Anand; Hudge, Praveen; Kumbharkhane, A. C.

    2017-09-01

    The aqueous solution of beta-alanine characterised and studied by their dispersive dielectric properties and relaxation process in the frequency domain of 10×106 Hz to 30×109 Hz with varying concentration in mole fractions and temperatures. The molecular interaction and dielectric parameters are discussed in terms of counter-ion concentration theory. The static permittivity (ε0), high frequency dielectric permittivity (ε∞) and excess dielectric parameters are accomplished by frequency depended physical properties and relaxation time (τ). Molecular orientation, ordering and correlation factors are reported as confirmation of intermolecular interactions. Ionic conductivity and thermo dynamical properties are concluded with the behaviour of the mixture constituents. Solute-solvent, solute-solute interaction, structure making and breaking abilities of the solute in aqueous medium are interpreted. Fourier Transform Infrared (FTIR) spectra of beta- alanine single crystal and liquid state have been studied. The 13C Nuclear Magnetic Resonance (NMR) spectral studies give the signature for resonating frequencies and chemical shifts of beta-alanine.

  12. Dielectric effect on electric fields in the vicinity of the metal–vacuum–dielectric junction

    International Nuclear Information System (INIS)

    Chung, M.S.; Mayer, A.; Miskovsky, N.M.; Weiss, B.L.; Cutler, P.H.

    2013-01-01

    The dielectric effect was theoretically investigated in order to describe the electric field in the vicinity of a junction of a metal, dielectric, and vacuum. The assumption of two-dimensional symmetry of the junction leads to a simple analytic form and to a systematic numerical calculation for the field. The electric field obtained for the triple junction was found to be enhanced or reduced according to a certain criterion determined by the contact angles and dielectric constant. Further numerical calculations of the dielectric effect show that an electric field can experience a larger enhancement or reduction for a quadruple junction than that achieved for the triple junction. It was also found that even though it changes slowly in comparison with the shape effect, the dielectric effect was noticeably large over the entire range of the shape change. - Highlights: ► This work explains how a very strong electric field can be produced due to the dielectric in the vicinity of metal–dielectric contact. ► This work deals with configurations which enhance electric fields using the dielectric effect. The configuration is a type of junction at which metal, vacuum and dielectric meet. ► This work suggests the criterion to determine whether field enhancement occurs or not in the triple junction of metal, vacuum and dielectric. ► This work suggests that a quadruple junction is more effective in enhancing the electric field than a triple junction. The quadruple junction is formed by an additional vacuum portion to the triple junction. ► This work suggests that a triple junction can be a breakthrough candidate for a cold electron source

  13. Interconnect Between a Waveguide and a Dielectric Waveguide Comprising an Impedance Matched Dielectric Lens

    Science.gov (United States)

    Decrossas, Emmanuel (Inventor); Chattopadhyay, Goutam (Inventor); Chahat, Nacer (Inventor); Tang, Adrian J. (Inventor)

    2016-01-01

    A lens for interconnecting a metallic waveguide with a dielectric waveguide is provided. The lens may be coupled a metallic waveguide and a dielectric waveguide, and minimize a signal loss between the metallic waveguide and the dielectric waveguide.

  14. Preparation and Characterization of Pure Organic Dielectric Composites for Capacitors

    Directory of Open Access Journals (Sweden)

    Mao Xin

    2018-01-01

    Full Text Available This work reports the excellent dielectric composites were prepared from polyimide (PI and poly(vinylidene fluoride (PVDF via solution blending and thermal imidization or chemical imidization. The dielectric and thermal properties of the composites were studied. Results indicated that the dielectric properties of the composites synthesized by these two methods were enhanced through the introduction of PVDF, and the composites exhibited excellent thermal stability. Compared to the thermal imidization, the composites prepared by chemical imidization exhibited superior dielectric properties. This study demonstrated that the PI/PVDF composites were potential dielectric materials in the field of electronics.

  15. Correlation between stress-induced leakage current and dielectric degradation in ultra-porous SiOCH low-k materials

    Energy Technology Data Exchange (ETDEWEB)

    Wu, C., E-mail: Chen.Wu@imec.be; De Wolf, I. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Department of Materials Engineering, KU Leuven, 3000 Leuven (Belgium); Li, Y.; Leśniewska, A.; Varela Pedreira, O.; Marneffe, J.-F. de; Ciofi, I.; Verdonck, P.; Baklanov, M. R.; Bömmels, J.; Tőkei, Zs.; Croes, K. [imec, Kapeldreef 75, 3001 Leuven (Belgium)

    2015-10-28

    Stress-Induced Leakage Current (SILC) behavior during the dielectric degradation of ultra-porous SiOCH low-k materials was investigated. Under high voltage stress, SILC increases to a critical value before final hard breakdown. This SILC increase rate is mainly driven by the injected charges and is negligibly influenced by temperature and voltage. SILC is found to be transient and shows a t{sup −1} relaxation behavior, where t is the storage time at low voltages. This t{sup −1} transient behavior, described by the tunneling front model, is caused by both electron charging of neutral defects in the dielectric close to the cathode interface and discharging of donor defects close to the anode interface. These defects have a uniform density distribution within the probed depth range, which is confirmed by the observed flat band voltage shift results collected during the low voltage storage. By applying an additional discharging step after the low voltage storage, the trap energies and spatial distributions are derived. In a highly degraded low-k dielectric, the majority of defects have a trap depth between 3.4 eV and 3.6 eV and a density level of 1 × 10{sup 18 }eV{sup −1 }cm{sup −3}. The relation between the defect density N and the total amount of the injected charges Q is measured to be sub-linear, N ∼ Q{sup 0.45±0.07}. The physical nature of these stress-induced defects is suggested to be caused by the degradation of the Si-O based skeleton in the low-k dielectric.

  16. Optimal Super Dielectric Material

    Science.gov (United States)

    2015-09-01

    plate capacitor will reduce the net field to an unprecedented extent. This family of materials can form materials with dielectric values orders of... Capacitor -Increase Area (A)............8 b. Multi-layer Ceramic Capacitor -Decrease Thickness (d) .......10 c. Super Dielectric Material-Increase...circuit modeling, from [44], and B) SDM capacitor charge and discharge ...................................................22 Figure 15. Dielectric

  17. Dielectric and complex impedance studies of BaTi0·85W0·15O3+δ ...

    Indian Academy of Sciences (India)

    Keywords. Ferroelectrics; grain boundaries; dielectric response; X-ray diffraction. 1. Introduction. Since the discovery of BaTiO3, these materials have been extensively studied owing to their interesting dielectric, ferroelectric, piezoelectric and pyroelectric properties. (Goodman and Buchanan 1986; Hench and West 1990;.

  18. Changes in the dielectric properties of medaka fish embryos during development, studied by electrorotation

    International Nuclear Information System (INIS)

    Shirakashi, Ryo; Mischke, Miriam; Fischer, Peter; Memmel, Simon; Krohne, Georg; Fuhr, Günter R.; Zimmermann, Heiko; Sukhorukov, Vladimir L.

    2012-01-01

    Highlights: ► Electrorotation offers a non-invasive tool for dielectric analysis of fish embryos. ► The three-shell dielectric model matches the rotation spectra of medaka eggs. ► The capacitance value suggests a double-membrane structure of yolk envelope. -- Abstract: The Japanese medaka fish, Oryzias latipes, has become a powerful vertebrate model organism in developmental biology and genetics. The present study explores the dielectric properties of medaka embryos during pre-hatching development by means of the electrorotation (ROT) technique. Due to their layered structure, medaka eggs exhibited up to three ROT peaks in the kHz–MHz frequency range. During development from blastula to early somite stage, ROT spectra varied only slightly. But as the embryo progressed to the late-somite stage, the ROT peaks underwent significant changes in frequency and amplitude. Using morphological data obtained by light and electron microscopy, we analyzed the ROT spectra with a three-shell dielectric model that accounted for the major embryonic compartments. The analysis yielded a very high value for the ionic conductivity of the egg shell (chorion), which was confirmed by independent osmotic experiments. A relatively low capacitance of the yolk envelope was consistent with its double-membrane structure revealed by transmission electron microscopy. Yolk-free dead eggs exhibited only one co-field ROT peak, shifted markedly to lower frequencies with respect to the corresponding peak of live embryos. The dielectric data may be useful for monitoring the development and changes in fish embryos’ viability/conditions in basic research and industrial aquaculture.

  19. Changes in the dielectric properties of medaka fish embryos during development, studied by electrorotation

    Energy Technology Data Exchange (ETDEWEB)

    Shirakashi, Ryo, E-mail: aa21150@iis.u-tokyo.ac.jp [Institute of Industrial Science, The University of Tokyo, Tokyo 153-8505 (Japan); Mischke, Miriam [Lehrstuhl fuer Biotechnologie und Biophysik, Biozentrum, Universitaet Wuerzburg, Wuerzburg (Germany); Fischer, Peter [Physiologische Chemie, Biozentrum, Universitaet Wuerzburg, Wuerzburg (Germany); Memmel, Simon [Lehrstuhl fuer Biotechnologie und Biophysik, Biozentrum, Universitaet Wuerzburg, Wuerzburg (Germany); Krohne, Georg [Abteilung fuer Elektronenmikroskopie, Biozentrum, Universitaet Wuerzburg, Wuerzburg (Germany); Fuhr, Guenter R. [Lehrstuhl fuer Biotechnologie und Medizintechnik, Universitaet des Saarlandes, Saarbruecken (Germany); Zimmermann, Heiko [Lehrstuhl fuer Molekulare und Zellulaere Biotechnologie, Universitaet des Saarlandes, Saarbruecken (Germany); Sukhorukov, Vladimir L., E-mail: sukhorukov@biozentrum.uni-wuerzburg.de [Lehrstuhl fuer Biotechnologie und Biophysik, Biozentrum, Universitaet Wuerzburg, Wuerzburg (Germany)

    2012-11-09

    Highlights: Black-Right-Pointing-Pointer Electrorotation offers a non-invasive tool for dielectric analysis of fish embryos. Black-Right-Pointing-Pointer The three-shell dielectric model matches the rotation spectra of medaka eggs. Black-Right-Pointing-Pointer The capacitance value suggests a double-membrane structure of yolk envelope. -- Abstract: The Japanese medaka fish, Oryzias latipes, has become a powerful vertebrate model organism in developmental biology and genetics. The present study explores the dielectric properties of medaka embryos during pre-hatching development by means of the electrorotation (ROT) technique. Due to their layered structure, medaka eggs exhibited up to three ROT peaks in the kHz-MHz frequency range. During development from blastula to early somite stage, ROT spectra varied only slightly. But as the embryo progressed to the late-somite stage, the ROT peaks underwent significant changes in frequency and amplitude. Using morphological data obtained by light and electron microscopy, we analyzed the ROT spectra with a three-shell dielectric model that accounted for the major embryonic compartments. The analysis yielded a very high value for the ionic conductivity of the egg shell (chorion), which was confirmed by independent osmotic experiments. A relatively low capacitance of the yolk envelope was consistent with its double-membrane structure revealed by transmission electron microscopy. Yolk-free dead eggs exhibited only one co-field ROT peak, shifted markedly to lower frequencies with respect to the corresponding peak of live embryos. The dielectric data may be useful for monitoring the development and changes in fish embryos' viability/conditions in basic research and industrial aquaculture.

  20. NUMERICAL STUDY OF ELECTROMAGNETIC WAVES GENERATED BY A PROTOTYPE DIELECTRIC LOGGING TOOL

    Science.gov (United States)

    To understand the electromagnetic waves generated by a prototype dielectric logging tool, a numerical study was conducted using both the finite-difference, time-domain method and a frequency- wavenumber method. When the propagation velocity in the borehole was greater than th...

  1. Exploring the Room-Temperature Ferromagnetism and Temperature-Dependent Dielectric Properties of Sr/Ni-Doped LaFeO3 Nanoparticles Synthesized by Reverse Micelle Method

    Science.gov (United States)

    Naseem, Swaleha; Khan, Shakeel; Husain, Shahid; Khan, Wasi

    2018-03-01

    This paper reports the thermal, microstructural, dielectric and magnetic properties of La0.75Sr0.25Fe0.65Ni0.35O3 nanoparticles (NPs) synthesized via reverse micelle technique. The thermogravimetric analysis of as-prepared NPs confirmed a good thermal stability of the sample. Powder x-ray diffraction data analyzed with a Rietveld refinement technique revealed single-phase and orthorhombic distorted perovskite crystal structure of the NPs having Pbnm space group. The transmission electron microscopy images show the crystalline nature and formation of nanostructures with a fairly uniform distribution of particles throughout the sample. Temperature-dependent dielectric properties of the NPs in accordance with the Kramers-Kronig transformation (KKT) model, universal dielectric response model and jump relaxation model have been discussed. Electrode or interface polarization is likely the cause of the observed dielectric behavior. Due to grain boundaries and Schottky barriers of the metallic electrodes of semiconductors, the depletion region is observed, which gives rise to Maxwell-Wagner relaxation and hence high dielectric constants. Magnetic studies revealed the ferromagnetic nature of the prepared NPs upon Sr and Ni doping in LaFeO3 perovskite at room temperature. Therefore, these NPs could be a potential candidate as electrode material in solid oxide fuel cells.

  2. Soft Dielectric Elastomer Oscillators Driving Bioinspired Robots.

    Science.gov (United States)

    Henke, E-F Markus; Schlatter, Samuel; Anderson, Iain A

    2017-12-01

    Entirely soft robots with animal-like behavior and integrated artificial nervous systems will open up totally new perspectives and applications. To produce them, we must integrate control and actuation in the same soft structure. Soft actuators (e.g., pneumatic and hydraulic) exist but electronics are hard and stiff and remotely located. We present novel soft, electronics-free dielectric elastomer oscillators, which are able to drive bioinspired robots. As a demonstrator, we present a robot that mimics the crawling motion of the caterpillar, with an integrated artificial nervous system, soft actuators and without any conventional stiff electronic parts. Supplied with an external DC voltage, the robot autonomously generates all signals that are necessary to drive its dielectric elastomer actuators, and it translates an in-plane electromechanical oscillation into a crawling locomotion movement. Therefore, all functional and supporting parts are made of polymer materials and carbon. Besides the basic design of this first electronic-free, biomimetic robot, we present prospects to control the general behavior of such robots. The absence of conventional stiff electronics and the exclusive use of polymeric materials will provide a large step toward real animal-like robots, compliant human machine interfaces, and a new class of distributed, neuron-like internal control for robotic systems.

  3. Thermally stimulated depolarization currents and dielectric properties of Mg0.95Ca0.05TiO3 filled HDPE composites

    Science.gov (United States)

    Shi, Yunzhou; Zhang, Li; Zhang, Jie; Yue, Zhenxing

    2017-12-01

    Mg0.95Ca0.05TiO3 (MCT) filled high density polyethylene (HDPE) composites were prepared by twin-screw extrusion followed by hot pressing technique. The thermally stimulated depolarization current (TSDC) measurement was performed to analyze the contribution of charge distribution and interfacial characteristics to the dielectric loss. TSDC spectra under different polarization conditions show that the introduction of ceramic fillers engenders shallow traps in the vicinity of ceramic-polymer interface, which hinders the injection of space charge from the electrode into the polymer matrix. In the composite materials applied to an external field, charges tend to be captured by these traps. The temperature dependence of relative permittivity and dielectric loss of the composites was measured, and a strong reliance of dielectric loss on temperature was observed. In the heating process, the release of charges accumulating at interfacial region is considered to contribute to the rise in dielectric loss with the increase of temperature.

  4. Dielectric spectroscopy of watermelons for quality sensing

    Science.gov (United States)

    Nelson, Stuart O.; Guo, Wen-chuan; Trabelsi, Samir; Kays, Stanley J.

    2007-07-01

    Dielectric properties of four small-sized watermelon cultivars, grown and harvested to provide a range of maturities, were measured with an open-ended coaxial-line probe and an impedance analyser over the frequency range from 10 MHz to 1.8 GHz. Probe measurements were made on the external surface of the melons and also on tissue samples from the edible internal tissue. Moisture content and soluble solids content (SSC) were measured for internal tissue samples, and SSC (sweetness) was used as the quality factor for correlation with the dielectric properties. Individual dielectric constant and loss factor correlations with SSC were low, but a high correlation was obtained between the SSC and permittivity from a complex-plane plot of dielectric constant and loss factor, each divided by SSC. However, SSC prediction from the dielectric properties by this relationship was not as high as expected (coefficient of determination about 0.4). Permittivity data (dielectric constant and loss factor) for the melons are presented graphically to show their relationships with frequency for the four melon cultivars and for external surface and internal tissue measurements. A dielectric relaxation for the external surface measurements, which may be attributable to a combination of bound water, Maxwell-Wagner, molecular cluster or ion-related effects, is also illustrated. Coefficients of determination for complex-plane plots, moisture content and SSC relationship, and penetration depth are also shown graphically. Further studies are needed for determining the practicality of sensing melon quality from their dielectric properties.

  5. Electrolytic charge inversion at the liquid-solid interface in a nanopore in a doped semiconductor membrane

    Energy Technology Data Exchange (ETDEWEB)

    Gracheva, Maria E [Beckman Institute for Advanced Science and Technology, University of Illinois at Urbana-Champaign, Urbana, IL 61801 (United States); Leburton, Jean-Pierre [Beckman Institute for Advanced Science and Technology, University of Illinois at Urbana-Champaign, Urbana, IL 61801 (United States)

    2007-04-11

    The electrostatics of a nanopore in a doped semiconductor membrane immersed in an electrolyte is studied with a numerical model. Unlike dielectric membranes that always attract excess positive ion charges at the electrolyte/membrane interface whenever a negative surface charge is present, semiconductor membranes exhibit more versatility in controlling the double layer at the membrane surface. The presence of dopant charge in the semiconductor membrane, the shape of the nanopore and the negative surface charge resulting from the pore fabrication process have competing influences on the double layer formation. The inversion of the electrolyte surface charge from negative to positive is observed for n-Si membranes as a function of the membrane surface charge density, while no such inversion occurs for dielectric and p-Si membranes.

  6. The study of dielectric properties of the endohedral fullerenes

    Science.gov (United States)

    Bhusal, Shusil

    Dielectric response of the metal nitride fullerenes is studied using the density functional theory at the all-electron level using generalized gradient approximation. The dielectric response is studied by computing the static dipole polarizabilities using the finite field method, i.e. by numerically differentiating the dipole moments with respect to electric field. The endohedral fullerenes studied in this work are Sc3N C68(6140), Sc3N C68(6146), Sc3N C70(7854), Sc3N C70(7960), Sc3N C76(17490), Sc3N C78(22010), Sc3N C80(31923), Sc3N C80(31924), Sc3N C82(39663), Sc3N C90(43), Sc3N C90(44), Sc3N C92(85), Sc3N C94(121), Sc3N C96(186), Sc3N C98(166). Using the Voronoi and Hirschfield approaches as implemented in our NRLMOL code, we determine the atomic contributions to the total polarizability. The site-specific contributions to the polarizability of endohedral fullerenes allowed us to determine the polarizability of two subsystems: the fullerene shell and the encapsulated Sc3N unit. Our results showed that the contributions to the total polarizability from the encapsulated Sc3N units are vanishingly small. Thus, the total polarizability of the endohedral fullerene is almost entirely due to the outer fullerene shell. These fullerenes are excellent molecular models of a Faraday cage.

  7. Correlation of interface states/border traps and threshold voltage shift on AlGaN/GaN metal-insulator-semiconductor high-electron-mobility transistors

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Tian-Li, E-mail: Tian-Li.Wu@imec.be; Groeseneken, Guido [imec, Kapeldreef 75, 3001 Leuven (Belgium); Department of Electrical Engineering, KU Leuven, Leuven (Belgium); Marcon, Denis; De Jaeger, Brice; Lin, H. C.; Franco, Jacopo; Stoffels, Steve; Van Hove, Marleen; Decoutere, Stefaan [imec, Kapeldreef 75, 3001 Leuven (Belgium); Bakeroot, Benoit [imec, Kapeldreef 75, 3001 Leuven (Belgium); Centre for Microsystems Technology, Ghent University, 9052 Gent (Belgium); Roelofs, Robin [ASM, Kapeldreef 75, 3001 Leuven (Belgium)

    2015-08-31

    In this paper, three electrical techniques (frequency dependent conductance analysis, AC transconductance (AC-g{sub m}), and positive gate bias stress) were used to evaluate three different gate dielectrics (Plasma-Enhanced Atomic Layer Deposition Si{sub 3}N{sub 4}, Rapid Thermal Chemical Vapor Deposition Si{sub 3}N{sub 4}, and Atomic Layer Deposition (ALD) Al{sub 2}O{sub 3}) for AlGaN/GaN Metal-Insulator-Semiconductor High-Electron-Mobility Transistors. From these measurements, the interface state density (D{sub it}), the amount of border traps, and the threshold voltage (V{sub TH}) shift during a positive gate bias stress can be obtained. The results show that the V{sub TH} shift during a positive gate bias stress is highly correlated to not only interface states but also border traps in the dielectric. A physical model is proposed describing that electrons can be trapped by both interface states and border traps. Therefore, in order to minimize the V{sub TH} shift during a positive gate bias stress, the gate dielectric needs to have a lower interface state density and less border traps. However, the results also show that the commonly used frequency dependent conductance analysis technique to extract D{sub it} needs to be cautiously used since the resulting value might be influenced by the border traps and, vice versa, i.e., the g{sub m} dispersion commonly attributed to border traps might be influenced by interface states.

  8. Electrical properties and radiation hardness of SOI systems with multilayer buried dielectric

    International Nuclear Information System (INIS)

    Barchuk, I.P.; Kilchitskaya, V.I.; Lysenko, V.S.

    1997-01-01

    In this work SOI structures with buried SiO 2 -Si 3 N 4 -SiO 2 layers have been fabricated by the ZMR-technique with the aim of improving the total dose radiation hardness of the buried dielectric layer. To optimize the fabrication process, buried layers were investigated by secondary ion mass spectrometry before and after the ZMR process, and the obtained results were compared with electrical measurements. It is shown that optimization of the preparation processes of the initial buried dielectric layers provides ZMR SOI structures with multilayer buried isolation, which are of high quality for both Si film interfaces. Particular attention is paid to the investigation of radiation-induced charge trapping in buried insulators. Buried isolation structures with a nitride layer exhibit significant reduction of radiation-induced positive charge as compared to classical buried SiO 2 layers produced by either the ZMR or the SIMOX technique

  9. Atomization of bismuthane in a dielectric barrier discharge: A mechanistic study

    Czech Academy of Sciences Publication Activity Database

    Kratzer, Jan; Zelina, Ondřej; Svoboda, Milan; Sturgeon, R. E.; Mester, Z.; Dědina, Jiří

    2016-01-01

    Roč. 88, č. 3 (2016), s. 1804-1811 ISSN 0003-2700 R&D Projects: GA ČR GA14-23532S Grant - others:GA AV ČR(CZ) M200311202 Institutional support: RVO:68081715 Keywords : dielectric barrier discharge * hydride generation * mechanistic study Subject RIV: CB - Analytical Chemistry, Separation Impact factor: 6.320, year: 2016

  10. Atomization of bismuthane in a dielectric barrier discharge: A mechanistic study

    Czech Academy of Sciences Publication Activity Database

    Kratzer, Jan; Zelina, Ondřej; Svoboda, Milan; Sturgeon, R. E.; Mester, Z.; Dědina, Jiří

    2016-01-01

    Roč. 88, č. 3 (2016), s. 1804-1811 ISSN 0003-2700 R&D Projects: GA ČR GA14-23532S Grant - others:GA AV ČR(CZ) M200311202 Institutional support: RVO:68081715 Keywords : dielectric barrier discharge * hydride generation * mechanistic study Subject RIV: CB - Analytical Chemistry , Separation Impact factor: 6.320, year: 2016

  11. Observation of modified radiative properties of cold atoms in vacuum near a dielectric surface

    International Nuclear Information System (INIS)

    Ivanov, V V; Cornelussen, R A; Heuvell, H B van Linden van den; Spreeuw, R J C

    2004-01-01

    We have observed a distance-dependent absorption linewidth of cold 87 Rb atoms close to a dielectric-vacuum interface. This is the first observation of modified radiative properties in vacuum near a dielectric surface. A cloud of cold atoms was created using a magneto-optical trap (MOT) and optical molasses cooling. Evanescent waves (EW) were used to observe the behaviour of the atoms near the surface. We observed an increase of the absorption linewidth by up to 25% with respect to the free-space value. Approximately half the broadening can be explained by cavity quantum electrodynamics (CQED) as an increase of the natural linewidth and inhomogeneous broadening. The remainder we attribute to local Stark shifts near the surface. By varying the characteristic EW length we have observed a distance dependence characteristic for CQED

  12. Extraction and dielectric properties of curcuminoid films grown on Si substrate for high-k dielectric applications

    Energy Technology Data Exchange (ETDEWEB)

    Dakhel, A.A.; Jasim, Khalil E. [Department of Physics, College of Science, University of Bahrain, P.O. Box 32038 (Bahrain); Cassidy, S. [Department of Basic Medical Sciences, Royal College of Surgeons in Ireland, Medical University of Bahrain, P.O. Box 15503 (Bahrain); Henari, F.Z., E-mail: fzhenari@rcsi-mub.com [Department of Basic Medical Sciences, Royal College of Surgeons in Ireland, Medical University of Bahrain, P.O. Box 15503 (Bahrain)

    2013-09-20

    Highlights: • The unknown insulating properties of curcuminoid extract are systematically studied. • Optical study gives a bandgap of 3.15 eV and a refractive index of 1.92 at 505 nm. • Turmeric is a high-k environmental friendly material for use in microelectronics. • Curcuminoid extract can be used as insulator of MIS devices with ε{sup ′}{sub ∞}≈54.2. -- Abstract: Curcuminoids were extracted from turmeric powder and evaporated in vacuum to prepare thin films on p-Si and glass substrates for dielectric and optical investigations. The optical absorption spectrum of the prepared amorphous film was not identical to that of the molecular one, which was identified by a strong wide absorption band in between ∼220 and 540 nm. The onset energy of the optical absorption of the film was calculated by using Hamberg et al. method. The dielectric properties of this material were systematically studied for future eco friendly applications in metal–insulator–semiconductor MIS field of applications. The complex dielectric properties were studied in the frequency range of 1–1000 kHz and was analysed in-terms of dielectric impedance Z{sup *}(ω) and modulus M{sup *}(ω). Generally, the curcuminoid complex can be considered as a high-k material and can be used in the environmental friendly production of microelectronic devices.

  13. Extraction and dielectric properties of curcuminoid films grown on Si substrate for high-k dielectric applications

    International Nuclear Information System (INIS)

    Dakhel, A.A.; Jasim, Khalil E.; Cassidy, S.; Henari, F.Z.

    2013-01-01

    Highlights: • The unknown insulating properties of curcuminoid extract are systematically studied. • Optical study gives a bandgap of 3.15 eV and a refractive index of 1.92 at 505 nm. • Turmeric is a high-k environmental friendly material for use in microelectronics. • Curcuminoid extract can be used as insulator of MIS devices with ε ′ ∞ ≈54.2. -- Abstract: Curcuminoids were extracted from turmeric powder and evaporated in vacuum to prepare thin films on p-Si and glass substrates for dielectric and optical investigations. The optical absorption spectrum of the prepared amorphous film was not identical to that of the molecular one, which was identified by a strong wide absorption band in between ∼220 and 540 nm. The onset energy of the optical absorption of the film was calculated by using Hamberg et al. method. The dielectric properties of this material were systematically studied for future eco friendly applications in metal–insulator–semiconductor MIS field of applications. The complex dielectric properties were studied in the frequency range of 1–1000 kHz and was analysed in-terms of dielectric impedance Z * (ω) and modulus M * (ω). Generally, the curcuminoid complex can be considered as a high-k material and can be used in the environmental friendly production of microelectronic devices

  14. Water absorption in thermally grown oxides on SiC and Si: Bulk oxide and interface properties

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Gang [Institute for Advanced Materials, Devices and Nanotechnology, Rutgers University, Piscataway, New Jersey 08854 (United States); Xu, Can; Feldman, Leonard C. [Institute for Advanced Materials, Devices and Nanotechnology, Rutgers University, Piscataway, New Jersey 08854 (United States); Department of Physics and Astronomy, Rutgers University, Piscataway, New Jersey 08854 (United States); Yakshinskiy, Boris; Wielunski, Leszek; Gustafsson, Torgny [Department of Physics and Astronomy, Rutgers University, Piscataway, New Jersey 08854 (United States); Bloch, Joseph [Institute for Advanced Materials, Devices and Nanotechnology, Rutgers University, Piscataway, New Jersey 08854 (United States); NRCN, Beer-Sheva 84190 (Israel); Dhar, Sarit [Department of Physics, Auburn University, Auburn, Alabama 36849 (United States)

    2014-11-10

    We combine nuclear reaction analysis and electrical measurements to study the effect of water exposure (D{sub 2}O) on the n-type 4H-SiC carbon face (0001{sup ¯}) MOS system and to compare to standard silicon based structures. We find that: (1) The bulk of the oxides on Si and SiC behave essentially the same with respect to deuterium accumulation; (2) there is a significant difference in accumulation of deuterium at the semiconductor/dielectric interface, the SiC C-face structure absorbs an order of magnitude more D than pure Si; (3) standard interface passivation schemes such as NO annealing greatly reduce the interfacial D accumulation; and (4) the effective interfacial charge after D{sub 2}O exposure is proportional to the total D amount at the interface.

  15. Nitrile functionalized halloysite nanotubes/poly(arylene ether nitrile) nanocomposites: Interface control, characterization, and improved properties

    Science.gov (United States)

    Wan, Xinyi; Zhan, Yingqing; Zeng, Guangyong; He, Yi

    2017-01-01

    To develop high-performance halloysite nanotube (HNT)-based nanocomposites, the two key issues need to be considered: precise interface control and the dispersal of HNTs. This study presents an efficient way to functionalize halloysite nanotubes with 3-aminophenoxy-phthalonitrile, followed by compounding with poly(arylene ether nitrile) (PEN), to prepare functional nanocomposite films. The surface functionalization of HNTs was characterized and confirmed by Fourier transform infrared spectroscopy (FTIR), thermogravimetric analysis (TGA), X-ray photoelectron spectroscopy (XPS), and transmission electron microscopy (TEM). Compared with neat PEN, the tensile strength and modulus of the resulting PEN nanocomposites with 3 wt% functionalized HNTs were found to increase by 25.7% and 20.7%, respectively. The good dispersion and high capacitance of the dielectric layer resulted in PEN/HNTs nancomposites with enhanced dielectric permittivity and relatively low dielectric loss. Moreover, the addition of functional HNTs greatly improved the thermal stability of PEN, which could be further enhanced through the chemical cross-linking reaction between the functional HNTs and the PEN matrix. This work provides a new path toward obtaining advanced polymer-based nanocomposites with functional properties.

  16. Structural, optical and dielectric properties of graphene oxide

    Science.gov (United States)

    Bhargava, Richa; Khan, Shakeel

    2018-05-01

    The Modified Hummers method has been used to synthesize Graphene oxide nanoparticles. Microstructural analyses were carried out by X-ray diffraction and Fourier transform infrared spectroscopy. Optical properties were studied by UV-visible spectroscopy in the range of 200-700 nm. The energy band gap was calculated with the help of Tauc relation. The frequency dependence of dielectric constant and dielectric loss were studied over a range of the frequency 75Hz to 5MHz at room temperature. The dispersion in dielectric constant can be explained with the help of Maxwell-Wagner model in studied nanoparticles.

  17. Silicone elastomers with high dielectric permittivity and high dielectric breakdown strength based on tunable functionalized copolymers

    DEFF Research Database (Denmark)

    Madsen, Frederikke Bahrt; Yu, Liyun; Daugaard, Anders Egede

    2015-01-01

    system, with respect to functionalization, is achieved. It is investigated how the different functionalization variables affect essential DE properties, including dielectric permittivity, dielectric loss, elastic modulus and dielectric breakdown strength, and the optimal degree of chemical......%) was obtained without compromising other vital DE properties such as elastic modulus, gel fraction, dielectric and viscous loss and electrical breakdown strength....

  18. Passive multi-frequency brain imaging and hyperthermia irradiation apparatus: the use of dielectric matching materials in phantom experiments

    International Nuclear Information System (INIS)

    Gouzouasis, Ioannis; Karathanasis, Konstantinos; Karanasiou, Irene; Uzunoglu, Nikolaos

    2009-01-01

    In this paper a hybrid system able to provide focused microwave radiometry and deep brain hyperthermia is experimentally tested. The system's main module is an ellipsoidal conductive wall cavity which acts as a beam former, focusing the electromagnetic energy on the medium of interest. The system's microwave radiometry component has extensively been studied theoretically and experimentally in the past few years with promising results. In this work, further investigation concerning the improvement of the hybrid system's focusing properties is conducted. Specifically, microwave radiometry and hyperthermia experiments are performed using water phantoms surrounded by dielectric layers used as matching material to enhance detection/penetration depth and spatial resolution. The results showed that the dielectric material reduces the reflected electromagnetic energy on the air–phantom interface, resulting in improved temperature resolution and higher detection or penetration of the energy when microwave radiometry and hyperthermia are applied respectively

  19. Structural, optical, dielectric and magnetic studies of gadolinium-added Mn-Cu nanoferrites

    Science.gov (United States)

    Kanna, R. Rajesh; Lenin, N.; Sakthipandi, K.; Kumar, A. Senthil

    2018-05-01

    Spinel ferrite with the general formula Mn1-xCuxFe1.85Gd0.15O4 (x = 0.2, 0.4, 0.6 and 0.8) was synthesized using the standard sonochemical method. The structure, optical, morphology, dielectric and magnetic properties of the prepared Mn1-xCuxFe1.85Gd0.15O4 nanoferrites were exhaustively investigated using various characterization techniques. The phase purity, secondary phase and crystallite parameters were studied from X-ray diffraction patterns. Fourier transform infrared spectra showed two absorption bands of transition metal oxides in the frequency range from 400 to 650 cm-1, which are related to asymmetric stretching modes of the spinel ferrites (AB2O4). Raman spectra have five active modes illustrating the vibration of O2- ions at both tetrahedral (A) site and octahedral (B) site ions. The wide and narrow scan spectrum from X-ray photoelectron spectroscopy results confirmed the presence of Mn, Cu, Gd, Fe, C and O elements in the composition. The oxidation state and core level of the photo electron peaks of Mn 2p, Cu 2p, Gd 3d, Fe 2p and O 1s were analyzed. The influence of the Cu2+ concentration in Mn1-xCuxFe1.85Gd0.15O4 on the morphology, varying from nanorods, nanoflakes to spherical, was explored on the basis of scanning electron microscopy images. Ultraviolet diffuse reflectance spectroscopy studies indicated that the optical bandgap (5.12-5.32 eV) of the nanoferrites showed an insulating behavior. The dielectric constant, loss tangent and complex dielectric constant values decreased with an increase in frequency with the addition of Gd3+ content. A vibrating sample magnetometer showed that the prepared nanoferrites had a soft ferromagnetic nature. The magnetic parameter changed markedly with an increase in the Cu content in Mn1-xCuxFe1.85Gd0.15O4 nanoferrites. The optical, dielectric and magnetic properties were considerably enhanced with the addition of Gd3+ ions in the spinel nanoferrites.

  20. Silicone elastomers with high dielectric permittivity and high dielectric breakdown strength based on dipolar copolymers

    DEFF Research Database (Denmark)

    Madsen, Frederikke Bahrt; Yu, Liyun; Daugaard, Anders Egede

    2014-01-01

    Dielectric elastomers (DES) are a promising new transducer technology, but high driving voltages limit their current commercial potential. One method used to lower driving voltage is to increase dielectric permittivity of the elastomer. A novel silicone elastomer system with high dielectric...

  1. Dielectric and impedance study of praseodymium substituted Mg-based spinel ferrites

    Energy Technology Data Exchange (ETDEWEB)

    Farid, Hafiz Muhammad Tahir, E-mail: tahirfaridbzu@gmail.com [Department of Physics, Bahauddin Zakariya, University Multan, 60800 (Pakistan); Ahmad, Ishtiaq; Ali, Irshad [Department of Physics, Bahauddin Zakariya, University Multan, 60800 (Pakistan); Ramay, Shahid M. [College of Science, Physics and Astronomy Department, King Saud University, P.O. Box 2455, 11451 Riyadh (Saudi Arabia); Mahmood, Asif [Chemical Engineering Department, College of Engineering, King Saud University, Riyadh (Saudi Arabia); Murtaza, G. [Centre for Advanced Studies in Physics, GC University, Lahore 5400 (Pakistan)

    2017-07-15

    Highlights: • Magnesium based spinel ferrites were successfully synthesized by sol-gel method. • Dielectric constant shows the normal spinel ferrites behavior. • The dc conductivity are found to decrease with increasing temperature. • The samples with low conductivity have high values of activation energy. • The Impedance decreases with increasing frequency of applied field. - Abstract: Spinel ferrites with nominal composition MgPr{sub y}Fe{sub 2−y}O{sub 4} (y = 0.00, 0.025, 0.05, 0.075, 0.10) were prepared by sol-gel method. Temperature dependent DC electrical conductivity and drift mobility were found in good agreement with each other, reflecting semiconducting behavior. The dielectric properties of all the samples as a function of frequency (1 MHz–3 GHz) were measured at room temperature. The dielectric constant and complex dielectric constant of these samples decreased with the increase of praseodymium concentration. In the present spinel ferrite, Cole–Cole plots were used to separate the grain and grain boundary’s effects. The substitution of praseodymium ions in Mg-based spinel ferrites leads to a remarkable rise of grain boundary’s resistance as compared to the grain’s resistance. As both AC conductivity and Cole–Cole plots are the functions of concentration, they reveal the dominant contribution of grain boundaries in the conduction mechanism. AC activation energy was lower than dc activation energy. Temperature dependence normalized AC susceptibility of spinel ferrites reveals that MgFe{sub 2}O{sub 4} exhibits multi domain (MD) structure with high Curie temperature while on substitution of praseodymium, MD to SD transitions occurs. The low values of conductivity and low dielectric loss make these materials best candidate for high frequency application.

  2. High dielectric permittivity and improved mechanical and thermal properties of poly(vinylidene fluoride) composites with low carbon nanotube content: effect of composite processing on phase behavior and dielectric properties.

    Science.gov (United States)

    Kumar, G Sudheer; Vishnupriya, D; Chary, K Suresh; Patro, T Umasankar

    2016-09-23

    The composite processing technique and nanofiller concentration and its functionalization significantly alter the properties of polymer nanocomposites. To realize this, multi-walled carbon nanotubes (CNT) were dispersed in a poly(vinylidene fluoride) (PVDF) matrix at carefully selected CNT concentrations by two illustrious methods, such as solution-cast and melt-mixing. Notwithstanding the processing method, CNTs induced predominantly the γ-phase in PVDF, instead of the commonly obtained β-phase upon nanofiller incorporation, and imparted significant improvements in dielectric properties. Acid-treatment of CNT improved its dispersion and interfacial adhesion significantly with PVDF, and induced a higher γ-phase content and better dielectric properties in PVDF as compared to pristine CNT. Further, the γ-phase content was found to be higher in solution-cast composites than that in melt-mixed counterparts, most likely due to solvent-induced crystallization in a controlled environment and slow solvent evaporation in the former case. However, interestingly, the melt-mixed composites showed a significantly higher dielectric constant at the onset of the CNT networked-structure as compared to the solution-cast composites. This suggests the possible role of CNT breakage during melt-mixing, which might lead to higher space-charge polarization at the polymer-CNT interface, and in turn an increased number of pseudo-microcapacitors in these composites than the solution-cast counterparts. Notably, PVDF with 0.13 vol% (volume fraction, f c  = 0.0013) of acid-treated CNTs, prepared by melt-mixing, displayed the relative permittivity of ∼217 and capacitance of ∼5430 pF, loss tangent of ∼0.4 at 1 kHz and an unprecedented figure of merit of ∼10(5). We suggest a simple hypothesis for the γ-phase formation and evolution of the high dielectric constant in these composites. Further, the high-dielectric composite film showed marked improvements in mechanical and thermal

  3. Oscillatory shear and high-pressure dielectric study of 5-methyl-3-heptanol

    DEFF Research Database (Denmark)

    Hecksher, Tina; Jakobsen, Bo; Dyre, J. C.

    2014-01-01

    The monohydroxy alcohol 5-methyl-3-heptanol is studied using rheology at ambient pressure and using dielectric spectroscopy at elevated pressures up to 1.03 GPa. Both experimental techniques reveal that the relaxational behavior of this liquid is intermediate between those that show a large Debye...

  4. A large-scale study of the ultrawideband microwave dielectric properties of normal breast tissue obtained from reduction surgeries.

    Science.gov (United States)

    Lazebnik, Mariya; McCartney, Leah; Popovic, Dijana; Watkins, Cynthia B; Lindstrom, Mary J; Harter, Josephine; Sewall, Sarah; Magliocco, Anthony; Booske, John H; Okoniewski, Michal; Hagness, Susan C

    2007-05-21

    The efficacy of emerging microwave breast cancer detection and treatment techniques will depend, in part, on the dielectric properties of normal breast tissue. However, knowledge of these properties at microwave frequencies has been limited due to gaps and discrepancies in previously reported small-scale studies. To address these issues, we experimentally characterized the wideband microwave-frequency dielectric properties of a large number of normal breast tissue samples obtained from breast reduction surgeries at the University of Wisconsin and University of Calgary hospitals. The dielectric spectroscopy measurements were conducted from 0.5 to 20 GHz using a precision open-ended coaxial probe. The tissue composition within the probe's sensing region was quantified in terms of percentages of adipose, fibroconnective and glandular tissues. We fit a one-pole Cole-Cole model to the complex permittivity data set obtained for each sample and determined median Cole-Cole parameters for three groups of normal breast tissues, categorized by adipose tissue content (0-30%, 31-84% and 85-100%). Our analysis of the dielectric properties data for 354 tissue samples reveals that there is a large variation in the dielectric properties of normal breast tissue due to substantial tissue heterogeneity. We observed no statistically significant difference between the within-patient and between-patient variability in the dielectric properties.

  5. A large-scale study of the ultrawideband microwave dielectric properties of normal breast tissue obtained from reduction surgeries

    International Nuclear Information System (INIS)

    Lazebnik, Mariya; McCartney, Leah; Popovic, Dijana; Watkins, Cynthia B; Lindstrom, Mary J; Harter, Josephine; Sewall, Sarah; Magliocco, Anthony; Booske, John H; Okoniewski, Michal; Hagness, Susan C

    2007-01-01

    The efficacy of emerging microwave breast cancer detection and treatment techniques will depend, in part, on the dielectric properties of normal breast tissue. However, knowledge of these properties at microwave frequencies has been limited due to gaps and discrepancies in previously reported small-scale studies. To address these issues, we experimentally characterized the wideband microwave-frequency dielectric properties of a large number of normal breast tissue samples obtained from breast reduction surgeries at University of Wisconsin and University of Calgary hospitals. The dielectric spectroscopy measurements were conducted from 0.5 to 20 GHz using a precision open-ended coaxial probe. The tissue composition within the probe's sensing region was quantified in terms of percentages of adipose, fibroconnective and glandular tissues. We fit a one-pole Cole-Cole model to the complex permittivity data set obtained for each sample and determined median Cole-Cole parameters for three groups of normal breast tissues, categorized by adipose tissue content (0-30%, 31-84% and 85-100%). Our analysis of the dielectric properties data for 354 tissue samples reveals that there is a large variation in the dielectric properties of normal breast tissue due to substantial tissue heterogeneity. We observed no statistically significant difference between the within-patient and between-patient variability in the dielectric properties

  6. Super Dielectric Materials.

    Science.gov (United States)

    Fromille, Samuel; Phillips, Jonathan

    2014-12-22

    Evidence is provided here that a class of materials with dielectric constants greater than 10⁵ at low frequency (dielectric materials (SDM), can be generated readily from common, inexpensive materials. Specifically it is demonstrated that high surface area alumina powders, loaded to the incipient wetness point with a solution of boric acid dissolved in water, have dielectric constants, near 0 Hz, greater than 4 × 10⁸ in all cases, a remarkable increase over the best dielectric constants previously measured for energy storage capabilities, ca. 1 × 10⁴. It is postulated that any porous, electrically insulating material (e.g., high surface area powders of silica, titania, etc. ), filled with a liquid containing a high concentration of ionic species will potentially be an SDM. Capacitors created with the first generated SDM dielectrics (alumina with boric acid solution), herein called New Paradigm Super (NPS) capacitors display typical electrostatic capacitive behavior, such as increasing capacitance with decreasing thickness, and can be cycled, but are limited to a maximum effective operating voltage of about 0.8 V. A simple theory is presented: Water containing relatively high concentrations of dissolved ions saturates all, or virtually all, the pores (average diameter 500 Å) of the alumina. In an applied field the positive ionic species migrate to the cathode end, and the negative ions to the anode end of each drop. This creates giant dipoles with high charge, hence leading to high dielectric constant behavior. At about 0.8 V, water begins to break down, creating enough ionic species to "short" the individual water droplets. Potentially NPS capacitor stacks can surpass "supercapacitors" in volumetric energy density.

  7. Barium ferrite/epoxy resin nanocomposite system: Fabrication, dielectric, magnetic and hydration studies

    Directory of Open Access Journals (Sweden)

    A. Kanapitsas

    2016-03-01

    Full Text Available Composite systems of epoxy resin and barium ferrite nanoparticles have been prepared, and studied varying the content of the inclusions. Morphology of prepared samples has been examined via scanning electron microscopy and X-ray diffraction spectra, while electrical and magnetic properties were investigated by means of broadband dielectric spectroscopy, and magnetization tests respectively. Finally, water vapor sorption measurements were conducted in order to study the water sorption dynamics of the system. Electron microscopy images revealed the successful fabrication of nanocomposites. Dielectric permittivity increases with filler content, while three relaxation processes were detected in the relative spectra. These processes are attributed to interfacial polarization, glass to rubber transition of the matrix, and re-orientation of polar side groups of the polymer’s chain. Magnetization and magnetic saturation increase with magnetic nano-powder content. Nanocomposites absorb a small amount of water, not exceeding 1.7 wt%, regardless filler content, indicating their hydrophobic character.

  8. Dielectric relaxation studies in super-cooled liquid and glassy phases of anti-cancerous alkaloid: Brucine

    Science.gov (United States)

    Afzal, Aboothahir; Shahin Thayyil, M.; Sulaiman, M. K.; Kulkarni, A. R.

    2018-05-01

    Brucine has good anti-tumor effects, on both liver cancer and breast cancer. It has bioavailability of 40.83%. Since the bioavailability of the drug is low, an alternative method to increase its bioavailability and solubility is by changing the drug into glassy form. We used Differential Scanning Calorimetry (DSC) for studying the glass forming ability of the drug. Brucine was found to be a very good glass former glass transition temperature 365 K. Based on the DSC analysis we have used broadband dielectric spectroscopy (BDS) for studying the drug in the super cooled and glassy state. BDS is an effective tool to probe the molecular dynamics in the super cooled and glassy state. Molecular mobility is found to be present even in the glassy state of this active pharmaceutical ingredient (API) which is responsible for the instability. Our aim is to study the factors responsible for instability of this API in amorphous form. Cooling curves for dielectric permittivity and dielectric loss revealed the presence of structural (α) and secondary relaxations (β and γ). Temperature dependence of relaxation time is fitted by Vogel-Fulcher-Tammann equation and found the values of activation energy of the α relaxation, fragility and glass transition temperature. Paluch's anti correlation is also verified, that the width of the α-loss peak at or near the glass transition temperature Tg is strongly anticorrelated with the polarity of the molecule. The larger the dielectric relaxation strength Δɛ (Tg) of the system, the narrower is the α-loss peak (higher value of βKWW).

  9. Effect of coupling agents on the dielectric properties and energy storage of Ba0.5Sr0.5TiO3/P(VDF-CTFE nanocomposites

    Directory of Open Access Journals (Sweden)

    Peixuan Wu

    2017-07-01

    Full Text Available Dielectric materials with high electric energy density and low dielectric loss are critical for electric applications in modern electronic and electrical power systems. To obtain desirable dielectric properties and energy storage, nanocomposites using Ba0.5Sr0.5TiO3 (BST as the filler and poly(vinylidene fluoride-chlorotrifluoroethylene as the matrix material are prepared with a uniform microstructure by using a newly developed process that combines the bridge-linked action of a coupling agent, solution casting, and a hot-pressing method. When a proper amount of coupling agent is used to modify the surface of the nanoparticles, the composite exhibits a higher dielectric constant and a more uniform microstructure. A dielectric constant of 95, dielectric loss of 0.25, and energy density of 2.7 J/cm3 is obtained in the nanocomposite with 30 vol.% of BST and 15 wt.% of coupling agent. The results suggest that the energy storage ability of the composites could be improved by the surface modification of the fillers and from the interface compatibility between the fillers and the polymer matrix.

  10. Organic dielectrics in high voltage cables

    Energy Technology Data Exchange (ETDEWEB)

    Vermeer, J

    1962-03-01

    It appears that the limit has been reached in the applicability of oil-impregnated paper as the dielectric for ehv cables, as with rising voltages the prevention of conductor losses becomes increasingly difficult, while the dielectric losses of the insulation, increasing as the square of the voltage, contribute to a greater extent to the temperature rise of the conductor. The power transmitting capacity of ehv cables reaches a maximum at 500 to 600 kV for these reasons. Apart from artificial cooling, a substantial improvement can be obtained only with the use of insulating materials with much lower dielectric losses; these can moreover be applied with a smaller wall thickness, but this means higher field strengths. Synthetic polymer materials meet these requirements but can be used successfully only in the form of lapped film tapes impregnated with suitable liquids. The electrical properties of these heterogeneous dielectrics, in particular, their impulse breakdown strengths are studied in detail.

  11. Contemporary dielectric materials

    CERN Document Server

    Saravanan, R

    2016-01-01

    This book deals with experimental results of the physical characterization of several important, dielectric materials of great current interest. The experimental tools used for the analysis of these materials include X-ray diffraction, dielectric measurements, magnetic measurements using a vibrating sample magnetometer, optical measurements using a UV-Visible spectrometer etc.

  12. Vegetable oil based liquid nanocomposite dielectric

    Directory of Open Access Journals (Sweden)

    Leon Chetty

    2013-01-01

    Full Text Available Physically smaller dielectric materials would improve the optimisation of space for power systems. Development of nanotechnology provides an effective way to improve the performances of insulating oils used in power system applications. In this research study, we focused on the development of nanomodified vegetable oils to be used in power transformers. Higher conduction currents were observed in virgin linseed oil than in virgin castor oil. However, for both virgin linseed and virgin castor oil, the DC conduction current increased approximately linearly with the applied DC voltage. In nanomodified linseed oil, the characteristic curve showed two distinct regions: a linear region (at lower applied voltage and a saturation region (at slightly higher voltage. Conversely, in nanomodified castor oil, the characteristic curve showed three distinct regions: a linear region (at lower applied voltage, a saturation region (at intermediate applied voltage and an exponential growth region (at higher applied voltage. The nanomodified linseed oil exhibited a better dielectric performance than the nanomodified castor oil. Overall, the addition of nanodielectrics to vegetable oils decreased the dielectric performance of the vegetable oils. The results of this study contribute to the understanding of the pre-breakdown phenomenon in liquid nanocomposite dielectrics.

  13. Electromagnetic properties of metal-dielectric media and their applications

    Science.gov (United States)

    Animilli, Shravan Rakesh

    composites. Based on the obtained numerical data a scaling theory for the higher order electric field moments is developed. A distinct evidence of singularities in the surface plasmon density of states and localization length is obtained, correlating with results previously obtained for two dimensional systems. This leads to the main finding of this work; i.e., the delocalization of surface plasmon states in percolating metal-dielectric composite materials is universally present regardless of the dimensionality of the problem. This dissertation also proposes a new approach toward developing highly efficient inorganic/organic solar cell, by presenting a method for enhancement in the optical absorption and overall cell efficiency. Specifically, the approach improves the operation characteristics of inorganic semiconductor (e.g. Si and a-Si) and organic (P3HT:PCBM) thin film solar cells by integrating a thin, inhomogeneous, metal-dielectric composite (MDC) electrode at the interface between the transparent electrode and active layer. Through numerical simulations, we show that under solar illumination, surface plasmons are excited within the fractal MDC electrode across an extremely broad range of optical frequencies, trapping the incoming light and ensuring an optimal absorption into the active layer of the solar cells. An analytical model is developed to study the I-V characteristics of the cells, providing a pathway toward achieving optimal efficiency and better understanding of the behavior of charge carriers. Using this model, it is shown that including gold MDC electrodes can lead to an enhancement in solar cell power conversion efficiency up to 33% higher compared to the benchmark device.

  14. Sedimentation in Particulate Aqueous Suspensions as studied by means of Dielectric Time Domain Spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Pettersen, Bjoernar Hauknes

    1997-12-31

    Many problems in offshore oil production and multiphase transport are related to surface and colloid chemistry. This thesis applies dielectric spectroscopy as an experimental technique to study the behaviour of particle suspensions in polar media. The thesis opens with an introduction to suspensions and time domain dielectric spectroscopy. It then investigates the dielectric properties of silica and alumina dispersed in polar solvents. It is found that theoretical models can be used to calculate the volume fraction disperse phase in the suspension and that the particle sedimentation depends on the wetting of the particles, charge on the particle surface and viscosity of the solvent, and that this dependency can be measured by time domain dielectric spectroscopy. When the surface properties of silica and alumina particles were modified by coating them with a non-ionic polymer and a non-ionic surfactant, then different degrees of packing in the sedimented phase at the bottom of the sedimentation vessel occurred. Chemometrical methods on the synthesis of monodisperse silica particles were used to investigate what factors influence the particle size. It turned out that it is insufficient to consider only main variables when discussing the results of the synthesis. By introducing interaction terms, the author could explain the variation in the size of particles synthesized. The difference in the sedimentation rate of monodisperse silica particles upon variation of volume fraction particles, pH, salinity, amount of silanol groups at the particle surface and temperature was studied. The cross interactions play an important role and a model explaining the variation in sedimentation is introduced. Finally, magnetic particles dispersed in water and in an external magnetic field were used to study the impact on the sedimentation due to the induced flocculation. 209 refs., 90 figs., 9 tabs.

  15. The Electrical Breakdown of Thin Dielectric Elastomers

    DEFF Research Database (Denmark)

    Zakaria, Shamsul Bin; Morshuis, Peter H. F.; Yahia, Benslimane Mohamed

    2014-01-01

    Dielectric elastomers are being developed for use in actuators, sensors and generators to be used in various applications, such as artificial eye lids, pressure sensors and human motion energy generators. In order to obtain maximum efficiency, the devices are operated at high electrical fields....... This increases the likelihood for electrical breakdown significantly. Hence, for many applications the performance of the dielectric elastomers is limited by this risk of failure, which is triggered by several factors. Amongst others thermal effects may strongly influence the electrical breakdown strength....... In this study, we model the electrothermal breakdown in thin PDMS based dielectric elastomers in order to evaluate the thermal mechanisms behind the electrical failures. The objective is to predict the operation range of PDMS based dielectric elastomers with respect to the temperature at given electric field...

  16. Dielectric Relaxation Studies of Alkyl Methacrylate–Phenol Mixtures ...

    African Journals Online (AJOL)

    The Kirkwood correlation factor and the excess inverse relaxation time were determined and they yield information on the molecular interactions occurring in the systems. The values of the static permittivity and the relaxation time increase with an increase in the percentage of phenol in the mixtures. KEYWORDS: Dielectric ...

  17. Electromechanical model to predict the movability of liquids in an electrowetting-on-dielectric microfluidic device

    Science.gov (United States)

    Torabinia, Matin; Farzbod, Ali; Moon, Hyejin

    2018-04-01

    In electrowetting-on-dielectric (EWOD) microfluidics, a motion of a fluid is created by a voltage applied to the fluid/surface interface. Water and aqueous solutions are the most frequently used fluids in EWOD devices. In order for EWOD microfluidics to be a versatile platform for various applications, however, movability of different types of fluids other than aqueous solutions should be understood. An electromechanical model using a simple RC circuit has been used to predict the mechanical force exerted on a liquid droplet upon voltage application. In this present study, two important features missed in previous works are addressed. Energy dissipation by contact line friction is considered in the new model as the form of resistor. The phase angle is taken into account in the analysis of the AC circuit. The new electromechanical model and computation results are validated with experimental measurements of forces on two different liquids. The model is then used to explain influences of contact angle hysteresis, surface tension, conductivity, and dielectric constant of fluids to the mechanical force on a liquid droplet.

  18. Growth, spectral, dielectric and antimicrobial studies on 4-piperidinium carboxylamide picrate crystals

    Science.gov (United States)

    Dhanabal, T.; Tharanitharan, V.; Amirthaganesan, G.; Dhandapani, M.

    2014-07-01

    Single crystal of 4-piperidinium carboxylamide picrate was grown by slow evaporation solution growth technique at ambient temperature. The average dimensions of grown crystal were 0.7 × 0.3 × 0.2 cm3. The solubility of the compound was analyzed using methanol and acetone. Optical property of the compound was ascertained by UV-visible absorption spectral study. The sharp and well defined Bragg peaks observed in the powder X-ray diffraction pattern confirm its crystallinity. The different kinds of protons and carbons in the compound were confirmed by 1H and 13C NMR spectral analyses. The presence of various functional groups in the compound was assigned through polarized Raman spectral study. The mechanical property of the crystal was measured by Vicker's microhardness test and the compound was found to be soft material. The dielectric constant and dielectric loss of the crystal decrease with increase in frequency. The antibacterial and antifungal activities of the crystal were studied by disc diffusion method and found that the compound shows good inhibition efficiency against various bacteria and fungi species.

  19. A Molecular Dynamics Study of Crosslinked Phthalonitrile Polymers: The Effect of Crosslink Density on Thermomechanical and Dielectric Properties

    Directory of Open Access Journals (Sweden)

    Janel Chua

    2018-01-01

    Full Text Available In this work, molecular dynamics (MD and molecular mechanics (MM simulations are used to study well-equilibrated models of 4,4′-bis(3,4-dicyanophenoxybiphenyl (BPh–1,3-bis(3-aminophenoxybenzene (m-APB phthalonitrile (PN system with a range of crosslink densities. A cross-linking technique is introduced to build a series of systems with different crosslink densities; several key properties of this material, including thermal expansion, mechanical properties and dielectric properties are studied and compared with experimental results. It is found that the coefficient of linear thermal expansion predicted by the model is in good agreement with experimental results and indicative of the good thermal stability of the PN polymeric system. The simulation also shows that this polymer has excellent mechanical property, whose strength increases with increasing crosslink density. Lastly and most importantly, the calculated dielectric constant—which shows that this polymer is an excellent insulating material—indicates that there is an inverse relation between cross-linking density and dielectric constant. The trend gave rise to an empirical quadratic function which can be used to predict the limits of attainable dielectric constant for highly crosslinked polymer systems. The current computational work provides strong evidence that this polymer is a promising material for aerospace applications and offers guidance for experimental studies of the effect of cross-linking density on the thermal, mechanical and dielectric properties of the material.

  20. Study on guided-mode resonance characteristic of multilayer dielectric grating with broadband and wide using-angle

    International Nuclear Information System (INIS)

    Jian-Peng, Wang; Yun-Xia, Jin; Jian-Yong, Ma; Jian-Da, Shao; Zheng-Xiu, Fan

    2010-01-01

    Guided-mode resonance in a diffraction band of multilayer dielectric gratings may lead to a catastrophic result in laser system, especially in the ultrashort pulse laser system, so the inhibition of guided-mode resonance is very important. In this paper the characteristics of guided-mode resonance in multilayer dielectric grating are studied with the aim of better understanding the physical process of guided-mode resonance and designing a broadband multilayer dielectric grating with no guided-mode resonance. By employing waveguide theory, all guided-wave modes appearing in multilayer dielectric grating are found, and the incident conditions, separately, corresponding to each guided-wave mode are also obtained. The electric field enhancement in multilayer dielectric grating is shown obviously. Furthermore, from the detailed analyses on the guided-mode resonance conditions, it is found that the reduction of the grating period would effectively avoid the appearing of guided-mode resonance. And the expressions for calculating maximum periods, which ensure that no guided-mode resonance occurs in the requiring broad angle or wavelength range, are first reported. The above results calculated by waveguide theory and Fourier mode method are compared with each other, and they are coincident completely. Moreover, the method that relies on waveguide theory is more helpful for understanding the guided-mode resonance excited process and analyzing how each parameter affects the characteristic of guided-mode resonance. Therefore, the effects of multilayer dielectric grating parameters, such as period, fill factor, thickness of grating layer, et al., on the guided-mode resonance characteristic are discussed in detail based on waveguide theory, and some meaningful results are obtained. (classical areas of phenomenology)

  1. PREFACE: Dielectrics 2009: Measurement Analysis and Applications

    Science.gov (United States)

    Vaughan, Alun; Williams, Graham

    2009-07-01

    The conference Dielectrics 2009: Measurements, Analysis and Applications represents a significant milestone in the evolution of dielectrics research in the UK. It is reasonable to state that the academic study of dielectrics has led to many fundamental advances and that dielectric materials underpin the modern world in devices ranging from field effect transistors, which operate at extremely high fields, albeit low voltages, to the high voltage plants that provide the energy that powers our economy. The origins of the Dielectrics Group of the Institute of Physics (IOP), which organized this conference, can be traced directly back to the early 1960s, when Professor Mansel Davies was conducting research into the dielectric relaxation behaviour of polar liquids and solids at The Edward Davies Chemical Laboratories of the University College of Wales, Aberystwyth. He was already well-known internationally for his studies of molecular structure and bonding of small molecules, using infra-red-spectroscopy, and of the physical properties of hydrogen-bonded liquids and solids, using thermodynamic methods. Dielectric spectroscopy was a fairly new area for him and he realized that opportunities for scientists in the UK to gather together and discuss their research in this developing area of physical chemistry/chemical physics were very limited. He conceived the idea of forming a Dielectrics Discussion Group (DDG), which would act as a meeting point and provide a platform for dielectrics research in the UK and beyond and, as a result, a two-day Meeting was convened in the spring of 1968 at Gregynog Hall of the University of Wales, near Newtown, Montgomeryshire. It was organized by Mansel Davies, Alun Price and Graham Williams, all physical chemists from the UCW, Aberystwyth. Fifty scientists attended, being a mix of physical chemists, theoretical chemists, physicists, electrical engineers, polymer and materials scientists, all from the UK, except Dr Brendan Scaife of Trinity

  2. Dielectric Properties of PANI/CuO Nanocomposites

    Science.gov (United States)

    Ambalagi, Sharanabasamma M.; Devendrappa, Mahalesh; Nagaraja, Sannakki; Sannakki, Basavaraja

    2018-02-01

    The combustion method is used to prepare the Copper Oxide (CuO) nanoparticles. The nanocomposites of Polyaniline (PANI) by doping with copper oxide nanoparticles have synthesized at 10, 20, 30, 40 and 50 different weight percentages during the in-situ polymerization. The samples of nanocomposite of PANI-CuO were characterized by using X-Ray diffraction (XRD) technique. The physical properties such as dielectric constant, dielectric loss and A C conductivity of the nanocomposites are studied as a function of frequency in the range 5Hz-35MHz at room temperature. It is found that the dielectric constant decreases as the frequency increases. The dielectric constant it remains constant at higher frequencies and it is also observed that in particular frequency both the dielectric constant and dielectric loss are decreased as a weight percentage of CuO increased. In case of AC conductivity it is found that as the frequency increases the AC conductivity remains constant up to 3.56MHz and afterwards it increases as frequency increases. This is due to the increase in charge carriers through the hopping mechanism in the polymer nanocomposites. It is also observed that as a weight percentage of CuO increased the AC conductivity is also increasing at a particular frequency.

  3. Dielectric silicone elastomers with mixed ceramic nanoparticles

    International Nuclear Information System (INIS)

    Stiubianu, George; Bele, Adrian; Cazacu, Maria; Racles, Carmen; Vlad, Stelian; Ignat, Mircea

    2015-01-01

    Highlights: • Composite ceramics nanoparticles (MCN) with zirconium dioxide and lead zirconate. • Dielectric elastomer films wDith PDMS matrix and MCN as dielectric filler. • Hydrophobic character—water resistant and good flexibility specific to siloxanes. • Increased value of dielectric constant with the content of MCN in dielectric films. • Increased energy output from uniaxial deformation of the dielectric elastomer films. - Abstract: A ceramic material consisting in a zirconium dioxide-lead zirconate mixture has been obtained by precipitation method, its composition being proved by wide angle X-ray powder diffraction and energy-dispersive X-ray spectroscopy. The average diameter of the ceramic particles ranged between 50 and 100 nm, as revealed by transmission electron microscopy images. These were surface treated and used as filler for a high molecular mass polydimethylsiloxane-α,ω-diol (Mn = 450,000) prepared in laboratory, the resulted composites being further processed as films and crosslinked. A condensation procedure, unusual for polydimethylsiloxane having such high molecular mass, with a trifunctional silane was approached for the crosslinking. The effect of filler content on electrical and mechanical properties of the resulted materials was studied and it was found that the dielectric permittivity of nanocomposites increased in line with the concentration of ceramic nanoparticles

  4. Dielectric silicone elastomers with mixed ceramic nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Stiubianu, George, E-mail: george.stiubianu@icmpp.ro [“Petru Poni” Institute of Macromolecular Chemistry, Aleea Gr. Ghica Voda 41A, Iasi 700487 (Romania); Bele, Adrian; Cazacu, Maria; Racles, Carmen; Vlad, Stelian [“Petru Poni” Institute of Macromolecular Chemistry, Aleea Gr. Ghica Voda 41A, Iasi 700487 (Romania); Ignat, Mircea [National R& D Institute for Electrical Engineering ICPE-CA Bucharest, Splaiul Unirii 313, District 3, Bucharest 030138 (Romania)

    2015-11-15

    Highlights: • Composite ceramics nanoparticles (MCN) with zirconium dioxide and lead zirconate. • Dielectric elastomer films wDith PDMS matrix and MCN as dielectric filler. • Hydrophobic character—water resistant and good flexibility specific to siloxanes. • Increased value of dielectric constant with the content of MCN in dielectric films. • Increased energy output from uniaxial deformation of the dielectric elastomer films. - Abstract: A ceramic material consisting in a zirconium dioxide-lead zirconate mixture has been obtained by precipitation method, its composition being proved by wide angle X-ray powder diffraction and energy-dispersive X-ray spectroscopy. The average diameter of the ceramic particles ranged between 50 and 100 nm, as revealed by transmission electron microscopy images. These were surface treated and used as filler for a high molecular mass polydimethylsiloxane-α,ω-diol (Mn = 450,000) prepared in laboratory, the resulted composites being further processed as films and crosslinked. A condensation procedure, unusual for polydimethylsiloxane having such high molecular mass, with a trifunctional silane was approached for the crosslinking. The effect of filler content on electrical and mechanical properties of the resulted materials was studied and it was found that the dielectric permittivity of nanocomposites increased in line with the concentration of ceramic nanoparticles.

  5. Dielectric properties of Zea mays kernels - studies for microwave power processing applications

    Energy Technology Data Exchange (ETDEWEB)

    Surducan, Emanoil; Neamtu, Camelia; Surducan, Vasile, E-mail: emanoil.surducan@itim-cj.r [National Institute for Research and Development of Isotopic and Molecular Technologies, 65-103 Donath, 400293 Cluj-Napoca (Romania)

    2009-08-01

    Microwaves absorption in biological samples can be predicted by their specific dielectrical properties. In this paper, the dielectric properties ({epsilon}' and {epsilon}'') of corn (Zea mays) kernels in the 500 MHz - 20 GHz frequencies range are presented. A short analysis of the microwaves absorption process is also presented, in correlation with the specific thermal properties of the samples, measured by simultaneous TGA-DSC method.

  6. Structural, dielectric and AC conductivity study of Sb2O3 thin film ...

    Indian Academy of Sciences (India)

    52

    However, to date, no reports have appeared on impedance spectroscopy, modulus behavior, electrical conductivity, dielectric relaxation and dielectric properties of crystalline Sb2O3 thin films. This paper deals for the first time with the frequency and temperature dependence of AC conductivity and complex electric modulus ...

  7. Scattering Study of Conductive-Dielectric Nano/Micro-Grained Single Crystals Based on Poly(ethylene glycol, Poly(3-hexyl thiophene and Polyaniline

    Directory of Open Access Journals (Sweden)

    Samira Agbolaghi

    2017-12-01

    Full Text Available Two types of rod-coil block copolymers including poly(3-hexylthiophene-block-poly(ethylene glycol (P3HT-b-PEG and PEG-block-polyaniline (PANI were synthesized using Grignard metathesis polymerization, Suzuki coupling, and interfacial polymerization. Afterward, two types of single crystals were grown by self-seeding methodology to investigate the coily and rod blocks in grafted brushes and ordered crystalline configurations. The conductive P3HT fibrillar single crystals covered by the dielectric coily PEG oligomers were grown from toluene, xylene, and anisole, and characterized by atomic force microscopy (AFM and grazing wide angle X-ray scattering (GIWAXS. Longer P3HT backbones resulted in folding, whereas shorter ones had a high tendency towards backbone lamination. The effective factors on folding of long P3HT backbones in the single crystal structures were the solvent quality and crystallization temperature. Better solvents due to decelerating the growth condition led to a higher number of foldings. Via increasing the crystallization temperature, the system decreased the folding number to maintain its stability. Poorer solvents also reflected a higher stacking in hexyl side chain and π-π stacking directions. The dielectric lamellar PEG single crystals sandwiched between the PANI nanorods were grown from amyl acetate, and analyzed using the interface distribution function (IDF of SAXS and AFM. The molecular weights of PANI and PEG blocks and crystallization temperature were focused while studying the grown single crystals.

  8. Super Dielectric Materials

    Directory of Open Access Journals (Sweden)

    Samuel Fromille

    2014-12-01

    Full Text Available Evidence is provided here that a class of materials with dielectric constants greater than 105 at low frequency (<10−2 Hz, herein called super dielectric materials (SDM, can be generated readily from common, inexpensive materials. Specifically it is demonstrated that high surface area alumina powders, loaded to the incipient wetness point with a solution of boric acid dissolved in water, have dielectric constants, near 0 Hz, greater than 4 × 108 in all cases, a remarkable increase over the best dielectric constants previously measured for energy storage capabilities, ca. 1 × 104. It is postulated that any porous, electrically insulating material (e.g., high surface area powders of silica, titania, etc., filled with a liquid containing a high concentration of ionic species will potentially be an SDM. Capacitors created with the first generated SDM dielectrics (alumina with boric acid solution, herein called New Paradigm Super (NPS capacitors display typical electrostatic capacitive behavior, such as increasing capacitance with decreasing thickness, and can be cycled, but are limited to a maximum effective operating voltage of about 0.8 V. A simple theory is presented: Water containing relatively high concentrations of dissolved ions saturates all, or virtually all, the pores (average diameter 500 Å of the alumina. In an applied field the positive ionic species migrate to the cathode end, and the negative ions to the anode end of each drop. This creates giant dipoles with high charge, hence leading to high dielectric constant behavior. At about 0.8 V, water begins to break down, creating enough ionic species to “short” the individual water droplets. Potentially NPS capacitor stacks can surpass “supercapacitors” in volumetric energy density.

  9. A large-scale study of the ultrawideband microwave dielectric properties of normal, benign and malignant breast tissues obtained from cancer surgeries

    Energy Technology Data Exchange (ETDEWEB)

    Lazebnik, Mariya [Department of Electrical and Computer Engineering, University of Wisconsin, Madison, WI (United States); Popovic, Dijana [Department of Electrical and Computer Engineering, University of Calgary, Calgary, AB (Canada); McCartney, Leah [Department of Electrical and Computer Engineering, University of Calgary, Calgary, AB (Canada); Watkins, Cynthia B [Department of Electrical and Computer Engineering, University of Wisconsin, Madison, WI (United States); Lindstrom, Mary J [Department of Biostatistics and Medical Informatics, University of Wisconsin, Madison, WI (United States); Harter, Josephine [Department of Pathology, University of Wisconsin, Madison, WI (United States); Sewall, Sarah [Department of Pathology, University of Wisconsin, Madison, WI (United States); Ogilvie, Travis [Department of Pathology, University of Calgary, Calgary, AB (Canada); Magliocco, Anthony [Department of Pathology, University of Calgary, Calgary, AB (Canada); Breslin, Tara M [Department of Surgery, University of Wisconsin, Madison, WI (United States); Temple, Walley [Department of Surgery and Oncology, University of Calgary, Calgary, AB (Canada); Mew, Daphne [Department of Surgery and Oncology, University of Calgary, Calgary, AB (Canada); Booske, John H [Department of Electrical and Computer Engineering, University of Wisconsin, Madison, WI (United States); Okoniewski, Michal [Department of Electrical and Computer Engineering, University of Calgary, Calgary, AB (Canada); Hagness, Susan C [Department of Electrical and Computer Engineering, University of Wisconsin, Madison, WI (United States)

    2007-10-21

    The development of microwave breast cancer detection and treatment techniques has been driven by reports of substantial contrast in the dielectric properties of malignant and normal breast tissues. However, definitive knowledge of the dielectric properties of normal and diseased breast tissues at microwave frequencies has been limited by gaps and discrepancies across previously published studies. To address these issues, we conducted a large-scale study to experimentally determine the ultrawideband microwave dielectric properties of a variety of normal, malignant and benign breast tissues, measured from 0.5 to 20 GHz using a precision open-ended coaxial probe. Previously, we reported the dielectric properties of normal breast tissue samples obtained from reduction surgeries. Here, we report the dielectric properties of normal (adipose, glandular and fibroconnective), malignant (invasive and non-invasive ductal and lobular carcinomas) and benign (fibroadenomas and cysts) breast tissue samples obtained from cancer surgeries. We fit a one-pole Cole-Cole model to the complex permittivity data set of each characterized sample. Our analyses show that the contrast in the microwave-frequency dielectric properties between malignant and normal adipose-dominated tissues in the breast is considerable, as large as 10:1, while the contrast in the microwave-frequency dielectric properties between malignant and normal glandular/fibroconnective tissues in the breast is no more than about 10%.

  10. A large-scale study of the ultrawideband microwave dielectric properties of normal, benign and malignant breast tissues obtained from cancer surgeries

    Science.gov (United States)

    Lazebnik, Mariya; Popovic, Dijana; McCartney, Leah; Watkins, Cynthia B.; Lindstrom, Mary J.; Harter, Josephine; Sewall, Sarah; Ogilvie, Travis; Magliocco, Anthony; Breslin, Tara M.; Temple, Walley; Mew, Daphne; Booske, John H.; Okoniewski, Michal; Hagness, Susan C.

    2007-10-01

    The development of microwave breast cancer detection and treatment techniques has been driven by reports of substantial contrast in the dielectric properties of malignant and normal breast tissues. However, definitive knowledge of the dielectric properties of normal and diseased breast tissues at microwave frequencies has been limited by gaps and discrepancies across previously published studies. To address these issues, we conducted a large-scale study to experimentally determine the ultrawideband microwave dielectric properties of a variety of normal, malignant and benign breast tissues, measured from 0.5 to 20 GHz using a precision open-ended coaxial probe. Previously, we reported the dielectric properties of normal breast tissue samples obtained from reduction surgeries. Here, we report the dielectric properties of normal (adipose, glandular and fibroconnective), malignant (invasive and non-invasive ductal and lobular carcinomas) and benign (fibroadenomas and cysts) breast tissue samples obtained from cancer surgeries. We fit a one-pole Cole-Cole model to the complex permittivity data set of each characterized sample. Our analyses show that the contrast in the microwave-frequency dielectric properties between malignant and normal adipose-dominated tissues in the breast is considerable, as large as 10:1, while the contrast in the microwave-frequency dielectric properties between malignant and normal glandular/fibroconnective tissues in the breast is no more than about 10%.

  11. A large-scale study of the ultrawideband microwave dielectric properties of normal, benign and malignant breast tissues obtained from cancer surgeries

    International Nuclear Information System (INIS)

    Lazebnik, Mariya; Popovic, Dijana; McCartney, Leah; Watkins, Cynthia B; Lindstrom, Mary J; Harter, Josephine; Sewall, Sarah; Ogilvie, Travis; Magliocco, Anthony; Breslin, Tara M; Temple, Walley; Mew, Daphne; Booske, John H; Okoniewski, Michal; Hagness, Susan C

    2007-01-01

    The development of microwave breast cancer detection and treatment techniques has been driven by reports of substantial contrast in the dielectric properties of malignant and normal breast tissues. However, definitive knowledge of the dielectric properties of normal and diseased breast tissues at microwave frequencies has been limited by gaps and discrepancies across previously published studies. To address these issues, we conducted a large-scale study to experimentally determine the ultrawideband microwave dielectric properties of a variety of normal, malignant and benign breast tissues, measured from 0.5 to 20 GHz using a precision open-ended coaxial probe. Previously, we reported the dielectric properties of normal breast tissue samples obtained from reduction surgeries. Here, we report the dielectric properties of normal (adipose, glandular and fibroconnective), malignant (invasive and non-invasive ductal and lobular carcinomas) and benign (fibroadenomas and cysts) breast tissue samples obtained from cancer surgeries. We fit a one-pole Cole-Cole model to the complex permittivity data set of each characterized sample. Our analyses show that the contrast in the microwave-frequency dielectric properties between malignant and normal adipose-dominated tissues in the breast is considerable, as large as 10:1, while the contrast in the microwave-frequency dielectric properties between malignant and normal glandular/fibroconnective tissues in the breast is no more than about 10%

  12. Terahertz-frequency dielectric response of liquids

    DEFF Research Database (Denmark)

    Jepsen, Peter Uhd; Møller, Uffe; Cooke, David

    The dielectric response of liquids spans many decades in frequency. The dielectric response of a polar liquid is typically determined by relaxational dynamics of the dipolar moments of the liquid. In contrast, the dielectric response of a nonpolar liquid is determined by much weaker collision......-induced dipole moments. In the polar liquid water the fastest relaxational dynamics is found at terahertz frequencies, just below the first intermolecular vibrational and librational modes. In this presentation we will discuss optical terahertz spectroscopic techniques for measurement of the full dielectric...... function of liquids at terahertz frequencies. We will review the current understanding of the high-frequency dielectric spectrum of water, and discuss the relation between the dielectric spectrum and the thermodynamic properties of certain aqueous solutions....

  13. Numerical study of the characteristics of a dielectric barrier discharge plasma actuator

    Science.gov (United States)

    Shi, C. A.; Adamiak, K.; Castle, G. S. P.

    2018-03-01

    A dielectric barrier discharge actuator to control airflow along a flat dielectric plate has been numerically investigated in this paper. In order to avoid large computing times, streamers, Trichel pulses and the ionic reactions involving photons and electrons are neglected. The numerical model assumes two types of generic ions, one positive and one negative, whose drift in the electric field produces the electrohydrodynamic flow. This study provides detailed insights into the physical mechanisms of DBD that include the electric field, space charge transport, surface charge accumulation and air flow motion. The results show the V-I characteristics, velocity profiles and drag force estimates. In addition, the effects of the voltage level, frequency and inlet air velocity on the actuator performance are presented and interpreted. The simulation results show a good agreement with theoretical expectations and experimental data available in literature.

  14. Study of SrBi4Ti4O15 (SBTi) dielectric properties of doped PbO

    International Nuclear Information System (INIS)

    Rodrigues Junior, C.A.; Silva Filho, J.M.; Freitas, D.B.; Oliveira, R.G.M.; Sombra, B.; Sales, J.C.

    2012-01-01

    The ceramic SrBi 4 Ti 4 O 15 (SBTI), cation-deficient perovskite A 5 B 4 O 15 , was prepared by the method of solid state reaction and then doped with PbO (in the range 2-10% by weight). The samples were characterized by X-ray diffraction (XRD), scanning electron microscopy (SEM) and impedance spectroscopy at room temperature. The X-ray analysis was performed by the Rietveld refinement. The micrographs of the samples show globular-shaped grains (doped PbO). The dielectric properties: dielectric constant (Κ' or έ) and dielectric loss tangent (tan δ), were measured at room temperature in the frequency range 100 Hz - 1 MHz dielectric properties of these 1 MHz sample doped with 10 % PbO showed the dielectric constant Κ'= 168.34 and dielectric loss tangent tanδ, = 7,1.10 -2 . These results show a good possibility of miniaturization of electronic devices such as capacitors. (author)

  15. Silicone-based Dielectric Elastomers

    DEFF Research Database (Denmark)

    Skov, Anne Ladegaard

    Efficient conversion of energy from one form to another (transduction) is an important topic in our daily day, and it is a necessity in moving away from the fossil based society. Dielectric elastomers hold great promise as soft transducers, since they are compliant and light-weight amongst many...... energy efficient solutions are highly sought. These properties allow for interesting products ranging very broadly, e.g. from eye implants over artificial skins over soft robotics to huge wave energy harvesting plants. All these products utilize the inherent softness and compliance of the dielectric...... elastomer transducers. The subject of this thesis is improvement of properties of silicone-based dielectric elastomers with special focus on design guides towards electrically, mechanically, and electromechanically reliable elastomers. Strategies for improving dielectric elastomer performance are widely...

  16. Experimental Characterization of Dielectric Properties in Fluid Saturated Artificial Shales

    Directory of Open Access Journals (Sweden)

    Roman Beloborodov

    2017-01-01

    Full Text Available High dielectric contrast between water and hydrocarbons provides a useful method for distinguishing between producible layers of reservoir rocks and surrounding media. Dielectric response at high frequencies is related to the moisture content of rocks. Correlations between the dielectric permittivity and specific surface area can be used for the estimation of elastic and geomechanical properties of rocks. Knowledge of dielectric loss-factor and relaxation frequency in shales is critical for the design of techniques for effective hydrocarbon extraction and production from unconventional reservoirs. Although applicability of dielectric measurements is intriguing, the data interpretation is very challenging due to many factors influencing the dielectric response. For instance, dielectric permittivity is determined by mineralogical composition of solid fraction, volumetric content and composition of saturating fluid, rock microstructure and geometrical features of its solid components and pore space, temperature, and pressure. In this experimental study, we investigate the frequency dependent dielectric properties of artificial shale rocks prepared from silt-clay mixtures via mechanical compaction. Samples are prepared with various clay contents and pore fluids of different salinity and cation compositions. Measurements of dielectric properties are conducted in two orientations to investigate the dielectric anisotropy as the samples acquire strongly oriented microstructures during the compaction process.

  17. Sodium beta-alumina thin films as gate dielectrics for AlGaN/GaN metal—insulator—semiconductor high-electron-mobility transistors

    International Nuclear Information System (INIS)

    Tian Ben-Lang; Chen Chao; Li Yan-Rong; Zhang Wan-Li; Liu Xing-Zhao

    2012-01-01

    Sodium beta-alumina (SBA) is deposited on AlGaN/GaN by using a co-deposition process with sodium and Al 2 O 3 as the precursors. The X-ray diffraction (XRD) spectrum reveals that the deposited thin film is amorphous. The binding energy and composition of the deposited thin film, obtained from the X-ray photoelectron spectroscopy (XPS) measurement, are consistent with those of SBA. The dielectric constant of the SBA thin film is about 50. Each of the capacitance—voltage characteristics obtained at five different frequencies shows a high-quality interface between SBA and AlGaN. The interface trap density of metal—insulator—semiconductor high-electron-mobility transistor (MISHEMT) is measured to be (3.5∼9.5)×10 10 cm −2 ·eV −1 by the conductance method. The fixed charge density of SBA dielectric is on the order of 2.7×10 12 cm −2 . Compared with the AlGaN/GaN metal—semiconductor heterostructure high-electron-mobility transistor (MESHEMT), the AlGaN/GaN MISHEMT usually has a threshold voltage that shifts negatively. However, the threshold voltage of the AlGaN/GaN MISHEMT using SBA as the gate dielectric shifts positively from −5.5 V to −3.5 V. From XPS results, the surface valence-band maximum (VBM-EF) of AlGaN is found to decrease from 2.56 eV to 2.25 eV after the SBA thin film deposition. The possible reasons why the threshold voltage of AlGaN/GaN MISHEMT with the SBA gate dielectric shifts positively are the influence of SBA on surface valence-band maximum (VBM-EF), the reduction of interface traps and the effects of sodium ions, and/or the fixed charges in SBA on the two-dimensional electron gas (2DEG). (condensed matter: structural, mechanical, and thermal properties)

  18. A compare study on dielectric behaviors of Au/(Zn-doped PVA)/n-4H ...

    Indian Academy of Sciences (India)

    55

    thickness effect of Zn-doped PVA on the dielectric constant (ε′), dielectric .... In order to formation MPS structures, the prepared PVA (Zn-nanoparticle doped) ..... MacCallumand J R and Vincent C A 1989 Polymer Electrolyte Reviews (London:.

  19. Impedance Discontinuity Reduction Between High-Speed Differential Connectors and PCB Interfaces

    Science.gov (United States)

    Navidi, Sal; Agdinaoay, Rodell; Walter, Keith

    2013-01-01

    High-speed serial communication (i.e., Gigabit Ethernet) requires differential transmission and controlled impedances. Impedance control is essential throughout cabling, connector, and circuit board construction. An impedance discontinuity arises at the interface of a high-speed quadrax and twinax connectors and the attached printed circuit board (PCB). This discontinuity usually is lower impedance since the relative dielectric constant of the board is higher (i.e., polyimide approx. = 4) than the connector (Teflon approx. = 2.25). The discontinuity can be observed in transmit or receive eye diagrams, and can reduce the effective link margin of serial data networks. High-speed serial data network transmission improvements can be made at the connector-to-board interfaces as well as improving differential via hole impedances. The impedance discontinuity was improved by 10 percent by drilling a 20-mil (approx. = 0.5-mm) hole in between the pin of a differential connector spaced 55 mils (approx. = 1.4 mm) apart as it is attached to the PCB. The effective dielectric constant of the board can be lowered by drilling holes into the board material between the differential lines in a quadrax or twinax connector attachment points. The differential impedance is inversely proportional to the square root of the relative dielectric constant. This increases the differential impedance and thus reduces the above described impedance discontinuity. The differential via hole impedance can also be increased in the same manner. This technique can be extended to multiple smaller drilled holes as well as tapered holes (i.e., big in the middle followed by smaller ones diagonally).

  20. Dielectric lattice gauge theory

    International Nuclear Information System (INIS)

    Mack, G.

    1983-06-01

    Dielectric lattice gauge theory models are introduced. They involve variables PHI(b)epsilong that are attached to the links b = (x+esub(μ),x) of the lattice and take their values in the linear space g which consists of real linear combinations of matrices in the gauge group G. The polar decomposition PHI(b)=U(b)osub(μ)(x) specifies an ordinary lattice gauge field U(b) and a kind of dielectric field epsilonsub(ij)proportionalosub(i)osub(j)sup(*)deltasub(ij). A gauge invariant positive semidefinite kinetic term for the PHI-field is found, and it is shown how to incorporate Wilson fermions in a way which preserves Osterwalder Schrader positivity. Theories with G = SU(2) and without matter fields are studied in some detail. It is proved that confinement holds, in the sense that Wilson loop expectation values show an area law decay, if the Euclidean action has certain qualitative features which imply that PHI = 0 (i.e. dielectric field identical 0) is the unique maximum of the action. (orig.)

  1. Dielectric lattice gauge theory

    International Nuclear Information System (INIS)

    Mack, G.

    1984-01-01

    Dielectric lattice gauge theory models are introduced. They involve variables PHI(b)element ofG that are attached to the links b = (x+esub(μ), x) of the lattice and take their values in the linear space G which consists of real linear combinations of matrices in the gauge group G. The polar decomposition PHI(b)=U(b)sigmasub(μ)(x) specifies an ordinary lattice gauge field U(b) and a kind of dielectric field epsilonsub(ij)proportional sigmasub(i)sigmasub(j)sup(*)deltasub(ij). A gauge invariant positive semidefinite kinetic term for the PHI-field is found, and it is shown how to incorporate Wilson fermions in a way which preserves Osterwalder-Schrader positivity. Theories with G = SU(2) and without matter fields are studied in some detail. It is proved that confinement holds, in the sense that Wilson-loop expectation values show an area law decay, if the euclidean action has certain qualitative features which imply that PHI=0 (i.e. dielectric field identical 0) is the unique maximum of the action. (orig.)

  2. Dielectric Relaxation Studies of 2-Butoxyethanol with Aniline and Substituted Anilines Using Time Domain Reflectometry

    Directory of Open Access Journals (Sweden)

    P. Jeevanandham

    2014-01-01

    Full Text Available The complex dielectric spectra of 2-butoxyethanol with aniline and substituted anilines like aniline, o-chloroaniline, m-chloroaniline, o-anisidine and m-anisidine binary mixtures in the composition of different volumes of percent (0%, 25%, 50%, 75%, and 100% have been measured as a function of frequency between 10 MHz and 30 GHz at 298.15 K. The dielectric parameters like static dielectric constant ε0 and relaxation time τ have been obtained by using least square fit method. By using these parameters ε0,τ, effective Kirkwood correlation factor geff, corrective Kirkwood correlation factor gf, Bruggeman factor fB, excess dielectric constant εE, and excess inverse relaxation time 1/τE values are calculated and discussed to yield information on the dipolar alignment and molecular rotation of the binary liquid mixtures. From all the derived dielectric parameters, molecular interactions are interpreted through hydrogen bonding.

  3. Static dielectric constant of water within a bilayer using recent water models: a molecular dynamics study

    Science.gov (United States)

    Meneses-Juárez, Efrain; Rivas-Silva, Juan Francisco; González-Melchor, Minerva

    2018-05-01

    The water confined within a surfactant bilayer is studied using different water models via molecular dynamics simulations. We considered four representative rigid models of water: the SPC/E and the TIP4P/2005, which are commonly used in numerical calculations and the more recent TIP4Q and SPC/ε models, developed to reproduce the dielectric behaviour of pure water. The static dielectric constant of the confined water was analyzed as a function of the temperature for the four models. In all cases it decreases as the temperature increases. Additionally, the static dielectric constant of the bilayer-water system was estimated through its expression in terms of the fluctuations in the total dipole moment, usually applied for isotropic systems. The estimated dielectric was compared with the available experimental data. We found that the TIP4Q and the SPC/ε produce closer values to the experimental data than the other models, particularly at room temperature. It was found that the probability of finding the sodium ion close to the head of the surfactant decreases as the temperature increases, thus the head of the surfactant is more exposed to the interaction with water when the temperature is higher.

  4. Dielectric relaxation in AgI doped silver selenomolybdate glasses

    Science.gov (United States)

    Palui, A.; Shaw, A.; Ghosh, A.

    2016-05-01

    We report the study of dielectric properties of some silver ion conducting silver selenomolybdate mixed network former glasses in a wide frequency and temperature range. The experimental data have been analyzed in the framework of complex dielectric permittivity. The dielectric permittivity data have been well interpreted using the Cole-Cole function. The temperature dependence of relaxation time obtained from real part of dielectric permittivity data shows an Arrhenius behavior. The activation energy shows a decreasing trend with the increase of doping content. Values of stretched exponential parameter are observed to be independent of temperature and composition.

  5. Charge transfer and injection barrier at the metal-organic interfaces

    Science.gov (United States)

    Yan, Li

    2002-09-01

    The metal-organic interface plays a critical role in determining the functionality and performance of many innovative organic based devices. It has attracted extensive research interests in recent years. This thesis presents investigations of the electronic structures of organic materials, such as tris-(8-hydroxyquinoline) aluminum (Alq3) and copper phthalocyanine (CuPc), during their interface formation with metals. The characterization is accomplished by X-ray and ultraviolet photoelectron spectroscopes (XPS and UPS) and inverse photoelectron spectroscopy (IPES). As discussed herein, both occupied and unoccupied electronic states at the interfaces are carefully examined in different aspects. In Chapter 4, the charge transfer and chemical reaction at various metal/Alq3 interfaces are investigated using XPS and UPS to study the electron injection into the Alga film. Electron transfer from the low work function metal and Al/LiF(CsF) bilayer to the Alga has been observed. The role of the dielectric and possible chemistry at the interface are discussed in comparison of the low work function metals. Further in Chapter 5, the origin of the metal-interface dipole and the estimation of charge injection barrier is explored using several organic materials. A thermodynamic equilibrium model is extended to explain the relation between the charge transfer process ad the interface dipole. Further, in Chapter 6 the combination of XPS, UPS and IPES detailed the evolution of both occupied and unoccupied energy states during the alkali metal doping. The energy gap modification in organic due to metal doping is observed directly for the spectra. Chapter 7 provides stability study of the organic thin films under x-ray and UV light. The results verify the usability of UPS and XPS for the organic materials used in the thesis. Chapter 7 also shows the secondary ion mass spectroscopy results of metal diffusion in organic thin films.

  6. Thermal conductivity measurement of amorphous dielectric multilayers for phase-change memory power reduction

    Energy Technology Data Exchange (ETDEWEB)

    Fong, S. W., E-mail: swfong@stanford.edu; Wong, H.-S. P. [Department of Electrical Engineering, Stanford University, Stanford, California 94305 (United States); Sood, A. [Department of Material Science and Engineering, Stanford University, Stanford, California 94305 (United States); Department of Mechanical Engineering, Stanford University, Stanford, California 94305 (United States); Chen, L. [School of Energy and Power Engineering, Xi' an Jiatong University, Xi' an, Shaanxi 710049 (China); Kumari, N.; Gibson, G. A. [Hewlett-Packard Labs, 1501 Page Mill Rd., Palo Alto, California 94304 (United States); Asheghi, M.; Goodson, K. E. [Department of Mechanical Engineering, Stanford University, Stanford, California 94305 (United States)

    2016-07-07

    In this work, we investigate the temperature-dependent thermal conductivities of few nanometer thick alternating stacks of amorphous dielectrics, specifically SiO{sub 2}/Al{sub 2}O{sub 3} and SiO{sub 2}/Si{sub 3}N{sub 4}. Experiments using steady-state Joule-heating and electrical thermometry, while using a micro-miniature refrigerator over a wide temperature range (100–500 K), show that amorphous thin-film multilayer SiO{sub 2}/Si{sub 3}N{sub 4} and SiO{sub 2}/Al{sub 2}O{sub 3} exhibit through-plane room temperature effective thermal conductivities of about 1.14 and 0.48 W/(m × K), respectively. In the case of SiO{sub 2}/Al{sub 2}O{sub 3}, the reduced conductivity is attributed to lowered film density (7.03 → 5.44 × 10{sup 28 }m{sup –3} for SiO{sub 2} and 10.2 → 8.27 × 10{sup 28 }m{sup –3} for Al{sub 2}O{sub 3}) caused by atomic layer deposition of thin-films as well as a small, finite, and repeating thermal boundary resistance (TBR) of 1.5 m{sup 2} K/GW between dielectric layers. Molecular dynamics simulations reveal that vibrational mismatch between amorphous oxide layers is small, and that the TBR between layers is largely due to imperfect interfaces. Finally, the impact of using this multilayer dielectric in a dash-type phase-change memory device is studied using finite-element simulations.

  7. Optics of dielectric microstructures

    DEFF Research Database (Denmark)

    Søndergaard, Thomas

    2002-01-01

    From the work carried out within the ph.d. project two topics have been selected for this thesis, namely emission of radiation by sources in dielectric microstructures, and planar photonic crystal waveguides. The work done within the first topic, emission of radiation by sources in dielectric...... microstructures, will be presented in the part I of this thesis consisting of the chapters 2-5. An introductions is given in chapter 2. In part I three methods are presented for calculating spontaneous and classical emission from sources in dielectric microstructures. The first method presented in chapter 3...... is based on the Fermi Golden Rule, and spontaneous emission from emitters in a passive dielectric microstructure is calculated by summing over the emission into each electromagnetic mode of the radiation field. This method is applied to investigate spontaneous emission in a two-dimensional photonic crystal...

  8. Preparation and dielectric investigation of organic metal insulator semiconductor (MIS) structures with a ferroelectric polymer

    Energy Technology Data Exchange (ETDEWEB)

    Kalbitz, Rene; Fruebing, Peter; Gerhard, Reimund [Department of Physics and Astronomy, University of Potsdam (Germany); Taylor, Martin [School of Electronic Engineering, Bangor University (United Kingdom)

    2010-07-01

    Ferroelectric field effect transistors (FeFETs) offer the prospect of an organic-based memory device. Since the charge transport in the semiconductor is confined to the interface region between the insulator and the semiconductor, the focus of the present study was on the investigation of this region in metal-insulator-semiconductor (MIS) capacitors using dielectric spectroscopy. Capacitance-Voltage (C-V) measurements at different frequencies as well as capacitance-frequency (C-f) measurements after applying different poling voltages were carried out. The C-V measurements yielded information about the frequency dependence of the depletion layer width as well as the number of charges stored at the semiconductor/ insulator interface. The results are compared to numerical calculations based on a model introduced by S. L. Miller (JAP, 72(12), 1992). The C-f measurements revealed three main relaxation processes. An equivalent circuit has been developed to model the frequency response of the MIS capacitor. With this model the origin of the three relaxations may be deduced.

  9. Thermal dielectric function

    International Nuclear Information System (INIS)

    Moneta, M.

    1999-01-01

    Thermal dielectric functions ε(k,ω) for homogeneous electron gas were determined and discussed. The ground state of the gas is described by the Fermi-Dirac momentum distribution. The low and high temperature limits of ε(k,ω) were related to the Lindhard dielectric function and to ε(k, omega) derived for Boltzmann and for classical momentum distributions, respectively. (author)

  10. Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions

    International Nuclear Information System (INIS)

    Li Yongliang; Xu Qiuxia

    2010-01-01

    The wet etching properties of a HfSiON high-k dielectric in HF-based solutions are investigated. HF-based solutions are the most promising wet chemistries for the removal of HfSiON, and etch selectivity of HF-based solutions can be improved by the addition of an acid and/or an alcohol to the HF solution. Due to densification during annealing, the etch rate of HfSiON annealed at 900 0 C for 30 s is significantly reduced compared with as-deposited HfSiON in HF-based solutions. After the HfSiON film has been completely removed by HF-based solutions, it is not possible to etch the interfacial layer and the etched surface does not have a hydrophobic nature, since N diffuses to the interface layer or Si substrate formation of Si-N bonds that dissolves very slowly in HF-based solutions. Existing Si-N bonds at the interface between the new high-k dielectric deposit and the Si substrate may degrade the carrier mobility due to Coulomb scattering. In addition, we show that N 2 plasma treatment before wet etching is not very effective in increasing the wet etch rate for a thin HfSiON film in our case. (semiconductor technology)

  11. Effects of Interphase Modification and Biaxial Orientation on Dielectric Properties of Poly(ethylene terephthalate)/Poly(vinylidene fluoride-co-hexafluoropropylene) Multilayer Films.

    Science.gov (United States)

    Yin, Kezhen; Zhou, Zheng; Schuele, Donald E; Wolak, Mason; Zhu, Lei; Baer, Eric

    2016-06-01

    Recently, poly(vinylidene fluoride) (PVDF)-based multilayer films have demonstrated enhanced dielectric properties, combining high energy density and high dielectric breakdown strength from the component polymers. In this work, further enhanced dielectric properties were achieved through interface/interphase modulation and biaxial orientation for the poly(ethylene terephthalate)/poly(methyl methacrylate)/poly(vinylidene fluoride-co-hexafluoropropylene) [PET/PMMA/P(VDF-HFP)] three-component multilayer films. Because PMMA is miscible with P(VDF-HFP) and compatible with PET, the interfacial adhesion between PET and P(VDF-HFP) layers should be improved. Biaxial stretching of the as-extruded multilayer films induced formation of highly oriented fibrillar crystals in both P(VDF-HFP) and PET, resulting in improved dielectric properties with respect to the unstretched films. First, the parallel orientation of PVDF crystals reduced the dielectric loss from the αc relaxation in α crystals. Second, biaxial stretching constrained the amorphous phase in P(VDF-HFP) and thus the migrational loss from impurity ions was reduced. Third, biaxial stretching induced a significant amount of rigid amorphous phase in PET, further enhancing the breakdown strength of multilayer films. Due to the synergistic effects of improved interfacial adhesion and biaxial orientation, the PET/PMMA/P(VDF-HFP) 65-layer films with 8 vol % PMMA exhibited optimal dielectric properties with an energy density of 17.4 J/cm(3) at breakdown and the lowest dielectric loss. These three-component multilayer films are promising for future high-energy-density film capacitor applications.

  12. Influence of test capacitor features on piezoelectric and dielectric measurement of ferroelectric films.

    Science.gov (United States)

    Wang, Zhihong; Lau, Gih Keong; Zhu, Weiguang; Chao, Chen

    2006-01-01

    This paper presents both theoretical and numerical analyses of the piezoelectric and dielectric responses of a highly idealized film-on-substrate system, namely, a polarized ferroelectric film perfectly bonded to an elastic silicon substrate. It shows that both effective dielectric and piezoelectric properties of the films change with the size and configuration of the test capacitor. There exists a critical electrode size that is smaller than the diameter of the commonly used substrate. The effective film properties converge to their respective constrained values as capacitor size increases to the critical size. If capacitor size is smaller than the critical size, the surface displacement at the top electrode deviates from the net thickness change in response to an applied voltage because the film is deformable at the film/substrate interface. The constrained properties of the films depend only on those of bulk ferroelectrics but are independent of film thickness and substrate properties. The finding of the critical capacitor size together with analytical expressions of the constrained properties makes it possible to realize consistent measurement of piezoelectric and dielectric properties of films. A surface scanning technique is recommended to measure the profile of piezoresponses of the film so that the constrained properties of the film can be identified accurately.

  13. Effects of barrier composition and electroplating chemistry on adhesion and voiding in copper/dielectric diffusion barrier films

    Energy Technology Data Exchange (ETDEWEB)

    Birringer, Ryan P.; Dauskardt, Reinhold H. [Department of Materials Science and Engineering, Stanford University, Durand Building, Stanford, California 94305-4034 (United States); Shaviv, Roey [Novellus Systems Inc., 4000 North First Street, San Jose, California 95134 (United States); Geiss, Roy H.; Read, David T. [National Institute of Standards and Technology, 325 Broadway, Boulder, Colorado 80305 (United States)

    2011-08-15

    The effects of electroplating chemistry and dielectric diffusion barrier composition on copper voiding and barrier adhesion are reported. Adhesion was quantified using the four-point bend thin film adhesion technique, and voiding in the Cu films was quantified using scanning electron microscopy. A total of 12 different film stacks were investigated, including three different Cu electroplating chemistries and four different barrier materials (SiN, N-doped SiC, O-doped SiC, and dual-layer SiC). Both plating chemistry and barrier composition have a large effect on interface adhesion and voiding in the Cu film. X-ray photoelectron spectroscopy was used to investigate the segregation of Cu electroplating impurities, such as S and Cl, to the Cu/barrier interface. Secondary ion mass spectrometry was used to quantify oxygen content at the Cu/barrier interface in a subset of samples. This interface oxygen content is correlated with measured adhesion values.

  14. Frontiers of controlling energy levels at interfaces

    Science.gov (United States)

    Koch, Norbert

    The alignment of electron energy levels at interfaces between semiconductors, dielectrics, and electrodes determines the function and efficiency of all electronic and optoelectronic devices. Reliable guidelines for predicting the level alignment for a given material combination and methods to adjust the intrinsic energy landscape are needed to enable efficient engineering approaches. These are sufficiently understood for established electronic materials, e.g., Si, but for the increasing number of emerging materials, e.g., organic and 2D semiconductors, perovskites, this is work in progress. The intrinsic level alignment and the underlying mechanisms at interfaces between organic and inorganic semiconductors are discussed first. Next, methods to alter the level alignment are introduced, which all base on proper charge density rearrangement at a heterojunction. As interface modification agents we use molecular electron acceptors and donors, as well as molecular photochromic switches that add a dynamic aspect and allow device multifunctionality. For 2D semiconductors surface transfer doping with molecular acceptors/donors transpires as viable method to locally tune the Fermi-level position in the energy gap. The fundamental electronic properties of a prototypical 1D interface between intrinsic and p-doped 2D semiconductor regions are derived from local (scanning probe) and area-averaged (photoemission) spectroscopy experiments. Future research opportunities for attaining unsurpassed interface control through charge density management are discussed.

  15. A systematic study of the isothermal crystallization of the mono-alcohol n-butanol monitored by dielectric spectroscopy

    DEFF Research Database (Denmark)

    Jensen, Mikkel Hartmann; Hecksher, Tina; Niss, Kristine

    2015-01-01

    Isothermal crystallization of the mono-hydroxyl alcohol n-butanol was studied with dielectric spectroscopy in real time. The crystallization was carried out using two different sample cells at 15 temperatures between 120 K and 134 K. Crystallization is characterized by a decrease of the dielectric...... intensity. In addition, a shift in relaxation times to shorter times was observed during the crystallization process for all studied temperatures. The two different sample environments induced quite different crystallization behaviors, consistent and reproducible over all studied temperatures...... that a microscopic interpretation of crystallization measurements requires multiple probes, sample cells, and protocols....

  16. Optimization of nitridation conditions for high quality inter-polysilicon dielectric layers

    NARCIS (Netherlands)

    Klootwijk, J.H.; Bergveld, H.J.; van Kranenburg, H.; Woerlee, P.H.; Wallinga, Hans

    1996-01-01

    Nitridation of deposited high temperature oxides (HTO) was studied to form high quality inter-polysilicon dielectric layers for embedded non volatile memories. Good quality dielectric layers were obtained earlier by using an optimized deposition of polysilicon and by performing a post-dielectric

  17. Structural, dielectric and a.c. conductivity study of Sb2O3 thin film ...

    Indian Academy of Sciences (India)

    X-ray diffraction; a.c. conductivity; dielectric properties; complex electric modulus. ... the study disordered systems because of the unusual temper- ..... energy. tunnelling model suggested by Wang et al [31], (s) should decrease with increase in ...

  18. Analyzing the effect of gate dielectric on the leakage currents

    Directory of Open Access Journals (Sweden)

    Sakshi

    2016-01-01

    Full Text Available An analytical threshold voltage model for MOSFETs has been developed using different gate dielectric oxides by using MATLAB software. This paper explains the dependency of threshold voltage on the dielectric material. The variation in the subthreshold currents with the change in the threshold voltage sue to the change of dielectric material has also been studied.

  19. Study of electrical percolation phenomenon from the dielectric and ...

    Indian Academy of Sciences (India)

    pattern of real part of electric modulus (M′) at selected frequencies is similar to dielectric constant. The existence of .... charge carriers have sufficient time to orient in the direction ..... Aziz S B and Abidin Z H Z 2013 J. Soft Matter Article ID.

  20. Dielectric and conformational studies of hydrogen bonded 2-ethoxyethanol and ethyl methyl ketone system

    Science.gov (United States)

    Pattebahadur, Kanchan. L.; Deshmukh, S. D.; Mohod, A. G.; Undre, P. B.; Patil, S. S.; Khirade, P. W.

    2018-05-01

    The Dielectric constant, density and refractive index of binary mixture of 2-ethoxy ethanol (2-EE) with ethyl methyl ketone (EMK) including those of the pure liquids were measured for 11 concentrations at 25°C temperature. The experimental data is used to calculate the Excess molar volume, Excess dielectric constant, Kirkwood correlation factor and Bruggemann factor. The excess parameters results were fitted to the Redlich-Kister type polynomial equation to derive its fitting coefficient. The Kirkwood correlation factor of the mixture has been discussed to yield information about solute solvent interaction. The Bruggeman plot shows a deviation from linearity. The FT-IR spectra of pure and their binary mixtures are also studied.

  1. Structure and performance of dielectric films based on self-assembled nanocrystals with a high dielectric constant.

    Science.gov (United States)

    Huang, Limin; Liu, Shuangyi; Van Tassell, Barry J; Liu, Xiaohua; Byro, Andrew; Zhang, Henan; Leland, Eli S; Akins, Daniel L; Steingart, Daniel A; Li, Jackie; O'Brien, Stephen

    2013-10-18

    Self-assembled films built from nanoparticles with a high dielectric constant are attractive as a foundation for new dielectric media with increased efficiency and range of operation, due to the ability to exploit nanofabrication techniques and emergent electrical properties originating from the nanoscale. However, because the building block is a discrete one-dimensional unit, it becomes a challenge to capture potential enhancements in dielectric performance in two or three dimensions, frequently due to surface effects or the presence of discontinuities. This is a recurring theme in nanoparticle film technology when applied to the realm of thin film semiconductor and device electronics. We present the use of chemically synthesized (Ba,Sr)TiO3 nanocrystals, and a novel deposition-polymerization technique, as a means to fabricate the dielectric layer. The effective dielectric constant of the film is tunable according to nanoparticle size, and effective film dielectric constants of up to 34 are enabled. Wide area and multilayer dielectrics of up to 8 cm(2) and 190 nF are reported, for which the building block is an 8 nm nanocrystal. We describe models for assessing dielectric performance, and distinct methods for improving the dielectric constant of a nanocrystal thin film. The approach relies on evaporatively driven assembly of perovskite nanocrystals with uniform size distributions in a tunable 7-30 nm size range, coupled with the use of low molecular weight monomer/polymer precursor chemistry that can infiltrate the porous nanocrystal thin film network post assembly. The intercrystal void space (low k dielectric volume fraction) is minimized, while simultaneously promoting intercrystal connectivity and maximizing volume fraction of the high k dielectric component. Furfuryl alcohol, which has good affinity to the surface of (Ba,Sr)TiO3 nanocrystals and miscibility with a range of solvents, is demonstrated to be ideal for the production of nanocomposites. The

  2. Studies on the structural, optical and dielectric properties of samarium coordinated with salicylic acid single crystal

    Science.gov (United States)

    Singh, Harjinder; Slathia, Goldy; Gupta, Rashmi; Bamzai, K. K.

    2018-04-01

    Samarium coordinated with salicylic acid was successfully grown as a single crystal by low temperature solution technique using mixed solvent of methanol and water in equal ratio. Structural characterization was carried out by single crystal X-ray diffraction analysis and it crystallizes in centrosymmetric space group P121/c1. FTIR and UV-Vis-NIR spectroscopy confirmed the compound formation and help to determine the mode of binding of the ligand to the rare earth-metal ion. Dielectric constant and dielectric loss have been measured over the frequency range 100 Hz - 30MHz. The decrease in dielectric constant with increases in frequency is due to the transition from interfacial polarization to dipolar polarization. The small value of dielectric constant at higher frequency ensures that the crystal is good candidate for NLO devices. Dielectric loss represents the resistive nature of the material.

  3. Dielectric constant of ionic solutions: a field-theory approach.

    Science.gov (United States)

    Levy, Amir; Andelman, David; Orland, Henri

    2012-06-01

    We study the variation of the dielectric response of a dielectric liquid (e.g. water) when a salt is added to the solution. Employing field-theoretical methods, we expand the Gibbs free energy to first order in a loop expansion and calculate self-consistently the dielectric constant. We predict analytically the dielectric decrement which depends on the ionic strength in a complex way. Furthermore, a qualitative description of the hydration shell is found and is characterized by a single length scale. Our prediction fits rather well a large range of concentrations for different salts using only one fit parameter related to the size of ions and dipoles.

  4. Suppression of electromechanical instability in fiber-reinforced dielectric elastomers

    Directory of Open Access Journals (Sweden)

    Rui Xiao

    2016-03-01

    Full Text Available The electromechanical instability of dielectric elastomers has been a major challenge for the application of this class of active materials. In this work, we demonstrate that dielectric elastomers filled with soft fiber can suppress the electromechanical instability and achieve large deformation. Specifically, we developed a constitutive model to describe the dielectric and mechanical behaviors of fiber-reinforced elastomers. The model was applied to study the influence of stiffness, nonlinearity properties and the distribution of fiber on the instability of dielectric membrane under an electric field. The results show that there exists an optimal fiber distribution condition to achieve the maximum deformation before failure.

  5. Structural, morphological and dielectric studies of zirconium substituted CoFe2O4 nanoparticles

    Directory of Open Access Journals (Sweden)

    S. Anand

    2017-12-01

    Full Text Available In this work, the influence of zirconium substitution in cubic spinel nanocrystalline CoFe2O4 on the structural, morphological and dielectric properties are reported. Zirconium substituted cobalt ferrite Co1-xZrxFe2O4 (x = 0.7 nanoparticles were synthesized by sol-gel route. The structural and morphological investigations using powder X-ray diffraction and high resolution scanning electron microscope (HRSEM analysis are reported. Scherrer plot, Williamson–Hall analysis and Size-strain plot method were used to calculate the crystallite size and lattice strain of the samples. High purity chemical composition of the sample was confirmed by energy dispersive X-ray analysis. The atoms vibration modes of as synthesized nanoparticles were recorded using Fourier transform infrared (FTIR spectrometer in the range of 4000–400 cm-1. The temperature-dependent dielectric properties of zirconium substituted cobalt ferrite nanoparticles were also carried out. Relative dielectric permittivity, loss tangent and AC conductivity were measured in the frequency range 50 Hz to 5 MHz at temperatures between 323 K and 473 K. The dielectric constant and dielectric loss values of the sample decreased with increasing in the frequency of the applied signal.

  6. Dielectric Optical Antenna Emitters and Metamaterials

    Science.gov (United States)

    Schuller, Jon

    2009-03-01

    Optical antennas are critical components in nanophotonics research due to their unparalleled ability to concentrate electromagnetic energy into nanoscale volumes. Researchers typically construct such antennas from wavelength-size metallic structures. However, recent research has begun to exploit the scattering resonances of high-permittivity particles to realize all-dielectric optical antennas, emitters, and metamaterials. In this talk, we experimentally and theoretically characterize the resonant modes of subwavelength rod-shaped dielectric particles and demonstrate their use in negative index metamaterials and novel infrared light emitters. At mid-infrared frequencies, Silicon Carbide (SiC) is an ideal system for studying the behavior of dielectric optical antennas. At frequencies below the TO phonon resonance, SiC behaves like a dielectric with very large refractive index. Using infrared spectroscopy and analytical Mie calculations we show that individual rod-shaped SiC particles exhibit a multitude of resonant modes. Detailed investigations of these SiC optical antennas reveal a wealth of new physics and applications. We discuss the distinct electromagnetic field profile for each mode, and demonstrate that two of the dielectric-type Mie resonances can be combined in a particle array to form a negative index metamaterial [1]. We further show that these particles can serve as ``broadcasting'' antennas. Using a custom-built thermal emission microscope we collect emissivity spectra from single SiC particles at elevated temperatures, highlighting their use as subwavelength resonant light emitters. Finally, we derive and verify a variety of general analytical results applicable to all cylindrical dielectric antennas and discuss extensions of the demonstrated concepts to different materials systems and frequency regimes. [1] J.A. Schuller, et al., Phys. Rev. Lett. 99, 107401 (2007)

  7. X-ray scattering studies of surfaces and interfaces

    International Nuclear Information System (INIS)

    Sanyal, M.K.

    1998-01-01

    Here we shall briefly review the basics and some applications of x-ray specular reflectivity and diffuse scattering techniques. These x-ray scattering techniques are uniquely suited to study of the structure of surfaces and interfaces at atomic resolutions as they are nondestructive and can probe even interfaces which are buried. The study of structure of surfaces and interfaces is not only required in understanding physics in reduced dimensions but is also essential in developing technologically important materials

  8. Influence of Chemical Composition and Structure in Silicon Dielectric Materials on Passivation of Thin Crystalline Silicon on Glass.

    Science.gov (United States)

    Calnan, Sonya; Gabriel, Onno; Rothert, Inga; Werth, Matteo; Ring, Sven; Stannowski, Bernd; Schlatmann, Rutger

    2015-09-02

    In this study, various silicon dielectric films, namely, a-SiOx:H, a-SiNx:H, and a-SiOxNy:H, grown by plasma enhanced chemical vapor deposition (PECVD) were evaluated for use as interlayers (ILs) between crystalline silicon and glass. Chemical bonding analysis using Fourier transform infrared spectroscopy showed that high values of oxidant gases (CO2 and/or N2), added to SiH4 during PECVD, reduced the Si-H and N-H bond density in the silicon dielectrics. Various three layer stacks combining the silicon dielectric materials were designed to minimize optical losses between silicon and glass in rear side contacted heterojunction pn test cells. The PECVD grown silicon dielectrics retained their functionality despite being subjected to harsh subsequent processing such as crystallization of the silicon at 1414 °C or above. High values of short circuit current density (Jsc; without additional hydrogen passivation) required a high density of Si-H bonds and for the nitrogen containing films, additionally, a high N-H bond density. Concurrently high values of both Jsc and open circuit voltage Voc were only observed when [Si-H] was equal to or exceeded [N-H]. Generally, Voc correlated with a high density of [Si-H] bonds in the silicon dielectric; otherwise, additional hydrogen passivation using an active plasma process was required. The highest Voc ∼ 560 mV, for a silicon acceptor concentration of about 10(16) cm(-3), was observed for stacks where an a-SiOxNy:H film was adjacent to the silicon. Regardless of the cell absorber thickness, field effect passivation of the buried silicon surface by the silicon dielectric was mandatory for efficient collection of carriers generated from short wavelength light (in the vicinity of the glass-Si interface). However, additional hydrogen passivation was obligatory for an increased diffusion length of the photogenerated carriers and thus Jsc in solar cells with thicker absorbers.

  9. Electrowetting on dielectric: experimental and model study of oil conductivity on rupture voltage

    Science.gov (United States)

    Zhao, Qing; Tang, Biao; Dong, Baoqin; Li, Hui; Zhou, Rui; Guo, Yuanyuan; Dou, Yingying; Deng, Yong; Groenewold, Jan; Henzen, Alexander Victor; Zhou, Guofu

    2018-05-01

    Electrowetting on dielectric devices uses a conducting (water) and insulating (oil) liquid phase in conjunction on a dielectric layer. In these devices, the wetting properties of the liquid phases can be manipulated by applying an electric field. The electric field can rupture the initially flat oil film and promotes further dewetting of the oil. Here, we investigate a problem in the operation of electrowetting on dielectric caused by a finite conductivity of the oil. In particular, we find that the voltage at which the oil film ruptures is sensitive to the application of relatively low DC voltages prior to switching. Here, we systematically investigate this dependence using controlled driving schemes. The mechanism behind these history effects point to charge transport processes in the dielectric and the oil, which can be modeled and characterized by a decay time. To quantify the effects the typical response timescales have been measured with a high-speed video camera. The results have been reproduced in simulations. In addition, a simplified yet accurate equivalent circuit model is developed to analyze larger data sets more conveniently. The experimental data support the hypothesis that each pixel can be characterized by a single decay time. We studied an ensemble of pixels and found that they showed a rather broad distribution of decay times with an average value of about 440 ms. This decay time can be interpreted as a discharge timescale of the oil, not to be confused with discharge of the entire system which is generally much faster (<1 ms). Through the equivalent circuit model, we also found that variations in the fluoropolymer (FP) conductivity cannot explain the distribution of decay times, while variations in oil conductivity can.

  10. Dielectric relaxation of selenium-tellurium mixed former glasses

    Science.gov (United States)

    Palui, A.; Ghosh, A.

    2017-05-01

    We report the study of dielectric properties of mixed network former glasses of composition 0.3Ag2O-0.7(xSeO2-(1-x)TeO2); x=0, 0.1, 0.3, 0.4, 0.5 and 0.6 in a wide frequency 10 Hz - 2 MHz and temperature range 223 K - 403 K. The experimental data have been analyzed in the framework of complex dielectric permittivity. The dielectric permittivity data have been analyzed using the Cole-Cole function. The inverse temperature dependence of relaxation time obtained from real part of dielectric permittivity data follows the Arrhenius relation. The activation energy shows mixed glass former effect with variation of mixed former ratio. A non-zero value of shape parameters is observed and it is almost independent of temperature and composition.

  11. Electrical and materials properties of ZrO2 gate dielectrics grown by atomic layer chemical vapor deposition

    Science.gov (United States)

    Perkins, Charles M.; Triplett, Baylor B.; McIntyre, Paul C.; Saraswat, Krishna C.; Haukka, Suvi; Tuominen, Marko

    2001-04-01

    Structural and electrical properties of gate stack structures containing ZrO2 dielectrics were investigated. The ZrO2 films were deposited by atomic layer chemical vapor deposition (ALCVD) after different substrate preparations. The structure, composition, and interfacial characteristics of these gate stacks were examined using cross-sectional transmission electron microscopy and x-ray photoelectron spectroscopy. The ZrO2 films were polycrystalline with either a cubic or tetragonal crystal structure. An amorphous interfacial layer with a moderate dielectric constant formed between the ZrO2 layer and the substrate during ALCVD growth on chemical oxide-terminated silicon. Gate stacks with a measured equivalent oxide thickness (EOT) of 1.3 nm showed leakage values of 10-5 A/cm2 at a bias of -1 V from flatband, which is significantly less than that seen with SiO2 dielectrics of similar EOT. A hysteresis of 8-10 mV was seen for ±2 V sweeps while a midgap interface state density (Dit) of ˜3×1011 states/cm eV was determined from comparisons of measured and ideal capacitance curves.

  12. A first-principles study of the dielectric properties of TiO2 polymorphs

    International Nuclear Information System (INIS)

    Thilagam, A; Simpson, D J; Gerson, A R

    2011-01-01

    We present an analysis of the dielectric properties of the three polymorphs of TiO 2 (rutile, anatase and brookite phases), using ab initio time-dependent density functional perturbation theory based on the Vignale-Kohn functional. We implement this functional, which incorporates many-body effects, using the periodic program BAND. The improved result for the density of states spectra for brookite is suggestive of increased titanium ion Jahn-Teller effects for this phase. The imaginary and real components of the frequency-dependent dielectric functions show notable dielectric anisotropies, with implications for excitonic interactions, for all three common phases of TiO 2 . Comparison of the electron energy-loss spectrum for undoped and doped rutile and anatase reveals the critical role of collective charge excitations in photocatalytic mechanisms. The correlation between plasmon peaks present at lower energies and decreased photocatalytic activity due to substitutional aluminum doping in combination with oxygen vacancies in rutile and anatase is highlighted. Moreover, there is clear correlation between dielectric properties and the microstructure of the TiO 2 polymorphs as suggested via the framework of the Born effective charge and Hirshfeld charge distribution schemes.

  13. Dielectric optical antenna thermal emitters and metamaterials

    Science.gov (United States)

    Schuller, Jonathan Aaron

    Optical antennas are critical components in nanophotonics research due to their unparalleled ability to concentrate electromagnetic energy into nanoscale volumes. Researchers typically construct such antennas from wavelength-size metallic structures. However, recent research has begun to exploit the scattering resonances of high-permittivity particles to realize all-dielectric optical antennas, emitters, and metamaterials. In this thesis, we experimentally and theoretically characterize the resonant modes of subwavelength rod-shaped dielectric particles and demonstrate their use in negative index metamaterials and novel infrared light emitters. At mid-infrared frequencies, Silicon Carbide (SiC) is an ideal system for studying the behavior of dielectric optical antennas. At frequencies below the TO phonon resonance, SiC behaves like a dielectric with very large refractive index. Using infrared spectroscopy and analytical Mie calculations we show that individual rod-shaped SiC particles exhibit a multitude of resonant modes. Detailed investigations of these SiC optical antennas reveal a wealth of new physics and applications. We discuss the distinct electromagnetic field profile for each mode, and demonstrate that two of the dielectric-type Mie resonances can be combined in a particle array to form a negative index metamaterial. We further show that these particles can serve as "broadcasting" antennas. Using a custom-built thermal emission microscope we collect emissivity spectra from single SiC particles at elevated temperatures, highlighting their use as subwavelength resonant light emitters. Finally, we derive and verify a variety of general analytical results applicable to all cylindrical dielectric antennas.

  14. Light in complex dielectrics

    NARCIS (Netherlands)

    Schuurmans, F.J.P.

    1999-01-01

    In this thesis the properties of light in complex dielectrics are described, with the two general topics of "modification of spontaneous emission" and "Anderson localization of light". The first part focuses on the spontaneous emission rate of an excited atom in a dielectric host with variable

  15. Effect of interface roughness on the carrier transport in germanium MOSFETs investigated by Monte Carlo method

    International Nuclear Information System (INIS)

    Gang, Du; Xiao-Yan, Liu; Zhi-Liang, Xia; Jing-Feng, Yang; Ru-Qi, Han

    2010-01-01

    Interface roughness strongly influences the performance of germanium metal–organic–semiconductor field effect transistors (MOSFETs). In this paper, a 2D full-band Monte Carlo simulator is used to study the impact of interface roughness scattering on electron and hole transport properties in long- and short- channel Ge MOSFETs inversion layers. The carrier effective mobility in the channel of Ge MOSFETs and the in non-equilibrium transport properties are investigated. Results show that both electron and hole mobility are strongly influenced by interface roughness scattering. The output curves for 50 nm channel-length double gate n and p Ge MOSFET show that the drive currents of n- and p-Ge MOSFETs have significant improvement compared with that of Si n- and p-MOSFETs with smooth interface between channel and gate dielectric. The 82% and 96% drive current enhancement are obtained for the n- and p-MOSFETs with the completely smooth interface. However, the enhancement decreases sharply with the increase of interface roughness. With the very rough interface, the drive currents of Ge MOSFETs are even less than that of Si MOSFETs. Moreover, the significant velocity overshoot also has been found in Ge MOSFETs. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  16. Valence and conduction band offsets at low-k a-SiO{sub x}C{sub y}:H/a-SiC{sub x}N{sub y}:H interfaces

    Energy Technology Data Exchange (ETDEWEB)

    King, Sean W., E-mail: sean.king@intel.com; Brockman, Justin; French, Marc; Jaehnig, Milt; Kuhn, Markus [Logic Technology Development, Intel Corporation, Hillsboro, Oregon 97124 (United States); French, Benjamin [Ocotillo Materials Laboratory, Intel Corporation, Chandler, Arizona 85248 (United States)

    2014-09-21

    In order to understand the fundamental electrical leakage and reliability failure mechanisms in nano-electronic low-k dielectric/metal interconnect structures, we have utilized x-ray photoelectron spectroscopy and reflection electron energy loss spectroscopy to determine the valence and conduction band offsets present at interfaces between non-porous and porous low-k a-SiO{sub x}C{sub y}:H interlayer dielectrics and a-SiC{sub x}N{sub y}:H metal capping layers. The valence band offset for such interfaces was determined to be 2.7±0.2 eV and weakly dependent on the a-SiOC:H porosity. The corresponding conduction band offset was determined to be 2.1±0.2 eV. The large band offsets indicate that intra metal layer leakage is likely dominated by defects and trap states in the a-SiOC:H and a-SiCN:H dielectrics.

  17. Charge reversal at a planar boundary between two dielectrics

    Science.gov (United States)

    Wang, Zhi-Yong

    2016-01-01

    Despite the ubiquitous character and relevance of the electric double layer in the entire realm of interface and colloid science, very little is known of the effect that surface heterogeneity exerts on the underlying mechanisms of ion adsorption. Herein, computer simulations offer a perspective that, in sharp contrast to the homogeneously charged surface, discrete groups promote multivalent counterion binding, leading to charge reversal but possibly having not a sign change of the electrophoretic mobility. Counterintuitively, the introduction of dielectric images yields a significantly greater accumulation of counterions, which further facilitates the magnitude of charge reversal. The reported results are very sensitive to both the degree of ion hydration and the representation of surface charges. Our findings shed light on the mechanism for charge reversal over a broad range of coupling regimes operating the adsorption of counterions through surface group bridging attraction with their own images and provide opportunities for experimental studies and theoretical development.

  18. Contribution to the thermal study of a dielectric barrier discharge reactor

    International Nuclear Information System (INIS)

    Dubus, Nicolas

    2009-01-01

    This thesis aims to study the thermal behaviour of a laboratory Dielectric Barrier Discharge (DBD) reactor. An experimental study was first realized to measure temperatures at different points of the reactor by using optic fibers. These measurements were performed in transient and steady states. To examine the influence of heat losses, not insulated and insulated reactors were considered. The influence of the nature and the form of the applied voltage was else considered. Experiments were conducted with a sinusoidal voltage and a pulsed power supply. (author) [fr

  19. Dispersion characteristics of two-dimensional unmagnetized dielectric plasma photonic crystal

    International Nuclear Information System (INIS)

    Li-Mei, Qi; Zi-Qiang, Yang; Feng, Lan; Xi, Gao; Da-Zhi, Li

    2010-01-01

    This paper studies dispersion characteristics of the transverse magnetic (TM) mode for two-dimensional unmagnetized dielectric plasma photonic crystal by a modified plane wave method. First, the cutoff behaviour is made clear by using the Maxwell–Garnett effective medium theory, and the influences of dielectric filling factor and dielectric constant on effective plasma frequency are analysed. Moreover, the occurence of large gaps in dielectric plasma photonic crystal is demonstrated by comparing the skin depth with the lattice constant, and the influence of plasma frequency on the first three gaps is also studied. Finally, by using the particle-in-cell simulation method, a transmission curve in the Γ – X direction is obtained in dielectric plasma photonic crystal, which is in accordance with the dispersion curves calculated by the modified plane wave method, and the large gap between the transmission points of 27 GHz and 47 GHz is explained by comparing the electric field patterns in particle-in-cell simulation

  20. Synthesis and Characterization of High-Dielectric-Constant Nanographite-Polyurethane Composite

    Science.gov (United States)

    Mishra, Praveen; Bhat, Badekai Ramachandra; Bhattacharya, B.; Mehra, R. M.

    2018-05-01

    In the face of ever-growing demand for capacitors and energy storage devices, development of high-dielectric-constant materials is of paramount importance. Among various dielectric materials available, polymer dielectrics are preferred for their good processability. We report herein synthesis and characterization of nanographite-polyurethane composite with high dielectric constant. Nanographite showed good dispersibility in the polyurethane matrix. The thermosetting nature of polyurethane gives the composite the ability to withstand higher temperature without melting. The resultant composite was studied for its dielectric constant (ɛ) as a function of frequency. The composite exhibited logarithmic variation of ɛ from 3000 at 100 Hz to 225 at 60 kHz. The material also exhibited stable dissipation factor (tan δ) across the applied frequencies, suggesting its ability to resist current leakage.

  1. Dielectric-Lined High-Gradient Accelerator Structure

    Energy Technology Data Exchange (ETDEWEB)

    Jay L. Hirshfield

    2012-04-24

    Rectangular particle accelerator structures with internal planar dielectric elements have been studied, with a view towards devising structures with lower surface fields for a given accelerating field, as compared with structures without dielectrics. Success with this concept is expected to allow operation at higher accelerating gradients than otherwise on account of reduced breakdown probabilities. The project involves studies of RF breakdown on amorphous dielectrics in test cavities that could enable high-gradient structures to be built for a future multi-TeV collider. The aim is to determine what the limits are for RF fields at the surfaces of selected dielectrics, and the resulting acceleration gradient that could be achieved in a working structure. The dielectric of principal interest in this study is artificial CVD diamond, on account of its advertised high breakdown field ({approx}2 GV/m for dc), low loss tangent, and high thermal conductivity. Experimental studies at mm-wavelengths on materials and structures for achieving high acceleration gradient were based on the availability of the 34.3 GHz third-harmonic magnicon amplifier developed by Omega-P, and installed at the Yale University Beam Physics Laboratory. Peak power from the magnicon was measured to be about 20 MW in 0.5 {micro}s pulses, with a gain of 54 dB. Experiments for studying RF high-field effects on CVD diamond samples failed to show any evidence after more than 10{sup 5} RF pulses of RF breakdown up to a tangential surface field strength of 153 MV/m; studies at higher fields were not possible due to a degradation in magnicon performance. A rebuild of the tube is underway at this writing. Computed performance for a dielectric-loaded rectangular accelerator structure (DLA) shows highly competitive properties, as compared with an existing all-metal structure. For example, comparisons were made of a DLA structure having two planar CVD diamond elements with a all-metal CERN structure HDS

  2. Dielectric-Lined High-Gradient Accelerator Structure

    International Nuclear Information System (INIS)

    Hirshfield, Jay L.

    2012-01-01

    Rectangular particle accelerator structures with internal planar dielectric elements have been studied, with a view towards devising structures with lower surface fields for a given accelerating field, as compared with structures without dielectrics. Success with this concept is expected to allow operation at higher accelerating gradients than otherwise on account of reduced breakdown probabilities. The project involves studies of RF breakdown on amorphous dielectrics in test cavities that could enable high-gradient structures to be built for a future multi-TeV collider. The aim is to determine what the limits are for RF fields at the surfaces of selected dielectrics, and the resulting acceleration gradient that could be achieved in a working structure. The dielectric of principal interest in this study is artificial CVD diamond, on account of its advertised high breakdown field (∼2 GV/m for dc), low loss tangent, and high thermal conductivity. Experimental studies at mm-wavelengths on materials and structures for achieving high acceleration gradient were based on the availability of the 34.3 GHz third-harmonic magnicon amplifier developed by Omega-P, and installed at the Yale University Beam Physics Laboratory. Peak power from the magnicon was measured to be about 20 MW in 0.5 (micro)s pulses, with a gain of 54 dB. Experiments for studying RF high-field effects on CVD diamond samples failed to show any evidence after more than 10 5 RF pulses of RF breakdown up to a tangential surface field strength of 153 MV/m; studies at higher fields were not possible due to a degradation in magnicon performance. A rebuild of the tube is underway at this writing. Computed performance for a dielectric-loaded rectangular accelerator structure (DLA) shows highly competitive properties, as compared with an existing all-metal structure. For example, comparisons were made of a DLA structure having two planar CVD diamond elements with a all-metal CERN structure HDS operating at 30

  3. Dielectric Modulated FET (DMFET)

    Indian Academy of Sciences (India)

    First page Back Continue Last page Graphics. Working Principle: Change in Dielectric constant due to immobilization of biomolecules in the nanogap cavity leads to change in effective gate capacitance and thus gate bias for FET. Working Principle: Change in Dielectric constant due to immobilization of biomolecules in the ...

  4. Optical and dielectric studies of KH2PO4 crystal influenced by organic ligand of citric acid and l-valine: A single crystal growth and comparative study

    Directory of Open Access Journals (Sweden)

    Mohd Anis

    Full Text Available In the present study pure, citric acid (CA and l-valine (LV doped potassium dihydrogen phosphate (KDP crystals have been grown with the aim to investigate the nonlinear optical applications facilitated by UV–visible, third order nonlinear optical (TONLO and dielectric properties. The structural parameters of grown crystals have been confirmed by single crystal X-ray diffraction analysis. The enhancement in optical transparency of KDP crystal due to addition of CA and LV has been examined within 200–900 nm by means of UV–visible spectral analysis. In addition, the transmittance data have been used to evaluate the effect of dopants on reflectance, refractive index and extinction coefficient of grown crystals in the visible region. The Z-scan analysis has been performed at 632.8 nm to identify the nature of photoinduced nonlinear refraction and nonlinear absorption in doped KDP crystals. The influence of π-bonded ligand of dopant CA and LV on TONLO susceptibility (χ3, refractive index (n2 and absorption coefficient (β of KDP crystals has been evaluated to discuss laser assisted device applications. The decrease in dielectric constant and dielectric loss of KDP crystal due to addition of CA and LV has been explored using the temperature dependent dielectric studies. Keywords: Crystal growth, Nonlinear optical materials, UV–visible studies, Z-scan analysis, Dielectric studies

  5. Photoacoustic and dielectric spectroscopic studies of 4-dimethylamino-n-methyl-4-stilbazolium tosylate single crystal: An efficient terahertz emitter

    Science.gov (United States)

    Manivannan, M.; Martin Britto Dhas, S. A.; Jose, M.

    2016-12-01

    Bulk terahertz emitting single crystal of 4-dimethylamino-N-methyl-4-stilbazolium tosylate (DAST) was synthesized by condensation method and grown by slow solvent evaporation technique from methanol. The structure and cell parameters of the grown crystals were derived from single crystal and powder X-ray diffraction analyses and the optical properties of the crystal were analyzed by UV-Vis Spectrophotometer. The presence of functional groups was identified by FTIR and FT-Raman spectroscopic studies. We demonstrated that in DAST crystal, the thermal transport properties such as thermal conductivity, thermal diffusivity and thermal effusivity are better than several well recognized standard materials using photoacoustic spectrophotometer. The dielectric measurement was made as a function of frequency (1 Hz-35 MHz) at different temperatures (30-200 °C). The dielectric constant and dielectric loss were found to be strongly dependent on temperature and frequency of the applied electric field. The semicircle in the cole-cole plot showed the presence of dielectric relaxation in the crystal with its diameter representing the resistance of the crystal. The resistivity and ac conductivity were calculated from the measured dielectric data.

  6. Dielectric properties of binary solutions a data handbook

    CERN Document Server

    Akhadov, Y Y

    1980-01-01

    Dielectric Properties of Binary Solutions focuses on the investigation of the dielectric properties of solutions, as well as the molecular interactions and mechanisms of molecular processes that occur in liquids. The book first discusses the fundamental formulas describing the dielectric properties of liquids and dielectric data for binary systems of non-aqueous solutions. Topics include permittivity and dielectric dispersion parameters of non-aqueous solutions of organic and inorganic compounds. The text also tackles dielectric data for binary systems of aqueous solutions, including permittiv

  7. Dielectric properties estimation of the lunar regolith at CE-3 landing site using lunar penetrating radar data

    Science.gov (United States)

    Feng, Jianqing; Su, Yan; Ding, Chunyu; Xing, Shuguo; Dai, Shun; Zou, Yongliao

    2017-03-01

    The second channel (CH2) of the Lunar Penetrating Radar (LPR) carried on the Chang'e-3 (CE-3) Yutu Rover was used to determine the thickness and structure of the lunar regolith. Accurately revealing the true structure beneath the surface requires knowledge of the dielectric permittivity of the regolith, which allows one to properly apply migration to the radar image. In contrast to simple assumptions in previous studies, this paper takes account of heterogeneity of the regolith and derives regolith's permittivity distribution laterally and vertically by a method widely used in data processing of terrestrial Ground Penetrating Radar (GPR). We find that regolith permittivity at the landing site increases with depth more quickly than previously recognized. At a depth of ∼2.5-3 m, the dielectric constant reaches the value of solid basalt. The radar image was migrated on the basis of the permittivity profile. We do not find any continuous distinct layers or an apparent regolith/rock interface in the migrated radargram, which implies that this area is covered by relatively young, poorly layered deposits.

  8. A topology optimization method based on the level set method for the design of negative permeability dielectric metamaterials

    DEFF Research Database (Denmark)

    Otomori, Masaki; Yamada, Takayuki; Izui, Kazuhiro

    2012-01-01

    This paper presents a level set-based topology optimization method for the design of negative permeability dielectric metamaterials. Metamaterials are artificial materials that display extraordinary physical properties that are unavailable with natural materials. The aim of the formulated...... optimization problem is to find optimized layouts of a dielectric material that achieve negative permeability. The presence of grayscale areas in the optimized configurations critically affects the performance of metamaterials, positively as well as negatively, but configurations that contain grayscale areas...... are highly impractical from an engineering and manufacturing point of view. Therefore, a topology optimization method that can obtain clear optimized configurations is desirable. Here, a level set-based topology optimization method incorporating a fictitious interface energy is applied to a negative...

  9. Multi-Dielectric Brownian Dynamics and Design-Space-Exploration Studies of Permeation in Ion Channels.

    Science.gov (United States)

    Siksik, May; Krishnamurthy, Vikram

    2017-09-01

    This paper proposes a multi-dielectric Brownian dynamics simulation framework for design-space-exploration (DSE) studies of ion-channel permeation. The goal of such DSE studies is to estimate the channel modeling-parameters that minimize the mean-squared error between the simulated and expected "permeation characteristics." To address this computational challenge, we use a methodology based on statistical inference that utilizes the knowledge of channel structure to prune the design space. We demonstrate the proposed framework and DSE methodology using a case study based on the KcsA ion channel, in which the design space is successfully reduced from a 6-D space to a 2-D space. Our results show that the channel dielectric map computed using the framework matches with that computed directly using molecular dynamics with an error of 7%. Finally, the scalability and resolution of the model used are explored, and it is shown that the memory requirements needed for DSE remain constant as the number of parameters (degree of heterogeneity) increases.

  10. Features of dielectric response in PMN-PT ferroelectric ceramics

    International Nuclear Information System (INIS)

    Guerra, J D S; Araujo, E B; Guarany, C A; Reis, R N; Lima, E C

    2008-01-01

    In this paper, electrical and structural properties were reported for pyrochlore free (1 - x)[Pb(Mg 1/3 Nb 2/3 )O 3 ] - xPbTiO 3 (PMN-PT) (with 35 mol% PbTiO 3 ) ceramics obtained from fine powders. Dielectric studies were focused on the investigation of the complex dielectric permittivity (ε' - iε'') as a function of frequency and temperature. The effects of the dc applied electric field on dielectric response were also investigated. Results revealed a field dependence dielectric anomaly in the dielectric permittivity curves (ε(T)) in the low dc electric field region, which in turn prevails in the whole analysed frequency interval. To the best of our knowledge, these properties for the PMN-PT ceramic system have not been reported before as in this work. The results were analysed within the framework of the current models found in the literature.

  11. Defects in codoped NiO with gigantic dielectric response

    Science.gov (United States)

    Wu, Ping; Ligatchev, Valeri; Yu, Zhi Gen; Zheng, Jianwei; Sullivan, Michael B.; Zeng, Yingzhi

    2009-06-01

    We combine first-principles, statistical, and phenomenological methods to investigate the electronic and dielectric properties of NiO and clarify the nature of the gigantic dielectric response in codoped NiO. Unlike previous models which are dependent on grain-boundary effects, our model based on small polaron hopping in homogeneous material predicts the dielectric permittivity (104-5) for heavily Li- and MD -codoped NiO (MD=Ti,Al,Si) . Furthermore, we reproduce the experimental trends in dielectric properties as a function of the dopants nature and their concentrations, as well as the reported activation energies for the relaxation in Li- and Ti-codoped NiO (0.308 eV or 0.153 eV depending on the Fermi-level position). In this study, we demonstrate that small polaron hopping on dopant levels is the dominant mechanism for the gigantic dielectric response in these codoped NiO.

  12. The use of the computer code PE2D in the electrostatic modelling of an electron beam generator vacuum diode interface

    International Nuclear Information System (INIS)

    Biddlecombe, C.S.; Edwards, C.B.; Shaw, M.J.

    1981-10-01

    The computer code PE2D has been used to optimise the design of a compact, 500kV, low inductance vacuum diode interface assembly for SPRITE, a sophisticated electron beam pumped exciplex laser system under construction at RAL. Electrostatic modelling of various dielectric interfaces has been achieved in cylindrical symmetry under conditions not amenable to more traditional methods of electrostatic field plotting. (author)

  13. Dielectric properties of lunar surface

    Science.gov (United States)

    Yushkova, O. V.; Kibardina, I. N.

    2017-03-01

    Measurements of the dielectric characteristics of lunar soil samples are analyzed in the context of dielectric theory. It has been shown that the real component of the dielectric permittivity and the loss tangent of rocks greatly depend on the frequency of the interacting electromagnetic field and the soil temperature. It follows from the analysis that one should take into account diurnal variations in the lunar surface temperature when interpreting the radar-sounding results, especially for the gigahertz radio range.

  14. Manipulation of stored charge in anodic aluminium oxide/SiO2 dielectric stacks by the use of pulsed anodisation

    International Nuclear Information System (INIS)

    Lu, Zhong; Ouyang, Zi; Grant, Nicholas; Wan, Yimao; Yan, Di; Lennon, Alison

    2016-01-01

    Graphical abstract: - Highlights: • Pulse anodisation was used to grow AAO layers with controllable stored charge. • Stored charge density ranging from −5.2 × 10 11 to 2.5 × 10 12 q/cm 2 was demonstrated. • Enhancement in surface passivation was demonstrated with charge management. • Annealing significantly reduces the positive stored charge and the interface defect. - Abstract: A method of fabricating anodic aluminium oxide (AAO) with the capability of manipulating its stored charge is reported. This method involves the use of a pulsed current source to anodise aluminium layers instead of the typically used constant current/voltage source, with the test structures experiencing positive and negative cycles periodically. By tuning the positive cycle percentage, it is demonstrated that the effective stored charge density can be manipulated in a range from −5.2 × 10 11 to 2.5 × 10 12 q/cm 2 when the AAO is formed over a 12 nm SiO 2 layer. An investigation of the stored charge distribution in the dielectric stacks indicates a positive fixed charge at the SiO 2 /Si interface, a negative fixed charge at the AAO/SiO 2 interface and a positive bulk charge within the AAO layer. The effective stored charge density and interface states were found to be affected by annealing conditions and it is suggested that oxygen annealing can reduce the bulk positive charge while post-metallisation anneal is most effective in reducing silicon interface defects. Charge manipulation using pulsed anodisation is shown to reduce carrier recombination on boron-diffused silicon surfaces highlighting the potential of the process to be used to tune the electrical properties of dielectric layers so that they can reduce surface recombination on silicon surfaces having different dopant polarity and concentrations.

  15. Structural, dielectric and magnetic studies of magnetoelectric trirutile Fe{sub 2}TeO{sub 6}

    Energy Technology Data Exchange (ETDEWEB)

    Kaushik, S. D., E-mail: sdkaushik@csr.res.in [UGC-DAE-Consortium for Scientific Research Mumbai Centre, R-5 Shed, BARC, Mumbai-400085 (India); Sahu, B.; Mohapatra, S. R.; Singh, A. K. [Department of Physics and Astronomy, National Institute of Technology, Rourkela-769008, Odisha (India)

    2016-05-23

    We have investigated structural, magnetic and dielectric properties of Fe{sub 2}TeO{sub 6} which is a magnetoelectric antiferromagnet with the trirutile lattice. Rietveld analysis of room temperature X-ray diffraction data shows the phase purity of the sample with tetragonal trirutile structure (space group P4{sub 2}/mnm). The DC susceptibility measurement performed on polycrystalline powders exhibits antiferromagnetic ordering below transition temperature ~ 210K. The employment of Curie-Weiss law to inverse magnetic susceptibility only in the temperature range 350-260 K indicates the magnetic ordering starts developing before the transition temperature. The temperature dependent dielectric measurements show an intrinsic behavior of dielectric constant below 150 K while a continuous increase in dielectric constant with temperature above 150 K may be attributed to a small increase in electrical conduction, known commonly in the literatures.

  16. Thermal Experimental Analysis for Dielectric Characterization of High Density Polyethylene Nanocomposites

    Directory of Open Access Journals (Sweden)

    Ahmed Thabet Mohamed

    2016-01-01

    Full Text Available The importance of nanoparticles in controlling physical properties of polymeric nanocomposite materials leads us to study effects of these nanoparticles on electric and dielectric properties of polymers in industry In this research, the dielectric behaviour of High-Density Polyethylene (HDPE nanocomposites materials that filled with nanoparticles of clay or fumed silica has been investigated at various frequencies (10 Hz-1 kHz and temperatures (20-60°C. Dielectric spectroscopy has been used to characterize ionic conduction, then, the effects of nanoparticles concentration on the dielectric losses and capacitive charge of the new nanocomposites can be stated. Capacitive charge and loss tangent in high density polyethylene nanocomposites are measured by dielectric spectroscopy. Different dielectric behaviour has been observed depending on type and concentration of nanoparticles under variant thermal conditions.

  17. Active skin as new haptic interface

    Science.gov (United States)

    Vuong, Nguyen Huu Lam; Kwon, Hyeok Yong; Chuc, Nguyen Huu; Kim, Duksang; An, Kuangjun; Phuc, Vuong Hong; Moon, Hyungpil; Koo, Jachoon; Lee, Youngkwan; Nam, Jae-Do; Choi, Hyouk Ryeol

    2010-04-01

    In this paper, we present a new haptic interface, called "active skin", which is configured with a tactile sensor and a tactile stimulator in single haptic cell, and multiple haptic cells are embedded in a dielectric elastomer. The active skin generates a wide variety of haptic feel in response to the touch by synchronizing the sensor and the stimulator. In this paper, the design of the haptic cell is derived via iterative analysis and design procedures. A fabrication method dedicated to the proposed device is investigated and a controller to drive multiple haptic cells is developed. In addition, several experiments are performed to evaluate the performance of the active skin.

  18. QCM-D studies on polymer behavior at interfaces

    CERN Document Server

    Liu, Guangming

    2014-01-01

    QCM-D Studies on Polymer Behavior at Interfaces reviews the applications of quartz crystal microbalance with dissipation (QCM-D) in polymer research, including the conformational change of grafted polymer chains, the grafting kinetics of polymer chains, the growth mechanism of polyelectrolyte multilayers, and the interactions between polymers and phospholipid membranes. It focuses on how QCM-D can be applied to the study of polymer behavior at various solid-liquid interfaces. Moreover, it clearly reveals the physical significance of the changes in frequency and dissipation associated with the different polymer behaviors at the interfaces.

  19. Atomic-scale compensation phenomena at polar interfaces.

    Science.gov (United States)

    Chisholm, Matthew F; Luo, Weidong; Oxley, Mark P; Pantelides, Sokrates T; Lee, Ho Nyung

    2010-11-05

    The interfacial screening charge that arises to compensate electric fields of dielectric or ferroelectric thin films is now recognized as the most important factor in determining the capacitance or polarization of ultrathin ferroelectrics. Here we investigate using aberration-corrected electron microscopy and density-functional theory to show how interfaces cope with the need to terminate ferroelectric polarization. In one case, we show evidence for ionic screening, which has been predicted by theory but never observed. For a ferroelectric film on an insulating substrate, we found that compensation can be mediated by an interfacial charge generated, for example, by oxygen vacancies.

  20. Epitaxial ZnO gate dielectrics deposited by RF sputter for AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors

    Science.gov (United States)

    Yoon, Seonno; Lee, Seungmin; Kim, Hyun-Seop; Cha, Ho-Young; Lee, Hi-Deok; Oh, Jungwoo

    2018-01-01

    Radio frequency (RF)-sputtered ZnO gate dielectrics for AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors (MOS-HEMTs) were investigated with varying O2/Ar ratios. The ZnO deposited with a low oxygen content of 4.5% showed a high dielectric constant and low interface trap density due to the compensation of oxygen vacancies during the sputtering process. The good capacitance-voltage characteristics of ZnO-on-AlGaN/GaN capacitors resulted from the high crystallinity of oxide at the interface, as investigated by x-ray diffraction and high-resolution transmission electron microscopy. The MOS-HEMTs demonstrated comparable output electrical characteristics with conventional Ni/Au HEMTs but a lower gate leakage current. At a gate voltage of -20 V, the typical gate leakage current for a MOS-HEMT with a gate length of 6 μm and width of 100 μm was found to be as low as 8.2 × 10-7 mA mm-1, which was three orders lower than that of the Ni/Au Schottky gate HEMT. The reduction of the gate leakage current improved the on/off current ratio by three orders of magnitude. These results indicate that RF-sputtered ZnO with a low O2/Ar ratio is a good gate dielectric for high-performance AlGaN/GaN MOS-HEMTs.

  1. Dielectric Elastomers for Fluidic and Biomedical Applications

    Science.gov (United States)

    McCoul, David James

    Dielectric elastomers have demonstrated tremendous potential as high-strain electromechanical transducers for a myriad of novel applications across all engineering disciplines. Because their soft, viscoelastic mechanical properties are similar to those of living tissues, dielectric elastomers have garnered a strong foothold in a plethora of biomedical and biomimetic applications. Dielectric elastomers consist of a sheet of stretched rubber, or elastomer, coated on both sides with compliant electrode materials; application of a voltage generates an electrostatic pressure that deforms the elastomer. They can function as soft generators, sensors, or actuators, and this last function is the focus of this dissertation. Many design configurations are possible, such as stacks, minimum energy structures, interpenetrating polymer networks, shape memory dielectric elastomers, and others; dielectric elastomers are already being applied to many fields of biomedicine. The first part of the original research presented in this dissertation details a PDMS microfluidic system paired with a dielectric elastomer stack actuator of anisotropically prestrained VHB(TM) 4910 (3M(TM)) and single-walled carbon nanotubes. These electroactive microfluidic devices demonstrated active increases in microchannel width when 3 and 4 kV were applied. Fluorescence microscopy also indicated an accompanying increase in channel depth with actuation. The cross-sectional area strains at 3 and 4 kV were approximately 2.9% and 7.4%, respectively. The device was then interfaced with a syringe pump, and the pressure was measured upstream. Linear pressure-flow plots were developed, which showed decreasing fluidic resistance with actuation, from 0.192 psi/(microL/min) at 0 kV, to 0.160 and 0.157 psi/(microL/min) at 3 and 4 kV, respectively. This corresponds to an ~18% drop in fluidic resistance at 4 kV. Active de-clogging was tested in situ with the device by introducing ~50 microm diameter PDMS microbeads and

  2. Interplay between magnetic and dielectric phenomena at transition metal oxide interfaces

    International Nuclear Information System (INIS)

    Schumacher, Daniel

    2013-01-01

    The present work is concerned with the preparation, characterization and analysis of thin film heterostructures of perovskite oxide materials. Two different systems have been analyzed in detail: La 0.66 Sr 0.33 MnO 3 /SrTiO 3 (LSMO/STO) heterostructures have been investigated in order to understand the unusual occurrence of an exchange bias effect in multilayers of these two oxides. Monocrystalline LSMO single and LSMO/STO bilayers have been grown on STO by both High Oxygen Pressure Sputter Deposition (HSD) and Pulsed Laser Deposition. It was possible to reproduce the Exchange Bias effect in the samples grown by HSD by reducing the oxygen pressure during the layer growth. In fact, the size of the effect can be increased by further reduction of the oxygen pressure. The macroscopic sample analysis by X-ray Diffraction and Vibrating Sample Magnetometry suggests that the occurence of the Exchange Bias effect is linked to oxygen deficiencies in the LSMO layer. By combining X-ray Reflectometry, Polarized Neutron Reflectometry and X-ray Resonant magnetic Scattering (XRMS), the magnetic depth profile of the samples has been determined. By this, a region in LSMO at the interface to STO has been detected, where the magnetic moment is strongly suppressed. By putting together the results of the macroscopic sample analysis and the scattering experiments, an explanation for the occurence of the effect can be given: It is proposed, that a combination of strain and oxygen deficiencies shifts the LSMO at the interface in the antiferromagnetic phase of the LSMO strain vs. doping phase diagram. This interface region thus couples to the ferromagnetic part of the LSMO causing the Exchange Bias effect. The second heterostructure system under investigation in this work are bilayers of La 0.5 Sr 0.5 MnO 3 (LSMO) and BaTiO 3 (BTO). A possible dependence of the interface near magnetic structure of La 1-x Sr x MnO 3 having a doping level x close to the ferromagnetic-antiferromagnetic phase

  3. Interplay between magnetic and dielectric phenomena at transition metal oxide interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Schumacher, Daniel

    2013-10-01

    The present work is concerned with the preparation, characterization and analysis of thin film heterostructures of perovskite oxide materials. Two different systems have been analyzed in detail: La{sub 0.66}Sr{sub 0.33}MnO{sub 3}/SrTiO{sub 3} (LSMO/STO) heterostructures have been investigated in order to understand the unusual occurrence of an exchange bias effect in multilayers of these two oxides. Monocrystalline LSMO single and LSMO/STO bilayers have been grown on STO by both High Oxygen Pressure Sputter Deposition (HSD) and Pulsed Laser Deposition. It was possible to reproduce the Exchange Bias effect in the samples grown by HSD by reducing the oxygen pressure during the layer growth. In fact, the size of the effect can be increased by further reduction of the oxygen pressure. The macroscopic sample analysis by X-ray Diffraction and Vibrating Sample Magnetometry suggests that the occurence of the Exchange Bias effect is linked to oxygen deficiencies in the LSMO layer. By combining X-ray Reflectometry, Polarized Neutron Reflectometry and X-ray Resonant magnetic Scattering (XRMS), the magnetic depth profile of the samples has been determined. By this, a region in LSMO at the interface to STO has been detected, where the magnetic moment is strongly suppressed. By putting together the results of the macroscopic sample analysis and the scattering experiments, an explanation for the occurence of the effect can be given: It is proposed, that a combination of strain and oxygen deficiencies shifts the LSMO at the interface in the antiferromagnetic phase of the LSMO strain vs. doping phase diagram. This interface region thus couples to the ferromagnetic part of the LSMO causing the Exchange Bias effect. The second heterostructure system under investigation in this work are bilayers of La{sub 0.5}Sr{sub 0.5}MnO{sub 3} (LSMO) and BaTiO{sub 3} (BTO). A possible dependence of the interface near magnetic structure of La{sub 1-x}Sr{sub x}MnO{sub 3} having a doping level x

  4. Dielectric relaxation and AC conductivity studies of Se90Cd10−xInx glassy alloys

    Directory of Open Access Journals (Sweden)

    Nitesh Shukla

    2016-06-01

    Full Text Available Chalcogenide glassy alloys of Se90Cd10−xInx (x = 2, 4, 6, 8 are synthesized by melt quench technique. The prepared glassy alloys have been characterized by techniques such as differential scanning calorimetry (DSC, scanning electron microscopy (SEM and energy dispersive X-ray (EDAX. Dielectric properties of Se90Cd10−xInx (x = 2, 4, 6, 8 chalcogenide glassy system have been studied using impedance spectroscopic technique in the frequency range 42 Hz to 5 MHz at room temperature. It is found that the dielectric constants ɛ′, dielectric loss factor ɛ″ and loss angle Tan δ depend on frequency. ɛ′, ɛ″ and loss angle Tan δ are found to be decreasing with the In content in Se90Cd10−xInx glassy system. AC conductivity of the prepared sample has also been studied. It is found that AC conductivity increases with frequency where as it has decreasing trend with increasing In content in Se–Cd matrix. The semicircles observed in the Cole–Cole plots indicate a single relaxation process.

  5. Theoretical Study of Local Surface Plasmon Resonances on a Dielectric-Ag Core-Shell Nanosphere Using the Discrete-Dipole Approximation Method

    International Nuclear Information System (INIS)

    Ma Ye-Wan; Wu Zhao-Wang; Zhang Li-Hua; Liu Wan-Fang; Zhang Jie

    2015-01-01

    The local surface plasmon resonances (LSPRs) of dielectric-Ag core-shell nanospheres are studied by the discretedipole approximation method. The result shows that LSPRs are sensitive to the surrounding medium refractive index, which shows a clear red-shift with the increasing surrounding medium refractive index. A dielectric-Ag core-shell nanosphere exhibits a strong coupling between the core and shell plasmon resonance modes. LSPRs depend on the shell thickness and the composition of dielectric-core and metal-shell. LSPRs can be tuned over a longer wavelength range by changing the ratio of core to shell value. The lower energy mode ω_− shows a red-shift with the increasing dielectric-core value and the inner core radius, while blue-shifted with the increasing outer shell thickness. The underlying mechanisms are analyzed with the plasmon hybridization theory and the phase retardation effect. (paper)

  6. Cellulose Triacetate Dielectric Films For Capacitors

    Science.gov (United States)

    Yen, Shiao-Ping S.; Jow, T. Richard

    1994-01-01

    Cellulose triacetate investigated for use as dielectric material in high-energy-density capacitors for pulsed-electrical-power systems. Films of cellulose triacetate metalized on one or both sides for use as substrates for electrodes and/or as dielectrics between electrodes in capacitors. Used without metalization as simple dielectric films. Advantages include high breakdown strength and self-healing capability.

  7. Optical studies of metallo-dielectric photonic crystals

    Science.gov (United States)

    Kamaev, Vladimir

    2007-12-01

    Metallo-dielectric photonic crystals (MDPCs) are characterized by a large difference between the dielectric constants of the constituents. Owing to their high DC conductivity a broad omnidirectional band gap is formed at low frequencies. At the same time there exist numerous propagating electromagnetic modes at frequencies above a cutoff. This gives a possibility of creating a "transparent" metal: a crystal transparent in the visible spectral range and simultaneously having high DC conductivity. Since the cutoff wavelength linearly scales with the crystal periodicity, in order to make an MDPC with propagating modes in the visible range the crystal periodicity has to be around a quarter micrometer. Fabrication of such a crystal is a challenging task. One of the feasible choices is natural or artificial opals, structures made of silica balls arranged into a close packed fcc lattice. The ball diameters could vary from 200 nm to several microns, allowing the desired optical features to be in the visible spectral range. In the present work we studied metal-infiltrated opals numerically, analytically, and experimentally (Chapters 1 and 4). Both theory and experiment revealed high reflectance of the samples at large wavelengths associated with the low frequency metallic band gap formation, and low reflectance at short wavelengths that has characteristic wiggles. Contrarily, the absorbance is low in the IR region and goes up towards the UV end, which is due to low group velocity of light and high metal absorption in the region. Numerical analysis of thin metal-infiltrated opals (˜3-5 layers) did show a transmission peak around the first reflectance minimum and cutoff frequency. In Chapter 5 we present transmission experiments on thin metal films perforated with periodic arrays of holes or deposited on an opal monolayer. Both types of 2D MDPCs exhibited anomalous transmission peaks associated with surface plasma excitations. It was shown that the phenomenon could be

  8. Dielectric properties of ligand-modified gold nanoparticles/SU-8 photopolymer based nanocomposites

    KAUST Repository

    Toor, Anju; So, Hongyun; Pisano, Albert P.

    2017-01-01

    This article reports the enhanced dielectric properties of a photodefinable nanocomposite material containing sub–10 nm coated metal nanoparticles (NPs). The surface morphology of the synthesized dodecanethiol-functionalized gold NPs was characterized using the transmission electron microscopy (TEM). We investigated the particle agglomeration and dispersion during the various stages of the nanocomposite synthesis using TEM. Physical properties such as dielectric permittivity and dielectric loss were measured experimentally. The dependence of dielectric permittivity and loss tangent on particle concentration and frequency was studied. Nanocomposite films showed an approximately three times enhancement in average dielectric constant over the polymer base value and an average dielectric loss of 0.09 at 1 kHz, at a filler loading of 10% w/w.

  9. Dielectric properties of ligand-modified gold nanoparticles/SU-8 photopolymer based nanocomposites

    KAUST Repository

    Toor, Anju

    2017-04-15

    This article reports the enhanced dielectric properties of a photodefinable nanocomposite material containing sub–10 nm coated metal nanoparticles (NPs). The surface morphology of the synthesized dodecanethiol-functionalized gold NPs was characterized using the transmission electron microscopy (TEM). We investigated the particle agglomeration and dispersion during the various stages of the nanocomposite synthesis using TEM. Physical properties such as dielectric permittivity and dielectric loss were measured experimentally. The dependence of dielectric permittivity and loss tangent on particle concentration and frequency was studied. Nanocomposite films showed an approximately three times enhancement in average dielectric constant over the polymer base value and an average dielectric loss of 0.09 at 1 kHz, at a filler loading of 10% w/w.

  10. Study of strained-Si p-channel MOSFETs with HfO2 gate dielectric

    Science.gov (United States)

    Pradhan, Diana; Das, Sanghamitra; Dash, Tara Prasanna

    2016-10-01

    In this work, the transconductance of strained-Si p-MOSFETs with high-K dielectric (HfO2) as gate oxide, has been presented through simulation using the TCAD tool Silvaco-ATLAS. The results have been compared with a SiO2/strained-Si p-MOSFET device. Peak transconductance enhancement factors of 2.97 and 2.73 has been obtained for strained-Si p-MOSFETs in comparison to bulk Si channel p-MOSFETs with SiO2 and high-K dielectric respectively. This behavior is in good agreement with the reported experimental results. The transconductance of the strained-Si device at low temperatures has also been simulated. As expected, the mobility and hence the transconductance increases at lower temperatures due to reduced phonon scattering. However, the enhancements with high-K gate dielectric is less as compared to that with SiO2.

  11. Effects of Annealing Time on the Performance of OTFT on Glass with ZrO2 as Gate Dielectric

    Directory of Open Access Journals (Sweden)

    W. M. Tang

    2012-01-01

    Full Text Available Copper phthalocyanine-based organic thin-film transistors (OTFTs with zirconium oxide (ZrO2 as gate dielectric have been fabricated on glass substrates. The gate dielectric is annealed in N2 at different durations (5, 15, 40, and 60 min to investigate the effects of annealing time on the electrical properties of the OTFTs. Experimental results show that the longer the annealing time for the OTFT, the better the performance. Among the devices studied, OTFTs with gate dielectric annealed at 350°C in N2 for 60 min exhibit the best device performance. They have a small threshold voltage of −0.58 V, a low subthreshold slope of 0.8 V/decade, and a low off-state current of 0.73 nA. These characteristics demonstrate that the fabricated device is suitable for low-voltage and low-power operations. When compared with the TFT samples annealed for 5 min, the ones annealed for 60 min have 20% higher mobility and nearly two times smaller the subthreshold slope and off-state current. The extended annealing can effectively reduce the defects in the high-k film and produces a better insulator/organic interface. This results in lower amount of carrier scattering and larger CuPc grains for carrier transport.

  12. Electric and dielectric behavior of copper-chromium layered double hydroxide intercalated with dodecyl sulfate anions using impedance spectroscopy

    Science.gov (United States)

    Elhatimi, Wafaa; Bouragba, Fatima Zahra; Lahkale, Redouane; Sadik, Rachid; Lebbar, Nacira; Siniti, Mostapha; Sabbar, Elmouloudi

    2018-05-01

    The Cu2Cr-DS-LDH hybrid was successfully prepared by the anion exchange method at room temperature. The structure, the chemical composition and the physico-chemical properties of the sample were determined using powder X-ray diffraction (PXRD), Fourier transform infrared spectroscopy (FT-IR), thermogravimetric analysis (TGA) and inductively coupled plasma (ICP). In this work, the electrical and dielectric properties investigated are determined using impedance spectroscopy (IS) in a frequency range of 1 Hz to 1 MHz. Indeed, the Nyquist diagram modelized by an electrical equivalent circuit showed three contributions attributed respectively to the polarization of grains, grains boundaries and interface electrode-sample. This modelization allowed us to determine the intrinsic electrical parameters of the hybrid (resistance, pseudo-capacitance and relaxation time). The presence of the non-Debye relaxation phenomena was confirmed by the frequency analysis of impedance. Moreover, the evolution of the alternating current conductivity (σac) studied obeys the double power law of Jonscher. The ionic conduction of this material was generated through a jump movement by translation of the charge carriers. As for the dielectric behavior of the material, the evolution of dielectric constant as a function of frequency shows relatively high values in a frequency range between 10 Hz and 1 KHz. The low values of the loss tangent obtained in this frequency zone can valorize this LDH hybrid.

  13. Surface- and interface-plasmon modes on small semiconducting spheres

    International Nuclear Information System (INIS)

    Ugarte, D.; Colliex, C.; Trebbia, P.

    1992-01-01

    The study of the electronic properties of small particles is of major interest because of their intriguing physicochemical properties. The very small electron probes available in scanning transmission electron microscopes offer unique capabilities for investigating small particles with subnanometer spatial resolution. The correlation between electron-energy-loss spectra and energy-filtered images is of great help in pinpointing the excitations under study. This paper presents a theoretical and experimental study of collective excitation modes in the bulk and at the interfaces and surfaces of small spherical silicon particles covered with a thin oxide coating. Among other results, our experimental measurements have shown that there exists a surface-mode excitation at 3--4 eV, precisely localized on the external surface of the oxide layer. Classical dielectric theory is used in interpreting these results, by invoking the presence of an ultrathin conductive layer

  14. High temperature polymer film dielectrics for aerospace power conditioning capacitor applications

    Energy Technology Data Exchange (ETDEWEB)

    Venkat, Narayanan, E-mail: venkats3@gmail.co [University of Dayton Research Institute (UDRI), Dayton, OH 45469 (United States); Dang, Thuy D. [Air Force Research Laboratory-Nanostructured and Biological Materials Branch (AFRL/RXBN) (United States); Bai Zongwu; McNier, Victor K. [University of Dayton Research Institute (UDRI), Dayton, OH 45469 (United States); DeCerbo, Jennifer N. [Air Force Research Laboratory-Electrical Technology Branch (AFRL/RZPE), Wright-Patterson Air Force Base, OH 45433 (United States); Tsao, B.-H. [University of Dayton Research Institute (UDRI), Dayton, OH 45469 (United States); Stricker, Jeffery T. [Air Force Research Laboratory-Electrical Technology Branch (AFRL/RZPE), Wright-Patterson Air Force Base, OH 45433 (United States)

    2010-04-15

    Polymer dielectrics are the preferred materials of choice for capacitive energy-storage applications because of their potential for high dielectric breakdown strengths, low dissipation factors and good dielectric stability over a wide range of frequencies and temperatures, despite having inherently lower dielectric constants relative to ceramic dielectrics. They are also amenable to large area processing into films at a relatively lower cost. Air Force currently has a strong need for the development of compact capacitors which are thermally robust for operation in a variety of aerospace power conditioning applications. While such applications typically use polycarbonate (PC) dielectric films in wound capacitors for operation from -55 deg. C to 125 deg. C, future power electronic systems would require the use of polymer dielectrics that can reliably operate up to elevated temperatures in the range of 250-350 deg. C. The focus of this research is the generation and dielectric evaluation of metallized, thin free-standing films derived from high temperature polymer structures such as fluorinated polybenzoxazoles, post-functionalized fluorinated polyimides and fluorenyl polyesters incorporating diamond-like hydrocarbon units. The discussion is centered mainly on variable temperature dielectric measurements of film capacitance and dissipation factor and the effects of thermal cycling, up to a maximum temperature of 350 deg. C, on film dielectric performance. Initial studies clearly point to the dielectric stability of these films for high temperature power conditioning applications, as indicated by their relatively low temperature coefficient of capacitance (TCC) (approx2%) over the entire range of temperatures. Some of the films were also found to exhibit good dielectric breakdown strengths (up to 470 V/mum) and a film dissipation factor of the order of <0.003 (0.3%) at the frequency of interest (10 kHz) for the intended applications. The measured relative dielectric

  15. Studies of low current back-discharge in point-plane geometry with dielectric layer

    International Nuclear Information System (INIS)

    Jaworek, A.; Rajch, E.; Czech, T.; Lackowski, M

    2005-01-01

    The paper presents results of spectroscopic investigations of back-discharge generated in the point-plane electrode geometry in air at atmospheric pressure, with the plane covered with fly ash layer. Four forms of the discharges were studied: onset streamers, glow, breakdown streamers and low-current back-arc discharge. Both polarities of the active discharge electrode, positive and negative, were tested. The back discharge is a type of DC electrical discharge, which take place when the passive plane electrode is covered with a dielectric layer. The layer can be made of solid material or a packed bed of dust or powder of low conductivity. The charge produced due to ionisation processes in the vicinity of the active point electrode is accumulated on the dielectric surface, and generates high electric field through this layer. When critical electric field through the layer is attained an electrical breakdown of the layer take place. The point of breakdown becomes a new source of ions of polarity opposite to those generated by the active electrode. The dielectric layer on the passive electrode causes that gaseous discharges such as breakdown streamers or arc start at lower voltages than they could in the case of normal corona discharge. The visual forms of the discharge were recorded and correlated with the current-voltage characteristics and optical emission spectra. Emission spectra of the discharge were measured in the light wavelength range of 200 to 600 nm to get information about excitation and ionisation processes. The light spectra were analysed by monochromator SPM-2 Karl-Zeiss-Jena with diffraction grating of 1302 grooves/mm and photomultiplier R375 (Hamamatsu) and signal preamplifier unit C7319 (Hamamatsu). The spectral analysis showed that the nitrogen molecular bands were dominant, but the emission of negative ions from the dielectric layer material were also detected. The most noticeable light emission in the range from 280 to 490 nm due to second

  16. Complex dielectric modulus and relaxation response at low microwave frequency region of dielectric ceramic Ba6-3xNd8+2xTi18O54

    Directory of Open Access Journals (Sweden)

    Chian Heng Lee

    2014-10-01

    Full Text Available The desirable characteristics of Ba6-3xNd8+2xTi18O54 include high dielectric constant, low loss tangent, and high quality factor developed a new field for electronic applications. The microwave dielectric properties of Ba6-3xNd8+2xTi18O54, with x = 0.15 ceramics at different sintering temperatures (600–1300°C were investigated. The phenomenon of polarization produced by the applied electric field was studied. The dielectric properties with respect to frequency from 1 MHz to 1.5 GHz were measured using Impedance Analyzer, and the results were compared and analyzed. The highest dielectric permittivity and lowest loss factor were defined among the samples. The complex dielectric modulus was evaluated from the measured parameters of dielectric measurement in the same frequency range, and used to differentiate the contribution of grain and grain boundary.

  17. Charge transport in polycrystalline alumina materials: application to the optimization of dielectric breakdown strength; Transport de charges dans les alumines polycristallines: application a l'optimisation de la rigidite dielectrique

    Energy Technology Data Exchange (ETDEWEB)

    Touzin, M.

    2005-12-15

    Dielectric breakdown constitutes an important limitation in the use of insulating materials under high-tension since it leads to the local fusion and the sublimation of material. The microstructure (average grain size, intergranular phase) has a great influence on the ability of material to resist this catastrophic phenomenon. Indeed, the interfaces between the various phases constitute potential sites of trapping for the charges. The optimization of the dielectric breakdown strength of a polycrystalline alumina sintered with a liquid phase passes necessarily through the control of the microstructural parameters. Thus, it is shown that by controlling the conditions of the process (rate of sintering aids, powder grain size and thermal cycle), it is possible to control the density (by the average grain size) but also the nature (by the crystallization or not of anorthite) of the grain boundaries. The study of the influence of these two parameters as well temperature on the properties of charge transport and storage was carried out by methods ICM and SEMME. The results, interpreted in light of the numerical simulation of the charge transport in bulk alumina sample during electron beam irradiation, allowed to highlight behaviors, and the corresponding microstructures, favourable to the dielectric breakdown resistance according to the considered temperature. Thus, at room temperature a high density of interfaces (low grain size and crystallized intergranular phase) makes it possible material to durably trap a great amount of charges, which leads to a high dielectric strength. On the other hand, at higher temperature, the presence of shallow traps (vitreous intergranular phase) supports the charge diffusion and makes it possible to delay breakdown. (author)

  18. Dielectric properties of perbunan rubber: γ-irradiation effects

    International Nuclear Information System (INIS)

    El-Nour, K.N.A.; Fouda, I.M.; Migahed, M.D.

    1987-01-01

    A systematic dielectric study over a frequency range extending from 200 Hz to 100 kHz and temperature ranging from 20 0 to 60 0 C has been carried out on perbunan rubber. The acrylonitrile content of the rubber samples was 28% and 38%. The effect of 15 MR γ-irradiation on the dielectric properties of both samples was studied and the results are interpreted. The study revealed that NBR-38 is better than NBR-28 for insulating purposes. (author)

  19. XPS Studies of LSCF Interfaces after Cell Testing

    Directory of Open Access Journals (Sweden)

    Gianfranco DiGiuseppe

    2018-01-01

    Full Text Available The motivation of this investigation is to explore the possibility of using the depth profile capability of XPS to study interfaces after SOFC button cell testing. The literature uses XPS to study various cathode materials but has devoted little to the understanding of various cathode interfaces especially after testing. In this work, an SOFC button cell is first tested, and then, the LSCF cathode, barrier layer, and electrolyte are sputtered away to study the behavior of different interfaces. This work has shown that some elements have moved into other layers of the SOFC cell. It is argued that the migration of the elements is partly due to a redeposition mechanism after atoms are sputtered away, while the rest is due to interdiffusion between the SDC and YSZ layers. However, additional work is needed to better understand the mechanism by which atoms move around at different interfaces. The cell electrochemical performance is also discussed in some details but is not the focus.

  20. Metallic nanoparticles in dielectrics: A comparative study

    KAUST Repository

    Agambayev, Agamyrat; Farhat, Mohamed; Bagci, Hakan; Salama, Khaled N.

    2017-01-01

    The Maxwell-Garnett method is used to predict the effective dielectric constant and the tangent loss of various composites consisting of a PVDF-TrFE-CFE-matrix and metallic microsphere fillers made of Cu, Ni, W, Zn, or Fe. Simulation results demonstrate that for small filler fraction values and at low frequencies, the electrical properties of the resulting composite do not depend on the conductivity of the filler. These findings show that composites fabricated using cheaper metal nanoparticle fillers are as effective as those fabricated using expensive ones.

  1. Metallic nanoparticles in dielectrics: A comparative study

    KAUST Repository

    Agambayev, Agamyrat

    2017-10-25

    The Maxwell-Garnett method is used to predict the effective dielectric constant and the tangent loss of various composites consisting of a PVDF-TrFE-CFE-matrix and metallic microsphere fillers made of Cu, Ni, W, Zn, or Fe. Simulation results demonstrate that for small filler fraction values and at low frequencies, the electrical properties of the resulting composite do not depend on the conductivity of the filler. These findings show that composites fabricated using cheaper metal nanoparticle fillers are as effective as those fabricated using expensive ones.

  2. Dielectric study on hierarchical water structures restricted in cement and wood materials

    International Nuclear Information System (INIS)

    Abe, Fumiya; Nishi, Akihiro; Saito, Hironobu; Asano, Megumi; Watanabe, Seiei; Kita, Rio; Shinyashiki, Naoki; Yagihara, Shin; Fukuzaki, Minoru; Sudo, Seiichi; Suzuki, Youki

    2017-01-01

    Dielectric relaxation processes for mortar observed by broadband dielectric spectroscopy were analyzed in the drying and hydration processes for an aging sample in the frequency region from 1 MHz up to 2 MHz. At least two processes for structured water in the kHz frequency region and another mHz relaxation process affected by ionic behaviors were observed. Comparison of the relaxation parameters obtained for the drying and hydration processes suggests an existence of hierarchical water structures in the exchange of water molecules, which are originally exchanged from free water observed at around 20 GHz. The water molecules reflected in the lower frequency process of the two kHz relaxation processes are more restricted and take more homogeneous structures than the higher kHz relaxation process. These structured water usually hidden in large ionic behaviors for wood samples was observed by electrodes covered by a thin Teflon film, and hierarchical water structures were also suggested for wood samples. Dielectric spectroscopy technique is an effective tool to analyze the new concept of hierarchical water structures in complex materials. (paper)

  3. Improvement in photoconductor film properties by changing dielectric layer structures

    International Nuclear Information System (INIS)

    Kim, S; Oh, K; Lee, Y; Jung, J; Cho, G; Jang, G; Cha, B; Nam, S; Park, J

    2011-01-01

    In recent times, digital X-ray detectors have been actively applied to the medical field; for example, digital radiography offers the potential of improved image quality and provides opportunities for advances in medical image management, computer-aided diagnosis and teleradiology. In this study, two candidate materials (HgI 2 and PbI 2 ) have been employed to study the influence of the dielectric structure on the performance of fabricated X-ray photoconducting films. Parylene C with high permittivity was deposited as a dielectric layer using a parylene deposition system (PDS 2060). The structural and morphological properties of the samples were evaluated field emission scanning electron microscopy and X-ray diffraction. Further, to investigate improvements in the electrical characteristics, a dark current in the dark room and sensitivity to X-ray exposure in the energy range of general radiography diagnosis were measured across the range of the operating voltage. The electric signals varied with the dielectric layer structure of the X-ray films. The PbI 2 film with a bottom dielectric layer showed optimized electric properties. On the other hand, in the case of HgI 2 , the film with a top dielectric layer showed superior electric characteristics. Further, although the sensitivity of the film decreased, the total electrical efficiency of the film improved as a result of the decrease in dark current. When a dielectric layer is deposited on a photoconductor, the properties of the photoconductor, such as hole-electron mobility, should be considered to improve the image quality in digital medical imaging application. In this study, we have thus demonstrated that the use of dielectric layer structures improves the performance of photoconductors.

  4. Thermoluminescence study of the trapped charge at an alumina surface electrode in different dielectric barrier discharge regimes

    Energy Technology Data Exchange (ETDEWEB)

    Ambrico, P F; Ambrico, M; Dilecce, G; De Benedictis, S [Consiglio Nazionale delle Ricerche, Istituto di Metodologie Inorganiche e dei Plasmi UOS Bari-c/o Dipartimento di Chimica, Universita degli Studi di Bari ' Aldo Moro' , via Orabona, 4, 70126 Bari (Italy); Colaianni, A [Dipartimento di Geologia e Geofisica, Universita degli Studi di Bari ' Aldo Moro' , via Orabona, 4, 70126 Bari (Italy); Schiavulli, L, E-mail: paolofrancesco.ambrico@cnr.i [Dipartimento Interateneo di Fisica, Universita degli Studi di Bari ' Aldo Moro' , via Orabona, 4, 70126 Bari (Italy)

    2010-08-18

    In this study, the charge trapping effect in alumina dielectric surfaces has been deeply investigated by means of a dedicated dielectric barrier discharge apparatus in different discharge regimes and gas mixtures. This work further validates our previous findings in the case of air discharges in a filamentary regime. Long lasting charge trapping has been evidenced by ex situ thermoluminescence characterizations of alumina dielectric barrier plates exposed to a plasma. The density of trapped surface charges was found to be higher in the glow discharge with respect to pseudo-glow and filamentary regimes, and for all regimes the minimum trap activation temperature was 390 K and the trap energy was less than or around 1 eV. This implies that in the case of glow discharges a higher reservoir of electrons is present. Also, the effect was found to persist for several days after running the discharge.

  5. Thermoluminescence study of the trapped charge at an alumina surface electrode in different dielectric barrier discharge regimes

    International Nuclear Information System (INIS)

    Ambrico, P F; Ambrico, M; Dilecce, G; De Benedictis, S; Colaianni, A; Schiavulli, L

    2010-01-01

    In this study, the charge trapping effect in alumina dielectric surfaces has been deeply investigated by means of a dedicated dielectric barrier discharge apparatus in different discharge regimes and gas mixtures. This work further validates our previous findings in the case of air discharges in a filamentary regime. Long lasting charge trapping has been evidenced by ex situ thermoluminescence characterizations of alumina dielectric barrier plates exposed to a plasma. The density of trapped surface charges was found to be higher in the glow discharge with respect to pseudo-glow and filamentary regimes, and for all regimes the minimum trap activation temperature was 390 K and the trap energy was less than or around 1 eV. This implies that in the case of glow discharges a higher reservoir of electrons is present. Also, the effect was found to persist for several days after running the discharge.

  6. SEMICONDUCTOR TECHNOLOGY: Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions

    Science.gov (United States)

    Yongliang, Li; Qiuxia, Xu

    2010-03-01

    The wet etching properties of a HfSiON high-k dielectric in HF-based solutions are investigated. HF-based solutions are the most promising wet chemistries for the removal of HfSiON, and etch selectivity of HF-based solutions can be improved by the addition of an acid and/or an alcohol to the HF solution. Due to densification during annealing, the etch rate of HfSiON annealed at 900 °C for 30 s is significantly reduced compared with as-deposited HfSiON in HF-based solutions. After the HfSiON film has been completely removed by HF-based solutions, it is not possible to etch the interfacial layer and the etched surface does not have a hydrophobic nature, since N diffuses to the interface layer or Si substrate formation of Si-N bonds that dissolves very slowly in HF-based solutions. Existing Si-N bonds at the interface between the new high-k dielectric deposit and the Si substrate may degrade the carrier mobility due to Coulomb scattering. In addition, we show that N2 plasma treatment before wet etching is not very effective in increasing the wet etch rate for a thin HfSiON film in our case.

  7. Dielectric and Carrier Transport Properties of Silicone Rubber Degraded by Gamma Irradiation

    Directory of Open Access Journals (Sweden)

    Daomin Min

    2017-10-01

    Full Text Available Silicone rubber (SiR is used as an insulating material for cables installed in a nuclear power plant. Gamma rays irradiated SiR sheets for various periods at temperatures of 145 and 185 °C, and the resultant changes were analyzed by examining complex permittivity spectra and surface potential decay characteristics. Three different processes, namely, instantaneous polarization, electrode polarization due to the accumulation of ions to form double charge layers at dielectric/electrode interfaces, and DC conduction caused by directional hopping of ions, contribute to the complex permittivity. By fitting the spectra to theoretical equations, we can obtain the dielectric constant at high frequencies, concentration and diffusion coefficient of ions and DC conductivity for the pristine and degraded samples. The instantaneous polarization becomes active with an increase of dose and ageing temperature. The thermal expansion coefficient estimated from the temperature dependence of dielectric constant at high frequencies becomes smaller with an increase in dose, which is in good agreement with the experimental results of the swelling ratio. Additionally, trap distributions are calculated from surface potential decay measurements and analyzed to explain the variation in conductivity. Trap energy increases firstly, and then decreases with an increase in dose, leading to a similar change in DC conductivity. It is concluded that generations of both oxidative products and mobile ions, as well as the occurrence of chain scission and crosslinking are simultaneously induced by gamma rays.

  8. Millimeter wave and terahertz dielectric properties of biological materials

    Science.gov (United States)

    Khan, Usman Ansar

    Broadband dielectric properties of materials can be employed to identify, detect, and characterize materials through their unique spectral signatures. In this study, millimeter wave, submillimeter wave, and terahertz dielectric properties of biological substances inclusive of liquids, solids, and powders were obtained using Dispersive Fourier Transform Spectroscopy (DFTS). Two broadband polarizing interferometers were constructed to test materials from 60 GHz to 1.2 THz. This is an extremely difficult portion of the frequency spectrum to obtain a material's dielectric properties since neither optical nor microwave-based techniques provide accurate data. The dielectric characteristics of liquids such as cyclohexane, chlorobenzene, benzene, ethanol, methanol, 1,4 dioxane, and 10% formalin were obtained using the liquid interferometer. Subsequently the solid interferometer was utilized to determine the dielectric properties of human breast tissues, which are fixed and preserved in 10% formalin. This joint collaboration with the Tufts New England Medical Center demonstrated a significant difference between the dielectric response of tumorous and non-tumorous breast tissues across the spectrum. Powders such as anthrax, flour, talc, corn starch, dry milk, and baking soda have been involved in a number of security threats and false alarms around the globe in the last decade. To be able to differentiate hoax attacks and serious security threats, the dielectric properties of common household powders were also examined using the solid interferometer to identify the powders' unique resonance peaks. A new sample preparation kit was designed to test the powder specimens. It was anticipated that millimeter wave and terahertz dielectric characterization will enable one to clearly distinguish one powder from the other; however most of the powders had relatively close dielectric responses and only Talc had a resonance signature recorded at 1.135 THz. Furthermore, due to

  9. Molecular dynamics of amorphous pharmaceutical fenofibrate studied by broadband dielectric spectroscopy

    Directory of Open Access Journals (Sweden)

    U. Sailaja

    2016-06-01

    Full Text Available Fenofibrate is mainly used to reduce cholesterol level in patients at risk of cardiovascular disease. Thermal transition study with the help of differential scanning calorimetry (DSC shows that the aforesaid active pharmaceutical ingredient (API is a good glass former. Based on our DSC study, the molecular dynamics of this API has been carried out by broadband dielectric spectroscopy (BDS covering wide temperature and frequency ranges. Dielectric measurements of amorphous fenofibrate were performed after its vitrification by fast cooling from a few degrees above the melting point (Tm=354.11 K to deep glassy state. The sample does not show any crystallization tendency during cooling and reaches the glassy state. The temperature dependence of the structural relaxation has been fitted by single Vogel–Fulcher–Tamman (VFT equation. From VFT fit, glass transition temperature (Tg was estimated as 250.56 K and fragility (m was determined as 94.02. This drug is classified as a fragile glass former. Deviations of experimental data from Kohlrausch–Williams–Watts (KWW fits on high-frequency flank of α-peak indicate the presence of an excess wing in fenofibrate. Based on Ngai׳s coupling model, we identified the excess wing as true Johari–Goldstein (JG process. Below the glass transition temperature one can clearly see a secondary relaxation (γ with an activation energy of 32.67 kJ/mol.

  10. Manipulation of stored charge in anodic aluminium oxide/SiO{sub 2} dielectric stacks by the use of pulsed anodisation

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Zhong, E-mail: z.lu@unsw.edu.au [School of Photovoltaic and Renewable Energy Engineering, The University of New South Wales, Sydney, NSW 2052 (Australia); Ouyang, Zi [School of Photovoltaic and Renewable Energy Engineering, The University of New South Wales, Sydney, NSW 2052 (Australia); Grant, Nicholas; Wan, Yimao; Yan, Di [Centre for Sustainable Energy Systems, Faculty of Engineering and Information Technology, The Australian National University, Canberra, ACT 0200 (Australia); Lennon, Alison [School of Photovoltaic and Renewable Energy Engineering, The University of New South Wales, Sydney, NSW 2052 (Australia)

    2016-02-15

    Graphical abstract: - Highlights: • Pulse anodisation was used to grow AAO layers with controllable stored charge. • Stored charge density ranging from −5.2 × 10{sup 11} to 2.5 × 10{sup 12} q/cm{sup 2} was demonstrated. • Enhancement in surface passivation was demonstrated with charge management. • Annealing significantly reduces the positive stored charge and the interface defect. - Abstract: A method of fabricating anodic aluminium oxide (AAO) with the capability of manipulating its stored charge is reported. This method involves the use of a pulsed current source to anodise aluminium layers instead of the typically used constant current/voltage source, with the test structures experiencing positive and negative cycles periodically. By tuning the positive cycle percentage, it is demonstrated that the effective stored charge density can be manipulated in a range from −5.2 × 10{sup 11} to 2.5 × 10{sup 12} q/cm{sup 2} when the AAO is formed over a 12 nm SiO{sub 2} layer. An investigation of the stored charge distribution in the dielectric stacks indicates a positive fixed charge at the SiO{sub 2}/Si interface, a negative fixed charge at the AAO/SiO{sub 2} interface and a positive bulk charge within the AAO layer. The effective stored charge density and interface states were found to be affected by annealing conditions and it is suggested that oxygen annealing can reduce the bulk positive charge while post-metallisation anneal is most effective in reducing silicon interface defects. Charge manipulation using pulsed anodisation is shown to reduce carrier recombination on boron-diffused silicon surfaces highlighting the potential of the process to be used to tune the electrical properties of dielectric layers so that they can reduce surface recombination on silicon surfaces having different dopant polarity and concentrations.

  11. Interface investigation of solution processed high- κ ZrO2/Si MOS structure by DLTS

    Science.gov (United States)

    Kumar, Arvind; Mondal, Sandip; Rao, Ksr Koteswara

    The interfacial region is dominating due to the continuous downscaling and integration of high- k oxides in CMOS applications. The accurate characterization of high- k oxides/semiconductor interface has the significant importance towards its usage in memory and thin film devices. The interface traps at the high - k /semiconductor interface can be quantified by deep level transient spectroscopy (DLTS) with better accuracy in contrast to capacitance-voltage (CV) and conductance technique. We report the fabrication of high- k ZrO2 films on p-Si substrate by a simple and inexpensive sol-gel spin-coating technique. Further, the ZrO2/Si interface is characterized through DLTS. The flat-band voltage (VFB) and the density of slow interface states (oxide trapped charges) extracted from CV characteristics are 0.37 V and 2x10- 11 C/cm2, respectively. The activation energy, interface state density and capture cross-section quantified by DLTS are EV + 0.42 eV, 3.4x1011 eV- 1 cm- 2 and 5.8x10- 18 cm2, respectively. The high quality ZrO2 films own high dielectric constant 15 with low leakage current density might be an appropriate insulating layer in future electronic application. The low value of interface state density and capture cross-section are the indication of high quality interface and the defect present at the interface may not affect the device performance to a great extent. The DLTS study provides a broad understanding about the traps present at the interface of spin-coated ZrO2/Si.

  12. Laser amplification in excited dielectrics

    DEFF Research Database (Denmark)

    Winkler, Thomas; Haahr-Lillevang, Lasse; Sarpe, Cristian

    2018-01-01

    Wide-bandgap dielectrics such as glasses or water are transparent at visible and infrared wavelengths. This changes when they are exposed to ultrashort and highly intense laser pulses. Different interaction mechanisms lead to the appearance of various transient nonlinear optical phenomena. Using...... these, the optical properties of dielectrics can be controlled from the transparent to the metal-like state. Here we expand this range by a yet unexplored mechanism in excited dielectrics: amplification. In a two-colour pump-probe experiment, we show that a 400nm femtosecond laser pulse is coherently...

  13. Electrical breakdown phenomena of dielectric elastomers

    DEFF Research Database (Denmark)

    Mateiu, Ramona Valentina; Yu, Liyun; Skov, Anne Ladegaard

    2017-01-01

    Silicone elastomers have been heavily investigated as candidates for dielectric elastomers and are as such almost ideal candidates with their inherent softness and compliance but they suffer from low dielectric permittivity. This shortcoming has been sought optimized by many means during recent...... years. However, optimization with respect to the dielectric permittivity solely may lead to other problematic phenomena such as premature electrical breakdown. In this work, we investigate the electrical breakdown phenomena of various types of permittivity-enhanced silicone elastomers. Two types...... of silicone elastomers are investigated and different types of breakdown are discussed. Furthermore the use of voltage stabilizers in silicone-based dielectric elastomers is investigated and discussed....

  14. Beyond the continuum: how molecular solvent structure affects electrostatics and hydrodynamics at solid-electrolyte interfaces.

    Science.gov (United States)

    Bonthuis, Douwe Jan; Netz, Roland R

    2013-10-03

    Standard continuum theory fails to predict several key experimental results of electrostatic and electrokinetic measurements at aqueous electrolyte interfaces. In order to extend the continuum theory to include the effects of molecular solvent structure, we generalize the equations for electrokinetic transport to incorporate a space dependent dielectric profile, viscosity profile, and non-electrostatic interaction potential. All necessary profiles are extracted from atomistic molecular dynamics (MD) simulations. We show that the MD results for the ion-specific distribution of counterions at charged hydrophilic and hydrophobic interfaces are accurately reproduced using the dielectric profile of pure water and a non-electrostatic repulsion in an extended Poisson-Boltzmann equation. The distributions of Na(+) at both surface types and Cl(-) at hydrophilic surfaces can be modeled using linear dielectric response theory, whereas for Cl(-) at hydrophobic surfaces it is necessary to apply nonlinear response theory. The extended Poisson-Boltzmann equation reproduces the experimental values of the double-layer capacitance for many different carbon-based surfaces. In conjunction with a generalized hydrodynamic theory that accounts for a space dependent viscosity, the model captures the experimentally observed saturation of the electrokinetic mobility as a function of the bare surface charge density and the so-called anomalous double-layer conductivity. The two-scale approach employed here-MD simulations and continuum theory-constitutes a successful modeling scheme, providing basic insight into the molecular origins of the static and kinetic properties of charged surfaces, and allowing quantitative modeling at low computational cost.

  15. Mathematical Modeling of Dielectric Characteristics of the Metallic Band Inclusion Composite

    Directory of Open Access Journals (Sweden)

    V. S. Zarubin

    2015-01-01

    Full Text Available Among the desirable properties of functional materials used in various electrical and radio physical equipment and devices, dielectric characteristics, including relative permittivity (hereinafter, permittivity are of importance. The permittivity requirements can be met when a composite with a particular combination of its matrix characteristics and inclusions [1, 2, 3] is used as a functional material. The use of metallic inclusions extends a variation range of dielectric characteristics of the composite, and thereby enhances its application. The composite structure, form of inclusions, and their volume concentration has a significant impact on the permittivity.One of the composite structure embodiments is a dispersion system when in the dispersion medium (in this case | in the composite matrix a dispersed phase (inclusions with highly extended interface between them [4] is distributed. There can be various forms of dispersed inclusions. Band is one of the possible forms of inclusion when its dimensions in three orthogonal directions are significantly different among themselves. For such inclusion, a tri-axial ellipsoid can be taken as an acceptable geometric model to describe its form. This model can be used, in particular, to describe the form of nanostructured elements, which recently are considered as inclusions for advanced composites for various purposes [5].With raising volume concentration of metal inclusions in the dielectric matrix composite there is an increasing probability of direct contact between the inclusions resulting in continuous conductive cluster [3, 6]. In this paper, it is assumed that metal band inclusions are covered with a sufficiently thin layer of the electrically insulating material, eliminating the possibility of direct contact and precluding consideration of the so-called percolation effect [2, 7] in the entire interval of the expectedly changing volume concentration of electrically ellipsoidal inclusions. The

  16. Applicability of point-dipoles approximation to all-dielectric metamaterials

    DEFF Research Database (Denmark)

    Kuznetsova, S. M.; Andryieuski, Andrei; Lavrinenko, Andrei

    2015-01-01

    All-dielectric metamaterials consisting of high-dielectric inclusions in a low-dielectric matrix are considered as a low-loss alternative to resonant metal-based metamaterials. In this paper we investigate the applicability of the point electric and magnetic dipoles approximation to dielectric meta......-atoms on the example of a dielectric ring metamaterial. Despite the large electrical size of high-dielectric meta-atoms, the dipole approximation allows for accurate prediction of the metamaterials properties for the rings with diameters up to approximate to 0.8 of the lattice constant. The results provide important...... guidelines for design and optimization of all-dielectric metamaterials....

  17. The color dielectric model of QCD

    International Nuclear Information System (INIS)

    Pirner, H.-J.; Massachusetts Inst. of Tech., Cambridge, MA; Massachusetts Inst. of Tech., Cambridge, MA

    1992-01-01

    This paper demonstrates the emergence of valence gluons and their bound states, the glueballs from perturbative quantum chromodynamics (QCD). We discuss the phenomenological constraints and theoretical method needed to generate effective glueballs actions. We show how color dielectric confinement works naively and in the lattice model of color dielectrics. This lattice model is derived for SU(2) color by a blockspinning Monte Carlo renormalization group procedure. We interpret the resulting long-distance as a strongly interacting lattice string theory where the valence link gluon fields randomize in the color dielectric background which mimics the integrated out high-frequency gluon modes in the vacuum. The fluctuations of the color dielectric fields are related to color neutral glueballs modes. We give the extension of this color dielectric SU(2) theory for general SU(N) with quarks and address the problems associated with combining confinement and chiral symmetry breaking. Finally we prove the efficiency of the effective theory in applications to the heavy quark system, the the baryon, to the nucleon-nucleon interaction, to baryon models and the gluon plasma transition. In all those cases the behavior of the higher energy gluons can be monitored via the color dielectric fields. An increase in the energy density from ''deconfining'' the higher frequency modes inside the flux tube or in thermally excited matter shows up as an increase in the value of the color dielectric field and its associated energy density. (Author)

  18. A first-principles study of the dielectric properties of TiO{sub 2} polymorphs

    Energy Technology Data Exchange (ETDEWEB)

    Thilagam, A; Simpson, D J; Gerson, A R, E-mail: Thilagam.Lohe@unisa.edu.au, E-mail: Darren.Simpson@unisa.edu.au, E-mail: Andrea.Gerson@unisa.edu.au [Minerals and Materials Science and Technology, Mawson Institute, Division of IEEE, University of South Australia, Mawson Lakes Campus, South Australia 5095 (Australia)

    2011-01-19

    We present an analysis of the dielectric properties of the three polymorphs of TiO{sub 2} (rutile, anatase and brookite phases), using ab initio time-dependent density functional perturbation theory based on the Vignale-Kohn functional. We implement this functional, which incorporates many-body effects, using the periodic program BAND. The improved result for the density of states spectra for brookite is suggestive of increased titanium ion Jahn-Teller effects for this phase. The imaginary and real components of the frequency-dependent dielectric functions show notable dielectric anisotropies, with implications for excitonic interactions, for all three common phases of TiO{sub 2}. Comparison of the electron energy-loss spectrum for undoped and doped rutile and anatase reveals the critical role of collective charge excitations in photocatalytic mechanisms. The correlation between plasmon peaks present at lower energies and decreased photocatalytic activity due to substitutional aluminum doping in combination with oxygen vacancies in rutile and anatase is highlighted. Moreover, there is clear correlation between dielectric properties and the microstructure of the TiO{sub 2} polymorphs as suggested via the framework of the Born effective charge and Hirshfeld charge distribution schemes.

  19. Analysis of electron interactions in dielectric gases

    International Nuclear Information System (INIS)

    Olivet, Aurelio; Duque, Daniel; Vega, Lourdes F.

    2007-01-01

    We present and discuss results concerning electron interactions processes of dielectric gases and their relationship with the macroscopic behavior of these gases, in particular, with their dielectric strength. Such analysis is based on calculating energies of reactions for molecular ionization, dissociative ionization, parent negative ion formation, and dissociative electron attachment processes. We hypothesize that the estimation of the required energy for a reduced number of processes that take place in electrically stressed gases could be related to the gas' capability to manage the electron flow during an electrical discharge. All calculations were done with semiempirical quantum chemistry methods, including an initial optimization of molecular geometry and heat of formation of the dielectric gases and all of species that appear during electron interaction reactions. The performance of semiempirical methods Austin model 1 and Parametric model 3 (PM3) was compared for several compounds, PM3 being superior in most cases. Calculations performed for a sample of nine dielectric gases show that electron attachment and detachment processes occur in different energy bands that do not overlap for any value of the dielectric strength. We have also analyzed the relationship between dielectric strength and two physical properties: electron affinity and ionization energy. Calculations performed for 43 dielectric gases show no clear correlation between them, although certain guidelines for the qualitative estimation of dielectric strength can still be assessed

  20. Dielectric loss of strontium titanate thin films

    Science.gov (United States)

    Dalberth, Mark Joseph

    1999-12-01

    Interest in strontium titanate (STO) thin films for microwave device applications continues to grow, fueled by the telecommunications industry's interest in phase shifters and tunable filters. The optimization of these devices depends upon increasing the phase or frequency tuning and decreasing the losses in the films. Currently, the dielectric response of thin film STO is poorly understood through lack of data and a theory to describe it. We have studied the growth of STO using pulsed laser deposition and single crystal substrates like lanthanum aluminate and neodymium gallate. We have researched ways to use ring resonators to accurately measure the dielectric response as a function of temperature, electric field, and frequency from low radio frequencies to a few gigahertz. Our films grown on lanthanum aluminate show marked frequency dispersion in the real part of the dielectric constant and hints of thermally activated loss behavior. We also found that films grown with conditions that optimized the dielectric constant showed increased losses. In an attempt to simplify the system, we developed a technique called epitaxial lift off, which has allowed us to study films removed from their growth substrates. These free standing films have low losses and show obvious thermally activated behavior. The "amount of tuning," as measured by a figure of merit, KE, is greater in these films than in the films still attached to their growth substrates. We have developed a theory that describes the real and imaginary parts of the dielectric constant. The theory models the real part using a mean field description of the ionic motion in the crystal and includes the loss by incorporating the motion of charged defects in the films.

  1. Dielectric Spectroscopy of Biomolecules up to 110 GHz

    Science.gov (United States)

    Laux, Eva-Maria; Ermilova, Elena; Pannwitz, Daniel; Gibbons, Jessica; Hölzel, Ralph; Bier, Frank F.

    2018-03-01

    Radio-frequency fields in the GHz range are increasingly applied in biotechnology and medicine. In order to fully exploit both their potential and their risks detailed information about the dielectric properties of biological material is needed. For this purpose a measuring system is presented that allows the acquisition of complex dielectric spectra over 4 frequency decade up to 110 GHz. Routines for calibration and for data evaluation according to physicochemical interaction models have been developed. The frequency dependent permittivity and dielectric loss of some proteins and nucleic acids, the main classes of biomolecules, and of their sub-units have been determined. Dielectric spectra are presented for the amino acid alanine, the proteins lysozyme and haemoglobin, the nucleotides AMP and ATP, and for the plasmid pET-21, which has been produced by bacterial culture. Characterisation of a variety of biomolecules is envisaged, as is the application to studies on protein structure and function.

  2. Dielectric Study of the Phase Transitions in [P(CH3)4]2CuY4 (Y = Cl, Br)

    Science.gov (United States)

    Gesi, Kazuo

    2002-05-01

    Phase transitions in [P(CH3)4]2CuY4 (Y = Cl, Br) have been studied by dielectric measurements. In [P(CH3)4]2CuCl4, a slight break and a discontinuous jump on the dielectric constant vs. temperature curve are seen at the normal-incommensurate and the incommensurate-commensurate phase transitions, respectively. A small peak of dielectric constant along the b-direction exists just above the incommensurate-to-commensurate transition temperature. The anisotropic dielectric anomalies of [P(CH3)4]2CuBr4 at phase transitions were measured along the three crystallographic axes. The pressure-temperature phase diagram of [P(CH3)4]2CuCl4 was determined. The initial pressure coefficients of the normal-to-incommensurate and the incommensurate-to-commensurate transition temperatures are 0.19 K/MPa and 0.27 K/MPa, respectively. The incommensurate phase in [P(CH3)4]2CuCl4 disappears at a triple point which exists at 335 MPa and 443 K. The stability and the pressure effects of the incommensurate phases are much different among the four [Z(CH3)4]2CuY4 crystals (Z = N, P; Y = Cl, Br).

  3. Dielectric properties of ligand-modified gold nanoparticle/SU-8 photopolymer based nanocomposites

    Energy Technology Data Exchange (ETDEWEB)

    Toor, Anju, E-mail: atoor@berkeley.edu [Department of Mechanical Engineering, University of California, Berkeley, CA 94720 (United States); So, Hongyun, E-mail: hyso@berkeley.edu [Department of Mechanical Engineering, University of California, Berkeley, CA 94720 (United States); Pisano, Albert P. [Department of Mechanical Engineering, University of California, Berkeley, CA 94720 (United States); Department of Mechanical and Aerospace Engineering, University of California, San Diego, CA 92093 (United States)

    2017-08-31

    Highlights: • Ligand-modified gold NP/SU-8 nanocomposites were synthesized and demonstrated. • Particle agglomeration and dispersion were characterized with different NPs concentration. • Nanocomposites showed higher average dielectric permittivity compared to SU-8 only. • Relatively lower dielectric loss (average 0.09 at 1 kHz) was achieved with 10 % w/w NPs. - Abstract: This article reports the enhanced dielectric properties of a photodefinable polymer nanocomposite material containing sub–10 nm coated metal nanoparticles (NPs). The surface morphology of the synthesized dodecanethiol-functionalized gold NPs was characterized using the transmission electron microscopy (TEM). We investigated the particle agglomeration and dispersion during the various stages of the nanocomposite synthesis using TEM. Physical properties such as dielectric permittivity and dielectric loss were measured experimentally. The dependence of the dielectric permittivity and loss tangent on the particle concentration, and frequency was studied. Nanocomposite films showed an approximately three times enhancement in average dielectric constant over the polymer base value and an average dielectric loss of 0.09 at 1 kHz, at a filler loading of 10% w/w.

  4. Buried interfaces - A systematic study to characterize an adhesive interface at multiple scales

    Science.gov (United States)

    Haubrich, Jan; Löbbecke, Miriam; Watermeyer, Philipp; Wilde, Fabian; Requena, Guillermo; da Silva, Julio

    2018-03-01

    A comparative study of a model adhesive interface formed between laser-pretreated Ti15-3-3-3 and the thermoplastic polymer PEEK has been carried out in order to characterize the interfaces' structural details and the infiltration of the surface nano-oxide by the polymer at multiple scales. Destructive approaches such as scanning and transmission electron microscopy of microsections prepared by focused ion beam, and non-destructive imaging approaches including laser scanning and scanning electron microscopy of pretreated surfaces as well as synchrotron computed tomography techniques (micro- and ptychographic tomographies) were employed for resolving the large, μm-sized melt-structures and the fine nano-oxide substructure within the buried interface. Scanning electron microscopy showed that the fine, open-porous nano-oxide homogeneously covers the larger macrostructure features which in turn cover the joint surface. The open-porous nano-oxide forming the interface itself appears to be fully infiltrated and wetted by the polymer. No voids or even channels were detected down to the respective resolution limits of scanning and transmission electron microscopy.

  5. Experimental Investigation of an X-Band Tunable Dielectric Accelerating Structure

    CERN Document Server

    Kanareykin, Alex; Karmanenko, Sergei F; Nenasheva, Elisaveta; Power, John G; Schoessow, Paul; Semenov, Alexei

    2005-01-01

    Experimental study of a new scheme to tune the resonant frequency for dielectric based accelerating structure (driven either by the wakefield of a beam or an external rf source) is underway. The structure consists of a single layer of conventional dielectric surrounded by a very thin layer of ferroelectric material situated on the outside. Carefully designed electrodes are attached to a thin layer of ferroelectric material. A DC bias can be applied to the electrodes to change the permittivity of the ferroelectric layer and therefore, the dielectric overall resonant frequency can be tuned. In this paper, we present the test results for an 11.424 GHz rectangular DLA prototype structure that the ferroelectric material's dielectric constant of 500 and show that a frequency tuning range of 2% can be achieved. If successful, this scheme would compensate for structure errors caused by ceramic waveguide machining tolerances and dielectric constant heterogeneity.

  6. Dielectric properties of calicum and barium-doped strontium titanate

    Science.gov (United States)

    Tung, Li-Chun

    Dielectric properties of high quality polycrystalline Ca- and Ba-doped SrTiO3 perovskites are studied by means of dielectric constant, dielectric loss and ferroelectric hysteresis measurements. Low frequency dispersion of the dielectric constant is found to be very small and a simple relaxor model may not be able to explain its dielectric behavior. Relaxation modes are found in these samples, and they are all interpreted as thermally activated Bipolar re-orientation across energy barriers. In Sr1- xCaxTiO3 (x = 0--0.3), two modes are found associated with different relaxation processes, and the concentration dependence implies a competition between these processes. In Sr1-xBa xTiO3 (x = 0--0.25), relaxation modes are found to be related to the structural transitions, and the relaxation modes persist at low doping levels (x Barret formula is discussed and two of the well-accepted models, anharmonic oscillator model and transverse Ising model, are found to be equivalent. Both of the Ca and Ba systems can be understood qualitatively within the concept of transverse Ising model.

  7. Dielectric spectroscopy technique applied to study the behaviour of irradiated polymer

    International Nuclear Information System (INIS)

    Saoud, R.; Soualmia, A.; Guerbi, C.A.; Benrekaa, N.

    2006-01-01

    Relaxation spectroscopy provides an excellent method for the study of motional processes in materials and has been widely applied to macromolecules and polymers. The technique is potentially of most interest when applied to irradiated systems. Application to the study of the structure beam-irradiated Teflon is thus an outstanding opportunity for the dielectric relaxation technique, particularly as this material exhibits clamping problems when subjected to dynamic mechanical relaxation studies. A very wide frequency range is necessary to resolve dipolar effects. In this paper, we discuss some significant results about the behavior and the modification of the structure of Teflon submitted to weak energy radiations

  8. Quenching Mo optical losses in CIGS solar cells by a point contacted dual-layer dielectric spacer: a 3-D optical study.

    Science.gov (United States)

    Rezaei, Nasim; Isabella, Olindo; Vroon, Zeger; Zeman, Miro

    2018-01-22

    A 3-D optical modelling was calibrated to calculate the light absorption and the total reflection of fabricated CIGS solar cells. Absorption losses at molybdenum (Mo) / CIGS interface were explained in terms of plasmonic waves. To quench these losses, we assumed the insertion of a lossless dielectric spacer between Mo and CIGS, whose optical properties were varied. We show that such a spacer with low refractive index and proper thickness can significantly reduce absorption in Mo in the long wavelength regime and improve the device's rear reflectance, thus leading to enhanced light absorption in the CIGS layer. Therefore, we optimized a realistic two-layer MgF 2 / Al 2 O 3 dielectric spacer to exploit (i) the passivation properties of ultra-thin Al 2 O 3 on the CIGS side for potential high open-circuit voltage and (ii) the low refractive index of MgF 2 on the Mo side to reduce its optical losses. Combining our realistic spacer with optically-optimized point contacts increases the implied photocurrent density of a 750 nm-thick CIGS layer by 10% for the wavelengths between 700 and 1150 nm with respect to the reference cell. The elimination of plasmonic resonances in the new structure leads to a higher electric field magnitude at the bottom of CIGS layer and justifies the improved optical performance.

  9. Electrical properties and dielectric spectroscopy of Ar{sup +} implanted polycarbonate

    Energy Technology Data Exchange (ETDEWEB)

    Chawla, Mahak, E-mail: mahak.chawla@gmail.com; Shekhawat, Nidhi; Aggarwal, Sanjeev; Sharma, Annu [Department of Physics, Kurukshetra University, Kurukshetra - 136119 (India); Nair, K. G. M. [Consultant, UGC-DAE Consortium for Scientific Research, Kalpakkam Node, Kokilamedu-603104, Tamilnadu (India)

    2015-05-15

    The aim of the present paper is to study the effect of argon ion implantation on electrical and dielectric properties of polycarbonate. Specimens were implanted with 130 keV Ar{sup +} ions in the fluence ranging from 1×10{sup 14} to 1×10{sup 16} ions cm{sup −2}. The beam current used was ∼0.40 µA cm{sup −2}. The electrical conduction behaviour of virgin and Ar{sup +} implanted polycarbonate specimens have been studied through current-voltage (I-V characteristic) measurements. It has been observed that after implantation conductivity increases with increasing ion fluence. The dielectric spectroscopy of these specimens has been done in the frequency range of 100 kHz-100 MHz. Relaxation processes were studied by Cole-Cole plot of complex permittivity (real part of complex permittivity, ε′ vs. imaginary part of complex permittivity, ε″). The Cole-Cole plots have also been used to determine static dielectric constant (ε{sub s}), optical dielectric constant (ε{sub ∞}), spreading factor (α), average relaxation time (τ{sub 0}) and molecular relaxation time (τ). The dielectric behaviour has been found to be significantly affected due to Ar{sup +} implantation. The possible correlation between this behaviour and the changes induced by the implantation has been discussed.

  10. Ion transport study in polymer-nanocomposite films by dielectric spectroscopy and conductivity scaling

    Energy Technology Data Exchange (ETDEWEB)

    Tripathi, Namrata, E-mail: ntripat@ilstu.edu [Department of Physics, Illinois State University, Normal, IL 61790 (United States); Thakur, Awalendra K. [Department of Physics, Indian Institute of Technology Patna, Bihar 800013 (India); Shukla, Archana [Department of Metallurgical Engineering & Materials Science, Indian Institute of Technology, Bombay 721302 (India); Marx, David T. [Department of Physics, Illinois State University, Normal, IL 61790 (United States)

    2015-07-15

    The dielectric and conductivity response of polymer nanocomposite electrolytes (films of PMMA{sub 4}LiClO{sub 4} dispersed with nano-CeO{sub 2} powder) have been investigated. The dielectric behavior was analyzed via the dielectric permittivity (ε′) and dissipation factor (tan δ) of the samples. The analysis has shown the presence of space charge polarization at lower frequencies. The real part of ac conductivity spectra of materials obeys the Jonscher power law. Parameters such as dc conductivity, hopping rate, activation energies and the concentration of charge carriers were determined from conductivity data using the Almond West formalism. It is observed that the higher ionic conductivity at higher temperature is due to increased thermally-activated hopping rates accompanied by a significant increase in carrier concentration. The contribution of carrier concentration to the total conductivity is also confirmed from activation energy of migration conduction and from Summerfield scaling. The ac conductivity results are also well correlated with TEM results.

  11. Ion transport study in polymer-nanocomposite films by dielectric spectroscopy and conductivity scaling

    Science.gov (United States)

    Tripathi, Namrata; Thakur, Awalendra K.; Shukla, Archana; Marx, David T.

    2015-07-01

    The dielectric and conductivity response of polymer nanocomposite electrolytes (films of PMMA4LiClO4 dispersed with nano-CeO2 powder) have been investigated. The dielectric behavior was analyzed via the dielectric permittivity (ε‧) and dissipation factor (tan δ) of the samples. The analysis has shown the presence of space charge polarization at lower frequencies. The real part of ac conductivity spectra of materials obeys the Jonscher power law. Parameters such as dc conductivity, hopping rate, activation energies and the concentration of charge carriers were determined from conductivity data using the Almond West formalism. It is observed that the higher ionic conductivity at higher temperature is due to increased thermally-activated hopping rates accompanied by a significant increase in carrier concentration. The contribution of carrier concentration to the total conductivity is also confirmed from activation energy of migration conduction and from Summerfield scaling. The ac conductivity results are also well correlated with TEM results.

  12. Breakdown, fractoemission, diffusion: role of defects in dielectrics

    International Nuclear Information System (INIS)

    Vigouroux, J.P.; Serruys, Y.

    1987-01-01

    During the surface analysis of dielectric materials, the impinging ionising particles induce point defects localised in the band gap and build an electrical charge. The electric field created by the charged defects modifies the physico-chemical properties of surface and bulk. We show that the fundamental study of defects allows a better understanding of technological phenomena such as dielectric breakdown, fracture and diffusion [fr

  13. Dielectric Properties of Flocculated Water-in-Oil Emulsions

    Energy Technology Data Exchange (ETDEWEB)

    Skodvin, T.

    1995-12-31

    When an offshore oil field is near completion, water occupies a large fraction of the available pore volume. Thus, in collecting the oil and gas reserves, one has to deal with a high co-production of either formation- or injected water. This doctoral thesis focuses on the effect of water-in-oil emulsions on the dielectric properties, in particular the effect of flocculation. Various dielectric models are applied to obtain methods for qualitative and quantitative characterization of the flocculated state. Permittivity and measurement of dielectric properties are discussed as a basis for the interpretation of the dielectric properties of the emulsions. Various flocculation models are presented. It is concluded that the dielectric properties of water-in-oil emulsions are strongly influenced by continuously ongoing processes in the system. Because of flocculation and sedimentation the traditional dielectric mixture models cannot satisfactorily predict the dielectric behaviour. The experimentally obtained permittivities for the emulsions can be reproduced by including flocculation in the models and treating the floc aggregates as spheroids or subsystems with dielectric properties given by the degree of flocculation. The models discussed have difficulties reproducing the complete frequency behaviour found experimentally. This is probably because the dielectric relaxation may be influenced by processes not included in the models, such as the effects of dipolar or multipolar interactions between the droplets. For further research it is recommended that rheological and dielectric measurements be combined. 227 refs., 61 figs., 16 tabs.

  14. Dielectric Properties of Flocculated Water-in-Oil Emulsions

    Energy Technology Data Exchange (ETDEWEB)

    Skodvin, T

    1996-12-31

    When an offshore oil field is near completion, water occupies a large fraction of the available pore volume. Thus, in collecting the oil and gas reserves, one has to deal with a high co-production of either formation- or injected water. This doctoral thesis focuses on the effect of water-in-oil emulsions on the dielectric properties, in particular the effect of flocculation. Various dielectric models are applied to obtain methods for qualitative and quantitative characterization of the flocculated state. Permittivity and measurement of dielectric properties are discussed as a basis for the interpretation of the dielectric properties of the emulsions. Various flocculation models are presented. It is concluded that the dielectric properties of water-in-oil emulsions are strongly influenced by continuously ongoing processes in the system. Because of flocculation and sedimentation the traditional dielectric mixture models cannot satisfactorily predict the dielectric behaviour. The experimentally obtained permittivities for the emulsions can be reproduced by including flocculation in the models and treating the floc aggregates as spheroids or subsystems with dielectric properties given by the degree of flocculation. The models discussed have difficulties reproducing the complete frequency behaviour found experimentally. This is probably because the dielectric relaxation may be influenced by processes not included in the models, such as the effects of dipolar or multipolar interactions between the droplets. For further research it is recommended that rheological and dielectric measurements be combined. 227 refs., 61 figs., 16 tabs.

  15. High thermal conductivity lossy dielectric using a multi layer configuration

    Science.gov (United States)

    Tiegs, Terry N.; Kiggans, Jr., James O.

    2003-01-01

    Systems and methods are described for loss dielectrics. A loss dielectric includes at least one high dielectric loss layer and at least one high thermal conductivity-electrically insulating layer adjacent the at least one high dielectric loss layer. A method of manufacturing a loss dielectric includes providing at least one high dielectric loss layer and providing at least one high thermal conductivity-electrically insulating layer adjacent the at least one high dielectric loss layer. The systems and methods provide advantages because the loss dielectrics are less costly and more environmentally friendly than the available alternatives.

  16. Electrical actuation of dielectric droplets

    International Nuclear Information System (INIS)

    Kumari, N; Bahadur, V; Garimella, S V

    2008-01-01

    Electrical actuation of liquid droplets at the microscale offers promising applications in the fields of microfluidics and lab-on-a-chip devices. Much prior research has targeted the electrical actuation of electrically conducting liquid droplets; however, the actuation of dielectric droplets has remained relatively unexplored, despite the advantages associated with the use of a dielectric droplet. This paper presents modeling and experimental results on the electrical actuation of dielectric droplets between two flat plates. A first-order analytical model, based on the energy-minimization principle, is developed to estimate the electrical actuation force on a dielectric droplet as it moves between two flat plates. Two versions of this analytical model are benchmarked for their suitability and accuracy against a detailed numerical model. The actuation force prediction is then combined with available semi-analytical expressions for predicting the forces opposing droplet motion to develop a model that predicts transient droplet motion under electrical actuation. Electrical actuation of dielectric droplets is experimentally demonstrated by moving transformer oil droplets between two flat plates under the influence of an actuation voltage. Droplet velocities and their dependence on the plate spacing and the applied voltage are experimentally measured and showed reasonable agreement with predictions from the models developed

  17. Trends of microwave dielectric materials for antenna application

    International Nuclear Information System (INIS)

    Sulong, T. A. T.; Osman, R. A. M.; Idris, M. S.

    2016-01-01

    Rapid development of a modern microwave communication system requires a high quality microwave dielectric ceramic material to be used as mobile and satellite communication. High permittivity of dielectric ceramics leads to fabrication of compact device for electronic components. Dielectric ceramics which used for microwave applications required three important parameters such as high or appropriate permittivity (ε_r), high quality factor (Q _f ≥ 5000 GH z) and good temperature coefficient of resonant frequency (τ_f). This paper review of various dielectric ceramic materials used as microwave dielectric materials and related parameters for antenna applications.

  18. Experimental study for the use of sulfur hexafluoride as dielectric gas in particle accelerators

    International Nuclear Information System (INIS)

    Candanedo y Bernabe, C.

    1993-01-01

    The sulfur hexafluoride is the better dielectric gas in the world. It is used in particle accelerator, power stations and high voltage transformators. This is a high stable gas, but when is used as dielectric is degraded in toxic and corrosive fluorides this degradation of sulfur hexafluoride is a function of the voltaic arc, crown effect, pressure, temperature and radiation. The purification of the sulfur fluoride permitted to work in safe form and without the risks as contaminant. The objective of the work is the development of a process for the separation of the wastes from the fabrication of sulphur fluoride and the products of degradation. This process used adsorbents when this gas is used as dielectric. The methodology employed was bibliography research, experimental design of the equipment, construction of the experimental equipment, selection and use of adsorbents, installation of the adsorption columns for the experimentation, flow of the sulfur hexafluoride through the adsorbents, searching of the fluoride hexafluoride before and after of the step through the adsorption columns and writing of the results. In base to the results we conclude that the process is good. The work could be advantage using chromatographic techniques with adequate standards. Is possible to extend the study using an additional number of adsorbents. (Author). 34 refs, 7 graphs, 3 tabs

  19. Properties of dielectric barrier discharges in different arrangements

    International Nuclear Information System (INIS)

    Pietsch, G.J.

    2001-01-01

    Dielectric barrier discharges (DBDs) occur in arrangements where at least one dielectric is positioned in a gas space in between conducting electrodes. When breakdown field strength is reached in such a device, charge carriers are created in the gas region, accelerated, multiplied and finally collected on the surface(s) of the dielectric(s). The charge accumulation on the dielectric creates a counter field to that resulting from the power supply and as all of these processes are rather fast, the discharge quenches rapidly. The dielectric has two tasks, it limits the transferred charge and by this the energy conversion and distributes the discharge over the electrode area. That is why DBDs are non-thermal discharges which exist even at atmospheric pressure

  20. The Dielectric Constant of Lubrication Oils

    National Research Council Canada - National Science Library

    Carey, A

    1998-01-01

    The values of the dielectric constant of simple molecules is discussed first, along with the relationship between the dielectric constant and other physical properties such as boiling point, melting...