WorldWideScience

Sample records for diamond chemical vapor

  1. Understanding the chemical vapor deposition of diamond: recent progress

    International Nuclear Information System (INIS)

    Butler, J E; Mankelevich, Y A; Cheesman, A; Ma, Jie; Ashfold, M N R

    2009-01-01

    In this paper we review and provide an overview to the understanding of the chemical vapor deposition (CVD) of diamond materials with a particular focus on the commonly used microwave plasma-activated chemical vapor deposition (MPCVD). The major topics covered are experimental measurements in situ to diamond CVD reactors, and MPCVD in particular, coupled with models of the gas phase chemical and plasma kinetics to provide insight into the distribution of critical chemical species throughout the reactor, followed by a discussion of the surface chemical process involved in diamond growth.

  2. Development of Single Crystal Chemical Vapor Deposition Diamonds for Detector Applications

    International Nuclear Information System (INIS)

    Kagan, Harris; Gan, K.K.; Kass, Richard

    2009-01-01

    Diamond was studied as a possible radiation hard technology for use in future high radiation environments. With the commissioning of the LHC expected in 2009, and the LHC upgrades expected in 2013, all LHC experiments are planning for detector upgrades which require radiation hard technologies. Chemical Vapor Deposition (CVD) diamond has now been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle and CDF and is installed in all LHC experiments. As a result, this material is now being discussed as an alternative sensor material for tracking very close to the interaction region of the super-LHC where the most extreme radiation conditions will exist. Our work addressed the further development of the new material, single-crystal Chemical Vapor Deposition diamond, towards reliable industrial production of large pieces and new geometries needed for detector applications.

  3. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-01-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm 3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  4. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    Science.gov (United States)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-06-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  5. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  6. Noble gas studies in vapor-growth diamonds: Comparison with shock-produced diamonds and the origin of diamonds in ureilites

    Energy Technology Data Exchange (ETDEWEB)

    Matsuda, Junichi; Fukunaga, Kazuya; Ito, Keisuke (Kobe Univ. (Japan))

    1991-07-01

    The authors synthesized vapor-trowth diamonds by two kinds of Chemical Vapor Deposition (CVD) using microwave (MWCVD) and hot filament (HFCVD) ionization of gases, and examined elemental abundances and isotopic compositions of the noble gases trapped in the diamonds. It is remarkable that strong differences existed in the noble gas concentrations in the two kinds of CVD diamonds: large amounts of noble gases were trapped in the MWCVD diamonds, but not in the HFCVD diamonds. The heavy noble gases (Ar to Xe) in the MWCVD diamonds were highly fractionated compared with those in the ambient atmosphere, and are in good agreement with the calculated fractionation patterns for plasma at an electron temperature of 7,000-9,000 K. These results strongly suggest that the trapping mechanism of noble gases in CVD diamonds is ion implantation during diamond growth. The degrees of fractionation of heavy noble gases were also in good agreement with those in ureilites. The vapor-growth hypothesis is discussed in comparison with the impact-shock hypothesis as a better model for the origin of diamonds in ureilites. The diamond (and graphite, amorphous carbon, too) may have been deposited on early condensates such as Re, Ir, W, etc. This model explains the chemical features of vein material in ureilites; the refractory siderophile elements are enriched in carbon and noble gases and low in normal siderophiles. The vapor-growth model is also compatible with the oxygen isotopic data of ureilites which suggests that nebular processes are primarily responsible for the composition of ureilites.

  7. Application of Chlorine-Assisted Chemical Vapor Deposition of Diamond at Low Temperatures

    Science.gov (United States)

    Pan, Chenyu; Altemir, David A.; Margrave, John L.; Hauge, Robert H.

    1994-01-01

    Low temperature deposition of diamond has been achieved by a chlorine-assisted diamond chemical vapor deposition (CA-CVD) process. This method begins with the thermal dissociation of molecular chlorine into atomic chlorine in a resistively heated graphite furnace at temperatures between 1300 and 1500 deg. C. The atomic chlorine, upon mixing, subsequently reacts with molecular hydrogen and hydrocarbons. The rapid exchange reactions between the atomic chlorine, molecular hydrogen, and hydrocarbons give rise to the atomic hydrogen and carbon precursors required for diamond deposition. Homoepitaxial diamond growth on diamond substrates has been studied over the substrate temperature range of 100-950 C. It was found that the diamond growth rates are approximately 0.2 microns/hr in the temperature range between 102 and 300 C and that the growth rates do not decrease significantly with a decrease in substrate temperature. This is unique because the traditional diamond deposition using H2/CH4 systems usually disappears at substrate temperatures below approx. 500 deg. C. This opens up a possible route to the deposition of diamond on low-melting point materials such as aluminum and its alloys.

  8. Chemical Vapor-Deposited (CVD) Diamond Films for Electronic Applications

    Science.gov (United States)

    1995-01-01

    Diamond films have a variety of useful applications as electron emitters in devices such as magnetrons, electron multipliers, displays, and sensors. Secondary electron emission is the effect in which electrons are emitted from the near surface of a material because of energetic incident electrons. The total secondary yield coefficient, which is the ratio of the number of secondary electrons to the number of incident electrons, generally ranges from 2 to 4 for most materials used in such applications. It was discovered recently at the NASA Lewis Research Center that chemical vapor-deposited (CVD) diamond films have very high secondary electron yields, particularly when they are coated with thin layers of CsI. For CsI-coated diamond films, the total secondary yield coefficient can exceed 60. In addition, diamond films exhibit field emission at fields orders of magnitude lower than for existing state-of-the-art emitters. Present state-of-the-art microfabricated field emitters generally require applied fields above 5x10^7 V/cm. Research on field emission from CVD diamond and high-pressure, high-temperature diamond has shown that field emission can be obtained at fields as low as 2x10^4 V/cm. It has also been shown that thin layers of metals, such as gold, and of alkali halides, such as CsI, can significantly increase field emission and stability. Emitters with nanometer-scale lithography will be able to obtain high-current densities with voltages on the order of only 10 to 15 V.

  9. Single crystal diamond detectors grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Tuve, C.; Angelone, M.; Bellini, V.; Balducci, A.; Donato, M.G.; Faggio, G.; Marinelli, M.; Messina, G.; Milani, E.; Morgada, M.E.; Pillon, M.; Potenza, R.; Pucella, G.; Russo, G.; Santangelo, S.; Scoccia, M.; Sutera, C.; Tucciarone, A.; Verona-Rinati, G.

    2007-01-01

    The detection properties of heteropitaxial (polycrystalline, pCVD) and homoepitaxial (single crystal, scCVD) diamond films grown by microwave chemical vapor deposition (CVD) in the Laboratories of Roma 'Tor Vergata' University are reported. The pCVD diamond detectors were tested with α-particles from different sources and 12 C ions produced by 15MV Tandem accelerator at Southern National Laboratories (LNS) in Catania (Italy). pCVDs were also used to monitor 14MeV neutrons produced by the D-T plasma at Joint European Torus (JET), Culham, U.K. The limit of pCVDs is the poor energy resolution. To overcome this problem, we developed scCVD diamonds using the same reactor parameters that optimized pCVD diamonds. scCVD were grown on a low cost (100) HPHT single crystal substrate. A detector 110μm thick was tested under α-particles and under 14MeV neutron irradiation. The charge collection efficiency spectrum measured under irradiation with a triple α-particle source shows three clearly resolved peaks, with an energy resolution of about 1.1%. The measured spectra under neutron irradiation show a well separated C(n,α 0 ) 9 Be12 reaction peak with an energy spread of 0.5MeV for 14.8MeV neutrons and 0.3MeV for 14.1MeV neutrons, which are fully compatible with the energy spread of the incident neutron beams

  10. Morphology and structure of Ti-doped diamond films prepared by microwave plasma chemical vapor deposition

    Science.gov (United States)

    Liu, Xuejie; Lu, Pengfei; Wang, Hongchao; Ren, Yuan; Tan, Xin; Sun, Shiyang; Jia, Huiling

    2018-06-01

    Ti-doped diamond films were deposited through a microwave plasma chemical vapor deposition (MPCVD) system for the first time. The effects of the addition of Ti on the morphology, microstructure and quality of diamond films were systematically investigated. Secondary ion mass spectrometry results show that Ti can be added to diamond films through the MPCVD system using tetra n-butyl titanate as precursor. The spectra from X-ray diffraction, Raman spectroscopy, and X-ray photoelectron spectroscopy and the images from scanning electron microscopy of the deposited films indicate that the diamond phase clearly exists and dominates in Ti-doped diamond films. The amount of Ti added obviously influences film morphology and the preferred orientation of the crystals. Ti doping is beneficial to the second nucleation and the growth of the (1 1 0) faceted grains.

  11. Chemical vapor deposition diamond based multilayered radiation detector: Physical analysis of detection properties

    International Nuclear Information System (INIS)

    Almaviva, S.; Marinelli, Marco; Milani, E.; Prestopino, G.; Tucciarone, A.; Verona, C.; Verona-Rinati, G.; Angelone, M.; Pillon, M.; Dolbnya, I.; Sawhney, K.; Tartoni, N.

    2010-01-01

    Recently, solid state photovoltaic Schottky diodes, able to detect ionizing radiation, in particular, x-ray and ultraviolet radiation, have been developed at the University of Rome 'Tor Vergata'. We report on a physical and electrical properties analysis of the device and a detailed study of its detection capabilities as determined by its electrical properties. The design of the device is based on a metal/nominally intrinsic/p-type diamond layered structure obtained by microwave plasma chemical vapor deposition of homoepitaxial single crystal diamond followed by thermal evaporation of a metallic contact. The device can operate in an unbiased mode by using the built-in potential arising from the electrode-diamond junction. We compare the expected response of the device to photons of various energies calculated through Monte Carlo simulation with experimental data collected in a well controlled experimental setup i.e., monochromatic high flux x-ray beams from 6 to 20 keV, available at the Diamond Light Source synchrotron in Harwell (U.K.).

  12. Real time monitoring of filament-assisted chemically vapor deposited diamond by spectroscopic ellipsometry

    International Nuclear Information System (INIS)

    Yue Cong; An, I.; Vedam, K.; Collins, R.W.; Nguyen, H.V.; Messier, R.

    1991-01-01

    Spectroscopic ellipsometry over the range 1.5-4.5 eV was applied as a real time probe of the processes occurring in the initial nucleation of thin film diamond by heated-filament assisted chemical vapor deposition. Using both untreated and diamond-polished c-Si substrates, as well as both carburized and uncarburized tungsten filaments, it was possible to separate and characterize competing phenomena, including the increase in surface temperature induced by filament ignition, the formation of carbide layers, contamination of the substrate by tungsten from the filament, annealing of diamond polishing damage, and, finally, diamond nucleation. An accurate measurement of the true temperature of the substrate surface averaged over the top 500 A can be obtained from the energy position of critical points in the c-Si band structure. For diamond deposition, we operated with an initial excess flow of CH 4 to stimulate nucleation. We applied real time feedback and manual control to reduce the CH 4 flow in the first monolayers of deposition. The thickness of diamond and an estimate of its nucleation density can be obtained from real time spectra, and the latter was in good agreement with that obtained from scanning electron microscopy. (orig.)

  13. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J. [Geophysical Laboratory, Carnegie Institution of Washington, 5251 Broad Branch Rd., NW, Washington, DC 20015 (United States)

    2015-11-02

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH{sub 4}/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H{sub 2} into the deposition gas chemistry. Electronically excited species of CN, C{sub 2}, Ar, N{sub 2}, CH, H{sub β}, and H{sub α} were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T{sub 2g} phonon at 1333 cm{sup −1} peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  14. Dosimetric characterization of chemical-vapor-deposited diamond film irradiated with UV and beta radiation

    Science.gov (United States)

    Meléndrez, R.; Chernov, V.; Pedroza-Montero, M.; Barboza-Flores, M.

    2003-03-01

    Diamond is an excellent prospect for clinical radiation dosimetry due to its tissue-equivalence properties and being chemically inert. The use of diamond in radiation dosimetry has been halted by the high market price; although recently the capability of growing high quality polycrystalline has renewed the interest in using diamond films as detectors and dosimeters. In the present work we have characterized the dosimetric properties of diamond films synthesized by using chemical vapor deposition. The thermoluminescence (TL) of UV and beta exposed samples shows a glow curve composed of at least four peaks; one located around 587 K presents excellent TL properties suitable for dosimetric applications with ionizing and non ionizing radiation. The TL excitation spectrum exhibits maximum TL efficiency at 220 nm. The samples show regions of linear as well as supralinear behavior as a function or irradiation dose. The linear dose dependence was found for up to sixteen minutes of UV irradiation and 300 Gy for beta irradiated samples. The activation energy and the frequency factor were determined and found in the range of 0.32 - 0.89 eV and 1.1x10^2 - 2x10^8s_-1, respectively. The observed TL performance is reasonable appropriate to justify further investigation of diamond films as radiation dosimeters.

  15. Low-temperature synthesis of diamond films by photoemission-assisted plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kawata, Mayuri, E-mail: kawata@mail.tagen.tohoku.ac.jp; Ojiro, Yoshihiro; Ogawa, Shuichi; Takakuwa, Yuji [Institute of Multidisciplinary Research for Advanced Materials, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan); Masuzawa, Tomoaki; Okano, Ken [International Christian University, 3-10-2 Osawa, Mitaka 181-8585 (Japan)

    2014-03-15

    Photoemission-assisted plasma-enhanced chemical vapor deposition (PA-PECVD), a process in which photoelectrons emitted from a substrate irradiated with ultraviolet light are utilized as a trigger for DC discharge, was investigated in this study; specifically, the DC discharge characteristics of PA-PECVD were examined for an Si substrate deposited in advance through hot-filament chemical vapor deposition with a nitrogen-doped diamond layer of thickness ∼1 μm. Using a commercially available Xe excimer lamp (hν = 7.2 eV) to illuminate the diamond surface with and without hydrogen termination, the photocurrents were found to be 3.17 × 10{sup 12} and 2.11 × 10{sup 11} electrons/cm{sup 2}/s, respectively. The 15-fold increase in photocurrent was ascribed to negative electron affinity (NEA) caused by hydrogen termination on the diamond surfaces. The DC discharge characteristics revealed that a transition bias voltage from a Townsend-to-glow discharge was considerably decreased because of NEA (from 490 to 373 V for H{sub 2} gas and from 330 to 200 V for Ar gas), enabling a reduction in electric power consumption needed to synthesize diamond films through PA-PECVD. In fact, the authors have succeeded in growing high-quality diamond films of area 2.0 cm{sup 2} at 540 °C with a discharge power of only 1.8 W, plasma voltage of 156.4 V, and discharge current of 11.7 mA under the glow discharge of CH{sub 4}/H{sub 2}/Ar mixed gases. In addition to having only negligible amounts of graphite and amorphous carbon, the diamond films exhibit a relatively high diamond growth rate of 0.5 μm/h at temperatures as low as 540 °C, which is attributed to Ar{sup +} ions impinging on the diamond surface, and causing the removal of hydrogen atoms from the surface through sputtering. This process leads to enhanced CH{sub x} radical adsorption, because the sample was applied with a negative potential to accelerate photoelectrons in PA-PECVD.

  16. Comparative investigation of smooth polycrystalline diamond films on dental burs by chemical vapor deposition

    Science.gov (United States)

    Sein, Htet; Ahmed, Waqar; Rego, Christopher; Jackson, Mark; Polini, Riccardo

    2006-04-01

    Depositions of hot filament chemical vapor-deposited diamond on cobalt-cemented tungsten carbide (WC-Co) rotary cutting dental burs are presented. Conventional dental tools made of sintered polycrystalline diamond have a number of problems associated with the heterogeneity of the crystallite, decreased cutting efficiency, and short life. A preferential (111) faceted diamond was obtained after 15 h of deposition at a growth rate of 1.1 µm/h. Diamond-coated WC-Co dental burs and conventional sintered burs are mainly used in turning, milling, and drilling operations for machining metal ceramic hard alloys such as CoCr, composite teeth, and aluminum alloy in the dental laboratory. The influence of structure, the mechanical characteristics of both diamond grains and hard alloys on the wear behavior, as well as the regimen of grinding on diamond wear are considered. Erosion wear properties are also investigated under air-sand erosion testing. After machining with excessive cutting performance, calculations can be made on flank and crater wear areas. Diamond-coated WC-Co dental burs offered significantly better erosion and wear resistance compared with uncoated WC-Co tools and sintered burs.

  17. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  18. Chemical vapor deposition of diamond onto iron based substrates. The use of barrier layers

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.

    1995-01-01

    When Fe is exposed to the plasma environment suitable for the chemical vapor deposition (CVD) of diamond, the surface is rapidly covered with a thick layer graphitic soot and C swiftly diffuses into the Fe substrate. Once the soot reaches a critical thickness, diamond films nucleate and grow on top of it. However, adhesion of the film to the substrate is poor due to the lack of structural integrity of the soot layer, A thin coating of TiN on the Fe can act to prevent diffusion and soot formation. Diamond readily grows upon the TiN via an a-C interface layer, but the a-C/TiN interface is weak and delamination occurs at this interface. In order to try and improve the adhesion, the use of a high dose Ti implant was investigated to replace the TiN coating. 7 refs., 6 figs

  19. Synthesis of diamond films by pulsed liquid injection chemical vapor deposition using a mixture of acetone and water as precursor

    International Nuclear Information System (INIS)

    Apatiga, L.M.; Morales, J.

    2009-01-01

    A chemical vapor deposition reactor based on the flash evaporation of an organic liquid precursor was used to grow diamond films on Si substrates. An effective pulsed liquid injection mechanism consisting of an injector, normally used for fuel injection in internal combustion engines, injects micro-doses of the precursor to the evaporation zone at 280 o C and is instantly evaporated. The resulting vapor mixture is transported by a carrier gas to the high-temperature reaction chamber where the diamond nucleates and grows on the substrate surface at temperatures ranging from 750 to 850 o C. The injection frequency, opening time, number of pulses and other injector parameters are controlled by a computer-driven system. The diamond film morphology and structure were characterized by scanning electron microscopy and Raman spectroscopy. The as-deposited diamond films show a ball-shaped morphology with a grain size that varies from 100 to 400 nm, as well as the characteristic diamond Raman band at 1332 cm -1 . The effects of the experimental parameters and operation principle on the diamond films quality are analyzed and discussed in terms of crystallinity, composition, structure, and morphology.

  20. Method of plasma enhanced chemical vapor deposition of diamond using methanol-based solutions

    Science.gov (United States)

    Tzeng, Yonhua (Inventor)

    2009-01-01

    Briefly described, methods of forming diamond are described. A representative method, among others, includes: providing a substrate in a reaction chamber in a non-magnetic-field microwave plasma system; introducing, in the absence of a gas stream, a liquid precursor substantially free of water and containing methanol and at least one carbon and oxygen containing compound having a carbon to oxygen ratio greater than one, into an inlet of the reaction chamber; vaporizing the liquid precursor; and subjecting the vaporized precursor, in the absence of a carrier gas and in the absence in a reactive gas, to a plasma under conditions effective to disassociate the vaporized precursor and promote diamond growth on the substrate in a pressure range from about 70 to 130 Torr.

  1. Carbon diffusion in uncoated and titanium nitride coated iron substrates during microwave plasma assisted chemical vapor deposition of diamond

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Manory, R.R.; Paterson, P.J.K.; Stuart, Sue-Anne

    1992-01-01

    Auger Electron Spectroscopy has been employed to investigate the effectiveness of thin films of TiN as barriers to carbon diffusion during Chemical Vapor Deposition (CVD) of diamond onto Fe substrates. Auger Depth Profiling was used to monitor the C concentration in the TiN layer, through the interface and into the substrate both before and after CVD diamond deposition. The results show that a layer of TiN only 250 Angstroems thick is sufficient to inhibit soot formation on the Fe surface and C diffusion into the Fe bulk. 14 refs., 4 figs

  2. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  3. Perfect alignment and preferential orientation of nitrogen-vacancy centers during chemical vapor deposition diamond growth on (111) surfaces

    International Nuclear Information System (INIS)

    Michl, Julia; Zaiser, Sebastian; Jakobi, Ingmar; Waldherr, Gerald; Dolde, Florian; Neumann, Philipp; Wrachtrup, Jörg; Teraji, Tokuyuki; Doherty, Marcus W.; Manson, Neil B.; Isoya, Junichi

    2014-01-01

    Synthetic diamond production is a key to the development of quantum metrology and quantum information applications of diamond. The major quantum sensor and qubit candidate in diamond is the nitrogen-vacancy (NV) color center. This lattice defect comes in four different crystallographic orientations leading to an intrinsic inhomogeneity among NV centers, which is undesirable in some applications. Here, we report a microwave plasma-assisted chemical vapor deposition diamond growth technique on (111)-oriented substrates, which yields perfect alignment (94% ± 2%) of as-grown NV centers along a single crystallographic direction. In addition, clear evidence is found that the majority (74% ± 4%) of the aligned NV centers were formed by the nitrogen being first included in the (111) growth surface and then followed by the formation of a neighboring vacancy on top. The achieved homogeneity of the grown NV centers will tremendously benefit quantum information and metrology applications

  4. Diamond pixel modules

    International Nuclear Information System (INIS)

    Asner, D.; Barbero, M.; Bellini, V.; Belyaev, V.; Brom, J-M.; Bruzzi, M.; Chren, D.; Cindro, V.; Claus, G.; Cristinziani, M.; Costa, S.; D'Alessandro, R.; Boer, W. de; Dobos, D.; Dolenc, I.; Dulinski, W.; Duris, J.; Eremin, V.; Eusebi, R.; Frais-Koelbl, H.

    2011-01-01

    With the commissioning of the LHC in 2010 and upgrades expected in 2015, ATLAS and CMS are planning to upgrade their innermost tracking layers with radiation hard technologies. Chemical Vapor Deposition diamond has been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle, CDF and all LHC experiments. This material is now being considered as a sensor material for use very close to the interaction region where the most extreme radiation conditions exist. Recently the RD42 collaboration constructed, irradiated and tested polycrystalline and single-crystal chemical vapor deposition diamond sensors to the highest fluences expected at the super-LHC. We present beam test results of chemical vapor deposition diamond up to fluences of 1.8x10 16 protons/cm 2 illustrating that both polycrystalline and single-crystal chemical vapor deposition diamonds follow a single damage curve. We also present beam test results of irradiated complete diamond pixel modules.

  5. Diamond pixel modules

    Energy Technology Data Exchange (ETDEWEB)

    Asner, D. [Carleton University, Ottawa (Canada); Barbero, M. [Universitaet Bonn (Germany); Bellini, V. [INFN/University of Catania (Italy); Belyaev, V. [MEPHI Institute, Moscow (Russian Federation); Brom, J-M. [IPHC, Strasbourg (France); Bruzzi, M. [INFN/University of Florence (Italy); Chren, D. [Czech Technical University, Prague (Czech Republic); Cindro, V. [Jozef Stefan Institute, Ljubljana (Slovenia); Claus, G. [IPHC, Strasbourg (France); Cristinziani, M. [Universitaet Bonn (Germany); Costa, S. [INFN/University of Catania (Italy); D' Alessandro, R. [Department of Energetics/INFN Florence (Italy); Boer, W. de [Universitaet Karlsruhe, Karlsruhe (Germany); Dobos, D. [CERN, Geneva (Switzerland); Dolenc, I. [Jozef Stefan Institute, Ljubljana (Slovenia); Dulinski, W. [IPHC, Strasbourg (France); Duris, J. [UCLA, Los Angeles, CA (United States); Eremin, V. [Ioffe Institute, St. Petersburg (Russian Federation); Eusebi, R. [FNAL, Batavia (United States); Frais-Koelbl, H. [Fachhochschule fuer Wirtschaft und Technik, Wiener Neustadt (Austria)

    2011-04-21

    With the commissioning of the LHC in 2010 and upgrades expected in 2015, ATLAS and CMS are planning to upgrade their innermost tracking layers with radiation hard technologies. Chemical Vapor Deposition diamond has been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle, CDF and all LHC experiments. This material is now being considered as a sensor material for use very close to the interaction region where the most extreme radiation conditions exist. Recently the RD42 collaboration constructed, irradiated and tested polycrystalline and single-crystal chemical vapor deposition diamond sensors to the highest fluences expected at the super-LHC. We present beam test results of chemical vapor deposition diamond up to fluences of 1.8x10{sup 16} protons/cm{sup 2} illustrating that both polycrystalline and single-crystal chemical vapor deposition diamonds follow a single damage curve. We also present beam test results of irradiated complete diamond pixel modules.

  6. Coating of diamond-like carbon nanofilm on alumina by microwave plasma enhanced chemical vapor deposition process.

    Science.gov (United States)

    Rattanasatien, Chotiwan; Tonanon, Nattaporn; Bhanthumnavin, Worawan; Paosawatyanyong, Boonchoat

    2012-01-01

    Diamond-like carbon (DLC) nanofilms with thickness varied from under one hundred to a few hundred nanometers have been successfully deposited on alumina substrates by microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. To obtain dense continuous DLC nanofilm coating over the entire sample surface, alumina substrates were pre-treated to enhance the nucleation density. Raman spectra of DLC films on samples showed distinct diamond peak at around 1332 cm(-1), and the broad band of amorphous carbon phase at around 1550 cm(-1). Full width at half maximum height (FWHM) values indicated good formation of diamond phase in all films. The result of nano-indentation test show that the hardness of alumina samples increase from 7.3 +/- 2.0 GPa in uncoated samples to 15.8 +/- 4.5-52.2 +/- 2.1 GPa in samples coated with DLC depending on the process conditions. It is observed that the hardness values are still in good range although the thickness of the films is less than a hundred nanometer.

  7. A Comparative Study of Three Different Chemical Vapor Deposition Techniques of Carbon Nanotube Growth on Diamond Films

    Directory of Open Access Journals (Sweden)

    Betty T. Quinton

    2013-01-01

    Full Text Available This paper compares between the methods of growing carbon nanotubes (CNTs on diamond substrates and evaluates the quality of the CNTs and the interfacial strength. One potential application for these materials is a heat sink/spreader for high-power electronic devices. The CNTs and diamond substrates have a significantly higher specific thermal conductivity than traditional heat sink/spreader materials making them good replacement candidates. Only limited research has been performed on these CNT/diamond structures and their suitability of different growth methods. This study investigates three potential chemical vapor deposition (CVD techniques for growing CNTs on diamond: thermal CVD (T-CVD, microwave plasma-enhanced CVD (MPE-CVD, and floating catalyst thermal CVD (FCT-CVD. Scanning electron microscopy (SEM and high-resolution transmission electron microscopy (TEM were used to analyze the morphology and topology of the CNTs. Raman spectroscopy was used to assess the quality of the CNTs by determining the ID/IG peak intensity ratios. Additionally, the CNT/diamond samples were sonicated for qualitative comparisons of the durability of the CNT forests. T-CVD provided the largest diameter tubes, with catalysts residing mainly at the CNT/diamond interface. The MPE-CVD process yielded non uniform defective CNTs, and FCT-CVD resulted in the smallest diameter CNTs with catalyst particles imbedded throughout the length of the nanotubes.

  8. Improved stability of titanium based boron-doped chemical vapor deposited diamond thin-film electrode by modifying titanium substrate surface

    International Nuclear Information System (INIS)

    Lim, P.Y.; Lin, F.Y.; Shih, H.C.; Ralchenko, V.G.; Varnin, V.P.; Pleskov, Yu.V.; Hsu, S.F.; Chou, S.S.; Hsu, P.L.

    2008-01-01

    The film quality and electrochemical properties of BDD (boron-doped diamond) thin films grown by hot-filament chemical vapor deposition technique on titanium substrates that had been subjected to a range of pre-treatment processes were evaluated. The pre-roughened Ti-substrates are shown to support more adherent BDD films. It is evident that acid-etching the Ti-substrate involves surface hydrogenation that enhances nucleation and formation of diamond thereon. The prepared BDD film exhibits wide potential window and electrochemical reversibility. It also demonstrated a better long-term electrochemical stability based on the low variation in voltametric background current upon the exposing of the electrodes to repeated cycles of electrochemical metal deposition/stripping process

  9. Enhanced field emission characteristics of boron doped diamond films grown by microwave plasma assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Koinkar, Pankaj M. [Center for International Cooperation in Engineering Education (CICEE), University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan); Patil, Sandip S. [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Kim, Tae-Gyu [Department of Nano System and Process Engineering, Pusan National University, 50 Cheonghak-ri, Samrangjin-eup, Miryang, Gyeongnam, Pusan 627-706 (Korea, Republic of); Yonekura, Daisuke [Department of Mechanical Engineering, University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan); More, Mahendra A., E-mail: mam@physics.unipune.ac.in [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Joag, Dilip S. [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Murakami, Ri-ichi, E-mail: murakami@me.tokushima-u.ac.jp [Department of Mechanical Engineering, University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan)

    2011-01-01

    Boron doped diamond films were synthesized on silicon substrates by microwave plasma chemical vapor deposition (MPCVD) technique. The effect of B{sub 2}O{sub 3} concentration varied from 1000 to 5000 ppm on the field emission characteristics was examined. The surface morphology and quality of films were characterized by scanning electron microscope (SEM) and Raman spectroscopy. The surface morphology obtained by SEM showed variation from facetted microcrystal covered with nanometric grains to cauliflower of nanocrystalline diamond (NCD) particles with increasing B{sub 2}O{sub 3} concentration. The Raman spectra confirm the formation of NCD films. The field emission properties of NCD films were observed to improve upon increasing boron concentration. The values of the onset field and threshold field are observed to be as low as 0.36 and 0.08 V/{mu}m, respectively. The field emission current stability investigated at the preset value of {approx}1 {mu}A is observed to be good, in each case. The enhanced field emission properties are attributed to the better electrical conductivity coupled with the nanometric features of the diamond films.

  10. Friction and wear properties of diamonds and diamond coatings

    International Nuclear Information System (INIS)

    Hayward, I.P.

    1991-01-01

    The recent development of chemical vapor deposition techniques for diamond growth enables bearings to be designed which exploit diamond's low friction and extreme resistance to wear. However, currently produced diamond coatings differ from natural diamond surfaces in that they are polycrystalline and faceted, and often contain appreciable amounts of non-diamond material (i.e. graphitic or amorphous carbon). Roughness, in particular, influences the friction and wear properties; rough coatings severely abrade softer materials, and can even wear natural diamond sliders. Nevertheless, the best available coatings exhibit friction coefficients as low as those of natural diamond and are highly resistant to wear. This paper reviews the tribological properties of natural diamond, and compares them with those of chemical vapor deposited diamond coatings. Emphasis is placed on the roles played by roughness and material transfer in controlling frictional behavior. (orig.)

  11. A study of the performance and properties of diamond like carbon (DLC) coatings deposited by plasma chemical vapor deposition (CVD) for two stroke engine components

    Energy Technology Data Exchange (ETDEWEB)

    Tither, D. [BEP Grinding Ltd., Manchester (United Kingdom); Ahmed, W.; Sarwar, M.; Penlington, R. [Univ. of Northumbria, Newcastle-upon-Tyne (United Kingdom)

    1995-12-31

    Chemical vapor deposition (CVD) using microwave and RF plasma is arguably the most successful technique for depositing diamond and diamond like carbon (DLC) films for various engineering applications. However, the difficulties of depositing diamond are nearly as extreme as it`s unique combination of physical, chemical and electrical properties. In this paper, the modified low temperature plasma enhanced CVD system is described. The main focus of this paper will be work related to deposition of DLC on metal matrix composite materials (MMCs) for application in two-stroke engine components and results will be presented from SEM, mechanical testing and composition analysis studies. The authors have demonstrated the feasibility of depositing DLC on MMCs for the first time using a vacuum deposition process.

  12. Novel ion-molecular surface reaction to result in CH3 adsorbates on (111) surface of chemical vapor deposition diamond from ethane and surface anionic sites

    International Nuclear Information System (INIS)

    Komatsu, Shojiro; Okada, Katsuyuki; Shimizu, Yoshiki; Moriyoshi, Yusuke

    2001-01-01

    The existence of CH 3 adsorbates on (111) surface of chemical vapor deposited diamond, which was observed by scanning tunneling microscopy, was explained by the following S N 2 (bimolecular, substitutional, and nucleophilic) type surface reaction; C(s) - +C 2 H 6 ->C(s)-CH 3 +CH 3 - , where C(s) denotes a surface carbon atom. The activation energy was estimated to be 36.78 kcal/mol and the reaction proved to be exothermic with the enthalpy change of -9.250 kcal/mol, according to ab initio molecular orbital calculations at MP2/3-21+G * //RHF/3-21G * level; this result is consistent with typical substrate temperatures, namely about 900 degree C, for chemical vapor deposition of diamond. Charge transfer from the highest occupied molecular orbital of the surface anionic site to the lowest unoccupied molecular orbital of ethane, that is antibonding at the CH 3 - CH 3 bond, has been clearly visualized. A characteristic configuration of an ethane molecule which is associated with an anionic vacant site C(s) - on hydrogenated (111) surface of diamond was also found. [copyright] 2001 American Institute of Physics

  13. Recent Advances in Diamond Detectors

    CERN Document Server

    Trischuk, W.

    2008-01-01

    With the commissioning of the LHC expected in 2009, and the LHC upgrades expected in 2012, ATLAS and CMS are planning for detector upgrades for their innermost layers requiring radiation hard technologies. Chemical Vapor Deposition (CVD) diamond has been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle and CDF and is now planned for all LHC experiments. This material is now being considered as an alternate sensor for use very close to the interaction region of the super LHC where the most extreme radiation conditions will exist. Recently the RD42 collaboration constructed, irradiated and tested polycrystalline and single-crystal chemical vapor deposition diamond sensors to the highest fluences available. We present beam test results of chemical vapor deposition diamond up to fluences of 1.8 x 10^16 protons/cm^2 showing that both polycrystalline and single-crystal chemical vapor deposition diamonds follow a single damage curve allowing one t...

  14. Chemical Analysis of Impurity Boron Atoms in Diamond Using Soft X-ray Emission Spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Muramatsu, Yasuji; Iihara, Junji; Takebe, Toshihiko; Denlinger, Jonathan D.

    2008-03-29

    To analyze the local structure and/or chemical states of boron atoms in boron-doped diamond, which can be synthesized by the microwave plasma-assisted chemical vapor deposition method (CVD-B-diamond) and the temperature gradient method at high pressure and high temperature (HPT-B-diamond), we measured the soft X-ray emission spectra in the CK and BK regions of B-diamonds using synchrotron radiation at the Advanced Light Source (ALS). X-ray spectral analyses using the fingerprint method and molecular orbital calculations confirm that boron atoms in CVD-B-diamond substitute for carbon atoms in the diamond lattice to form covalent B-C bonds, while boron atoms in HPT-B-diamond react with the impurity nitrogen atoms to form hexagonal boron nitride. This suggests that the high purity diamond without nitrogen impurities is necessary to synthesize p-type B-diamond semiconductors.

  15. Growth, microstructure, and field-emission properties of synthesized diamond film on adamantane-coated silicon substrate by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Tiwari, Rajanish N.; Chang Li

    2010-01-01

    Diamond nucleation on unscratched Si surface is great importance for its growth, and detailed understanding of this process is therefore desired for many applications. The pretreatment of the substrate surface may influence the initial growth period. In this study, diamond films have been synthesized on adamantane-coated crystalline silicon {100} substrate by microwave plasma chemical vapor deposition from a gaseous mixture of methane and hydrogen gases without the application of a bias voltage to the substrates. Prior to adamantane coating, the Si substrates were not pretreated such as abraded/scratched. The substrate temperature was ∼530 deg. C during diamond deposition. The deposited films are characterized by scanning electron microscopy, Raman spectrometry, x-ray diffraction, and x-ray photoelectron spectroscopy. These measurements provide definitive evidence for high-crystalline quality diamond film, which is synthesized on a SiC rather than clean Si substrate. Characterization through atomic force microscope allows establishing fine quality criteria of the film according to the grain size of nanodiamond along with SiC. The diamond films exhibit a low-threshold (55 V/μm) and high current-density (1.6 mA/cm 2 ) field-emission (FE) display. The possible mechanism of formation of diamond films and their FE properties have been demonstrated.

  16. Chemical vapor deposition of nanocrystalline diamond films

    International Nuclear Information System (INIS)

    Vyrovets, I.I.; Gritsyna, V.I.; Dudnik, S.F.; Opalev, O.A.; Reshetnyak, O.M.; Strel'nitskij, V.E.

    2008-01-01

    The brief review of the literature is devoted to synthesis of nanocrystalline diamond films. It is shown that the CVD method is an effective way for deposition of such nanostructures. The basic technological methods that allow limit the size of growing diamond crystallites in the film are studied.

  17. Diamond films: Historical perspective

    Energy Technology Data Exchange (ETDEWEB)

    Messier, R. [Pennsylvania State Univ., University Park (United States)

    1993-01-01

    This section is a compilation of notes and published international articles about the development of methods of depositing diamond films. Vapor deposition articles are included from American, Russian, and Japanese publications. The international competition to develop new deposition methodologies is stressed. The current status of chemical vapor deposition of diamond is assessed.

  18. Computer Simulation of Temperature Parameter for Diamond Formation by Using Hot-Filament Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Chang Weon Song

    2017-12-01

    Full Text Available To optimize the deposition parameters of diamond films, the temperature, pressure, and distance between the filament and the susceptor need to be considered. However, it is difficult to precisely measure and predict the filament and susceptor temperature in relation to the applied power in a hot filament chemical vapor deposition (HF-CVD system. In this study, the temperature distribution inside the system was numerically calculated for the applied powers of 12, 14, 16, and 18 kW. The applied power needed to achieve the appropriate temperature at a constant pressure and other conditions was deduced, and applied to actual experimental depositions. The numerical simulation was conducted using the commercial computational fluent dynamics software ANSYS-FLUENT. To account for radiative heat-transfer in the HF-CVD reactor, the discrete ordinate (DO model was used. The temperatures of the filament surface and the susceptor at different power levels were predicted to be 2512–2802 K and 1076–1198 K, respectively. Based on the numerical calculations, experiments were performed. The simulated temperatures for the filament surface were in good agreement with the experimental temperatures measured using a two-color pyrometer. The results showed that the highest deposition rate and the lowest deposition of non-diamond was obtained at a power of 16 kW.

  19. Novel morphology of chemical vapor deposited diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Tang, C.J. [I3N and Department of Physics, University of Aveiro (Portugal); Jiangsu Key Laboratory for Advanced Functional Materials and Department of Physics, Changshu Institute of Technology, Changshu (China); TEMA and Department of Mechanical Engineering, University of Aveiro (Portugal); Fernandes, A.J.S.; Abe, I.; Pinto, J.L. [I3N and Department of Physics, University of Aveiro (Portugal); Gracio, J. [TEMA and Department of Mechanical Engineering, University of Aveiro (Portugal); Buijnsters, J.G. [Institute for Molecules and Materials (IMM), Radboud University Nijmegen (Netherlands)

    2010-04-15

    We have obtained simultaneously nanocrystalline and {l_brace}100{r_brace} faceted large-grained polycrystalline diamond films not only on different substrates but also on the same substrate in only one deposition run using a novel approach for substrate arrangement. Furthermore, interesting unusual morphologies and microstructures composed by non-faceted nanostructures and terminated with large smooth {l_brace}100{r_brace} facet-like belt are found near the edges of the top square sample. The morphology variation is likely caused by the so called edge effect, where a strong variation in temperature is also present. We have modelled the temperature distribution on the substrates by computer simulations using the finite element method. The novel feature, namely the coexistence of oval non-faceted nanocrystalline diamond grains and large smooth {l_brace}100{r_brace} facet-like belt in one diamond grain, is in the transition from {l_brace}100{r_brace} faceted polycrystalline diamond to cauliflower-like nanocrystalline diamond. The formation mechanism is discussed based on the temperature analysis and other simulation results described in the literature. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Structure and properties of diamond and diamond-like films

    Energy Technology Data Exchange (ETDEWEB)

    Clausing, R.E. [Oak Ridge National Lab., TN (United States)

    1993-01-01

    This section is broken into four parts: (1) introduction, (2) natural IIa diamond, (3) importance of structure and composition, and (4) control of structure and properties. Conclusions of this discussion are that properties of chemical vapor deposited diamond films can compare favorably with natural diamond, that properties are anisotropic and are a strong function of structure and crystal perfection, that crystal perfection and morphology are functions of growth conditions and can be controlled, and that the manipulation of texture and thereby surface morphology and internal crystal perfection is an important step in optimizing chemically deposited diamond films for applications.

  1. Diamond nanophotonics

    Directory of Open Access Journals (Sweden)

    Katja Beha

    2012-12-01

    Full Text Available We demonstrate the coupling of single color centers in diamond to plasmonic and dielectric photonic structures to realize novel nanophotonic devices. Nanometer spatial control in the creation of single color centers in diamond is achieved by implantation of nitrogen atoms through high-aspect-ratio channels in a mica mask. Enhanced broadband single-photon emission is demonstrated by coupling nitrogen–vacancy centers to plasmonic resonators, such as metallic nanoantennas. Improved photon-collection efficiency and directed emission is demonstrated by solid immersion lenses and micropillar cavities. Thereafter, the coupling of diamond nanocrystals to the guided modes of micropillar resonators is discussed along with experimental results. Finally, we present a gas-phase-doping approach to incorporate color centers based on nickel and tungsten, in situ into diamond using microwave-plasma-enhanced chemical vapor deposition. The fabrication of silicon–vacancy centers in nanodiamonds by microwave-plasma-enhanced chemical vapor deposition is discussed in addition.

  2. Diamond anvil cells using boron-doped diamond electrodes covered with undoped diamond insulating layer

    Science.gov (United States)

    Matsumoto, Ryo; Yamashita, Aichi; Hara, Hiroshi; Irifune, Tetsuo; Adachi, Shintaro; Takeya, Hiroyuki; Takano, Yoshihiko

    2018-05-01

    Diamond anvil cells using boron-doped metallic diamond electrodes covered with undoped diamond insulating layers have been developed for electrical transport measurements under high pressure. These designed diamonds were grown on a bottom diamond anvil via a nanofabrication process combining microwave plasma-assisted chemical vapor deposition and electron beam lithography. The resistance measurements of a high-quality FeSe superconducting single crystal under high pressure were successfully demonstrated by just putting the sample and gasket on the bottom diamond anvil directly. The superconducting transition temperature of the FeSe single crystal was increased to up to 43 K by applying uniaxial-like pressure.

  3. Diamond Synthesis Employing Nanoparticle Seeds

    Science.gov (United States)

    Uppireddi, Kishore (Inventor); Morell, Gerardo (Inventor); Weiner, Brad R. (Inventor)

    2014-01-01

    Iron nanoparticles were employed to induce the synthesis of diamond on molybdenum, silicon, and quartz substrates. Diamond films were grown using conventional conditions for diamond synthesis by hot filament chemical vapor deposition, except that dispersed iron oxide nanoparticles replaced the seeding. This approach to diamond induction can be combined with dip pen nanolithography for the selective deposition of diamond and diamond patterning while avoiding surface damage associated to diamond-seeding methods.

  4. Diamond Nucleation Using Polyethene

    Science.gov (United States)

    Morell, Gerardo (Inventor); Makarov, Vladimir (Inventor); Varshney, Deepak (Inventor); Weiner, Brad (Inventor)

    2013-01-01

    The invention presents a simple, non-destructive and non-abrasive method of diamond nucleation using polyethene. It particularly describes the nucleation of diamond on an electrically viable substrate surface using polyethene via chemical vapor deposition (CVD) technique in a gaseous environment.

  5. The versatility of hot-filament activated chemical vapor deposition

    International Nuclear Information System (INIS)

    Schaefer, Lothar; Hoefer, Markus; Kroeger, Roland

    2006-01-01

    In the field of activated chemical vapor deposition (CVD) of polycrystalline diamond films, hot-filament activation (HF-CVD) is widely used for applications where large deposition areas are needed or three-dimensional substrates have to be coated. We have developed processes for the deposition of conductive, boron-doped diamond films as well as for tribological crystalline diamond coatings on deposition areas up to 50 cm x 100 cm. Such multi-filament processes are used to produce diamond electrodes for advanced electrochemical processes or large batches of diamond-coated tools and parts, respectively. These processes demonstrate the high degree of uniformity and reproducibility of hot-filament CVD. The usability of hot-filament CVD for diamond deposition on three-dimensional substrates is well known for CVD diamond shaft tools. We also develop interior diamond coatings for drawing dies, nozzles, and thread guides. Hot-filament CVD also enables the deposition of diamond film modifications with tailored properties. In order to adjust the surface topography to specific applications, we apply processes for smooth, fine-grained or textured diamond films for cutting tools and tribological applications. Rough diamond is employed for grinding applications. Multilayers of fine-grained and coarse-grained diamond have been developed, showing increased shock resistance due to reduced crack propagation. Hot-filament CVD is also used for in situ deposition of carbide coatings and diamond-carbide composites, and the deposition of non-diamond, silicon-based films. These coatings are suitable as diffusion barriers and are also applied for adhesion and stress engineering and for semiconductor applications, respectively

  6. Characterization of diamond-like nanocomposite thin films grown by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Santra, T. S.; Liu, C. H.; Bhattacharyya, T. K.; Patel, P.; Barik, T. K.

    2010-01-01

    Diamond-like nanocomposite (DLN) thin films, comprising the networks of a-C:H and a-Si:O were deposited on pyrex glass or silicon substrate using gas precursors (e.g., hexamethyldisilane, hexamethyldisiloxane, hexamethyldisilazane, or their different combinations) mixed with argon gas, by plasma enhanced chemical vapor deposition technique. Surface morphology of DLN films was analyzed by atomic force microscopy. High-resolution transmission electron microscopic result shows that the films contain nanoparticles within the amorphous structure. Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, and x-ray photoelectron spectroscopy (XPS) were used to determine the structural change within the DLN films. The hardness and friction coefficient of the films were measured by nanoindentation and scratch test techniques, respectively. FTIR and XPS studies show the presence of C-C, C-H, Si-C, and Si-H bonds in the a-C:H and a-Si:O networks. Using Raman spectroscopy, we also found that the hardness of the DLN films varies with the intensity ratio I D /I G . Finally, we observed that the DLN films has a better performance compared to DLC, when it comes to properties like high hardness, high modulus of elasticity, low surface roughness and low friction coefficient. These characteristics are the critical components in microelectromechanical systems (MEMS) and emerging nanoelectromechanical systems (NEMS).

  7. Sensitivity of chemical vapor deposition diamonds to DD and DT neutrons at OMEGA and the National Ignition Facility

    Science.gov (United States)

    Kabadi, N. V.; Sio, H.; Glebov, V.; Gatu Johnson, M.; MacPhee, A.; Frenje, J. A.; Li, C. K.; Seguin, F.; Petrasso, R.; Forrest, C.; Knauer, J.; Rinderknecht, H. G.

    2016-11-01

    The particle-time-of-flight (pTOF) detector at the National Ignition Facility (NIF) is used routinely to measure nuclear bang-times in inertial confinement fusion implosions. The active detector medium in pTOF is a chemical vapor deposition diamond. Calibration of the detectors sensitivity to neutrons and protons would allow measurement of nuclear bang times and hot spot areal density (ρR) on a single diagnostic. This study utilizes data collected at both NIF and Omega in an attempt to determine pTOF's absolute sensitivity to neutrons. At Omega pTOF's sensitivity to DT-n is found to be stable to within 8% at different bias voltages. At the NIF pTOF's sensitivity to DD-n varies by up to 59%. This variability must be decreased substantially for pTOF to function as a neutron yield detector at the NIF. Some possible causes of this variability are ruled out.

  8. Diamond MEMS: wafer scale processing, devices, and technology insertion

    Science.gov (United States)

    Carlisle, J. A.

    2009-05-01

    Diamond has long held the promise of revolutionary new devices: impervious chemical barriers, smooth and reliable microscopic machines, and tough mechanical tools. Yet it's been an outsider. Laboratories have been effectively growing diamond crystals for at least 25 years, but the jump to market viability has always been blocked by the expense of diamond production and inability to integrate with other materials. Advances in chemical vapor deposition (CVD) processes have given rise to a hierarchy of carbon films ranging from diamond-like carbon (DLC) to vapor-deposited diamond coatings, however. All have pros and cons based on structure and cost, but they all share some of diamond's heralded attributes. The best performer, in theory, is the purest form of diamond film possible, one absent of graphitic phases. Such a material would capture the extreme hardness, high Young's modulus and chemical inertness of natural diamond. Advanced Diamond Technologies Inc., Romeoville, Ill., is the first company to develop a distinct chemical process to create a marketable phase-pure diamond film. The material, called UNCD® (for ultrananocrystalline diamond), features grain sizes from 3 to 300 nm in size, and layers just 1 to 2 microns thick. With significant advantages over other thin films, UNCD is designed to be inexpensive enough for use in atomic force microscopy (AFM) probes, microelectromechanical machines (MEMS), cell phone circuitry, radio frequency devices, and even biosensors.

  9. Application of molecular beam mass spectrometry to chemical vapor deposition studies

    International Nuclear Information System (INIS)

    Hsu, W.L.; Tung, D.M.

    1992-01-01

    A molecular beam mass spectrometer system has been designed and constructed for the specific purpose of measuring the gaseous composition of the vapor environment during chemical vapor deposition of diamond. By the intrinsic nature of mass analysis, this type of design is adaptable to a broad range of other applications that rely either on thermal- or plasma-induced chemical kinetics. When gas is sampled at a relatively high process pressure (∼2700 Pa for our case), supersonic gas expansion at the sampling orifice can cause the detected signals to have a complicated dependence on the operating conditions. A comprehensive discussion is given on the effect of gas expansion on mass discrimination and signal scaling with sampling pressure and temperature, and how these obstacles can be overcome. This paper demonstrates that radical species can be detected with a sensitivity better than 10 ppm by the use of threshold ionization. A detailed procedure is described whereby one can achieve quantitative analysis of the detected species with an accuracy of ±20%. This paper ends with an example on the detection of H, H 2 , CH 3 , CH 4 , and C 2 H 2 during diamond growth

  10. Surface properties and field emission characteristics of chemical vapor deposition diamond grown on Fe/Si substrates

    International Nuclear Information System (INIS)

    Hirakuri, Kenji; Yokoyama, Takahiro; Enomoto, Hirofumi; Mutsukura, Nobuki; Friedbacher, Gernot

    2001-01-01

    Electron field emission characteristics of diamond grains fabricated on iron dot-patterned silicon (Fe/Si) substrates at different methane concentrations have been investigated. The characteristics of the samples could be improved by control of the methane concentration during diamond fabrication. Etching treatment of the as-grown diamond has enhanced the emission properties both with respect to current and threshold voltage. In order to study the influence of etching effects on the field emission characteristics, the respective surfaces were studied by Raman spectroscopy, Auger electron spectroscopy, and electron spectroscopy for chemical analysis (ESCA). ESCA revealed intensive graphite and FeO x peaks on the sample surface grown at high methane concentration. For the etched samples, the peaks of diamond and silicon carbide were observed, and the peaks of nondiamond carbon disappeared. The experimental results show that the etching process removes graphitic and nondiamond carbon components. [copyright] 2001 American Institute of Physics

  11. Fabrication of Diamond Based Sensors for Use in Extreme Environments

    Directory of Open Access Journals (Sweden)

    Gopi K. Samudrala

    2015-04-01

    Full Text Available Electrical and magnetic sensors can be lithographically fabricated on top of diamond substrates and encapsulated in a protective layer of chemical vapor deposited single crystalline diamond. This process when carried out on single crystal diamond anvils employed in high pressure research is termed as designer diamond anvil fabrication. These designer diamond anvils allow researchers to study electrical and magnetic properties of materials under extreme conditions without any possibility of damaging the sensing elements. We describe a novel method for the fabrication of designer diamond anvils with the use of maskless lithography and chemical vapor deposition in this paper. This method can be utilized to produce diamond based sensors which can function in extreme environments of high pressures, high and low temperatures, corrosive and high radiation conditions. We demonstrate applicability of these diamonds under extreme environments by performing electrical resistance measurements during superconducting transition in rare earth doped iron-based compounds under high pressures to 12 GPa and low temperatures to 10 K.

  12. Characterization of diamond-like nanocomposite thin films grown by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Santra, T S; Liu, C H [Institute of Nanoengineering and Microsystems (NEMS), National Tsing Hua University, Hsinchu, Taiwan 30043 (China); Bhattacharyya, T K [Department of Electronics and Electrical Communication Engineering, Indian Institute of Technology, Kharagpur 721302, West Bengal (India); Patel, P [Department of Electrical and Computer Engineering, University of Illinois at Urbana Champaign, Urbana, Illinois 61801 (United States); Barik, T K [School of Applied Sciences, Haldia Institute of Technology, Haldia 721657, Purba Medinipur, West Bengal (India)

    2010-06-15

    Diamond-like nanocomposite (DLN) thin films, comprising the networks of a-C:H and a-Si:O were deposited on pyrex glass or silicon substrate using gas precursors (e.g., hexamethyldisilane, hexamethyldisiloxane, hexamethyldisilazane, or their different combinations) mixed with argon gas, by plasma enhanced chemical vapor deposition technique. Surface morphology of DLN films was analyzed by atomic force microscopy. High-resolution transmission electron microscopic result shows that the films contain nanoparticles within the amorphous structure. Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, and x-ray photoelectron spectroscopy (XPS) were used to determine the structural change within the DLN films. The hardness and friction coefficient of the films were measured by nanoindentation and scratch test techniques, respectively. FTIR and XPS studies show the presence of C-C, C-H, Si-C, and Si-H bonds in the a-C:H and a-Si:O networks. Using Raman spectroscopy, we also found that the hardness of the DLN films varies with the intensity ratio I{sub D}/I{sub G}. Finally, we observed that the DLN films has a better performance compared to DLC, when it comes to properties like high hardness, high modulus of elasticity, low surface roughness and low friction coefficient. These characteristics are the critical components in microelectromechanical systems (MEMS) and emerging nanoelectromechanical systems (NEMS).

  13. Sub-band gap photo-enhanced secondary electron emission from high-purity single-crystal chemical-vapor-deposited diamond

    International Nuclear Information System (INIS)

    Yater, J. E.; Shaw, J. L.; Pate, B. B.; Feygelson, T. I.

    2016-01-01

    Secondary-electron-emission (SEE) current measured from high-purity, single-crystal (100) chemical-vapor-deposited diamond is found to increase when sub-band gap (3.06 eV) photons are incident on the hydrogenated surface. Although the light does not produce photoemission directly, the SEE current increases by more than a factor of 2 before saturating with increasing laser power. In energy distribution curves (EDCs), the emission peak shows a corresponding increase in intensity with increasing laser power. However, the emission-onset energy in the EDCs remains constant, indicating that the bands are pinned at the surface. On the other hand, changes are observed on the high-energy side of the distribution as the laser power increases, with a well-defined shoulder becoming more pronounced. From an analysis of this feature in the EDCs, it is deduced that upward band bending is present in the near-surface region during the SEE measurements and this band bending suppresses the SEE yield. However, sub-band gap photon illumination reduces the band bending and thereby increases the SEE current. Because the bands are pinned at the surface, we conclude that the changes in the band levels occur below the surface in the electron transport region. Sample heating produces similar effects as observed with sub-band gap photon illumination, namely, an increase in SEE current and a reduction in band bending. However, the upward band bending is not fully removed by either increasing laser power or temperature, and a minimum band bending of ∼0.8 eV is established in both cases. The sub-band gap photo-excitation mechanism is under further investigation, although it appears likely at present that defect or gap states play a role in the photo-enhanced SEE process. In the meantime, the study demonstrates the ability of visible light to modify the electronic properties of diamond and enhance the emission capabilities, which may have potential impact for diamond-based vacuum electron

  14. CVD diamond - fundamental phenomena

    Energy Technology Data Exchange (ETDEWEB)

    Yarbrough, W.A. [Pennsylvania State Univ., University Park (United States)

    1993-01-01

    This compilation of figures and diagrams addresses the basic physical processes involved in the chemical vapor deposition of diamond. Different methods of deposition are illustrated. For each method, observations are made of the prominent advantages and disadvantages of the technique. Chemical mechanisms of nucleation are introduced.

  15. Tensile test of a silicon microstructure fully coated with submicrometer-thick diamond like carbon film using plasma enhanced chemical vapor deposition method

    Science.gov (United States)

    Zhang, Wenlei; Uesugi, Akio; Hirai, Yoshikazu; Tsuchiya, Toshiyuki; Tabata, Osamu

    2017-06-01

    This paper reports the tensile properties of single-crystal silicon (SCS) microstructures fully coated with sub-micrometer thick diamond like carbon (DLC) film using plasma enhanced chemical vapor deposition (PECVD). To minimize the deformations or damages caused by non-uniform coating of DLC, which has high compression residual stress, released SCS specimens with the dimensions of 120 µm long, 4 µm wide, and 5 µm thick were coated from the top and bottom side simultaneously. The thickness of DLC coating is around 150 nm and three different bias voltages were used for deposition. The tensile strength improved from 13.4 to 53.5% with the increasing of negative bias voltage. In addition, the deviation in strength also reduced significantly compared to bare SCS sample.

  16. Comparative evaluation of CVD diamond technologies

    Energy Technology Data Exchange (ETDEWEB)

    Anthony, T.R. [General Electric Corporate Research & Development Center, Schenectady, NY (United States)

    1993-01-01

    Chemical vapor deposition (CVD) of diamonds occurs from hydrogen-hydrocarbon gas mixtures in the presence of atomic hydrogen at subatmospheric pressures. Most CVD methods are based on different means of generating and transporting atomic hydrogen in a particular system. Evaluation of these different techniques involves their capital costs, material costs, energy costs, labor costs and the type and quality of diamond that they produce. Currently, there is no universal agreement on which is the best technique and technique selection has been largely driven by the professional background of the user as well as the particular application of interest. This article discusses the criteria for evaluating a process for low-pressure deposition of diamond. Next, a brief history of low-pressure diamond synthesis is reviewed. Several specific processes are addressed, including the hot filament process, hot filament electron-assisted chemical vapor deposition, and plasma generation of atomic hydrogen by glow discharge, microwave discharge, low pressure radio frequency discharge, high pressure DC discharge, high pressure microwave discharge jets, high pressure RF discharge, and high and low pressure flames. Other types of diamond deposition methods are also evaluated. 101 refs., 15 figs.

  17. Fundamental studies of the chemical vapor deposition of diamond. Final technical report, April 1, 1988--December 31, 1994

    Energy Technology Data Exchange (ETDEWEB)

    Nix, W.D.

    1995-05-01

    We submit here a final technical report for the research program entitled: Fundamental Studies of the Chemical Vapor Deposition of Diamond, DOE Grant No. DE-FG05-88ER45345-M006. This research program was initiated in 1988 under the direction of the late Professor David A. Stevenson and was renewed in 1992. Unfortunately, at the end of 1992, just as the last phase of this work was getting underway, Professor Stevenson learned that he had developed mesothelioma, a form of cancer based on asbestos. Professor Stevenson died from that disease in February of 1994. Professor William D. Nix, the Chairman of the Materials Science department at Stanford was named the Principal Investigator. Professor Nix has assembled this final technical report. Much of the work of this grant was conducted by Mr. Paul Dennig, a graduate student who will receive his Ph.D. degree from Stanford in a few months. His research findings are described in the chapters of this report and in the papers published over the past few years. The main discovery of this work was that surface topology plays a crucial role in the nucleation of diamond on silicon. Dennig and his collaborators demonstrated this by showing that diamond nucleates preferentially at the tips of asperities on a silicon surface rather than in the re-entrant comers at the base of such asperities. Some of the possible reasons for this effect are described in this report. The published papers listed on the next page of this report also describe this research. Interested persons can obtain copies of these papers from Professor Nix at Stanford. A full account of all of the research results obtained in this work is given in the regular chapters that follow this brief introduction. In addition, interested readers will want to consult Mr. Dennig`s Ph.D. dissertation when it is made available later this year.

  18. Diamond film growth with modification properties of adhesion between substrate and diamond film

    Directory of Open Access Journals (Sweden)

    Setasuwon P.

    2004-03-01

    Full Text Available Diamond film growth was studied using chemical vapor deposition (CVD. A special equipment was build in-house, employing a welding torch, and substrate holder with a water-cooling system. Acetylene and oxygen were used as combustion gases and the substrate was tungsten carbide cobalt. It was found that surface treatments, such as diamond powder scratching or acid etching, increase the adhesion and prevent the film peel-off. Diamond powder scratching and combined diamond powder scratching with acid etching gave the similar diamond film structure with small grain and slightly rough surface. The diamond film obtained with both treatments has high adhesion and can withstand internal stress better than ones obtained by untreated surface or acid etching alone. It was also found that higher substrate temperature produced smoother surface and more uniform diamond grain.

  19. Iron Oxide Nanoparticles Employed as Seeds for the Induction of Microcrystalline Diamond Synthesis

    Directory of Open Access Journals (Sweden)

    Resto Oscar

    2008-01-01

    Full Text Available AbstractIron nanoparticles were employed to induce the synthesis of diamond on molybdenum, silicon, and quartz substrates. Diamond films were grown using conventional conditions for diamond synthesis by hot filament chemical vapor deposition, except that dispersed iron oxide nanoparticles replaced the seeding. X-ray diffraction, visible, and ultraviolet Raman Spectroscopy, energy-filtered transmission electron microscopy , electron energy-loss spectroscopy, and X-ray photoelectron spectroscopy (XPS were employed to study the carbon bonding nature of the films and to analyze the carbon clustering around the seed nanoparticles leading to diamond synthesis. The results indicate that iron oxide nanoparticles lose the O atoms, becoming thus active C traps that induce the formation of a dense region of trigonally and tetrahedrally bonded carbon around them with the ensuing precipitation of diamond-type bonds that develop into microcrystalline diamond films under chemical vapor deposition conditions. This approach to diamond induction can be combined with dip pen nanolithography for the selective deposition of diamond and diamond patterning while avoiding surface damage associated to diamond-seeding methods.

  20. Surface modification of titanium membrane by chemical vapor deposition and its electrochemical self-cleaning

    Energy Technology Data Exchange (ETDEWEB)

    Li, X.W., E-mail: lynnww@sohu.com [School of Electronic and Information Engieering, Tianjin university, Tianjin, 300072 (China); School of Electronics Information Engieering, Tianjin University of Technology, Tianjin, 300384 (China); Li, J.X. [Tianjin Polytechnic University, Tianjin 300160 (China); Gao, C.Y. [Chinese Peoples Armed Police Forces Academy, Langfang 065000 (China); Chang, M. [School of Electronic and Information Engieering, Tianjin university, Tianjin, 300072 (China); School of Electronics Information Engieering, Tianjin University of Technology, Tianjin, 300384 (China)

    2011-10-15

    Membrane separation is applied widely in many fields, while concentration polarization and membrane fouling, limiting its promotion and application greatly, are the bottlenecks in membrane application. Among which, membrane fouling is irreversible, membrane must be periodically cleaned or even replaced to restore permeability. Membrane cleaning has become one of Key issues in membrane separation areas. Considering incomparable electrochemical advantages of boron-doped diamond (BDD) film electrode over conventional electrode, a new composite membrane Ti/BDD, made by depositing CVD (chemical vapor deposition) boron-doped diamond film on titanium(Ti) membrane to modify porous titanium surface, that can be cleaned electrochemically is proposed. Feasibility of its preparation and application is discussed in this paper. Results shows that based on the unique electrochemical properties of diamond, cleaning level of this composite Ti/BDD membrane is significantly increased, making membrane life and efficiency improved prominently.

  1. Surface modification of titanium membrane by chemical vapor deposition and its electrochemical self-cleaning

    International Nuclear Information System (INIS)

    Li, X.W.; Li, J.X.; Gao, C.Y.; Chang, M.

    2011-01-01

    Membrane separation is applied widely in many fields, while concentration polarization and membrane fouling, limiting its promotion and application greatly, are the bottlenecks in membrane application. Among which, membrane fouling is irreversible, membrane must be periodically cleaned or even replaced to restore permeability. Membrane cleaning has become one of Key issues in membrane separation areas. Considering incomparable electrochemical advantages of boron-doped diamond (BDD) film electrode over conventional electrode, a new composite membrane Ti/BDD, made by depositing CVD (chemical vapor deposition) boron-doped diamond film on titanium(Ti) membrane to modify porous titanium surface, that can be cleaned electrochemically is proposed. Feasibility of its preparation and application is discussed in this paper. Results shows that based on the unique electrochemical properties of diamond, cleaning level of this composite Ti/BDD membrane is significantly increased, making membrane life and efficiency improved prominently.

  2. Thermal plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Heberlein, J.; Pfender, E.

    1993-01-01

    Thermal plasmas, with temperatures up to and even exceeding 10 4 K, are capable of producing high density vapor phase precursors for the deposition of relatively thick films. Although this technology is still in its infancy, it will fill the void between the relatively slow deposition processes such as physical vapor deposition and the high rate thermal spray deposition processes. In this chapter, the present state-of-the-art of this field is reviewed with emphasis on the various types of reactors proposed for this emerging technology. Only applications which attracted particular attention, namely diamond and high T c superconducting film deposition, are discussed in greater detail. (orig.)

  3. Status and applications of diamond and diamond-like materials: An emerging technology

    Science.gov (United States)

    1990-01-01

    Recent discoveries that make possible the growth of crystalline diamond by chemical vapor deposition offer the potential for a wide variety of new applications. This report takes a broad look at the state of the technology following from these discoveries in relation to other allied materials, such as high-pressure diamond and cubic boron nitride. Most of the potential defense, space, and commercial applications are related to diamond's hardness, but some utilize other aspects such as optical or electronic properties. The growth processes are reviewed, and techniques for characterizing the resulting materials' properties are discussed. Crystalline diamond is emphasized, but other diamond-like materials (silicon carbide, amorphous carbon containing hydrogen) are also examined. Scientific, technical, and economic problem areas that could impede the rapid exploitation of these materials are identified. Recommendations are presented covering broad areas of research and development.

  4. Atomic structure of diamond {111} surfaces etched in oxygen water vapor

    International Nuclear Information System (INIS)

    Theije, F.K. de; Reedijk, M.F.; Arsic, J.; Enckevort, W.J.P. van; Vlieg, E.

    2001-01-01

    The atomic structure of the {111} diamond face after oxygen-water-vapor etching is determined using x-ray scattering. We find that a single dangling bond diamond {111} surface model, terminated by a full monolayer of -OH fits our data best. To explain the measurements it is necessary to add an ordered water layer on top of the -OH terminated surface. The vertical contraction of the surface cell and the distance between the oxygen atoms are generally in agreement with model calculations and results on similar systems. The OH termination is likely to be present during etching as well. This model experimentally confirms the atomic-scale mechanism we proposed previously for this etching system

  5. A Review on the Low-Dimensional and Hybridized Nanostructured Diamond Films

    Directory of Open Access Journals (Sweden)

    Hongdong Li

    2015-01-01

    Full Text Available In the last decade, besides the breakthrough of high-rate growth of chemical vapor deposited single-crystal diamonds, numerous nanostructured diamond films have been rapidly developed in the research fields of the diamond-based sciences and industrial applications. The low-dimensional diamonds of two-dimensional atomic-thick nanofilms and nanostructural diamond on the surface of bulk diamond films have been theoretically and experimentally investigated. In addition, the diamond-related hybrid nanostructures of n-type oxide/p-type diamond and n-type nitride/p-type diamond, having high performance physical and chemical properties, are proposed for further applications. In this review, we first briefly introduce the three categories of diamond nanostructures and then outline the current advances in these topics, including their design, fabrication, characterization, and properties. Finally, we address the remaining challenges in the research field and the future activities.

  6. Development of a templated approach to fabricate diamond patterns on various substrates.

    Science.gov (United States)

    Shimoni, Olga; Cervenka, Jiri; Karle, Timothy J; Fox, Kate; Gibson, Brant C; Tomljenovic-Hanic, Snjezana; Greentree, Andrew D; Prawer, Steven

    2014-06-11

    We demonstrate a robust templated approach to pattern thin films of chemical vapor deposited nanocrystalline diamond grown from monodispersed nanodiamond (mdND) seeds. The method works on a range of substrates, and we herein demonstrate the method using silicon, aluminum nitride (AlN), and sapphire substrates. Patterns are defined using photo- and e-beam lithography, which are seeded with mdND colloids and subsequently introduced into microwave assisted chemical vapor deposition reactor to grow patterned nanocrystalline diamond films. In this study, we investigate various factors that affect the selective seeding of different substrates to create high quality diamond thin films, including mdND surface termination, zeta potential, surface treatment, and plasma cleaning. Although the electrostatic interaction between mdND colloids and substrates is the main process driving adherence, we found that chemical reaction (esterification) or hydrogen bonding can potentially dominate the seeding process. Leveraging the knowledge on these different interactions, we optimize fabrication protocols to eliminate unwanted diamond nucleation outside the patterned areas. Furthermore, we have achieved the deposition of patterned diamond films and arrays over a range of feature sizes. This study contributes to a comprehensive understanding of the mdND-substrate interaction that will enable the fabrication of integrated nanocrystalline diamond thin films for microelectronics, sensors, and tissue culturing applications.

  7. Stress analysis of CVD diamond window for ECH system

    International Nuclear Information System (INIS)

    Takahashi, Koji

    2001-03-01

    The stress analysis of a chemical vapor deposition (CVD) diamond window for Electron Cyclotron Heating and Current Drive (ECH/ECCD) system of fusion reactors is described. It was found that the real size diamond window (φ aper =70mm, t=2.25mm) withstood 14.5 atm. (1.45 MPa). The calculation results of the diamond window by ABAQUS code agree well with the results of the pressure test. The design parameters of the torus diamond window for a vacuum and a safety barrier were also obtained. (author)

  8. The development of diamond tracking detectors for the LHC

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Boer, W. de; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Doroshenko, J.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Karl, C.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Perera, L.; Pernegger, H.; Pernicka, M.; Polesello, P.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    2003-01-01

    Chemical vapor deposition diamond has been discussed extensively as an alternate sensor material for use very close to the interaction region of the LHC where extreme radiation conditions exist. During the last few years diamond devices have been manufactured and tested with LHC electronics with the goal of creating a detector usable by all LHC experiment. Extensive progress on diamond quality, on the development of diamond trackers and on radiation hardness studies has been made. Transforming the technology to the LHC specific requirements is now underway. In this paper we present the recent progress achieved

  9. The development of diamond tracking detectors for the LHC

    CERN Document Server

    Adam, W; Bergonzo, P; de Boer, Wim; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, M; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Doroshenko, J; Dulinski, W; van Eijk, B; Fallou, A; Fischer, P; Fizzotti, F; Furetta, C; Gan, K K; Ghodbane, N; Grigoriev, E; Hallewell, G D; Han, S; Hartjes, F; Hrubec, Josef; Husson, D; Kagan, H; Kaplon, J; Karl, C; Kass, R; Keil, M; Knöpfle, K T; Koeth, T W; Krammer, M; Lo Giudice, A; Lü, R; MacLynne, L; Manfredotti, C; Marshall, R D; Meier, D; Menichelli, D; Meuser, S; Mishina, M; Moroni, L; Noomen, J; Oh, A; Perera, L; Pernegger, H; Pernicka, M; Polesello, P; Potenza, R; Riester, J L; Roe, S; Rudge, A; Sala, S; Sampietro, M; Schnetzer, S; Sciortino, S; Stelzer, H; Stone, R; Sutera, C; Trischuk, W; Tromson, D; Tuvé, C; Vincenzo, B; Weilhammer, P; Wermes, N; Wetstein, M; Zeuner, W; Zöller, M

    2003-01-01

    Chemical vapor deposition diamond has been discussed extensively as an alternate sensor material for use very close to the interaction region of the LHC where extreme radiation conditions exist. During the last few years diamond devices have been manufactured and tested with LHC electronics with the goal of creating a detector usable by all LHC experiment. Extensive progress on diamond quality, on the development of diamond trackers and on radiation hardness studies has been made. Transforming the technology to the LHC specific requirements is now underway. In this paper we present the recent progress achieved.

  10. The development of diamond tracking detectors for the LHC

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Boer, W. de; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D' Angelo, P.; Dabrowski, W.; Delpierre, P.; Doroshenko, J.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H. E-mail: harris.kagan@cern.ch; Kaplon, J.; Karl, C.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Perera, L.; Pernegger, H.; Pernicka, M.; Polesello, P.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M

    2003-11-21

    Chemical vapor deposition diamond has been discussed extensively as an alternate sensor material for use very close to the interaction region of the LHC where extreme radiation conditions exist. During the last few years diamond devices have been manufactured and tested with LHC electronics with the goal of creating a detector usable by all LHC experiment. Extensive progress on diamond quality, on the development of diamond trackers and on radiation hardness studies has been made. Transforming the technology to the LHC specific requirements is now underway. In this paper we present the recent progress achieved.

  11. The development of diamond tracking detectors for the LHC

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; de Boer, W.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Doroshenko, J.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K. K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Karl, C.; Kass, R.; Keil, M.; Knöpfle, K. T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Marshall, R. D.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Perera, L.; Pernegger, H.; Pernicka, M.; Polesello, P.; Potenza, R.; Riester, J. L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.; RD42 Collaboration

    2003-11-01

    Chemical vapor deposition diamond has been discussed extensively as an alternate sensor material for use very close to the interaction region of the LHC where extreme radiation conditions exist. During the last few years diamond devices have been manufactured and tested with LHC electronics with the goal of creating a detector usable by all LHC experiment. Extensive progress on diamond quality, on the development of diamond trackers and on radiation hardness studies has been made. Transforming the technology to the LHC specific requirements is now underway. In this paper we present the recent progress achieved.

  12. Direct Coating of Nanocrystalline Diamond on Steel

    Science.gov (United States)

    Tsugawa, Kazuo; Kawaki, Shyunsuke; Ishihara, Masatou; Hasegawa, Masataka

    2012-09-01

    Nanocrystalline diamond films have been successfully deposited on stainless steel substrates without any substrate pretreatments to promote diamond nucleation, including the formation of interlayers. A low-temperature growth technique, 400 °C or lower, in microwave plasma chemical vapor deposition using a surface-wave plasma has cleared up problems in diamond growth on ferrous materials, such as the surface graphitization, long incubation time, substrate softening, and poor adhesion. The deposited nanocrystalline diamond films on stainless steel exhibit good adhesion and tribological properties, such as a high wear resistance, a low friction coefficient, and a low aggression strength, at room temperature in air without lubrication.

  13. Status of the R&D activity on diamond particle detectors

    Science.gov (United States)

    Adam, W.; Bellini, B.; Berdermann, E.; Bergonzo, P.; de Boer, W.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Doroshenko, J.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K. K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Karl, C.; Kass, R.; Keil, M.; Knöpfle, K. T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Marshall, R. D.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Perera, L.; Pernicka, M.; Polesello, P.; Potenza, R.; Riester, J. L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.; RD42 Collaboration

    2003-09-01

    Chemical Vapor Deposited (CVD) polycrystalline diamond has been proposed as a radiation-hard alternative to silicon in the extreme radiation levels occurring close to the interaction region of the Large Hadron Collider. Due to an intense research effort, reliable high-quality polycrystalline CVD diamond detectors, with up to 270 μm charge collection distance and good spatial uniformity, are now available. The most recent progress on the diamond quality, on the development of diamond trackers and on radiation hardness studies are presented and discussed.

  14. Prospects for the synthesis of large single-crystal diamonds

    International Nuclear Information System (INIS)

    Khmelnitskiy, R A

    2015-01-01

    The unique properties of diamond have stimulated the study of and search for its applications in many fields, including optics, optoelectronics, electronics, biology, and electrochemistry. Whereas chemical vapor deposition allows the growth of polycrystalline diamond plates more than 200 mm in diameter, most current diamond application technologies require large-size (25 mm and more) single-crystal diamond substrates or films suitable for the photolithography process. This is quite a challenge, because the largest diamond crystals currently available are 10 mm or less in size. This review examines three promising approaches to fabricating large-size diamond single crystals: growing large-size single crystals, the deposition of heteroepitaxial diamond films on single-crystal substrates, and the preparation of composite diamond substrates. (reviews of topical problems)

  15. Diamond detector technology: status and perspectives

    CERN Document Server

    Kagan, Harris; Artuso, M; Bachmair, F; Bäni, L; Bartosik, M; Beacham, J; Beck, H P; Bellini,, V; Belyaev, V; Bentele, B; Berdermann, E; Bergonzo, P; Bes, A; Brom, J-M; Bruzzi, M; Cerv, M; Chiodini, G; Chren, D; Cindro, V; Claus, G; Collot, J; Cumalat, J; Dabrowski, A; D'Alessandro, R; De Boer, W; Dehning, B; Dorfer, C; Dunser, M; Eremin, V; Eusebi, R; Forcolin, G; Forneris, J; Frais-Kölbl, H; Gan, K K; Gastal, M; Giroletti, C; Goffe, M; Goldstein, J; Golubev, A; Gorišek, A; Grigoriev, E; Grosse-Knetter, J; Grummer, A; Gui, B; Guthoff, M; Haughton, I; Hiti, B; Hits, D; Hoeferkamp, M; Hofmann, T; Hosslet, J; Hostachy, J-Y; Hügging, F; Hutton, C; Jansen, H; Janssen, J; Kanxheri, K; Kasieczka, G; Kass, R; Kassel, F; Kis, M; Kramberger, G; Kuleshov, S; Lacoste, A; Lagomarsino, S; Lo Giudice, A; Lukosi, E; Maazouzi, C; Mandic, I; Mathieu, C; Mcfadden, N; Menichelli, M; Mikuž, M; Morozzi, A; Moss, J; Mountain, R; Murphy, S; Muškinja, M; Oh, A; Oliviero, P; Passeri, D; Pernegger, H; Perrino, R; Picollo, F; Pomorski, M; Potenza, R; Quadt, A; Re, A; Reichmann, M; Riley, G; Roe, S; Sanz, D; Scaringella, M; Schaefer, D; Schmidt, C J; Schnetzer, S; Schreiner, T; Sciortino, S; Scorzoni, A; Seidel, S; Servoli, L; Sopko, B; Sopko, V; Spagnolo, S; Spanier, S; Stenson, K; Stone, R; Sutera, C; Taylor, Aaron; Traeger, M; Tromson, D; Trischuk, W; Tuve, C; Uplegger, L; Velthuis, J; Venturi, N; Vittone, E; Wagner, Stephen; Wallny, R; Wang, J C; Weingarten, J; Weiss, C; Wengler, T; Wermes, N; Yamouni, M; Zavrtanik, M

    2017-01-01

    The status of material development of poly-crystalline chemical vapor deposition (CVD) diamond is presented. We also present beam test results on the independence of signal size on incident par-ticle rate in charged particle detectors based on un-irradiated and irradiated poly-crystalline CVD diamond over a range of particle fluxes from 2 kHz/cm2 to 10 MHz/cm2. The pulse height of the sensors was measured with readout electronics with a peaking time of 6 ns. In addition the first beam test results from 3D detectors made with poly-crystalline CVD diamond are presented. Finally the first analysis of LHC data from the ATLAS Diamond Beam Monitor (DBM) which is based on pixelated poly-crystalline CVD diamond sensors bump-bonded to pixel readout elec-tronics is shown.

  16. Diamond sensors for future high energy experiments

    Energy Technology Data Exchange (ETDEWEB)

    Bachmair, Felix, E-mail: bachmair@phys.ethz.ch

    2016-09-21

    With the planned upgrade of the LHC to High-Luminosity-LHC [1], the general purpose experiments ATLAS and CMS are planning to upgrade their innermost tracking layers with more radiation tolerant technologies. Chemical Vapor Deposition CVD diamond is one such technology. CVD diamond sensors are an established technology as beam condition monitors in the highest radiation areas of all LHC experiments. The RD42-collaboration at CERN is leading the effort to use CVD diamond as a material for tracking detectors operating in extreme radiation environments. An overview of the latest developments from RD42 is presented including the present status of diamond sensor production, a study of pulse height dependencies on incident particle flux and the development of 3D diamond sensors.

  17. Use of the diamond to the detection of particles

    International Nuclear Information System (INIS)

    Mer, C.; Tromson, D.; Brambilla, A.; Foulon, F.; Guizard, B.; Bergonzo

    2001-01-01

    Diamond synthesized by chemical vapor deposition (CVD) is a valuable material for the detection of particles: broad forbidden energy band, high mobility of electron-hole pairs, and a short life-time of charge carriers. Diamond layers have been used in alpha detectors or gamma dose ratemeters designed to be used in hostile environment. Diamond presents a high resistance to radiation and corrosion. The properties of diamond concerning the detection of particles are spoilt by the existence of crystal defects even in high quality natural or synthesized diamond. This article presents recent works that have been performed in CEA laboratories in order to optimize the use of CVD diamond in particle detectors. (A.C.)

  18. Nano-Crystalline Diamond Films with Pineapple-Like Morphology Grown by the DC Arcjet vapor Deposition Method

    Science.gov (United States)

    Li, Bin; Zhang, Qin-Jian; Shi, Yan-Chao; Li, Jia-Jun; Li, Hong; Lu, Fan-Xiu; Chen, Guang-Chao

    2014-08-01

    A nano-crystlline diamond film is grown by the dc arcjet chemical vapor deposition method. The film is characterized by scanning electron microscopy, high-resolution transmission electron microscopy (HRTEM), x-ray diffraction (XRD) and Raman spectra, respectively. The nanocrystalline grains are averagely with 80 nm in the size measured by XRD, and further proven by Raman and HRTEM. The observed novel morphology of the growth surface, pineapple-like morphology, is constructed by cubo-octahedral growth zones with a smooth faceted top surface and coarse side surfaces. The as-grown film possesses (100) dominant surface containing a little amorphous sp2 component, which is far different from the nano-crystalline film with the usual cauliflower-like morphology.

  19. Diamond deposition on siliconized stainless steel

    International Nuclear Information System (INIS)

    Alvarez, F.; Reinoso, M.; Huck, H.; Rosenbusch, M.

    2010-01-01

    Silicon diffusion layers in AISI 304 and AISI 316 type stainless steels were investigated as an alternative to surface barrier coatings for diamond film growth. Uniform 2 μm thick silicon rich interlayers were obtained by coating the surface of the steels with silicon and performing diffusion treatments at 800 deg. C. Adherent diamond films with low sp 2 carbon content were deposited on the diffused silicon layers by a modified hot filament assisted chemical vapor deposition (HFCVD) method. Characterization of as-siliconized layers and diamond coatings was performed by energy dispersive X-ray analysis, scanning electron microscopy, X-ray diffraction and Raman spectroscopy.

  20. New developments in CVD diamond for detector applications

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; de Boer, W.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Dulinski, W.; Doroshenko, J.; van Eijk, B.; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K. K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K. T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J. L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented.

  1. New developments in CVD diamond for detector applications

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W. [HEPHY, Vienna (Austria); Berdermann, E. [GSI, Darmstadt (Germany); Bergonzo, P.; Brambilla, A. [LETI/DEIN/SPE/CEA Saclay (France); Boer, W. de [Universitaet Karlsruhe, Karlsruhe (Germany); Bogani, F. [LENS, Florence (Italy); Borchi, E.; Bruzzi, M. [University of Florence (Italy); Colledani, C.; Dulinski, W. [LEPSI, IN2P3/CNRS-ULP, Strasbourg (France); Conway, J.; Doroshenko, J. [Rutgers University, Piscataway (United States); D' Angelo, P.; Furetta, C. [INFN, Milano (Italy); Dabrowski, W. [UMM, Cracow (Poland); Delpierre, P.; Fallou, A. [CPPM, Marseille (France); Eijk, B. van [NIKHEF, Amsterdam (Netherlands); Fischer, P. [Universitaet Bonn, Bonn (Germany); Fizzotti, F. [University of Torino (Italy); Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    2004-07-01

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented. (orig.)

  2. New developments in CVD diamond for detector applications

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Brambilla, A.; Boer, W. de; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Dulinski, W.; Conway, J.; Doroshenko, J.; D'Angelo, P.; Furetta, C.; Dabrowski, W.; Delpierre, P.; Fallou, A.; Eijk, B. van; Fischer, P.; Fizzotti, F.; Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    2004-01-01

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented. (orig.)

  3. Status of the R and D activity on diamond particle detectors

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Bellini, B.; Berdermann, E.; Bergonzo, P.; Boer, W. de; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M. E-mail: bruzzi@fi.infn.it; Colledani, C.; Conway, J.; D' Angelo, P.; Dabrowski, W.; Delpierre, P.; Doroshenko, J.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Karl, C.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Perera, L.; Pernicka, M.; Polesello, P.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M

    2003-09-21

    Chemical Vapor Deposited (CVD) polycrystalline diamond has been proposed as a radiation-hard alternative to silicon in the extreme radiation levels occurring close to the interaction region of the Large Hadron Collider. Due to an intense research effort, reliable high-quality polycrystalline CVD diamond detectors, with up to 270 {mu}m charge collection distance and good spatial uniformity, are now available. The most recent progress on the diamond quality, on the development of diamond trackers and on radiation hardness studies are presented and discussed.

  4. Alpha particle response study of polycrstalline diamond radiation detector

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Amit; Topkar, Anita [Electronics Division, Bhabha Atomic Research Centre, Trombay, Mumbai-400085 (India)

    2016-05-23

    Chemical vapor deposition has opened the possibility to grow high purity synthetic diamond at relatively low cost. This has opened up uses of diamond based detectors for wide range of applications. These detectors are most suitable for harsh environments where standard semiconductor detectors cannot work. In this paper, we present the fabrication details and performance study of polycrystalline diamond based radiation detector. Effect of different operating parameters such as bias voltage and shaping time for charge collection on the performance of detector has been studied.

  5. Diamond nanostructured devices for chemical sensing applications

    OpenAIRE

    Ahmad, R. K.

    2011-01-01

    Research in the area of CVD single crystal diamond plates of which only recently has been made commercially available saw significant advancements during the last decade. In parallel to that, detonation nanodiamond (DND) particles also now widely made accessible for requisition are provoking a lot of scientific investigations. The remarkable properties of diamond including its extreme hardness, low coefficient of friction, chemical inertness, biocompatibility, high thermal c...

  6. Diamond-based electrodes for organic photovoltaic devices

    Czech Academy of Sciences Publication Activity Database

    Kovalenko, Alexander; Ashcheulov, Petr; Guerrero, A.; Heinrichová, P.; Fekete, Ladislav; Vala, M.; Weiter, M.; Kratochvílová, Irena; Garcia-Belmonte, G.

    2015-01-01

    Roč. 134, Mar (2015), s. 73-79 ISSN 0927-0248 R&D Projects: GA TA ČR TA04020156 Institutional support: RVO:68378271 Keywords : organic photovoltaic s * boron doped diamond * chemical vapor deposition Subject RIV: JI - Composite Materials Impact factor: 4.732, year: 2015

  7. Diamond bio electronics.

    Science.gov (United States)

    Linares, Robert; Doering, Patrick; Linares, Bryant

    2009-01-01

    The use of diamond for advanced applications has been the dream of mankind for centuries. Until recently this dream has been realized only in the use of diamond for gemstones and abrasive applications where tons of diamonds are used on an annual basis. Diamond is the material system of choice for many applications, but its use has historically been limited due to the small size, high cost, and inconsistent (and typically poor) quality of available diamond materials until recently. The recent development of high quality, single crystal diamond crystal growth via the Chemical Vapor Deposition (CVD) process has allowed physcists and increasingly scientists in the life science area to think beyond these limitations and envision how diamond may be used in advanced applications ranging from quantum computing, to power generation and molecular imaging, and eventually even diamond nano-bots. Because of diamond's unique properties as a bio-compatible material, better understanding of diamond's quantum effects and a convergence of mass production, semiconductor-like fabrication process, diamond now promises a unique and powerful key to the realization of the bio-electronic devices being envisioned for the new era of medical science. The combination of robust in-the-body diamond based sensors, coupled with smart bio-functionalized diamond devices may lead to diamond being the platform of choice for bio-electronics. This generation of diamond based bio-electronic devices would contribute substantially to ushering in a paradigm shift for medical science, leading to vastly improved patient diagnosis, decrease of drug development costs and risks, and improved effectiveness of drug delivery and gene therapy programs through better timed and more customized solutions.

  8. Thermal diffusivity of diamond films using a laser pulse technique

    International Nuclear Information System (INIS)

    Albin, S.; Winfree, W.P.; Crews, B.S.

    1990-01-01

    Polycrystalline diamond films were deposited using a microwave plasma-enhanced chemical vapor deposition process. A laser pulse technique was developed to measure the thermal diffusivity of diamond films deposited on a silicon substrate. The effective thermal diffusivity of a diamond film on silicon was measured by observing the phase and amplitude of the cyclic thermal waves generated by laser pulses. An analytical model is presented to calculate the effective inplane (face-parallel) diffusivity of a two-layer system. The model is used to reduce the effective thermal diffusivity of the diamond/silicon sample to a value for the thermal diffusivity and conductivity of the diamond film

  9. Surface smoothening effects on growth of diamond films

    Science.gov (United States)

    Reshi, Bilal Ahmad; Kumar, Shyam; Kartha, Moses J.; Varma, Raghava

    2018-04-01

    We have carried out a detailed study of the growth dynamics of the diamond film during initial time on diamond substrates. The diamond films are deposited using Microwave Plasma Chemical Vapor Deposition (MPCVD) method for different times. Surface morphology and its correlation with the number of hours of growth of thin films was invested using atomic force microscopy (AFM). Diamond films have smooth interface with average roughness of 48.6873nm. The initial growth dynamics of the thin film is investigated. Interestingly, it is found that there is a decrease in the surface roughness of the film. Thus a smoothening effect is observed in the grown films. The film enters into the growth regime in the later times. Our results also find application in building diamond detector.

  10. Tailoring nanocrystalline diamond coated on titanium for osteoblast adhesion.

    Science.gov (United States)

    Pareta, Rajesh; Yang, Lei; Kothari, Abhishek; Sirinrath, Sirivisoot; Xiao, Xingcheng; Sheldon, Brian W; Webster, Thomas J

    2010-10-01

    Diamond coatings with superior chemical stability, antiwear, and cytocompatibility properties have been considered for lengthening the lifetime of metallic orthopedic implants for over a decade. In this study, an attempt to tailor the surface properties of diamond films on titanium to promote osteoblast (bone forming cell) adhesion was reported. The surface properties investigated here included the size of diamond surface features, topography, wettability, and surface chemistry, all of which were controlled during microwave plasma enhanced chemical-vapor-deposition (MPCVD) processes using CH4-Ar-H2 gas mixtures. The hardness and elastic modulus of the diamond films were also determined. H2 concentration in the plasma was altered to control the crystallinity, grain size, and topography of the diamond coatings, and specific plasma gases (O2 and NH3) were introduced to change the surface chemistry of the diamond coatings. To understand the impact of the altered surface properties on osteoblast responses, cell adhesion tests were performed on the various diamond-coated titanium. The results revealed that nanocrystalline diamond (grain sizes diamond and, thus, should be further studied for improving orthopedic applications. Copyright 2010 Wiley Periodicals, Inc. J Biomed Mater Res Part A, 2010.

  11. Electron field emission for ultrananocrystalline diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Krauss, A. R.; Auciello, O.; Ding, M. Q.; Gruen, D. M.; Huang, Y.; Zhirnov, V. V.; Givargizov, E. I.; Breskin, A.; Chechen, R.; Shefer, E. (and others)

    2001-03-01

    Ultrananocrystalline diamond (UNCD) films 0.1--2.4 {mu}m thick were conformally deposited on sharp single Si microtip emitters, using microwave CH{sub 4}--Ar plasma-enhanced chemical vapor deposition in combination with a dielectrophoretic seeding process. Field-emission studies exhibited stable, extremely high (60--100 {mu}A/tip) emission current, with little variation in threshold fields as a function of film thickness or Si tip radius. The electron emission properties of high aspect ratio Si microtips, coated with diamond using the hot filament chemical vapor deposition (HFCVD) process were found to be very different from those of the UNCD-coated tips. For the HFCVD process, there is a strong dependence of the emission threshold on both the diamond coating thickness and Si tip radius. Quantum photoyield measurements of the UNCD films revealed that these films have an enhanced density of states within the bulk diamond band gap that is correlated with a reduction in the threshold field for electron emission. In addition, scanning tunneling microscopy studies indicate that the emission sites from UNCD films are related to minima or inflection points in the surface topography, and not to surface asperities. These data, in conjunction with tight binding pseudopotential calculations, indicate that grain boundaries play a critical role in the electron emission properties of UNCD films, such that these boundaries: (a) provide a conducting path from the substrate to the diamond--vacuum interface, (b) produce a geometric enhancement in the local electric field via internal structures, rather than surface topography, and (c) produce an enhancement in the local density of states within the bulk diamond band gap.

  12. Recent results on the development of radiation-hard diamond detectors

    CERN Document Server

    Conway, J S; Bauer, C; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Dabrowski, W; Da Graca, J; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Jamieson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Knöpfle, K T; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Pan, L S; Palmieri, V G; Pernicka, Manfred; Peitz, A; Pirollo, S; Plano, R; Polesello, P; Prawer, S; Pretzl, Klaus P; Procario, M; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Russ, J; Schnetzer, S; Sciortino, S; Somalwar, S V; Speziali, V; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R; Thomson, G B; Trawick, M; Trischuk, W; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; White, C; Ziock, H J; Zöller, M

    1999-01-01

    Charged particle detectors made from chemical vapor deposition (CVD) diamond have radiation hardness greatly exceeding that of silicon- based detectors. The CERN-based RD42 Collaboration has developed and tested CVD diamond microstrip and pixel detectors with an eye to their application in the intense radiation environment near the interaction region of hadron colliders. This paper presents recent results from tests of these detectors. (4 refs).

  13. Modified diamond electrodes for electrolysis and electroanalysis applications

    International Nuclear Information System (INIS)

    Einaga, Yasuaki; Sato, Rika; Olivia, Herlambang; Shin, Dongchan; Ivandini, T.A.; Fujishima, Akira

    2004-01-01

    The outstanding properties of diamond make it a very attractive material for use in many potential applications. In particular, the superior electrochemical properties of highly boron-doped conductive diamond films, prepared by the chemical vapor deposition (CVD) process, have received attention from electrochemists. This paper reports several diversified applications of boron-doped diamond electrodes; highly sensitive and interference-free microfiber electrodes with over-oxidized polypyrrole modification, integrated electrochemical detector for microchip capillary electrophoresis (CE), and smoothing treatments of micro-polycrystalline surface. Studies have been made of the electrochemical properties of each system and their application in electroanalysis is discussed

  14. Spatial distributions of H, CN, and C2 in a diamond growing oxyacetylene flame

    NARCIS (Netherlands)

    Klein-Douwel, R.J.H.; Meulen, ter J.J.

    1998-01-01

    Two-dimensional laser-induced fluorescence (2D-LIF) measurements are applied to the chemical vapor deposition (CVD) of diamond by an oxyacetylene flame to visualize the distributions of atomic hydrogen, C2, and CN in the gas phase during diamond growth. Experiments are carried out in laminar flames

  15. Polarized Raman spectroscopy of chemically vapour deposited diamond films

    International Nuclear Information System (INIS)

    Prawer, S.; Nugent, K.W.; Weiser, P.S.

    1994-01-01

    Polarized micro-Raman spectra of chemically vapour deposited diamond films are presented. It is shown that important parameters often extracted from the Raman spectra such as the ratio of the diamond to non-diamond component of the films and the estimation of the level of residual stress depend on the orientation of the diamond crystallites with respect to the polarization of the incident laser beam. The dependence originates from the fact that the Raman scattering from the non-diamond components in the films is almost completely depolarized whilst the scattering from the diamond components is strongly polarized. The results demonstrate the importance of taking polarization into account when attempting to use Raman spectroscopy in even a semi-quantitative fashion for the assessment of the purity, perfection and stress in CVD diamond films. 8 refs., 1 tab. 2 figs

  16. STRUCTURING OF DIAMOND FILMS USING MICROSPHERE LITHOGRAPHY

    Directory of Open Access Journals (Sweden)

    Mária Domonkos

    2014-10-01

    Full Text Available In this study, the structuring of micro- and nanocrystalline diamond thin films is demonstrated. The structuring of the diamond films is performed using the technique of microsphere lithography followed by reactive ion etching. Specifically, this paper presents a four-step fabrication process: diamond deposition (microwave plasma assisted chemical vapor deposition, mask preparation (by the standard Langmuir-Blodgett method, mask modification and diamond etching. A self-assembled monolayer of monodisperse polystyrene (PS microspheres with close-packed ordering is used as the primary template. Then the PS microspheres and the diamond films are processed in capacitively coupled radiofrequency plasma  using different plasma chemistries. This fabrication method illustrates the preparation of large arrays of periodic and homogeneous hillock-like structures. The surface morphology of processed diamond films is characterized by scanning electron microscopy and atomic force microscope. The potential applications of such diamond structures in various fields of nanotechnology are also briefly discussed.

  17. New luminescence lines in nanodiamonds obtained by chemical vapor deposition

    Science.gov (United States)

    Golubev, V. G.; Grudinkin, S. A.; Davydov, V. Yu.; Smirnov, A. N.; Feoktistov, N. A.

    2017-12-01

    The spectral characteristics of the photoluminescence lines detected for nanodiamonds obtained by the reactive ion etching of diamond particles in oxygen plasma, deposited by chemical vapor deposition on a silicon substrate, are studied. At room temperature, narrow lines are observed in the visible and infrared spectral regions, with a full width at half-maximum in the range of 1-2 nm at an almost complete absence of a broadband photoluminescence background signal. At decreasing temperature, the lines narrowed to 0.2-0.6 nm at T = 79 K, and the minimum line width was 0.055 nm at T = 10 K. With increasing temperature, the narrow lines shifted to the long-wavelength region of the spectrum, and their intensity decreased.

  18. Thin diamond films for tribological applications

    International Nuclear Information System (INIS)

    Wong, M.S.; Meilunas, R.; Ong, T.P.; Chang, R.P.H.

    1989-01-01

    Diamond films have been deposited on Si, Mo and many other substrates by microwave and radio frequency plasma enhanced chemical vapor deposition. Although the adhesion between the diamond film and most of the metal substrates is poor due to residual thermal stress from the mismatch of thermal expansion coefficients, the authors have developed processes to promote the growth of uniform and continuous diamond films with enhanced adhesion to metal substrates for tribological applications. The tribological properties of these films are measured using a ring-on-block tribotester. The coefficients of friction of diamond films sliding against a 52100 steel ring under the same experimental conditions are found to be significantly different depending on the morphology, grain size and roughness of the diamond films. However, under all cases tested, it is found that for uniform and continuous diamond films with small grain size of 1-3 micrometers, the coefficient of friction of the diamond film sliding against a steel ring under lubrication of a jet of mineral oil is about 0.04

  19. TL and OSL studies on undoped diamond films grown by hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Soni, Anuj, E-mail: anujsoni.phy@gmail.com [Radiological Physics and Advisory Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Choudhary, R.K. [Materials Processing Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Polymeris, G.S. [Ankara University, Institute of Nuclear Sciences (Turkey); Mishra, D.R. [Radiological Physics and Advisory Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Mishra, P. [Materials Processing Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Kulkarni, M.S. [Radiation Safety Systems Division, Bhabha Atomic Research Center, Mumbai 400 085 (India)

    2016-09-15

    In this work, approximately 0.5 µm thick diamond films were grown on a silicon substrate by hot filament chemical vapour deposition (HFCVD) method in a gas mixture of hydrogen and methane. The batch to batch reproducibility of the sample using this technique was found to be very good. The obtained film was characterized by micro laser Raman spectroscopy (MLRS), grazing incidence X-ray diffractometry (GIXRD), scanning electron microscopy (SEM) and atomic force miscroscopy (AFM) techniques. MLRS and GIXRD results confirmed the formation of diamond whereas SEM and AFM analyses indicated uniform morphology of the film with an average grain size of 200 nm. The deposited film was studied for ionizing radiation dosimetry applications using the thermoluminescence (TL) and optically stimulated luminescence (OSL) techniques after irradiating the film by a calibrated 5 mCi, {sup 90}Sr/{sup 90}Y beta source. In the TL measurement, for a heating rate of 4 K/s, broad glow curve was obtained which was deconvoluted into seven TL peaks. The integrated TL counts were found to vary linearly with increasing the radiation dose up to 10 kGy. The characteristic TL output seen in the temperature range 200–300 °C, may be considered good for thermal stability of the film and it could also avoid TL fading during storage and non-interference of any black body radiation during the measurement. However, in comparison to TL output, the OSL response for 470 nm LED stimulation was found to be lesser. The CW–OSL decay curve has shown two components contributing to the OSL signal, having photoionization cross-section 1.5×10{sup −18} and 5.2×10{sup −19} cm{sup 2} respectively. The studies have revealed the possibility of using diamond film for high dose radiation dosimetry with TL/OSL method.

  20. Radiation hard diamond sensors for future tracking applications

    International Nuclear Information System (INIS)

    Adam, W.; Boer, W. de; Borchi, E.

    2006-01-01

    Progress in experimental particle physics in the coming decade depends crucially upon the ability to carry out experiments in high-radiation areas. In order to perform these complex and expensive experiments, new radiation hard technologies must be developed. This paper discusses the use of diamond detectors in future tracking applications and their survivability in the highest radiation environments. We present results of devices constructed with the newest polycrystalline and single crystal Chemical Vapor Deposition diamond and their tolerance to radiation

  1. Vertically aligned carbon nanotubes/diamond double-layered structure for improved field electron emission stability

    Energy Technology Data Exchange (ETDEWEB)

    Yang, L., E-mail: qiaoqin.yang@mail.usask.ca; Yang, Q.; Zhang, C.; Li, Y.S.

    2013-12-31

    A double-layered nanostructure consisting of a layer of vertically aligned Carbon Nanotubes (CNTs) and a layer of diamond beneath has been synthesized on silicon substrate by Hot Filament Chemical Vapor Deposition. The synthesis was achieved by first depositing a layer of diamond on silicon and then depositing a top layer of vertically aligned CNTs by applying a negative bias on the substrate holder. The growth of CNTs was catalyzed by a thin layer of spin-coated iron nitride. The surface morphology and structure of the CNTs/diamond double-layered structure were characterized by Scanning Electron Microscope, Energy Dispersive X-ray spectrum, and Raman Spectroscopy. Their field electron emission (FEE) properties were measured by KEITHLEY 237 high voltage measurement unit, showing much higher FEE current stability than single layered CNTs. - Highlights: • A new double-layered nanostructure consisting of a layer of vertically aligned CNTs and a layer of diamond beneath has been synthesized by hot filament chemical vapor deposition. • This double-layered structure exhibits superior field electron emission stability. • The improvement of emission stability is due to the combination of the unique properties of diamond and CNTs.

  2. Response of CVD diamond detectors to alpha radiation

    Energy Technology Data Exchange (ETDEWEB)

    Souw, E.-K. [Brookhaven National Lab., Upton, NY (United States); Meilunas, R.J. [Northrop-Grumman Corporation, Bethpage, NY 11714-3582 (United States)

    1997-11-21

    This article describes some results from an experiment with CVD diamond films used as {alpha} particle detectors. It demonstrates that bulk polarization can be effectively stopped within a reasonable time interval. This will enable detector calibration and quantitative measurement. A possible mechanism for the observed polarization quenching is discussed. It involves two types of carrier traps and a tentative band-gap model derived from the results of photoconductive current measurements. The experiment was set up mainly to investigate {alpha} detection properties of polycrystalline diamond films grown by the technique of microwave plasma enhanced chemical vapor deposition. For comparison, two commercially purchased diamond wafers were also investigated, i.e., one grown by the DC arc jet method, and the other, a type-IIa natural diamond wafer (not preselected). The best response to {alpha} particles was obtained using diamond thin-films grown by the microwave PECVD method, followed by the type-IIa natural diamond, and finally, the CVD diamond grown by the DC arc jet technique. (orig.). 43 refs.

  3. CVD diamond deposition onto dental burs

    International Nuclear Information System (INIS)

    Ali, N.; Sein, H.

    2001-01-01

    A hot-filament chemical vapor deposition (HFCVD) system has been modified to enable non-planar substrates, such as metallic wires and dental burs, to be uniformly coated with thin polycrystalline diamond films. Initially, diamond deposition was carried out on titanium and tantalum wires in order to test and optimize the system. High growth rates of the order of approx. 8 /hr were obtained when depositing diamond on titanium wires using the vertical filament arrangement. However, lower growth rates of the order of 4-5meu m/hr were obtained with diamond deposition on tantalum wires. To extend the work towards a practical biomedical application tungsten carbide dental burs were coated with diamond films. The as-grown films were found to be polycrystalline and uniform over the cutting tip. Finally, the costs relating to diamond CVD onto dental burs have been presented in this paper. The costs relating to coating different number of burs at a time and the effect of film thickness on costs have been included in this investigation. (author)

  4. Infrared absorption study of hydrogen incorporation in thick nanocrystalline diamond films

    International Nuclear Information System (INIS)

    Tang, C.J.; Neves, A.J.; Carmo, M.C.

    2005-01-01

    We present an infrared (IR) optical absorbance study of hydrogen incorporation in nanocrystalline diamond films. The thick nanocrystalline diamond films were synthesized by microwave plasma-assisted chemical vapor deposition and a high growth rate about 3.0 μm/h was achieved. The morphology, phase quality, and hydrogen incorporation were assessed by means of scanning electron microscopy, Raman spectroscopy, and Fourier-transform infrared spectroscopy (FTIR). Large amount of hydrogen bonded to nanocrystalline diamond is clearly evidenced by the huge CH stretching band in the FTIR spectrum. The mechanism of hydrogen incorporation is discussed in light of the growth mechanism of nanocrystalline diamond. This suggests the potential of nanocrystalline diamond for IR electro-optical device applications

  5. Radiation monitoring with CVD diamonds and PIN diodes at BaBar

    Energy Technology Data Exchange (ETDEWEB)

    Bruinsma, M. [University of California Irvine, Irvine, CA 92697 (United States); Burchat, P. [Stanford University, Stanford, CA 94305-4060 (United States); Curry, S. [University of California Irvine, Irvine, CA 92697 (United States)], E-mail: scurry@slac.stanford.edu; Edwards, A.J. [Stanford University, Stanford, CA 94305-4060 (United States); Kagan, H.; Kass, R. [Ohio State University, Columbus, OH 43210 (United States); Kirkby, D. [University of California Irvine, Irvine, CA 92697 (United States); Majewski, S.; Petersen, B.A. [Stanford University, Stanford, CA 94305-4060 (United States)

    2007-12-11

    The BaBar experiment at the Stanford Linear Accelerator Center has been using two polycrystalline chemical vapor deposition (pCVD) diamonds and 12 silicon PIN diodes for radiation monitoring and protection of the Silicon Vertex Tracker (SVT). We have used the pCVD diamonds for more than 3 years, and the PIN diodes for 7 years. We will describe the SVT and SVT radiation monitoring system as well as the operational difficulties and radiation damage effects on the PIN diodes and pCVD diamonds in a high-energy physics environment.

  6. Application of diamond window for infrared laser diagnostics in a tokamak device

    International Nuclear Information System (INIS)

    Kawano, Yasunori; Chiba, Shinichi; Inoue, Akira

    2004-01-01

    Chemical vapor deposited diamond disks have been successfully applied as the vacuum windows for infrared CO 2 laser interferometry and polarimetry used in electron density measurement in the JT-60U tokamak. In comparison with the conventional zinc-selenide windows, the Faraday rotation component of diamond windows was negligible. This results in an improvement of the Faraday rotation measurement of tokamak plasma by polarimetry

  7. A 3D tomographic EBSD analysis of a CVD diamond thin film

    International Nuclear Information System (INIS)

    Liu Tao; Raabe, Dierk; Zaefferer, Stefan

    2008-01-01

    We have studied the nucleation and growth processes in a chemical vapor deposition (CVD) diamond film using a tomographic electron backscattering diffraction method (3D EBSD). The approach is based on the combination of a focused ion beam (FIB) unit for serial sectioning in conjunction with high-resolution EBSD. Individual diamond grains were investigated in 3-dimensions particularly with regard to the role of twinning.

  8. Optical characterization of single-crystal diamond grown by DC arc plasma jet CVD

    Science.gov (United States)

    Hei, Li-fu; Zhao, Yun; Wei, Jun-jun; Liu, Jin-long; Li, Cheng-ming; Lü, Fan-xiu

    2017-12-01

    Optical centers of single-crystal diamond grown by DC arc plasma jet chemical vapor deposition (CVD) were examined using a low-temperature photoluminescence (PL) technique. The results show that most of the nitrogen-vacancy (NV) complexes are present as NV- centers, although some H2 and H3 centers and B-aggregates are also present in the single-crystal diamond because of nitrogen aggregation resulting from high N2 incorporation and the high mobility of vacancies under growth temperatures of 950-1000°C. Furthermore, emissions of radiation-induced defects were also detected at 389, 467.5, 550, and 588.6 nm in the PL spectra. The reason for the formation of these radiation-induced defects is not clear. Although a Ni-based alloy was used during the diamond growth, Ni-related emissions were not detected in the PL spectra. In addition, the silicon-vacancy (Si-V)-related emission line at 737 nm, which has been observed in the spectra of many previously reported microwave plasma chemical vapor deposition (MPCVD) synthetic diamonds, was absent in the PL spectra of the single-crystal diamond prepared in this work. The high density of NV- centers, along with the absence of Ni-related defects and Si-V centers, makes the single-crystal diamond grown by DC arc plasma jet CVD a promising material for applications in quantum computing.

  9. High-Density Near-Field Readout Using Diamond Solid Immersion Lens

    Science.gov (United States)

    Shinoda, Masataka; Saito, Kimihiro; Kondo, Takao; Nakaoki, Ariyoshi; Furuki, Motohiro; Takeda, Minoru; Yamamoto, Masanobu; Schaich, Thomas J.; van Oerle, Bart M.; Godfried, Herman P.; Kriele, Paul A. C.; Houwman, Evert P.; Nelissen, Wim H. M.; Pels, Gert J.; Spaaij, Paul G. M.

    2006-02-01

    We investigated high-density near-field readout using a diamond solid immersion lens (SIL). A synthetic single-crystal chemical vapor deposition diamond provides a high refractive index and a high transmission for a wide wavelength range. Since the refractive index at a wavelength of 405 nm is 2.458, we could design a solid immersion lens with an effective numerical aperture of 2.34. Using the diamond SIL, we observed the eye pattern of a 150-GB-capacity (104.3 Gbit/in.2) disk with a track pitch of 130 nm and a bit length of 47.6 nm.

  10. Chemical vapour deposition synthetic diamond: materials, technology and applications

    International Nuclear Information System (INIS)

    Balmer, R S; Brandon, J R; Clewes, S L; Dhillon, H K; Dodson, J M; Friel, I; Inglis, P N; Madgwick, T D; Markham, M L; Mollart, T P; Perkins, N; Scarsbrook, G A; Twitchen, D J; Whitehead, A J; Wilman, J J; Woollard, S M

    2009-01-01

    Substantial developments have been achieved in the synthesis of chemical vapour deposition (CVD) diamond in recent years, providing engineers and designers with access to a large range of new diamond materials. CVD diamond has a number of outstanding material properties that can enable exceptional performance in applications as diverse as medical diagnostics, water treatment, radiation detection, high power electronics, consumer audio, magnetometry and novel lasers. Often the material is synthesized in planar form; however, non-planar geometries are also possible and enable a number of key applications. This paper reviews the material properties and characteristics of single crystal and polycrystalline CVD diamond, and how these can be utilized, focusing particularly on optics, electronics and electrochemistry. It also summarizes how CVD diamond can be tailored for specific applications, on the basis of the ability to synthesize a consistent and engineered high performance product.

  11. Thermoluminescent properties of CVD diamond: applications to ionising radiation dosimetry

    International Nuclear Information System (INIS)

    Petitfils, A.

    2007-09-01

    Remarkable properties of synthetic diamond (human soft tissue equivalence, chemical stability, non-toxicity) make this material suitable for medical application as thermoluminescent dosimeter (TLD). This work highlights the interest of this material as radiotherapy TLD. In the first stage of this work, we looked after thermoluminescent (TL) and dosimetric properties of polycrystalline diamond made by Chemically Vapor Deposited (CVD) synthesis. Dosimetric characteristics are satisfactory as TLD for medical application. Luminescence thermal quenching on diamond has been investigated. This phenomenon leads to a decrease of dosimetric TL peak sensitivity when the heating rate increases. The second part of this work analyses the use of synthetic diamond as TLD in radiotherapy. Dose profiles, depth dose distributions and the cartography of an electron beam obtained with our samples are in very good agreement with results from an ionisation chamber. It is clearly shown that CVD) diamond is of interest to check beams of treatment accelerators. The use of these samples in a control of treatment with Intensity Modulated Radiation Therapy underlines good response of synthetic diamond in high dose gradient areas. These results indicate that CVD diamond is a promising material for radiotherapy dosimetry. (author)

  12. Recent results with CVD diamond trackers

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; White, C.; Ziock, H.; Zoeller, M

    1999-08-01

    We present recent results on the use of Chemical Vapor Deposition (CVD) diamond microstrip detectors for charged particle tracking. A series of detectors was fabricated using 1 x 1 cm{sup 2} diamonds. Good signal-to-noise ratios were observed using both slow and fast readout electronics. For slow readout electronics, 2 {mu}s shaping time, the most probable signal-to-noise ratio was 50 to 1. For fast readout electronics, 25 ns peaking time, the most probable signal-to-noise ratio was 7 to 1. Using the first 2 x 4 cm{sup 2} diamond from a production CVD reactor with slow readout electronics, the most probable signal-to-noise ratio was 23 to 1. The spatial resolution achieved for the detectors was consistent with the digital resolution expected from the detector pitch.

  13. Recent results with CVD diamond trackers

    CERN Document Server

    Adam, W; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Knöpfle, K T; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Pan, L S; Palmieri, V G; Pernicka, Manfred; Peitz, A; Pirollo, S; Polesello, P; Pretzl, Klaus P; Procario, M; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Runólfsson, O; Russ, J; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trawick, M L; Trischuk, W; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; White, C; Ziock, H J; Zöller, M

    1999-01-01

    We present recent results on the use of chemical vapor deposition (CVD) diamond microstrip detectors for charged particle tracking. A series of detectors was fabricated using 1*1 cm/sup 2/ diamonds. Good signal-to-noise ratios were observed using both slow and fast readout electronics. For slow readout electronics, 2 mu s shaping time, the most probable signal-to-noise ratio was 50 to 1. For fast readout electronics, 25 ns peaking time, the most probable signal-to-noise ratio was 7 to 1. Using the first 2*4 cm/sup 2/ diamond from a production CVD reactor with slow readout electronics, the most probable signal-to-noise ratio was 23 to 1. The spatial resolution achieved for the detectors was consistent with the digital resolution expected from the detector pitch. (6 refs).

  14. Photoluminescent properties of single crystal diamond microneedles

    Science.gov (United States)

    Malykhin, Sergey A.; Ismagilov, Rinat R.; Tuyakova, Feruza T.; Obraztsova, Ekaterina A.; Fedotov, Pavel V.; Ermakova, Anna; Siyushev, Petr; Katamadze, Konstantin G.; Jelezko, Fedor; Rakovich, Yury P.; Obraztsov, Alexander N.

    2018-01-01

    Single crystal needle-like diamonds shaped as rectangular pyramids were produced by combination of chemical vapor deposition and selective oxidation with dimensions and geometrical characteristics depending on the deposition process parameters. Photoluminescence spectra and their dependencies on wavelength of excitation radiation reveal presence of nitrogen- and silicon-vacancy color centers in the diamond crystallites. Photoluminescence spectra, intensity mapping, and fluorescence lifetime imaging microscopy indicate that silicon-vacancy centers are concentrated at the crystallites apex while nitrogen-vacancy centers are distributed over the whole crystallite. Dependence of the photoluminescence on excitation radiation intensity demonstrates saturation and allows estimation of the color centers density. The combination of structural parameters, geometry and photoluminescent characteristics are prospective for advantageous applications of these diamond crystallites in quantum information processing and optical sensing.

  15. Thick Nano-Crystalline Diamond films for fusion applications

    Energy Technology Data Exchange (ETDEWEB)

    Dawedeit, Christoph [Technical Univ. of Munich (Germany)

    2010-06-30

    This Diplomarbeit deals with the characterization of 9 differently grown diamond samples. Several techniques were used to determine the quality of these specimens for inertial confinement fusion targets. The quality of chemical vapor deposition diamond is usually considered in terms of the proportion of sp3-bonded carbon to sp2-bonded carbon in the sample. For fusion targets smoothness, Hydrogen content and density of the diamonds are further important characteristics. These characteristics are analyzed in this thesis. The research for thesis was done at Lawrence Livermore National Laboratory in collaboration with the Fraunhofer Institut für angewandte Festkörperphysik Freiburg, Germany. Additionally the Lehrstuhl fuer Nukleartechnik at Technical University of Germany supported the work.

  16. Selective formation of diamond-like carbon coating by surface catalyst patterning

    DEFF Research Database (Denmark)

    Palnichenko, A.V.; Mátéfi-Tempfli, M.; Mátéfi-Tempfli, Stefan

    2004-01-01

    The selective formation of diamond-like carbon coating by surface catalyst patterning was studied. DLC films was deposited using plasma enhanced chemical vapor deposition, filtered vacuum arc deposition, laser ablation, magnetron sputtering and ion-beam lithography methods. The DLC coatings were...

  17. The characteristics of ESR and 3-D TL spectra of diamonds

    International Nuclear Information System (INIS)

    Liu Shunsheng; Lu Xu; Fu Huifang

    2003-01-01

    Electron Spin Resonance (ESR) and 3-dimensional Thermoluminescence (3-D TL) spectra of natural diamond, high temperature-high pressure artificial diamond and high temperature-low pressure chemical vapor deposited (CVD) diamond were determined. The characteristics of spectra have been studied. It is found that isolated nitrogen, nitrogen exchange pair and nitrogen atom pair (S=1) are main forms of electron spin resonance nitrogen in natural and high temperature-high pressure artificial diamonds. The spectrum of CVD diamond is sampler, and contains only one peak caused by suspended bond of unsaturated carbon ones. For 3-D TL spectra, natural diamond has two peaks (∼370 nm and ∼510 nm) in 100-200 degree C temperature range, high temperature-high pressure artificial diamond only has ∼370 nm peak, and CVD diamond only has ∼500 nm peak. These characteristics would be useful for the quality inspection and classification of diamonds, as well as for the study of geologic actions associated with natural diamond

  18. D.C. Arcjet Diamond Deposition

    Science.gov (United States)

    Russell, Derrek Andrew

    1995-01-01

    Polycrystalline diamond films synthesized by a D.C. (direct current) arcjet device was reported for the first time in 1988. This device is capable of higher diamond growth rates than any other form of diamond CVD (chemical vapor deposition) process due to its inherent versatility with regard to the enthalpy and fluid properties of the diamond-depositing vapor. Unfortunately, the versatility of this type of device is contrasted by many difficulties such as arc stability and large heat fluxes which make applying it toward diamond deposition a difficult problem. The purpose of this work was to convert the dc arcjet, which is primarily a metallurgical device, into a commercially viable diamond CVD process. The project was divided into two parts: process development and diagnostics. The process development effort concentrated on the certain engineering challenges. Among these was a novel arcjet design that allowed the carbon-source gas to be injected downstream of the tungsten cathode while still facilitating mixture with the main gas feed. Another engineering accomplishment was the incorporation of a water -cooled substrate cooler/spinner that maintained the substrate at the proper temperature, provided the substrate with a large thermal time constant to reduce thermal shock of the diamond film, and enabled the system to achieve a four -inch diameter growth area. The process diagnostics effort concentrated on measurements aimed at developing a fundamental understanding of the properties of the plasma jet such as temperature, plasma density, Mach number, pressure at the substrate, etc. The plasma temperature was determined to be 5195 K by measuring the rotational temperature of C _2 via optical emission spectroscopy. The Mach number of the plasma jet was determined to be ~6.0 as determined by the ratio of the stagnation pressures before and after the shock wave in the plasma jet. The C_2 concentration in the plasma jet was determined to be {~10 }^{12} cm^ {-3} by

  19. A 3D tomographic EBSD analysis of a CVD diamond thin film

    Directory of Open Access Journals (Sweden)

    Tao Liu, Dierk Raabe and Stefan Zaefferer

    2008-01-01

    Full Text Available We have studied the nucleation and growth processes in a chemical vapor deposition (CVD diamond film using a tomographic electron backscattering diffraction method (3D EBSD. The approach is based on the combination of a focused ion beam (FIB unit for serial sectioning in conjunction with high-resolution EBSD. Individual diamond grains were investigated in 3-dimensions particularly with regard to the role of twinning.

  20. Tribological Characteristics and Applications of Superhard Coatings: CVD Diamond, DLC, and c-BN

    Science.gov (United States)

    Miyoshi, Kazuhisa; Murakawa, Masao; Watanabe, Shuichi; Takeuchi, Sadao; Wu, Richard L. C.

    1999-01-01

    Results of fundamental research on the tribological properties of chemical-vapor-deposited (CVD) diamond, diamondlike carbon, and cubic boron nitride films in sliding contact with CVD diamond in ultrahigh vacuum, dry nitrogen, humid air, and water are discussed. Furthermore, the actual and potential applications of the three different superhard coatings in the field of tribology technology, particularly for wear parts and tools, are reviewed.

  1. Design and investigation of properties of nanocrystalline diamond optical planar waveguides.

    Science.gov (United States)

    Prajzler, Vaclav; Varga, Marian; Nekvindova, Pavla; Remes, Zdenek; Kromka, Alexander

    2013-04-08

    Diamond thin films have remarkable properties comparable with natural diamond. Because of these properties it is a very promising material for many various applications (sensors, heat sink, optical mirrors, chemical and radiation wear, cold cathodes, tissue engineering, etc.) In this paper we report about design, deposition and measurement of properties of optical planar waveguides fabricated from nanocrystalline diamond thin films. The nanocrystalline diamond planar waveguide was deposited by microwave plasma enhanced chemical vapor deposition and the structure of the deposited film was studied by scanning electron microscopy and Raman spectroscopy. The design of the presented planar waveguides was realized on the bases of modified dispersion equation and was schemed for 632.8 nm, 964 nm, 1 310 nm and 1 550 nm wavelengths. Waveguiding properties were examined by prism coupling technique and it was found that the diamond based planar optical element guided one fundamental mode for all measured wavelengths. Values of the refractive indices of our NCD thin film measured at various wavelengths were almost the same as those of natural diamond.

  2. Study of hard diamond-like carbon films deposited in an inductively coupled plasma source

    International Nuclear Information System (INIS)

    Yu Shiji; Ma Tengcai

    2003-01-01

    Chemical vapor deposition of the hard diamond-like carbon (DLC) films was achieved using an inductively coupled plasma source (ICPS). The microscopy, microhardness, deposition rate and structure characteristic of the DLC films were analyzed. It is shown that the ICPS is suitable for the hard DLC film deposition at relatively low substrate negative bias voltage, and the substrate negative bias voltage greatly affects chemical vapor deposition of the DLC film and its quality

  3. Growing of synthetic diamond boron-doped films for analytical applications

    International Nuclear Information System (INIS)

    Barros, Rita de Cassia Mendes de; Suarez-Iha, Maria Encarnacion Vazquez; Corat, Evaldo Jose; Iha, Koshun

    1999-01-01

    Chemical vapor deposition (CVD) technology affords the possibility of producing synthetic diamond film electrodes, with several advantageous properties due the unique characteristics of diamond. In this work, we present the study of boron-doped diamond films growth on molybdenum and silicon substrates, using boron trioxide as dopant in a filament assisted CVD reactor. The objective was to obtain semiconductor diamond for use as electrode. The samples were characterized by scanning electron microscopy and Raman spectroscopy to confirm morphology and doping levels. We have assembled electrodes with the various samples, Pt, Mo, Si and diamond, by utilizing brass and left as base materials. The electrodes were tested in neutralization potentiometric titrations for future use in electroanalysis. Boron-doped electrodes have very good performance compared with Pt, widely used in analytical chemistry. (author)

  4. HANFORD CHEMICAL VAPORS WORKER CONCERNS and EXPOSURE EVALUATION

    International Nuclear Information System (INIS)

    ANDERSON, T.J.

    2006-01-01

    Chemical vapor emissions from underground hazardous waste storage tanks on the Hanford site in eastern Washington State are a potential concern because workers enter the tank farms on a regular basis for waste retrievals, equipment maintenance, and surveillance. Tank farm contractors are in the process of retrieving all remaining waste from aging single-shell tanks, some of which date to World War II, and transferring it to newer double-shell tanks. During the waste retrieval process, tank farm workers are potentially exposed to fugitive chemical vapors that can escape from tank headspaces and other emission points. The tanks are known to hold more than 1,500 different species of chemicals, in addition to radionuclides. Exposure assessments have fully characterized the hazards from chemical vapors in half of the tank farms. Extensive sampling and analysis has been done to characterize the chemical properties of hazardous waste and to evaluate potential health hazards of vapors at the ground surface, where workers perform maintenance and waste transfer activities. Worker concerns. risk communication, and exposure assessment are discussed, including evaluation of the potential hazards of complex mixtures of chemical vapors. Concentrations of vapors above occupational exposure limits-(OEL) were detected only at exhaust stacks and passive breather filter outlets. Beyond five feet from the sources, vapors disperse rapidly. No vapors have been measured above 50% of their OELs more than five feet from the source. Vapor controls are focused on limited hazard zones around sources. Further evaluations of vapors include analysis of routes of exposure and thorough analysis of nuisance odors

  5. Influence of boron concentration on growth characteristic and electro-catalytic performance of boron-doped diamond electrodes prepared by direct current plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Feng Yujie; Lv Jiangwei; Liu Junfeng; Gao Na; Peng Hongyan; Chen Yuqiang

    2011-01-01

    A series of boron-doped diamond (BDD) electrodes were prepared by direct current plasma chemical vapor deposition (DC-PCVD) with different compositions of CH 4 /H 2 /B(OCH 3 ) 3 gas mixture. A maximum growth rate of 0.65 mg cm -2 h -1 was obtained with CH 4 /H 2 /B(OCH 3 ) 3 radio of 4/190/10 and this growth condition was also a turning point for discharge plasma stability which arose from the addition of B(OCH 3 ) 3 that changed electron energy distribution and influenced the plasma reaction. The surface coating structure and electro-catalytic performance of the BDD electrodes were characterized by scanning electron microscopy (SEM), X-ray diffraction (XRD), Raman spectroscopy, Hall test, and electrochemical measurement and electro-catalytic oxidation in phenol solution. It is suggested that the boron doping level and the thermal stress in the films are the main factors affecting the electro-catalytic characteristics of the electrodes. Low boron doping level with CH 4 /H 2 /B(OCH 3 ) 3 ratio of 4/199/1 decreased the films electrical conductivity and its electro-catalytic activity. When the carrier concentration in the films reached around 10 20 cm -3 with CH 4 /H 2 /B(OCH 3 ) 3 ratio over a range of 4/195/5-4/185/15, the thermal stress in the films was the key reason that influenced the electro-catalytic activity of the electrodes for its effect on diamond lattice expansion. Therefore, the BDD electrode with modest CH 4 /H 2 /B(OCH 3 ) 3 ratio of 4/190/10 possessed the best phenol removal efficiency.

  6. CVD diamond substrates for electronic devices

    International Nuclear Information System (INIS)

    Holzer, H.

    1996-03-01

    In this study the applicability of chemical vapor deposition (CVD) diamond as a material for heat spreaders was investigated. Economical evaluations on the production of heat spreaders were also performed. For the diamond synthesis the hot-filament and microwave method were used respectively. The deposition parameters were varied in a way that free standing diamond layers with a thickness of 80 to 750 microns and different qualities were obtained. The influence of the deposition parameters on the relevant film properties was investigated and discussed. With both the hot-filament and microwave method it was possible to deposit diamond layers having a thermal conductivity exceeding 1200 W/mK and therefore to reach the quality level for commercial uses. The electrical resistivity was greater than 10 12 Ωcm. The investigation of the optical properties was done by Raman-, IR- and cathodoluminescence spectroscopy. Because of future applications of diamond-aluminium nitride composites as highly efficient heat spreaders diamond deposition an AIN was investigated. An improved substrate pretreatment prior to diamond deposition showed promising results for better performance of such composite heat spreaders. Both free standing layers and diamond-AIN composites could be cut by a CO2 Laser in Order to get an exact size geometry. A reduction of the diamond surface roughness was achieved by etching with manganese powder or cerium. (author)

  7. Chemically vapor deposited diamond films as dosimetric material for potential clinical applications

    Directory of Open Access Journals (Sweden)

    Kabacińska Renata

    2018-03-01

    Full Text Available Thermally stimulated luminescence (TL, cathodoluminescence (CL and Raman spectroscopy of CVD diamond films grown on silicon substrates have been studied in order to obtain information on defects created during the growth, which induce the levels within the gap. TL between 300 K and 700 K, and CL from 200 nm to 1200 nm have been teasured. The glow curves show a peak located around 610 K with different intensities, depending on the sample thickness, associated with a trap of energy, equal to 0.83 eV and with attempt-to-escape-time of the order of 108 s-1. Broad CL bands observed at 428±1 nm (2.90 ±0.01 eV and 500±1 nm (2.47±0.004 eV are attributed to closely spaced and widely separated donor-acceptor (D-A pairs, respectively. The TL and CL results were correlated with diamond quality estimated from Raman spectroscopy measurements.

  8. High speed dry machining of MMCs with diamond tools

    International Nuclear Information System (INIS)

    Collins, J.L.

    2001-01-01

    The increasing use of metal matrix composites (MMCs) has raised new issues in their machining. Industrial demands for higher speed and dry machining of MMCs with improved component production to closer tolerances have driven the development of new tool materials. In particular, the wear characteristics of synthetic diamond tooling satisfy many of the requirements imposed in cutting these highly abrasive workpieces. The use of diamond tool materials, such as polycrystalline diamond (PCD), has resulted in tool life improvements which, allied with environmental considerations, show great potential for the development of dry cutting. This paper explores the wear characteristics of PCD, which is highly suited to the dry machining of particulate silicon carbide MMCs. Also, two further diamond tool materials are evaluated - chemical vapor deposition (CVD) thick layer diamond and synthetic single crystal diamond. Their suitability for the efficient machining of high volume fraction MMC materials is shown and their potential impact an the subsequent acceptance and integration of MMCs into engineering components is discussed. (author)

  9. A wear simulation study of nanostructured CVD diamond-on-diamond articulation involving concave/convex mating surfaces

    Science.gov (United States)

    Baker, Paul A.; Thompson, Raymond G.; Catledge, Shane A.

    2015-01-01

    Using microwave-plasma Chemical Vapor Deposition (CVD), a 3-micron thick nanostructured-diamond (NSD) layer was deposited onto polished, convex and concave components that were machined from Ti-6Al-4V alloy. These components had the same radius of curvature, 25.4mm. Wear testing of the surfaces was performed by rotating articulation of the diamond-deposited surfaces (diamond-on-diamond) with a load of 225N for a total of 5 million cycles in bovine serum resulting in polishing of the diamond surface and formation of very shallow, linear wear grooves of less than 50nm depth. The two diamond surfaces remained adhered to the components and polished each other to an average surface roughness that was reduced by as much as a factor of 80 for the most polished region located at the center of the condyle. Imaging of the surfaces showed that the initial wearing-in phase of diamond was only beginning at the end of the 5 million cycles. Atomic force microscopy, scanning electron microscopy, Raman spectroscopy, and surface profilometry were used to characterize the surfaces and verify that the diamond remained intact and uniform over the surface, thereby protecting the underlying metal. These wear simulation results show that diamond deposition on Ti alloy has potential application for joint replacement devices with improved longevity over existing devices made of cobalt chrome and ultra-high molecular weight polyethylene (UHMWPE). PMID:26989457

  10. Boron-doped diamond electrode: synthesis, characterization, functionalization and analytical applications.

    Science.gov (United States)

    Luong, John H T; Male, Keith B; Glennon, Jeremy D

    2009-10-01

    In recent years, conductive diamond electrodes for electrochemical applications have been a major focus of research and development. The impetus behind such endeavors could be attributed to their wide potential window, low background current, chemical inertness, and mechanical durability. Several analytes can be oxidized by conducting diamond compared to other carbon-based materials before the breakdown of water in aqueous electrolytes. This is important for detecting and/or identifying species in solution since oxygen and hydrogen evolution do not interfere with the analysis. Thus, conductive diamond electrodes take electrochemical detection into new areas and extend their usefulness to analytes which are not feasible with conventional electrode materials. Different types of diamond electrodes, polycrystalline, microcrystalline, nanocrystalline and ultrananocrystalline, have been synthesized and characterized. Of particular interest is the synthesis of boron-doped diamond (BDD) films by chemical vapor deposition on various substrates. In the tetrahedral diamond lattice, each carbon atom is covalently bonded to its neighbors forming an extremely robust crystalline structure. Some carbon atoms in the lattice are substituted with boron to provide electrical conductivity. Modification strategies of doped diamond electrodes with metallic nanoparticles and/or electropolymerized films are of importance to impart novel characteristics or to improve the performance of diamond electrodes. Biofunctionalization of diamond films is also feasible to foster several useful bioanalytical applications. A plethora of opportunities for nanoscale analytical devices based on conducting diamond is anticipated in the very near future.

  11. THIN DIAMOND FILMS FOR SNS H INJECTIONS STRIPPING

    International Nuclear Information System (INIS)

    SHAW, R.W.; HERR, A.D.; FEIGERLE, C.S.; CUTLER, R.J.; LIAW, C.J.; LEE, Y.Y.

    2004-01-01

    We have investigated the preparation and testing of thin diamond foils for use in stripping the SNS H - Linac beam. A long useful lifetime for these foils is desirable to improve operational efficiency. Preliminary data presented at PAC 2001 indicated that diamond foils were superior to conventional evaporated carbon foils, exhibiting lifetimes approximately five-fold longer [1]. That work employed a fully supported diamond foil, a format that is not acceptable for the SNS application; at least two edges of the approximately 1 x 1 cm foils must be free standing to allow for beam rastering. Residual stress in a chemical vapor deposited (CVD) diamond foil results in film distortion (scrolling) when the film is released from its silicon growth substrate. We have attacked this problem by initially patterning the surface of CVD growth substrates with a 50 or 100 line/inch trapezoidal grating, followed by conformal diamond film growth on the patterned substrate. Then removal of the substrate by chemical etching produced a foil that possessed improved mechanical integrity due to its corrugation. The high nucleation density required to grow continuous, pinhole free diamond foils of the desired thickness (1 (micro)m, 350 (micro)g/cm 2 ) was achieved by a combination of substrate surface scratching and seeding. A variety of diamond foils have been tested using the BNL 750 keV Radio Frequency Quadrupole H - beam to simulate energy loss in the SNS. Those include flat, corrugated, microcrystalline, and nanocrystalline foils. Foil lifetimes are reported

  12. Polycrystalline diamond detectors with three-dimensional electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Lagomarsino, S., E-mail: lagomarsino@fi.infn.it [University of Florence, Department of Physics, Via Sansone 1, 50019 Sesto Fiorentino (Italy); INFN Firenze, Via B. Rossi 1, 50019 Sesto Fiorentino (Italy); Bellini, M. [INO-CNR Firenze, Largo E. Fermi 6, 50125 Firenze (Italy); Brianzi, M. [INFN Firenze, Via B. Rossi 1, 50019 Sesto Fiorentino (Italy); Carzino, R. [Smart Materials-Nanophysics, Istituto Italiano di Tecnologia, Genova, Via Morego 30, 16163 Genova (Italy); Cindro, V. [Joseph Stefan Institute, Jamova Cesta 39, 1000 Ljubljana (Slovenia); Corsi, C. [University of Florence, Department of Physics, Via Sansone 1, 50019 Sesto Fiorentino (Italy); LENS Firenze, Via N. Carrara 1, 50019 Sesto Fiorentino (Italy); Morozzi, A.; Passeri, D. [INFN Perugia, Perugia (Italy); Università degli Studi di Perugia, Dipartimento di Ingegneria, via G. Duranti 93, 06125 Perugia (Italy); Sciortino, S. [University of Florence, Department of Physics, Via Sansone 1, 50019 Sesto Fiorentino (Italy); INFN Firenze, Via B. Rossi 1, 50019 Sesto Fiorentino (Italy); Servoli, L. [INFN Perugia, Perugia (Italy)

    2015-10-01

    The three-dimensional concept in diamond detectors has been applied, so far, to high quality single-crystal material, in order to test this technology in the best available conditions. However, its application to polycrystalline chemical vapor deposited diamond could be desirable for two reasons: first, the short inter-electrode distance of three-dimensional detectors should improve the intrinsically lower collection efficiency of polycrystalline diamond, and second, at high levels of radiation damage the performances of the poly-crystal material are not expected to be much lower than those of the single crystal one. We report on the fabrication and test of three-dimensional polycrystalline diamond detectors with several inter-electrode distances, and we demonstrate that their collection efficiency is equal or higher than that obtained with conventional planar detectors fabricated with the same material. - Highlights: • Pulsed laser fabrication of polycristalline diamond detectors with 3D electrodes. • Measurement of the charge collection efficiency (CCE) under beta irradiation. • Comparation between the CCE of 3D and conventional planar diamond sensors. • A rationale for the behavior of three-dimensional and planar sensors is given.

  13. Rapid growth of diamond-like-carbon films by copper vapor laser ablation

    International Nuclear Information System (INIS)

    McLean, W.; Warner, B.E.; Havstad, M.A.

    1995-04-01

    Visible light from a copper vapor laser (CVL) operating with 510 and 578 nm radiation (intensity ratio approximately 2:1), an average power of 100 W, a pulse duration of 50 ns, and a repetition frequency of 4.4 kHz has been shown to produce high quality diamond-like-carbon (DLC) films at fluences between 2x10 8 and 5x10 10 W/cm 2 . Maximum deposition rates of 2000 μm·cm 2 /h were obtained at 5x10 8 W/cm 2 . DLC films with hardness values of approximately 60 GPa were characterized by a variety of techniques to confirm DLC character, hydrogen content, and surface morphology. The presence of C 2 in the vapor plume was confirmed by the presence of the C 2 Swan bands in emission spectra obtained during the process. Economic implications of process scale-up to industrially meaningful component sizes are presented

  14. Selective data analysis for diamond detectors in neutron fields

    Directory of Open Access Journals (Sweden)

    Weiss Christina

    2017-01-01

    Full Text Available Detectors based on synthetic chemical vapor deposition diamond gain importance in various neutron applications. The superior thermal robustness and the excellent radiation hardness of diamond as well as its excellent electronic properties make this material uniquely suited for rough environments, such as nuclear fission and fusion reactors. The intrinsic electronic properties of single-crystal diamond sensors allow distinguishing various interactions in the detector. This can be used to successfully suppress background of γ-rays and charged particles in different neutron experiments, such as neutron flux measurements in thermal nuclear reactors or cross-section measurements in fast neutron fields. A novel technique of distinguishing background reactions in neutron experiments with diamond detectors will be presented. A proof of principle will be given on the basis of experimental results in thermal and fast neutron fields.

  15. Bone repair after osteotomy with diamond burs and CVD ultrasonic tips – histological study in rats

    OpenAIRE

    Matuda, Fábio S.; Pagani, Clovis; Miranda, Carolina B.; Crema, Aline A. S.; Brentel, Aline S.; Carvalho, Yasmin R.

    2010-01-01

    This study histologically evaluated the behavior of bone tissue of rats submitted to osteotomy with conventional diamond burs in high speed and a new ultrasonic diamond tips system (CVD – Chemical Vapor Deposition), at different study periods. The study was conducted on 24 Wistar rats. Osteotomy was performed on the posterior paws of each rat, with utilization of diamond burs in high speed under thorough water cooling at the right paw, and CVD tips at the left paw. Animals were killed a...

  16. Microcontact printing of monodiamond nanoparticles: an effective route to patterned diamond structure fabrication.

    Science.gov (United States)

    Zhuang, Hao; Song, Bo; Staedler, Thorsten; Jiang, Xin

    2011-10-04

    By combining microcontact printing with a nanodiamond seeding technique, a precise micrometer-sized chemical vapor deposition (CVD) diamond pattern have been obtained. On the basis of the guidance of basic theoretical calculations, monodisperse detonation nanodiamonds (DNDs) were chosen as an "ink" material and oxidized poly(dimethylsiloxane) (PDMS) was selected to serve as a stamp because it features a higher interaction energy with the DNDs compared to that of the original PDMS. The adsorption kinetics shows an approximately exponential law with a maximum surface DND density of 3.4 × 10(10) cm(-2) after 20 min. To achieve a high transfer ratio of DNDs from the PDMS stamp to a silicon surface, a thin layer of poly(methyl methacrylate) (PMMA) was spin coated onto the substrates. A microwave plasma chemical vapor deposition system was used to synthesize the CVD diamond on the seeded substrate areas. Precise diamond patterns with a low expansion ratio (3.6%) were successfully prepared after 1.5 h of deposition. Further increases in the deposition time typically lead to a high expansion rate (∼0.8 μm/h). The general pattern shape, however, did not show any significant change. Compared with conventional diamond pattern deposition methods, the technique described here offers the advantages of being simple, inexpensive, damage-free, and highly compatible, rendering it attractive for a broad variety of industrial applications. © 2011 American Chemical Society

  17. Radiation hardness of a single crystal CVD diamond detector for MeV energy protons

    Energy Technology Data Exchange (ETDEWEB)

    Sato, Yuki, E-mail: y.sato@riken.jp [The Institute of Physical and Chemical Research (RIKEN), 2-1 Hirosawa, Wako, Saitama 351-0198 (Japan); Shimaoka, Takehiro; Kaneko, Junichi H. [Graduate School of Engineering, Hokkaido University, N13, W8, Sapporo 060-8628 (Japan); Murakami, Hiroyuki [The Institute of Physical and Chemical Research (RIKEN), 2-1 Hirosawa, Wako, Saitama 351-0198 (Japan); Isobe, Mitsutaka; Osakabe, Masaki [National Institute for Fusion Science, 322-6, Oroshi-cho Toki-city, Gifu 509-5292 (Japan); Tsubota, Masakatsu [Graduate School of Engineering, Hokkaido University, N13, W8, Sapporo 060-8628 (Japan); Ochiai, Kentaro [Fusion Research and Development Directorate, Japan Atomic Energy Agency, Tokai-mura, Naka-gun, Ibaraki 319-1195 (Japan); Chayahara, Akiyoshi; Umezawa, Hitoshi; Shikata, Shinichi [National Institute of Advanced Industrial Science and Technology (AIST), 1-8-31 Midorigaoka, Ikeda, Osaka 563-8577 (Japan)

    2015-06-01

    We have fabricated a particle detector using single crystal diamond grown by chemical vapor deposition. The irradiation dose dependence of the output pulse height from the diamond detector was measured using 3 MeV protons. The pulse height of the output signals from the diamond detector decreases as the amount of irradiation increases at count rates of 1.6–8.9 kcps because of polarization effects inside the diamond crystal. The polarization effect can be cancelled by applying a reverse bias voltage, which restores the pulse heights. Additionally, the radiation hardness performance for MeV energy protons was compared with that of a silicon surface barrier detector.

  18. Zero bias thermally stimulated currents in synthetic diamond

    Science.gov (United States)

    Mori, R.; Miglio, S.; Bruzzi, M.; Bogani, F.; De Sio, A.; Pace, E.

    2009-06-01

    Zero bias thermally stimulated currents (ZBTSCs) have been observed in single crystal high pressure high temperature (HPHT) and polycrystalline chemical vapor deposited (pCVD) diamond films. The ZBTSC technique is characterized by an increased sensitivity with respect to a standard TSC analysis. Due to the absence of the thermally activated background current, new TSC peaks have been observed in both HPHT and pCVD diamond films, related to shallow activation energies usually obscured by the emission of the dominant impurities. The ZBTSC peaks are explained in terms of defect discharge in the nonequilibrium potential distribution created by a nonuniform traps filling at the metal-diamond junctions. The electric field due to the charged defects has been estimated in a quasizero bias TSC experiment by applying an external bias.

  19. DuPont Chemical Vapor Technical Report

    International Nuclear Information System (INIS)

    MOORE, T.L.

    2003-01-01

    DuPont Safety Resources was tasked with reviewing the current chemical vapor control practices and providing preventive recommendations on best commercial techniques to control worker exposures. The increased focus of the tank closure project to meet the 2024 Tri-Party Agreement (TPA) milestones has surfaced concerns among some CH2MHill employees and other interested parties. CH2MHill is committed to providing a safe working environment for employees and desires to safely manage the tank farm operations using appropriate control measures. To address worker concerns, CH2MHill has chartered a ''Chemical Vapors Project'' to integrate the activities of multiple CH2MHill project teams, and solicit the expertise of external resources, including an independent Industrial Hygiene expert panel, a communications consultant, and DuPont Safety Resources. Over a three-month time period, DuPont worked with CH2MHill ESH and Q, Industrial Hygiene, Engineering, and the independent expert panel to perform the assessment. The process included overview presentations, formal interviews, informal discussions, documentation review, and literature review. DuPont Safety Resources concluded that it is highly unlikely that workers in the tank farms are exposed to chemicals above established standards. Additionally, the conventional and radiological chemistry is understood, the inherent chemical hazards are known, and the risk associated with chemical vapor exposure is properly managed. The assessment highlighted management's commitment to addressing chemical vapor hazards and controlling the associated risks. Additionally, we found the Industrial Hygiene staff to be technically competent and well motivated. The tank characterization data resides in a comprehensive database containing the tank chemical compositions and relevant airborne concentrations

  20. Performance of CVD diamond as an optically and thermally stimulated luminescence dosemeter

    International Nuclear Information System (INIS)

    Preciado-Flores, S.; Schreck, M.; Melendrez, R.; Chernov, V.; Bernal, R.; Cruz-Vazquez, C.; Cruz-Zaragoza, E.; Barboza-Flores, M.

    2006-01-01

    Diamond is a material with extreme physical properties. Its radiation hardness, chemical inertness and tissue equivalence qualify it as an ideal material for radiation dosimetry. In the present work, the optically stimulated luminescence (OSL) and thermoluminescence (TL) characteristics of a 10 μm thick CVD diamond (polycrystalline diamond films prepared by chemical vapor deposition) film were studied in order to test its performance as a beta radiation dosemeter. The TL response is composed of four main TL glow peaks; two of these are in the range of 150-200 deg. C and two additional peaks in the 250-400 deg. C temperature range. The integrated TL as a function of radiation dose is linear up to 100 Gy and increases with increasing dose exposure. The dose dependence of the integrated OSL exhibits a similar behavior. The observed OSL/TL behavior for the CVD diamond film clearly demonstrate its capability for applications in radiation dosimetry with special relevance in medical dosimetry owing to the diamond's intrinsic material properties. (authors)

  1. Chemical vapor deposited fiber coatings and chemical vapor infiltrated ceramic matrix composites

    Energy Technology Data Exchange (ETDEWEB)

    Kmetz, M.A.

    1992-01-01

    Conventional Chemical Vapor Deposition (CVD) and Organometallic Chemical Vapor Deposition (MOCVD) were employed to deposit a series of interfacial coatings on SiC and carbon yarn. Molybdenum, tungsten and chromium hexacarbonyls were utilized as precursors in a low temperature (350[degrees]C) MOCVD process to coat SiC yarn with Mo, W and Cr oxycarbides. Annealing studies performed on the MoOC and WOC coated SiC yarns in N[sub 2] to 1,000[degrees]C establish that further decomposition of the oxycarbides occurred, culminating in the formation of the metals. These metals were then found to react with Si to form Mo and W disilicide coatings. In the Cr system, heating in N[sub 2] above 800[degrees]C resulted in the formation of a mixture of carbides and oxides. Convention CVD was also employed to coat SiC and carbon yarn with C, Bn and a new interface designated BC (a carbon-boron alloy). The coated tows were then infiltrated with SiC, TiO[sub 2], SiO[sub 2] and B[sub 4]C by a chemical vapor infiltration process. The B-C coatings were found to provide advantageous interfacial properties over carbon and BN coatings in several different composite systems. The effectiveness of these different coatings to act as a chemically inert barrier layer and their relationship to the degree of interfacial debonding on the mechanical properties of the composites were examined. The effects of thermal stability and strength of the coated fibers and composites were also determined for several difference atmospheres. In addition, a new method for determining the tensile strength of the as-received and coated yarns was also developed. The coated fibers and composites were further characterized by AES, SEM, XPS, IR and X-ray diffraction analysis.

  2. Diamond surface functionalization with biomimicry - Amine surface tether and thiol moiety for electrochemical sensors

    Science.gov (United States)

    Sund, James B.; Causey, Corey P.; Wolter, Scott D.; Parker, Charles B.; Stoner, Brian R.; Toone, Eric J.; Glass, Jeffrey T.

    2014-05-01

    The surface of conducting diamond was functionalized with a terminal thiol group that is capable of binding and detecting nitrogen-oxygen species. The functionalization process employed multiple steps starting with doped diamond films grown by plasma enhanced chemical vapor deposition followed by hydrogen termination and photochemical attachment of a chemically protected amine alkene. The surface tether was deprotected to reveal the amine functionality, which enabled the tether to be extended with surface chemistry to add a terminal thiol moiety for electrochemical sensing applications. Each step of the process was validated using X-ray photoelectron spectroscopy analysis.

  3. Si-related color centers in nanocrystalline diamond thin films

    Czech Academy of Sciences Publication Activity Database

    Potocký, Štěpán; Holovský, Jakub; Remeš, Zdeněk; Müller, Martin; Kočka, Jan; Kromka, Alexander

    2014-01-01

    Roč. 251, č. 12 (2014), s. 2603-2606 ISSN 0370-1972 R&D Projects: GA TA ČR TA01011740; GA ČR(CZ) GA14-04790S; GA MŠk LH12186 Institutional support: RVO:68378271 Keywords : chemical vapor deposition * color center * diamond * photoluminescence * plasma Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 1.489, year: 2014

  4. Magnetic and cytotoxic properties of hot-filament chemical vapour deposited diamond

    Energy Technology Data Exchange (ETDEWEB)

    Zanin, Hudson, E-mail: hudsonzanin@gmail.com [Faculdade de Engenharia Eletrica e Computacao, Departamento de Semicondutores, Instrumentos e Fotonica, Universidade Estadual de Campinas, UNICAMP, Av. Albert Einstein N.400, CEP 13 083-852 Campinas, Sao Paulo (Brazil); Peterlevitz, Alfredo Carlos; Ceragioli, Helder Jose [Faculdade de Engenharia Eletrica e Computacao, Departamento de Semicondutores, Instrumentos e Fotonica, Universidade Estadual de Campinas, UNICAMP, Av. Albert Einstein N.400, CEP 13 083-852 Campinas, Sao Paulo (Brazil); Rodrigues, Ana Amelia; Belangero, William Dias [Laboratorio de Biomateriais em Ortopedia, Faculdade de Ciencias Medicas, Universidade Estadual de Campinas, Rua Cinco de Junho 350 CEP 13083970, Campinas, Sao Paulo (Brazil); Baranauskas, Vitor [Faculdade de Engenharia Eletrica e Computacao, Departamento de Semicondutores, Instrumentos e Fotonica, Universidade Estadual de Campinas, UNICAMP, Av. Albert Einstein N.400, CEP 13 083-852 Campinas, Sao Paulo (Brazil)

    2012-12-01

    Microcrystalline (MCD) and nanocrystalline (NCD) magnetic diamond samples were produced by hot-filament chemical vapour deposition (HFCVD) on AISI 316 substrates. Energy Dispersive X-ray Spectroscopy (EDS) measurements indicated the presence of Fe, Cr and Ni in the MCD and NCD samples, and all samples showed similar magnetisation properties. Cell viability tests were realised using Vero cells, a type of fibroblastic cell line. Polystyrene was used as a negative control for toxicity (NCT). The cells were cultured under standard cell culture conditions. The proliferation indicated that these magnetic diamond samples were not cytotoxic. - Highlights: Black-Right-Pointing-Pointer Polycrystalline diamonds doped with Fe, Cr and Ni acquire ferromagnetic properties. Black-Right-Pointing-Pointer CVD diamonds have been prepared with magnetic and semiconductor properties. Black-Right-Pointing-Pointer Micro/nanocrystalline diamonds show good cell viability with fibroblast proliferation.

  5. Cyclic voltammetry response of an undoped CVD diamond electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Fabisiak, K., E-mail: kfab@ukw.edu.pl [Institute of Physics, Kazimierz Wielki University, Powstancow Wielkopolskich 2, 85-090 Bydgoszcz (Poland); Torz-Piotrowska, R. [Faculty of Chemical Technology and Engineering, UTLS Seminaryjna 3, 85-326 Bydgoszcz (Poland); Staryga, E. [Institute of Physics, Technical University of Lodz, Wolczanska 219, 90-924 Lodz (Poland); Szybowicz, M. [Faculty of Technical Physics, Poznan University of Technology, Nieszawska 13A, 60-965 Poznan (Poland); Paprocki, K.; Popielarski, P.; Bylicki, F. [Institute of Physics, Kazimierz Wielki University, Powstancow Wielkopolskich 2, 85-090 Bydgoszcz (Poland); Wrzyszczynski, A. [Institute of Physics, Technical University of Lodz, Wolczanska 219, 90-924 Lodz (Poland)

    2012-09-01

    Highlights: Black-Right-Pointing-Pointer Correlation was found between diamond quality and its electrochemical performance. Black-Right-Pointing-Pointer The electrode sensitivity depends on the content of sp{sup 2} carbon phase in diamond layer. Black-Right-Pointing-Pointer The sp{sup 2} carbon phase content has little influence on the CV peak separation ({Delta}E{sub p}). - Abstract: The polycrystalline undoped diamond layers were deposited on tungsten wire substrates by using hot filament chemical vapor deposition (HFCVD) technique. As a working gas the mixture of methanol in excess of hydrogen was used. The morphologies and quality of as-deposited films were monitored by means of scanning electron microscopy (SEM), X-ray diffraction (XRD) and Raman spectroscopy respectively. The electrochemical activity of the obtained diamond layers was monitored by using cyclic voltammetry measurements. Analysis of the ferrocyanide-ferricyanide couple at undoped diamond electrode suggests that electrochemical reaction at diamond electrode has a quasireversibile character. The ratio of the anodic and cathodic peak currents was always close to unity. In this work we showed that the amorphous carbon admixture in the CVD diamond layer has a crucial influence on its electrochemical performance.

  6. CVD diamond based soft X-ray detector with fast response

    International Nuclear Information System (INIS)

    Li Fang; Hou Lifei; Su Chunxiao; Yang Guohong; Liu Shenye

    2010-01-01

    A soft X-ray detector has been made with high quality chemical vapor deposited (CVD) diamond and the electrical structure of micro-strip. Through the measurement of response time on a laser with the pulse width of 10 ps, the full width at half maximum of the data got in the oscilloscope was 115 ps. The rise time of the CVD diamond detector was calculated to be 49 ps. In the experiment on the laser prototype facility, the signal got by the CVD diamond detector was compared with that got by a soft X-ray spectrometer. Both signals coincided well. The detector is proved to be a kind of reliable soft X-ray detector with fast response and high signal-to-noise ratio. (authors)

  7. Study on the Microstructure and Electrical Properties of Boron and Sulfur Codoped Diamond Films Deposited Using Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Zhang Jing

    2014-01-01

    Full Text Available The atomic-scale microstructure and electron emission properties of boron and sulfur (denoted as B-S codoped diamond films grown on high-temperature and high-pressure (HTHP diamond and Si substrates were investigated using atom force microscopy (AFM, scanning tunneling microscopy (STM, secondary ion mass spectroscopy (SIMS, and current imaging tunneling spectroscopy (CITS measurement techniques. The films grown on Si consisted of large grains with secondary nucleation, whereas those on HTHP diamond are composed of well-developed polycrystalline facets with an average size of 10–50 nm. SIMS analyses confirmed that sulfur was successfully introduced into diamond films, and a small amount of boron facilitated sulfur incorporation into diamond. Large tunneling currents were observed at some grain boundaries, and the emission character was better at the grain boundaries than that at the center of the crystal. The films grown on HTHP diamond substrates were much more perfect with higher quality than the films deposited on Si substrates. The local I-V characteristics for films deposited on Si or HTHP diamond substrates indicate n-type conduction.

  8. Effects of substrate pretreatments on diamond synthesis for Si{sub 3}N{sub 4} based ceramics

    Energy Technology Data Exchange (ETDEWEB)

    Shibuya, Y. [Prefectural Industrial Research Inst., Shizuoka (Japan); Takaya, M. [Chiba Institute of Technology, Tsudanuma 2-chome, Narashino-shi, 275 (Japan)

    1998-07-08

    Diamond synthesis for Si{sub 3}N{sub 4} ceramics after various substrate pretreatments has been carried out by the microwave-plasma enhanced chemical vapor deposition (CVD) method using a mixture of methane and hydrogen gases. Four types of pretreatments for various substrates were performed as follows: scratching with diamond powder (I), applying O{sub 2}-C{sub 2}H{sub 2} combustion flames (II), polishing with alumina (III), and platinum vapor deposition (IV). The products deposited on the substrate were examined with micro-Raman spectroscopy, scanning electron microscopy (SEM) and an X-ray diffractometer (XRD). It was found that the application of O{sub 2}-C{sub 2}H{sub 2} flames as a pretreatment of the substrate in diamond synthesis was suitable, because a higher density of diamond nucleation could be obtained, and a film-like diamond could be formed on the surface in a shorter time than without applying them. The diamond could be synthesized on the surface for all four types of substrate pretreatments performed in the present study. The effects of the substrate pretreatments on the surface morphology of grown diamond were that a film-like diamond for (I) or (II), a particle-like diamond for (III) and a particle and/or a film-like diamond for (IV) were formed on the surface. The surface morphology of grown diamond depended very much on the substrate temperature under deposition. (orig.) 18 refs.

  9. Influence of gas chemistry on Si-V color centers in diamond films

    Czech Academy of Sciences Publication Activity Database

    Potocký, Štěpán; Ižák, Tibor; Varga, Marián; Kromka, Alexander

    2015-01-01

    Roč. 252, č. 11 (2015), s. 2580-2584 ISSN 0370-1972 R&D Projects: GA ČR(CZ) GA14-04790S Institutional support: RVO:68378271 Keywords : chemical vapor deposition * diamond * photoluminescence * plasma * silicon optical centers Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.522, year: 2015

  10. Influence of chemical pretreatment of hard metal substrates for diamond deposition

    International Nuclear Information System (INIS)

    Buck, V.; Kluwe, H.; Schmiler, B.; Deuerler, F.

    2001-01-01

    Diamond coated cutting tools are of increasing importance in the fields of high speed cutting, dry machining or machining of special materials such as metal-matrix-composites. A well known problem is the poor adhesion of diamond films on hard metals due to the Co- or Ni-binder that catalyzes the formation of graphite. Several methods - such as the application of intermediate layers or mechanical or chemical pretreatment of the hard metal substrate - have been developed to overcome this effect. Usually chemical pretreatment is used in order to reduce the concentration of binder phase on the surface that is to be coated. Surprisingly pretreatment with agents such as Murakami's solution result in improved adhesion and nucleation of diamond films while the concentration of the binder phase on the surface is enhanced. This 'contradiction' can be explained by proving that the surface is converted into a very thin oxide/hydroxide film. (author)

  11. Comparison of diamond growth with different gas mixtures in microwave plasma asssited chemical vapor deposition (MWCVD

    Directory of Open Access Journals (Sweden)

    Corat Evaldo J.

    2003-01-01

    Full Text Available In this work we study the influence of oxygen addition to several halocarbon-hydrogen gas systems. Diamond growth have been performed in a high power density MWCVD reactor built in our laboratory. The growth experiments are monitored by argon actinometry as a reference to plasma temperature and atomic hydrogen production, and by mass spectrometry to compare the exhaust gas composition. Atomic hydrogen actinometry revealed that the halogen presence in the gas phase is responsible for a considerable increase of atomic hydrogen concentration in the gas phase. Mass spectrometry shows similar results for all gas mixtures tested. Growth studies with oxygen addition to CF4/H2, CCl4/H2, CCl2F2/H2 and CH3Cl/H2 reveals that oxygen increases the carbon solubility in the gas phase but no better diamond growth conditions were found. Halogens are not, per se, eligible for diamond growth. All the possible advantages, as the higher production of atomic hydrogen, have been suppressed by the low carbon solubility in the gas phase, even when oxygen is added. The diamond growth with small amount of CF4 added to CH4/H2 mixture is not aggressive to the apparatus but brings several advantages to the process.

  12. X-ray beam monitor made by thin-film CVD single-crystal diamond.

    Science.gov (United States)

    Marinelli, Marco; Milani, E; Prestopino, G; Verona, C; Verona-Rinati, G; Angelone, M; Pillon, M; Kachkanov, V; Tartoni, N; Benetti, M; Cannatà, D; Di Pietrantonio, F

    2012-11-01

    A novel beam position monitor, operated at zero bias voltage, based on high-quality chemical-vapor-deposition single-crystal Schottky diamond for use under intense synchrotron X-ray beams was fabricated and tested. The total thickness of the diamond thin-film beam monitor is about 60 µm. The diamond beam monitor was inserted in the B16 beamline of the Diamond Light Source synchrotron in Harwell (UK). The device was characterized under monochromatic high-flux X-ray beams from 6 to 20 keV and a micro-focused 10 keV beam with a spot size of approximately 2 µm × 3 µm square. Time response, linearity and position sensitivity were investigated. Device response uniformity was measured by a raster scan of the diamond surface with the micro-focused beam. Transmissivity and spectral responsivity versus beam energy were also measured, showing excellent performance of the new thin-film single-crystal diamond beam monitor.

  13. Single-layer nano-carbon film, diamond film, and diamond/nano-carbon composite film field emission performance comparison

    International Nuclear Information System (INIS)

    Wang, Xiaoping; Wang, Jinye; Wang, Lijun

    2016-01-01

    A series of single-layer nano-carbon (SNC) films, diamond films, and diamond/nano-carbon (D/NC) composite films have been prepared on the highly doped silicon substrate by using microwave plasma chemical vapor deposition techniques. The films were characterised by scanning electron microscopy, Raman spectroscopy, and field emission I-V measurements. The experimental results indicated that the field emission maximum current density of D/NC composite films is 11.8–17.8 times that of diamond films. And the field emission current density of D/NC composite films is 2.9–5 times that of SNC films at an electric field of 3.0 V/μm. At the same time, the D/NC composite film exhibits the advantage of improved reproducibility and long term stability (both of the nano-carbon film within the D/NC composite cathode and the SNC cathode were prepared under the same experimental conditions). And for the D/NC composite sample, a high current density of 10 mA/cm"2 at an electric field of 3.0 V/μm was obtained. Diamond layer can effectively improve the field emission characteristics of nano-carbon film. The reason may be due to the diamond film acts as the electron acceleration layer.

  14. CVD Diamond Sensors In Detectors For High Energy Physics

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00334150; Trischuk, William

    At the end of the next decade an upgrade of the Large Hadron Collider (LHC) to High Luminosity LHC (HL-LHC) is planned which requires the development of new radiation tolerant sensor technology. Diamond is an interesting material for use as a particle detector in high radiation environments. The large band gap ($5.47\\,\\text{eV}$) and the large displacement energy suggest that diamond is a radiation tolerant detector material. In this Thesis the capability of Chemical Vapor Deposition (CVD) diamond as such a sensor technology is investigated. The radiation damage constant for $800\\,\\text{MeV}$ protons is measured using single crystalline CVD (scCVD) and polycrystalline CVD (pCVD) diamonds irradiated to particle fluences up to $12 \\times 10^{15}\\,\\text{p/cm}^2$. In addition the signal response of a pCVD diamond detector after an irradiation to $12 \\times 10^{15}\\,\\text{p/cm}^2$ is investigated to determine if such a detector can be operated efficiently in the expected HL-LHC environment. By using electrodes em...

  15. One step deposition of highly adhesive diamond films on cemented carbide substrates via diamond/β-SiC composite interlayers

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Tao; Zhuang, Hao; Jiang, Xin, E-mail: xin.jiang@uni-siegen.de

    2015-12-30

    Graphical abstract: - Highlights: • Novel diamond/beta-silicon carbide composite gradient interlayers were synthesized. • The interlayer features a cross-sectional gradient with increasing diamond content. • Diamond top layers and the interlayers were deposited in one single process. • The adhesion of the diamond film is drastically improved by employing the interlayer. • The stress was suppressed by manipulating the distribution of diamond and silicon carbide. - Abstract: Deposition of adherent diamond films on cobalt-cemented tungsten carbide substrates has been realized by application of diamond/beta-silicon carbide composite interlayers. Diamond top layers and the interlayers were deposited in one single process by hot filament chemical vapor deposition technique. Two different kinds of interlayers have been employed, namely, gradient interlayer and interlayer with constant composition. The distribution of diamond and beta-silicon carbide phases was precisely controlled by manipulating the gas phase composition. X-ray diffraction and Raman spectroscopy were employed to determine the existence of diamond, beta-silicon carbide and cobalt silicides (Co{sub 2}Si, CoSi) phases, as well as the quality of diamond crystal and the residual stress in the films. Rockwell-C indentation tests were carried out to evaluate the film adhesion. It is revealed that the adhesion of the diamond film is drastically improved by employing the interlayer. This is mainly influenced by the residual stress in the diamond top layer, which is induced by the different thermal expansion coefficient of the film and the substrate. It is even possible to further suppress the stress by manipulating the distribution of diamond and beta-silicon carbide in the interlayer. The most adhesive diamond film on cemented carbide is thus obtained by employing a gradient composite interlayer.

  16. Microwave PECVD of nanocrystalline diamond with rf induced bias nucleation

    Czech Academy of Sciences Publication Activity Database

    Frgala, Z.; Jašek, O.; Karásková, M.; Zajíčková, L.; Buršíková, V.; Franta, D.; Matějková, Jiřina; Rek, Antonín; Klapetek, P.; Buršík, Jiří

    2006-01-01

    Roč. 56, Suppl. B (2006), s. 1218-1223 ISSN 0011-4626 R&D Projects: GA ČR(CZ) GA202/05/0607 Institutional research plan: CEZ:AV0Z20650511; CEZ:AV0Z20410507 Keywords : nanocrystalline diamond * plasma enhanced chemical vapor deposition * self-bias Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 0.568, year: 2006

  17. The potential use of diamond coated tungsten tips as a field ionisation source

    Energy Technology Data Exchange (ETDEWEB)

    Brown, A.; Prawer, S.; Legge, G.J.F.; Kostidis, L.I. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    Tungsten tips are convenient for use in a high brightness gaseous phase field ionisation source. However, the lifetime of these tips is not adequate for practical use. The authors are investigating whether coating tungsten tips with diamond using Chemical Vapor Deposition (CVD) will improve the practicality of using these tips by an improvement in longevity of the source and/or an improvement in brightness due to the effects of the property of negative electron affinity which has been observed on CVD diamond. 1 ref.

  18. The potential use of diamond coated tungsten tips as a field ionisation source

    Energy Technology Data Exchange (ETDEWEB)

    Brown, A; Prawer, S; Legge, G J.F.; Kostidis, L I [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    Tungsten tips are convenient for use in a high brightness gaseous phase field ionisation source. However, the lifetime of these tips is not adequate for practical use. The authors are investigating whether coating tungsten tips with diamond using Chemical Vapor Deposition (CVD) will improve the practicality of using these tips by an improvement in longevity of the source and/or an improvement in brightness due to the effects of the property of negative electron affinity which has been observed on CVD diamond. 1 ref.

  19. Isotopically Enriched C-13 Diamond Anvil as a Stress Sensor in High Pressure Experiments

    Science.gov (United States)

    Vohra, Yogesh; Qiu, Wei; Kondratyev, Andreiy; Velisavljevic, Nenad; Baker, Paul

    2004-03-01

    The conventional high pressure diamond anvils were modified by growing an isotopically pure C-13 diamond layer by microwave plasma chemical vapor deposition using methane/hydrogen/oxygen chemistry. The isotopically pure C-13 nature of the culet of the diamond anvil was confirmed by the Raman spectroscopy measurements. This isotopically engineered diamond anvil was used against a natural abundance diamond anvil for high pressure experiments in a diamond anvil cell. Spatial resolved Raman spectroscopy was used to measure the stress induced shift in the C-13 layer as well as the undelying C-12 layer to ultra high pressures. The observed shift and splitiing of the diamond first order Raman spectrum was correlated with the stress distribution in the diamond anvil cell. The experimental results will be compared with the finite element modeling results using NIKE-2D software in order to create a mathematical relationship between sets of the following parameters: vertical (z axis) distance; horizontal (r axis) distance; max shear stress, and pressure. The isotopically enriched diamond anvils offer unique opportunities to measure stress distribution in the diamond anvil cell devices.

  20. Diamond-coated ATR prism for infrared absorption spectroscopy of surface-modified diamond nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Remes, Z., E-mail: remes@fzu.cz [Institute of Physics of the ASCR, v.v.i., Cukrovarnicka 10, Praha 6 (Czech Republic); Kozak, H.; Rezek, B.; Ukraintsev, E.; Babchenko, O.; Kromka, A. [Institute of Physics of the ASCR, v.v.i., Cukrovarnicka 10, Praha 6 (Czech Republic); Girard, H.A.; Arnault, J.-C.; Bergonzo, P. [CEA, LIST, Diamond Sensors Laboratory, F-91191 Gif-sur-Yvette (France)

    2013-04-01

    Linear antenna microwave chemical vapor deposition process was used to homogeneously coat a 7 cm long silicon prism by 85 nm thin nanocrystalline diamond (NCD) layer. To show the advantages of the NCD-coated prism for attenuated total reflection Fourier transform infrared spectroscopy (ATR-FTIR) of nanoparticles, we apply diamond nanoparticles (DNPs) of 5 nm nominal size with various surface modifications by a drop-casting of their methanol dispersions. ATR-FTIR spectra of as-received, air-annealed, plasma-oxidized, and plasma-hydrogenated DNPs were measured in the 4000–1500 cm{sup −1} spectral range. The spectra show high spectral resolution, high sensitivity to specific DNP surface moieties, and repeatability. The NCD coating provides mechanical protection against scratching and chemical stability of the surface. Moreover, unlike on bare Si surface, NCD hydrophilic properties enable optically homogeneous coverage by DNPs with some aggregation on submicron scale as evidenced by scanning electron microscopy and atomic force microscopy. Compared to transmission FTIR regime with KBr pellets, direct and uniform deposition of DNPs on NCD-ATR prism significantly simplifies and speeds up the analysis (from days to minutes). We discuss prospects for in situ monitoring of surface modifications and molecular grafting.

  1. Diamond-coated ATR prism for infrared absorption spectroscopy of surface-modified diamond nanoparticles

    Science.gov (United States)

    Remes, Z.; Kozak, H.; Rezek, B.; Ukraintsev, E.; Babchenko, O.; Kromka, A.; Girard, H. A.; Arnault, J.-C.; Bergonzo, P.

    2013-04-01

    Linear antenna microwave chemical vapor deposition process was used to homogeneously coat a 7 cm long silicon prism by 85 nm thin nanocrystalline diamond (NCD) layer. To show the advantages of the NCD-coated prism for attenuated total reflection Fourier transform infrared spectroscopy (ATR-FTIR) of nanoparticles, we apply diamond nanoparticles (DNPs) of 5 nm nominal size with various surface modifications by a drop-casting of their methanol dispersions. ATR-FTIR spectra of as-received, air-annealed, plasma-oxidized, and plasma-hydrogenated DNPs were measured in the 4000-1500 cm-1 spectral range. The spectra show high spectral resolution, high sensitivity to specific DNP surface moieties, and repeatability. The NCD coating provides mechanical protection against scratching and chemical stability of the surface. Moreover, unlike on bare Si surface, NCD hydrophilic properties enable optically homogeneous coverage by DNPs with some aggregation on submicron scale as evidenced by scanning electron microscopy and atomic force microscopy. Compared to transmission FTIR regime with KBr pellets, direct and uniform deposition of DNPs on NCD-ATR prism significantly simplifies and speeds up the analysis (from days to minutes). We discuss prospects for in situ monitoring of surface modifications and molecular grafting.

  2. Comparison of the quality of single-crystal diamonds grown on two types of seed substrates by MPCVD

    Science.gov (United States)

    Zhao, Yun; Guo, Yanzhao; Lin, Liangzhen; Zheng, Yuting; Hei, Lifu; Liu, Jinlong; Wei, Junjun; Chen, Liangxian; Li, Chengming

    2018-06-01

    Microwave plasma chemical vapor deposition (MPCVD) was used to grow single-crystal diamonds on two types of single-crystal diamond seed substrates prepared by high-pressure, high-temperature (HPHT) and chemical vapor deposition (CVD) methods. The quality of diamonds grown on the different seed substrates was compared. Fluorescence characteristics showed that the sectors of the HPHT seed substrates were obviously partitioned. Raman and absorption spectra showed that the CVD seed substrate produced higher-quality crystals with fewer nitrogen impurities. X-ray topography showed that the HPHT seed substrate had obvious growth sector boundaries, inclusions, dislocations, and stacking faults. The polarization characteristics of HPHT seed substrate were obvious, and the stress distribution was not uniform. When etching HPHT and CVD seed substrates using the same parameters, the etching morphology and extent of different growth sectors of the two substrates differed. Although extended defects were inevitably formed at the interface and propagated in the CVD layer, the dislocation density of a 1 mm-thick CVD layer grown on a CVD seed substrate was only half that of a 1 mm-thick CVD layer grown on an HPHT seed substrate. Therefore, the use of CVD seed substrate enabled the growth of a relatively higher-quality CVD single-crystal diamond.

  3. Spallation Neutron Source SNS Diamond Stripper Foil Development

    International Nuclear Information System (INIS)

    Shaw, Robert W.; Plum, Michael A.; Wilson, Leslie L.; Feigerle, Charles S.; Borden, Michael J.; Irie, Y.; Sugai, I.; Takagi, A.

    2007-01-01

    Diamond stripping foils are under development for the SNS. Freestanding, flat 300 to 500 (micro)g/cm 2 foils as large as 17 x 25 mm 2 have been prepared. These nano-textured polycrystalline foils are grown by microwave plasma-assisted chemical vapor deposition in a corrugated format to maintain their flatness. They are mechanically supported on a single edge by a residual portion of their silicon growth substrate; fine foil supporting wires are not required for diamond foils. Six foils were mounted on the SNS foil changer in early 2006 and have performed well in commissioning experiments at reduced operating power. A diamond foil was used during a recent experiment where 15 (micro)C of protons, approximately 64% of the design value, were stored in the ring. A few diamond foils have been tested at LANSCE/PSR, where one foil was in service for a period of five months (820 C of integrated injected charge) before it was replaced. Diamond foils have also been tested in Japan at KEK (640 keV H - ) where their lifetimes slightly surpassed those of evaporated carbon foils, but fell short of those for Sugai's new hybrid boron carbon (HBC) foils.

  4. Micro-texturing into DLC/diamond coated molds and dies via high density oxygen plasma etching

    Directory of Open Access Journals (Sweden)

    Yunata Ersyzario Edo

    2015-01-01

    Full Text Available Diamond-Like Carbon (DLC and Chemical Vapor Deposition (CVD-diamond films have been widely utilized not only as a hard protective coating for molds and dies but also as a functional substrate for bio-MEMS/NEMS. Micro-texturing into these hard coated molds and dies provides a productive tool to duplicate the original mother micro-patterns onto various work materials and to construct any tailored micro-textures for sensors and actuators. In the present paper, the high density oxygen plasma etching method is utilized to make micro-line and micro-groove patterns onto the DLC and diamond coatings. Our developing oxygen plasma etching system is introduced together with characterization on the plasma state during etching. In this quantitative plasma diagnosis, both the population of activated species and the electron and ion densities are identified through the emissive light spectroscopy and the Langmuir probe method. In addition, the on-line monitoring of the plasmas helps to describe the etching process. DLC coated WC (Co specimen is first employed to describe the etching mechanism by the present method. Chemical Vapor Deposition (CVD diamond coated WC (Co is also employed to demonstrate the reliable capacity of the present high density oxygen plasma etching. This oxygen plasma etching performance is discussed by comparison of the etching rates.

  5. Investigation of electrically-active deep levels in single-crystalline diamond by particle-induced charge transient spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Kada, W., E-mail: kada.wataru@gunma-u.ac.jp [Faculty of Science and Technology, Gunma University, Kiryu, Gunma 376-8515 (Japan); Kambayashi, Y.; Ando, Y. [Faculty of Science and Technology, Gunma University, Kiryu, Gunma 376-8515 (Japan); Japan Atomic Energy Agency, Takasaki, Gunma 370-1292 (Japan); Onoda, S. [Japan Atomic Energy Agency, Takasaki, Gunma 370-1292 (Japan); Umezawa, H.; Mokuno, Y. [National Institute of Advanced Industrial Science and Technology (AIST), 1-8-31 Midorigaoka, Ikeda, Osaka 563-8577 (Japan); Shikata, S. [Kwansei Gakuin Univ., 2-1, Gakuen, Mita, Hyogo 669-1337 (Japan); Makino, T.; Koka, M. [Japan Atomic Energy Agency, Takasaki, Gunma 370-1292 (Japan); Hanaizumi, O. [Faculty of Science and Technology, Gunma University, Kiryu, Gunma 376-8515 (Japan); Kamiya, T.; Ohshima, T. [Japan Atomic Energy Agency, Takasaki, Gunma 370-1292 (Japan)

    2016-04-01

    To investigate electrically-active deep levels in high-resistivity single-crystalline diamond, particle-induced charge transient spectroscopy (QTS) techniques were performed using 5.5 MeV alpha particles and 9 MeV carbon focused microprobes. For unintentionally-doped (UID) chemical vapor deposition (CVD) diamond, deep levels with activation energies of 0.35 eV and 0.43 eV were detected which correspond to the activation energy of boron acceptors in diamond. The results suggested that alpha particle and heavy ion induced QTS techniques are the promising candidate for in-situ investigation of deep levels in high-resistivity semiconductors.

  6. Measurement of barrier height of Pd on diamond (100) surface by X-ray photoelectron spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Li, F.N. [Institute of Wide Band Gap Semiconductors, Xi' an Jiaotong University, Xi' an 710049 (China); Nation Key Laboratory of ASIC, HSRI, Shijiazhuang 050051 (China); Liu, J.W. [International Center for Young Scientists, National Institute for Materials Science (NIMS), 1-1 Namiki, Tsukuba, Ibaraki 3050044 (Japan); Zhang, J.W.; Wang, X.L.; Wang, W.; Liu, Z.C. [Institute of Wide Band Gap Semiconductors, Xi' an Jiaotong University, Xi' an 710049 (China); Wang, H.X., E-mail: hxwangcn@mail.xjtu.edu.cn [Institute of Wide Band Gap Semiconductors, Xi' an Jiaotong University, Xi' an 710049 (China)

    2016-05-01

    Highlights: • Metal-semiconductor contacts of Pd/hydrogen-terminated diamond and Pd/oxygen-terminated diamond have been investigated by XPS measurements. • The barrier height for Pd/hydrogen-terminated diamond (ohmic contact) has been measured to be −0.27 eV. • The barrier height for Pd/oxygen-terminated diamond (Schottky contact) has been measured to be 1.73 eV. - Abstract: Barrier height (Φ{sub BH}) values for Pd/hydrogen-terminated diamond (H-diamond) and Pd/oxygen-terminated diamond (O-diamond) have been investigated by X-ray photoelectron spectroscopy technique. H-diamond and O-diamond have been formed on the same diamond (100) layer grown by microwave plasma-enhanced chemical vapor deposition,on which Pd layers have been evaporated. The Φ{sub BH} values for Pd/H-diamond and Pd/O-diamond are determined to be −0.27 eV and 1.73 eV, respectively. It indicates that Pd is a suitable metal for ohmic and Schottky contacts on H-diamond and O-diamond, respectively. The experimental Φ{sub BH} values are in good agreement with the theoretical calculation results.

  7. γ radiation thermoluminescence performance of HFCVD diamond films

    International Nuclear Information System (INIS)

    Gastelum, S.; Cruz-Zaragoza, E.; Melendrez, R.; Chernov, V.; Barboza-Flores, M.

    2006-01-01

    Polycrystalline chemically vapor deposited (CVD) diamond films have been proposed as detectors and dosimeters of ionizing radiation with prospective applications in high-energy photon dosimetry applications. We present a comparison study on the thermoluminescence (TL) properties of two diamond film samples grown by the hot filament CVD method having thickness of 180 and 500 μm and exposed to γ radiation in the 1-300 Gy dose range. The 180 μm thick sample deposited on silicon substrate displayed a TL glow curve peaked at 145 deg. C. The 500 μm, which was a free standing sample, exhibited higher intensity and a well defined first order kinetics TL glow peak around 289 deg. C. Both diamond samples showed a linear dose behavior in the 1-50 Gy range and sublinear behavior for higher doses. The 180 and 500 μm samples presented about 80% and 30% TL losses in a 24 h period, respectively, with both samples showing excellent TL reproducibility. The results indicate that the 500 μm CVD diamond film exhibited a good TL behavior adequate for γ radiation dosimetry

  8. Regeneration of FBGs during the HFCVD diamond-fiber coating process

    Science.gov (United States)

    Alberto, Nélia J.; Kalinowski, Hypolito J.; Neto, Victor F.; Nogueira, Rogério N.

    2014-08-01

    In this work, the regeneration of saturated fiber Bragg gratings during the diamond coating of the fiber is presented. Due to the high temperatures characteristic of the hot filament chemical vapor deposition (HFCVD) process (around 800 ºC), uniform fiber Bragg gratings (FBGs) are not appropriate to be coated. Nevertheless, regenerated Bragg gratings are a suitable solution for this drawback. Its production process involves the inscription of a saturated FBG followed by a time consuming heat treatment. Here it is proposed to take advantage of the high temperatures characteristic of the HFCVD process to simultaneous regenerate the grating and coat the fiber with diamond.

  9. Temperature dependence of stress in CVD diamond films studied by Raman spectroscopy

    Directory of Open Access Journals (Sweden)

    Dychalska Anna

    2015-09-01

    Full Text Available Evolution of residual stress and its components with increasing temperature in chemical vapor deposited (CVD diamond films has a crucial impact on their high temperature applications. In this work we investigated temperature dependence of stress in CVD diamond film deposited on Si(100 substrate in the temperature range of 30 °C to 480 °C by Raman mapping measurement. Raman shift of the characteristic diamond band peaked at 1332 cm-1 was studied to evaluate the residual stress distribution at the diamond surface. A new approach was applied to calculate thermal stress evolution with increasing tempera­ture by using two commonly known equations. Comparison of the residts obtained from the two methods was presented. The intrinsic stress component was calculated from the difference between average values of residual and thermal stress and then its temperature dependence was discussed.

  10. Diamond surface functionalization with biomimicry – Amine surface tether and thiol moiety for electrochemical sensors

    Energy Technology Data Exchange (ETDEWEB)

    Sund, James B., E-mail: jim@jamessund.com [Department of Electrical and Computer Engineering, Duke University, Durham, NC (United States); Causey, Corey P. [Departments of Chemistry and Biochemistry, Duke University, Durham, NC (United States); Wolter, Scott D. [Department of Physics, Elon University, Elon, NC 27244 (United States); Parker, Charles B., E-mail: charles.parker@duke.edu [Department of Electrical and Computer Engineering, Duke University, Durham, NC (United States); Stoner, Brian R. [Department of Electrical and Computer Engineering, Duke University, Durham, NC (United States); Research Triangle Institute (RTI) International, Research Triangle Park, NC (United States); Toone, Eric J. [Departments of Chemistry and Biochemistry, Duke University, Durham, NC (United States); Glass, Jeffrey T. [Department of Electrical and Computer Engineering, Duke University, Durham, NC (United States)

    2014-05-01

    Highlights: • Diamond surfaces were functionalized with organic molecules using a novel approach. • Used biomimicry to select a molecule to bind NO, similar to the human body. • Molecular orbital theory predicted the molecule-analyte oxidation behavior. • A thiol moiety was attached to an amine surface tether on the diamond surface. • XPS analysis verified each surface functionalization step. - Abstract: The surface of conducting diamond was functionalized with a terminal thiol group that is capable of binding and detecting nitrogen–oxygen species. The functionalization process employed multiple steps starting with doped diamond films grown by plasma enhanced chemical vapor deposition followed by hydrogen termination and photochemical attachment of a chemically protected amine alkene. The surface tether was deprotected to reveal the amine functionality, which enabled the tether to be extended with surface chemistry to add a terminal thiol moiety for electrochemical sensing applications. Each step of the process was validated using X-ray photoelectron spectroscopy analysis.

  11. Diamond surface functionalization with biomimicry – Amine surface tether and thiol moiety for electrochemical sensors

    International Nuclear Information System (INIS)

    Sund, James B.; Causey, Corey P.; Wolter, Scott D.; Parker, Charles B.; Stoner, Brian R.; Toone, Eric J.; Glass, Jeffrey T.

    2014-01-01

    Highlights: • Diamond surfaces were functionalized with organic molecules using a novel approach. • Used biomimicry to select a molecule to bind NO, similar to the human body. • Molecular orbital theory predicted the molecule-analyte oxidation behavior. • A thiol moiety was attached to an amine surface tether on the diamond surface. • XPS analysis verified each surface functionalization step. - Abstract: The surface of conducting diamond was functionalized with a terminal thiol group that is capable of binding and detecting nitrogen–oxygen species. The functionalization process employed multiple steps starting with doped diamond films grown by plasma enhanced chemical vapor deposition followed by hydrogen termination and photochemical attachment of a chemically protected amine alkene. The surface tether was deprotected to reveal the amine functionality, which enabled the tether to be extended with surface chemistry to add a terminal thiol moiety for electrochemical sensing applications. Each step of the process was validated using X-ray photoelectron spectroscopy analysis

  12. Toroidal plasma enhanced CVD of diamond films

    International Nuclear Information System (INIS)

    Zvanya, John; Cullen, Christopher; Morris, Thomas; Krchnavek, Robert R.; Holber, William; Basnett, Andrew; Basnett, Robert; Hettinger, Jeffrey

    2014-01-01

    An inductively coupled toroidal plasma source is used as an alternative to microwave plasmas for chemical vapor deposition of diamond films. The source, operating at a frequency of 400 kHz, synthesizes diamond films from a mixture of argon, methane, and hydrogen. The toroidal design has been adapted to create a highly efficient environment for diamond film deposition: high gas temperature and a short distance from the sample to the plasma core. Using a toroidal plasma geometry operating in the medium frequency band allows for efficient (≈90%) coupling of AC line power to the plasma and a scalable path to high-power and large-area operation. In test runs, the source generates a high flux of atomic hydrogen over a large area, which is favorable for diamond film growth. Using a deposition temperature of 900–1050 °C and a source to sample distance of 0.1–2.0 cm, diamond films are deposited onto silicon substrates. The results showed that the deposition rate of the diamond films could be controlled using the sample temperature and source to sample spacing. The results also show the films exhibit good-quality polycrystalline diamond as verified by Raman spectroscopy, x-ray diffraction, and scanning electron microscopy. The scanning electron microscopy and x-ray diffraction results show that the samples exhibit diamond (111) and diamond (022) crystallites. The Raman results show that the sp 3 peak has a narrow spectral width (FWHM 12 ± 0.5 cm −1 ) and that negligible amounts of the sp 2 band are present, indicating good-quality diamond films

  13. Combined effect of nitrogen doping and nanosteps on microcrystalline diamond films for improvement of field emission

    International Nuclear Information System (INIS)

    Mengui, U.A.; Campos, R.A.; Alves, K.A.; Antunes, E.F.; Hamanaka, M.H.M.O.; Corat, E.J.; Baldan, M.R.

    2015-01-01

    Highlights: • Hot filament chemical vapor deposition using methane, hydrogen and a solution of urea in methanol produced nitrogen-doped diamond films. • Diamonds had the grain morphology changed for long growth time (28 h), and the nitrogen doping were evaluated by Raman spectroscopy. • Field emission characterization shows a decrease up to 70% in threshold field, related to reference diamond layer. - Abstract: Nitrogen-doped microcrystalline diamond (N-MCD) films were grown on Si substrates using a hot filament reactor with methanol solution of urea as N source. Electrostatic self-assembly seeding of nanocrystalline diamond were used to obtain continuous and uniform films. Simultaneous changes in grains morphology and work function of diamond by nitrogen doping decreased the threshold field and the angular coefficient of Fowler–Nordhein plots. The field emission properties of our N-MCD films are comparable to carbon nanotube films

  14. Combined effect of nitrogen doping and nanosteps on microcrystalline diamond films for improvement of field emission

    Energy Technology Data Exchange (ETDEWEB)

    Mengui, U.A., E-mail: ursulamengui@gmail.com [INPE – Instituto Nacional de Pesquisas Espaciais Laboratório Associado de Sensores e Materiais – LAS, Av. dos Astronautas 1758, CP 515, CEP 12.245-970, São José dos Campos, SP (Brazil); Campos, R.A.; Alves, K.A.; Antunes, E.F. [INPE – Instituto Nacional de Pesquisas Espaciais Laboratório Associado de Sensores e Materiais – LAS, Av. dos Astronautas 1758, CP 515, CEP 12.245-970, São José dos Campos, SP (Brazil); Hamanaka, M.H.M.O. [Centro de Tecnologia da Informação Renato Archer, Divisão de Superfícies de Interação e Displays, Rodovia D. Pedro I (SP 65) km 143.6, CP 6162, CEP 13089-500, Campinas, SP (Brazil); Corat, E.J.; Baldan, M.R. [INPE – Instituto Nacional de Pesquisas Espaciais Laboratório Associado de Sensores e Materiais – LAS, Av. dos Astronautas 1758, CP 515, CEP 12.245-970, São José dos Campos, SP (Brazil)

    2015-04-15

    Highlights: • Hot filament chemical vapor deposition using methane, hydrogen and a solution of urea in methanol produced nitrogen-doped diamond films. • Diamonds had the grain morphology changed for long growth time (28 h), and the nitrogen doping were evaluated by Raman spectroscopy. • Field emission characterization shows a decrease up to 70% in threshold field, related to reference diamond layer. - Abstract: Nitrogen-doped microcrystalline diamond (N-MCD) films were grown on Si substrates using a hot filament reactor with methanol solution of urea as N source. Electrostatic self-assembly seeding of nanocrystalline diamond were used to obtain continuous and uniform films. Simultaneous changes in grains morphology and work function of diamond by nitrogen doping decreased the threshold field and the angular coefficient of Fowler–Nordhein plots. The field emission properties of our N-MCD films are comparable to carbon nanotube films.

  15. Thermal, structural, and fabrication aspects of diamond windows for high power synchrotron x-ray beamlines

    International Nuclear Information System (INIS)

    Khounsary, A.M.; Phillips, W.

    1992-01-01

    Recent advances in chemical vapor deposition (CVD) technology have made it possible to produce thin free-standing diamond foils that can be used as the window material in high heat load, synchrotron beamlines. Numerical simulations suggest that these windows can offer an attractive and at times the only altemative to beryllium windows for use in third generation x-ray synchrotron radiation beamlines. Utilization, design, and fabrication aspects of diamond windows for high heat load x-ray beamlines are discussed, as are the microstructure characteristics bearing on diamond's performance in this role. Analytic and numerical results are also presented to provide a basis for the design and testing of such windows

  16. X-ray diffraction characterization of epitaxial CVD diamond films with natural and isotopically modified compositions

    Energy Technology Data Exchange (ETDEWEB)

    Prokhorov, I. A., E-mail: igor.prokhorov@mail.ru [Russian Academy of Sciences, Space Materials Science Laboratory, Shubnikov Institute of Crystallography, Federal Scientific Research Centre “Crystallography and Photonics”, Kaluga Branch (Russian Federation); Voloshin, A. E. [Russian Academy of Sciences, Shubnikov Institute of Crystallography, Federal Scientific Research Centre “Crystallography and Photonics” (Russian Federation); Ralchenko, V. G.; Bolshakov, A. P. [Russian Academy of Sciences, Prokhorov General Physics Institute (Russian Federation); Romanov, D. A. [Bauman Moscow State Technical University, Kaluga Branch (Russian Federation); Khomich, A. A. [Russian Academy of Sciences, Prokhorov General Physics Institute (Russian Federation); Sozontov, E. A. [National Research Centre “Kurchatov Institute” (Russian Federation)

    2016-11-15

    Comparative investigations of homoepitaxial diamond films with natural and modified isotopic compositions, grown by chemical vapor deposition (CVD) on type-Ib diamond substrates, are carried out using double-crystal X-ray diffractometry and topography. The lattice mismatch between the substrate and film is precisely measured. A decrease in the lattice constant on the order of (Δa/a){sub relax} ∼ (1.1–1.2) × 10{sup –4} is recorded in isotopically modified {sup 13}C (99.96%) films. The critical thicknesses of pseudomorphic diamond films is calculated. A significant increase in the dislocation density due to the elastic stress relaxation is revealed by X-ray topography.

  17. Investigation of catalytic oxidation of diamond by water vapor and carbon dioxide in the presence of alkali melts of some rare earth oxides

    International Nuclear Information System (INIS)

    Kulakova, I.I.; Rudenko, A.P.; Sulejmenova, A.S.; Tolstopyatova, A.A.

    1978-01-01

    The results of an investigation of the catalytic oxydation of diamond by carbon dioxide and water vapors at 906 deg C in the presence of melts of some rare earth oxides in potassium hydroxide are given. The ion La 3+ was shown to possess the most catalytic activity. The earlier proposed mechanisms of the diamond oxidation by CO 2 and H 2 O were corroborated. The ions of rare earth elements were found to accelerate the different stages of the process

  18. Friction Properties of Polished Cvd Diamond Films Sliding against Different Metals

    Science.gov (United States)

    Lin, Zichao; Sun, Fanghong; Shen, Bin

    2016-11-01

    Owing to their excellent mechanical and tribological properties, like the well-known extreme hardness, low coefficient of friction and high chemical inertness, chemical vapor deposition (CVD) diamond films have found applications as a hard coating for drawing dies. The surface roughness of the diamond films is one of the most important attributes to the drawing dies. In this paper, the effects of different surface roughnesses on the friction properties of diamond films have been experimentally studied. Diamond films were fabricated using hot filament CVD. The WC-Co (Co 6wt.%) drawing dies were used as substrates. A gas mixture of acetone and hydrogen gas was used as the feedstock gas. The CVD diamond films were polished using mechanical polishing. Polished diamond films with three different surface roughnesses, as well as the unpolished diamond film, were fabricated in order to study the tribological performance between the CVD diamond films and different metals with oil lubrication. The unpolished and polished CVD diamond films are characterized with scanning electron microscope (SEM), atomic force microscope (AFM), surface profilometer, Raman spectrum and X-ray diffraction (XRD). The friction examinations were carried out by using a ball-on-plate type reciprocating friction tester. Low carbide steel, stainless steel, copper and aluminum materials were used as counterpart balls. Based on this study, the results presented the friction coefficients between the polished CVD films and different metals. The friction tests demonstrate that the smooth surface finish of CVD diamond films is beneficial for reducing their friction coefficients. The diamond films exhibit low friction coefficients when slid against the stainless steel balls and low carbide steel ball, lower than that slid against copper ball and aluminum ball, attributed to the higher ductility of copper and aluminum causing larger amount of wear debris adhering to the sliding interface and higher adhesive

  19. Soft X-ray angle-resolved photoemission spectroscopy of heavily boron-doped superconducting diamond films

    Directory of Open Access Journals (Sweden)

    T. Yokoya, T. Nakamura, T. Matushita, T. Muro, H. Okazaki, M. Arita, K. Shimada, H. Namatame, M. Taniguchi, Y. Takano, M. Nagao, T. Takenouchi, H. Kawarada and T. Oguchi

    2006-01-01

    Full Text Available We have performed soft X-ray angle-resolved photoemission spectroscopy (SXARPES of microwave plasma-assisted chemical vapor deposition diamond films with different B concentrations in order to study the origin of the metallic behavior of superconducting diamond. SXARPES results clearly show valence band dispersions with a bandwidth of ~23 eV and with a top of the valence band at gamma point in the Brillouin zone, which are consistent with the calculated valence band dispersions of pure diamond. Boron concentration-dependent band dispersions near the Fermi level (EF exhibit a systematic shift of EF, indicating depopulation of electrons due to hole doping. These SXARPES results indicate that diamond bands retain for heavy boron doping and holes in the diamond band are responsible for the metallic states leading to superconductivity at low temperature. A high-resolution photoemission spectroscopy spectrum near EF of a heavily boron-doped diamond superconductor is also presented.

  20. Experimental analysis and theoretical model for anomalously high ideality factors in ZnO/diamond p-n junction diode

    International Nuclear Information System (INIS)

    Wang Chengxin; Yang Guowei; Liu Hongwu; Han Yonghao; Luo Jifeng; Gao Chunxiao; Zou Guangtian

    2004-01-01

    High-quality heterojunctions between p-type diamond single-crystalline films and highly oriented n-type ZnO films were fabricated by depositing the p-type diamond single-crystal films on the I o -type diamond single crystal using a hot filament chemical vapor deposition, and later growing a highly oriented n-type ZnO film on the p-type diamond single-crystal film by magnetron sputtering. Interestingly, anomalously high ideality factors (n>>2.0) in the prepared ZnO/diamond p-n junction diode in the interim bias voltage range were measured. For this, detailed electronic characterizations of the fabricated p-n junction were conducted, and a theoretical model was proposed to clarify the much higher ideality factors of the special heterojunction diode

  1. Diamond films on stainless steel substrates with an interlayer applied by laser cladding

    Energy Technology Data Exchange (ETDEWEB)

    Contin, Andre; Alves, Kenya Aparecida; Damm, Djoille Denner; Trava-Airoldi, Vladimir Jesus; Corat, Evaldo Jose, E-mail: andrecontin@yahoo.com.br [Instituto Nacional de Pesquisas Espaciais (LAS/INPE), Sao Jose dos Campos, SP (Brazil). Laboratorio Associado de Sensores e Materiais; Campos, Raonei Alves [Universidade Federal do Sul e Sudeste do Para (UNIFESSPA), Maraba, PA (Brazil); Vasconcelos, Getulio de [Instituto de Estudos Avancados (DedALO/IEAv), Sao Jose dos Campos, SP (Brazil). Laboratorio de Desenvolvimento de Aplicacoes de Lasers e Optica

    2017-03-15

    The objective of this work is the Hot Filament Chemical Vapor Deposition (HFCVD) of diamond films on stainless steel substrates using a new technique for intermediate barrier forming, made by laser cladding process. In this technique, a powder layer is irradiated by a laser beam to melt the powder layer and the substrate surface layer to create the interlayer. The control of the laser beam parameters allows creating homogeneous coating layers, in rather large area in few seconds. In this work, the silicon carbide powder (SiC) was used to create an intermediate layer. Before the diamond growth, the samples were subjected to the seeding process with diamond powder. The diamond deposition was performed using Hot-Filament CVD reactor and the characterizations were Scanning Electron Microscopy, X-ray diffraction, Raman Scattering Spectroscopy and Scratch Test. (author)

  2. New route to the fabrication of nanocrystalline diamond films

    International Nuclear Information System (INIS)

    Varshney, Deepak; Morell, Gerardo; Palomino, Javier; Resto, Oscar; Gil, Jennifer; Weiner, Brad R.

    2014-01-01

    Nanocrystalline diamond (NCD) thin films offer applications in various fields, but the existing synthetic approaches are cumbersome and destructive. A major breakthrough has been achieved by our group in the direction of a non-destructive, scalable, and economic process of NCD thin-film fabrication. Here, we report a cheap precursor for the growth of nanocrystalline diamond in the form of paraffin wax. We show that NCD thin films can be fabricated on a copper support by using simple, commonplace paraffin wax under reaction conditions of Hot Filament Chemical Vapor Deposition (HFCVD). Surprisingly, even the presence of any catalyst or seeding that has been conventionally used in the state-of-the-art is not required. The structure of the obtained films was analyzed by scanning electron microscopy and transmission electron microscopy. Raman spectroscopy and electron energy-loss spectroscopy recorded at the carbon K-edge region confirm the presence of nanocrystalline diamond. The process is a significant step towards cost-effective and non-cumbersome fabrication of nanocrystalline diamond thin films for commercial production

  3. HFCVD Diamond-Coated Mechanical Seals

    Directory of Open Access Journals (Sweden)

    Raul Simões

    2018-05-01

    Full Text Available A mechanical seal promotes the connection between systems or mechanisms, preventing the escape of fluids to the exterior. Nonetheless, due to extreme working conditions, premature failure can occur. Diamond, due to its excellent properties, is heralded as an excellent choice to cover the surface of these devices and extend their lifetime. Therefore, the main objective of this work was to deposit diamond films over mechanical seals and test the coated seals on a water pump, under real working conditions. The coatings were created by hot filament chemical vapor deposition (HFCVD and two consecutive layers of micro- and nanocrystalline diamond were deposited. One of the main difficulties is the attainment of a good adhesion between the diamond films and the mechanical seal material (WC-Co. Nucleation, deposition conditions, and pre-treatments were studied to enhance the coating. Superficial wear or delamination of the film was investigated using SEM and Raman characterization techniques, in order to draw conclusions about the feasibility of these coatings in the WC-Co mechanical seals with the purpose of increasing their performance and life time. The results obtained gave a good indication about the feasibility of this process and the deposition conditions used, with the mechanical seals showing no wear and no film delamination after a real work environment test.

  4. Selective area deposition of diamond films on AlGaN/GaN heterostructures

    Czech Academy of Sciences Publication Activity Database

    Ižák, Tibor; Babchenko, Oleg; Jirásek, Vít; Vanko, G.; Vallo, M.; Vojs, M.; Kromka, Alexander

    2014-01-01

    Roč. 250, č. 12 (2014), 2574-2580 ISSN 0370-1972 R&D Projects: GA ČR(CZ) GP14-16549P Institutional support: RVO:68378271 Keywords : circular high electron mobility transistors * diamond films * GaN substrates * microwave chemical vapor deposition * selective area deposition Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.489, year: 2014

  5. Simulations, fabrication and characterization of diamond coated Love wave-type SAW sensors

    Czech Academy of Sciences Publication Activity Database

    Talbi, A.; Soltani, A.; Rumeau, A.; Taylor, Andrew; Drbohlavová, L.; Klimša, Ladislav; Kopeček, Jaromír; Fekete, Ladislav; Krečmarová, Marie; Mortet, Vincent

    2015-01-01

    Roč. 212, č. 11 (2015), 2606-2610 ISSN 1862-6300 R&D Projects: GA MŠk LO1409; GA MŠk(CZ) LM2011029; GA ČR GA13-31783S Institutional support: RVO:68378271 Keywords : acoustic sensors * chemical vapor deposition * diamond * nanocrystalline materials * quartz * surface acoustic waves Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.648, year: 2015

  6. Fabrication of boron-doped nanocrystalline diamond nanoflowers based on 3D Cu(OH)2 dendritic architectures

    International Nuclear Information System (INIS)

    Sim, Huijun; Hong, Sukin; Lee, Seungkoo; Lim, Daesoon; Jin, Juneon; Hwang, Sungwoo

    2012-01-01

    Hot-filament chemical vapor deposition (HFCVD) was used to prepare boron-doped nanocrystalline diamond (BDND) nanoflowers on a Cu substrate with a Cu(OH) 2 dendritic architecture that had been formed by using electrostatic self-assembly (ESA) method with nanodiamond particles. The formation of diamond nanoflowers is controlled by the reaction time between the Cu(OH) 2 nanoflowers and the polymeric linker for the electrostatic attachment of nanodiamonds and by the deposition time for CVD diamond growth with a high nucleation density. Through analysis by field emission scanning electron microscopy (FESEM) and Raman spectroscopy, the optimal conditions for the synthesis of BDND nanoflowers are determined, and a possible explanation is provided.

  7. Spectroellipsometric and ion beam analytical investigation of nanocrystalline diamond layers

    Energy Technology Data Exchange (ETDEWEB)

    Lohner, T., E-mail: lohner@mfa.kfki.h [Research Institute for Technical Physics and Materials Science, H-1121 Budapest, Konkoly Thege Miklos ut 29-33 (Hungary); Csikvari, P. [Department of Atomic Physics, Budapest University of Technology and Economics, H-1111 Budapest, Budafoki ut 8 (Hungary); Khanh, N.Q. [Research Institute for Technical Physics and Materials Science, H-1121 Budapest, Konkoly Thege Miklos ut 29-33 (Hungary); David, S. [Department of Electronics Technology, Budapest University of Technology and Economics, H-1111 Budapest, Goldmann Gy. ter 3 (Hungary); Horvath, Z.E.; Petrik, P. [Research Institute for Technical Physics and Materials Science, H-1121 Budapest, Konkoly Thege Miklos ut 29-33 (Hungary); Hars, G. [Department of Atomic Physics, Budapest University of Technology and Economics, H-1111 Budapest, Budafoki ut 8 (Hungary)

    2011-02-28

    Optical properties of nanocrystalline and ultrananocrystalline diamond films were studied by ex situ variable angle spectroscopic ellipsometry. The films were prepared by Microwave Plasma Enhanced Chemical Vapor Deposition method. In the experiments Ar, CH{sub 4}, and H{sub 2} gases were used as source gases. Elastic recoil detection analysis was applied to measure the hydrogen content of the deposited layers. Three-layer optical models were constructed for the evaluation of the measured ellipsometric spectra. Besides the Cauchy relation, the effective medium approximation and the Tauc-Lorentz dispersion relation were also used for the modeling of the optical properties of the diamond films. Atomic force microscopy was applied to investigate the surface roughness in function of the deposition conditions.

  8. Spectroellipsometric and ion beam analytical investigation of nanocrystalline diamond layers

    International Nuclear Information System (INIS)

    Lohner, T.; Csikvari, P.; Khanh, N.Q.; David, S.; Horvath, Z.E.; Petrik, P.; Hars, G.

    2011-01-01

    Optical properties of nanocrystalline and ultrananocrystalline diamond films were studied by ex situ variable angle spectroscopic ellipsometry. The films were prepared by Microwave Plasma Enhanced Chemical Vapor Deposition method. In the experiments Ar, CH 4 , and H 2 gases were used as source gases. Elastic recoil detection analysis was applied to measure the hydrogen content of the deposited layers. Three-layer optical models were constructed for the evaluation of the measured ellipsometric spectra. Besides the Cauchy relation, the effective medium approximation and the Tauc-Lorentz dispersion relation were also used for the modeling of the optical properties of the diamond films. Atomic force microscopy was applied to investigate the surface roughness in function of the deposition conditions.

  9. Effects of pretreatment processes on improving the formation of ultrananocrystalline diamond

    International Nuclear Information System (INIS)

    Chen, Li-Ju; Tai, Nyan-Hwa; Lee, Chi-Young; Lin, I-Nan.

    2007-01-01

    Effects of pretreatment on the nuclei formation of ultrananocrystalline diamond (UNCD) on Si substrates were studied. Either precoating a thin layer of titanium (∼400 nm) or ultrasonication pretreatment using diamond and titanium mixed powder (D and T process) enhances the nucleation process on Si substrates markedly, and the UNCD nuclei formed and fully covered the Si substrate, when deposition was processed using the microwave-plasma-enhanced chemical-vapor deposition process for 10 min. In contrast, during the same period, ultrasonication pretreatment using diamond powders (D process) can only form large UNCD clusters, which were scarcely distributed on Si substrates. The analyses using x-ray diffractometer, secondary ion mass spectroscopy, and electron spectroscopy for chemical analysis reveal that the titanium layer reacted with carbon species in the plasma, forming crystalline TiC phase, which facilitates the subsequent formation of UNCD nuclei. The beneficial effect of Ti layer on enhancing the nucleation of UNCD is presumably owing to high solubility and high diffusivity of carbon species in Ti materials, as compared with those of Si materials

  10. Mechanical design of thin-film diamond crystal mounting apparatus with optimized thermal contact and crystal strain for coherence preservation x-ray optics

    Science.gov (United States)

    Shu, Deming; Shvydko, Yury; Stoupin, Stanislav; Kim, Kwang-Je

    2018-05-08

    A method and mechanical design for a thin-film diamond crystal mounting apparatus for coherence preservation x-ray optics with optimized thermal contact and minimized crystal strain are provided. The novel thin-film diamond crystal mounting apparatus mounts a thin-film diamond crystal supported by a thick chemical vapor deposition (CVD) diamond film spacer with a thickness slightly thicker than the thin-film diamond crystal, and two groups of thin film thermal conductors, such as thin CVD diamond film thermal conductor groups separated by the thick CVD diamond spacer. The two groups of thin CVD film thermal conductors provide thermal conducting interface media with the thin-film diamond crystal. A piezoelectric actuator is integrated into a flexural clamping mechanism generating clamping force from zero to an optimal level.

  11. The adhesion and tribology analysis of polycrystalline diamond coated on Si3N4 substrate

    International Nuclear Information System (INIS)

    Hamzah, E.; Purniawan, A.

    2007-01-01

    Cauliflower and octahedral structure of polycrystalline diamond was deposited on silicon nitride (Si 3 N 4 ) substrate by microwave plasma assisted chemical vapor deposition (MPACVD). In our earlier work, the effects of deposition parameters namely, % Methane (CH 4 ) diluted in hydrogen (H 2 ), microwave power and chamber pressure on surface morphology were studied. In the present work the polycrystalline diamond coating adhesion and tribology behaviour were investigated. Rockwell C hardness tester and pin-on-disk tribometer were used to determine the adhesion and tribology properties on diamond coating, respectively. The morphology of the diamond before and after indentation was observed using field emission scanning electron microscopy (FESEM). Based on the adhesion analysis results, it was found that octahedral morphology has better adhesion than cauliflower structure. It was indicated by few cracks and less peel-off than cauliflower structure of polycrystalline diamond after indentation. Based on tribology analysis, polycrystalline diamond coated on substrate has better tribology properties than uncoated substrate. (author)

  12. Interfacial chemical bonding state and band alignment of CaF2/hydrogen-terminated diamond heterojunction

    International Nuclear Information System (INIS)

    Liu, J. W.; Liao, M. Y.; Cheng, S. H.; Imura, M.; Koide, Y.

    2013-01-01

    CaF 2 films are deposited on hydrogen-terminated diamond (H-diamond) by a radio-frequency sputter-deposition technique at room temperature. Interfacial chemical bonding state and band alignment of CaF 2 /H-diamond heterojunction are investigated by X-ray photoelectron spectroscopy. It is confirmed that there are only C-Ca bonds at the CaF 2 /H-diamond heterointerface. Valence and conductance band offsets of the CaF 2 /H-diamond heterojunciton are determined to be 3.7 ± 0.2 and 0.3 ± 0.2 eV, respectively. It shows a type I straddling band configuration. The large valence band offset suggests advantage of the CaF 2 /H-diamond heterojunciton for the development of high power and high frequency field effect transistors.

  13. Thermoluminescence characterisation of chemical vapour deposited diamond films

    CERN Document Server

    Mazzocchi, S; Bucciolini, M; Cuttone, G; Pini, S; Sabini, M G; Sciortino, S

    2002-01-01

    The thermoluminescence (TL) characteristics of a set of six chemical vapour deposited diamond films have been studied with regard to their use as off-line dosimeters in radiotherapy. The structural characterisation has been performed by means of Raman spectroscopy. Their TL responses have been tested with radiotherapy beams ( sup 6 sup 0 Co photons, photons and electrons from a linear accelerator (Linac), 26 MeV protons from a TANDEM accelerator) in the dose range 0.1-7 Gy. The dosimetric characterisation has yielded a very good reproducibility, a very low dependence of the TL response on the type of particle and independence of the radiation energy. The TL signal is not influenced by the dose rate and exhibits a very low thermal fading. Moreover, the sensitivity of the diamond samples compares favourably with that of standard TLD100 dosimeters.

  14. Fano factor evaluation of diamond detectors for alpha particles

    Energy Technology Data Exchange (ETDEWEB)

    Shimaoka, Takehiro; Kaneko, Junichi H.; Tsubota, Masakatsu; Shimmyo, Hiroaki [Graduate School of Engineering, Hokkaido University, Kita 13, Nishi 8, Kita-ku, Sapporo, Hokkaido, 060-8628 (Japan); Sato, Yuki [Naraha Remote Technology Development Center, Japan Atomic Energy Agency, Naraha-machi, Futaba-gun, Fukushima, 979-0513 (Japan); Chayahara, Akiyoshi; Umezawa, Hitoshi; Mokuno, Yoshiaki [Advanced Power Electronics Research Center, National Institute of Advanced Industrial Science and Technology, 1-8-31 Midorigaoka, Ikeda, Osaka, 563-8577 (Japan); Watanabe, Hideyuki [Research Institute for Electronics and Photonics, National Institute of Advanced Industrial Science and Technology, 1-1-1 Higashi, Tsukuba, 305-8565 (Japan)

    2016-10-15

    This report is the first describing experimental evaluation of Fano factor for diamond detectors. High-quality self-standing chemical vapor deposited diamond samples were produced using lift-off method. Alpha-particle induced charge measurements were taken for three samples. A 13.1 ±0.07 eV of the average electron-hole pair creation energy and excellent energy resolution of approximately 0.3% were found for 5.486 MeV alpha particles from an {sup 241}Am radioactive source. The best Fano factor for 5.486 MeV alpha particles, calculated from experimentally obtained epsilon values and the detector intrinsic energy resolution, was 0.382 ± 0.007. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  15. Pulse-height defect in single-crystal CVD diamond detectors

    Energy Technology Data Exchange (ETDEWEB)

    Beliuskina, O.; Imai, N. [The University of Tokyo, Center for Nuclear Study, Wako, Saitama (Japan); Strekalovsky, A.O.; Aleksandrov, A.A.; Aleksandrova, I.A.; Ilich, S.; Kamanin, D.V.; Knyazheva, G.N.; Kuznetsova, E.A.; Mishinsky, G.V.; Pyatkov, Yu.V.; Strekalovsky, O.V.; Zhuchko, V.E. [JINR, Flerov Laboratory of Nuclear Reactions, Dubna, Moscow Region (Russian Federation); Devaraja, H.M. [Manipal University, Manipal Centre for Natural Sciences, Manipal, Karnataka (India); Heinz, C. [II. Physikalisches Institut, Justus-Liebig-Universitaet Giessen, Giessen (Germany); Heinz, S. [II. Physikalisches Institut, Justus-Liebig-Universitaet Giessen, Giessen (Germany); GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Hofmann, S.; Kis, M.; Kozhuharov, C.; Maurer, J.; Traeger, M. [GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Pomorski, M. [CEA, LIST, Diamond Sensor Laboratory, CEA/Saclay, Gif-sur-Yvette (France)

    2017-02-15

    The pulse-height versus deposited energy response of a single-crystal chemical vapor deposition (scCVD) diamond detector was measured for ions of Ti, Cu, Nb, Ag, Xe, Au, and of fission fragments of {sup 252} Cf at different energies. For the fission fragments, data were also measured at different electric field strengths of the detector. Heavy ions have a significant pulse-height defect in CVD diamond material, which increases with increasing energy of the ions. It also depends on the electrical field strength applied at the detector. The measured pulse-height defects were explained in the framework of recombination models. Calibration methods known from silicon detectors were modified and applied. A comparison with data for the pulse-height defect in silicon detectors was performed. (orig.)

  16. Development of CVD Diamond for Industrial Applications Final Report CRADA No. TC-2047-02

    Energy Technology Data Exchange (ETDEWEB)

    Caplan, M. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Olstad, R. [General Atomics, San Diego, CA (United States); Jory, H. [Communications and Power Industries, Palo Alto, CA (United States); Vikharov, A. L. [Russian Academy of Sciences (RAS), Moscow (Russian Federation)

    2017-09-08

    This project was a collaborative effort to develop and demonstrate a new millimeter microwave assisted chemical vapor deposition(CVD) process for manufacturing large diamond disks with greatly reduced processing times and costs from those now available. In the CVD process, carbon based gases (methane) and hydrogen are dissociated into plasma using microwave discharge and then deposited layer by layer as polycrystalline diamond onto a substrate. The available low frequency (2.45GHz) microwave sources used elsewhere (De Beers) result in low density plasmas and low deposition rates: 4 inch diamond disks take 6-8 weeks to process. The new system developed in this project uses a high frequency 30GHz Gyrotron as the microwave source and a quasi-optical CVD chamber resulting in a much higher density plasma which greatly reduced the diamond processing times (1-2 weeks)

  17. Ultraviolet photosensitivity of sulfur-doped micro- and nano-crystalline diamond

    International Nuclear Information System (INIS)

    Mendoza, Frank; Makarov, Vladimir; Hidalgo, Arturo; Weiner, Brad; Morell, Gerardo

    2011-01-01

    The room-temperature photosensitivity of sulfur-doped micro- (MCD), submicro- (SMCD) and nano- (NCD) crystalline diamond films synthesized by hot-filament chemical vapor deposition was studied. The structure and composition of these diamond materials were characterized by Raman spectroscopy, scanning electron microscopy and X-ray diffraction. The UV sensitivity and response time were studied for the three types of diamond materials using a steady state broad UV excitation source and two pulsed UV laser radiations. It was found that they have high sensitivity in the UV region, as high as 10 9 sec -1 mV -1 range, linear response in a broad spectral range below 320 nm, photocurrents around ∼10 -5 A, and short response time better than 100 ns, which is independent of fluency intensity. A phenomenological model was applied to help understand the role of defects and dopant concentration on the materials' photosensitivity

  18. Development of a diamond waveguide sensor for sensitive protein analysis using IR quantum cascade lasers

    Science.gov (United States)

    Piron, P.; Vargas Catalan, E.; Haas, J.; Österlund, L.; Nikolajeff, F.; Andersson, P. O.; Bergström, J.; Mizaikoff, B.; Karlsson, M.

    2018-02-01

    Microfabricated diamond waveguides, between 5 and 20 μm thick, manufactured by chemical vapor deposition of diamond, followed by standard lithographic techniques and inductively coupled plasma etching of diamond, are used as bio-chemical sensors in the mid infrared domain: 5-11 μm. Infrared light, emitted from a broadly tunable quantum cascade laser with a wavelength resolution smaller than 20 nm, is coupled through the diamond waveguides for attenuated total reflection spectroscopy. The expected advantages of these waveguides are a high sensitivity due to the high number of internal reflections along the propagation direction, a high transmittance in the mid-IR domain, the bio-compatibility of diamond and the possibility of functionalizing the surface layer. The sensor will be used for analyzing different forms of proteins such as α-synuclein which is relevant in understanding the mechanism behind Parkinson's disease. The fabrication process of the waveguide, its characteristics and several geometries are introduced. The optical setup of the biosensor is described and our first measurements on two analytes to demonstrate the principle of the sensing method will be presented. Future use of this sensor includes the functionalization of the diamond waveguide sensor surface to be able to fish out alpha-synuclein from cerebrospinal fluid.

  19. Copper-vapor-catalyzed chemical vapor deposition of graphene on dielectric substrates

    Science.gov (United States)

    Yang, Chao; Wu, Tianru; Wang, Haomin; Zhang, Xuefu; Shi, Zhiyuan; Xie, Xiaoming

    2017-07-01

    Direct synthesis of high-quality graphene on dielectric substrates is important for its application in electronics. In this work, we report the process of copper-vapor-catalyzed chemical vapor deposition of high-quality and large graphene domains on various dielectric substrates. The copper vapor plays a vital role on the growth of transfer-free graphene. Both single-crystal domains that are much larger than previous reports and high-coverage graphene films can be obtained by adjusting the growth duration. The quality of the obtained graphene was verified to be comparable with that of graphene grown on Cu foil. The progress reported in this work will aid the development of the application of transfer-free graphene in the future.

  20. Micro-Raman Analysis of Irradiated Diamond Films

    Science.gov (United States)

    Newton, Robert L.

    2003-01-01

    Owing to its unique and robust physical properties, diamond is a much sought after material for use in advanced technologies, even in Microelectromechanical Systems (MEMS). The volume and weight savings promised by MEMS-based devices are of particular interest to spaceflight applications. However, much basic materials science research remains to be completed in this field. Results of micro-Raman analysis of proton (10(exp 15) - 10(exp 17) H(+)/sq cm doses) irradiated chemical vapor deposited (CVD) films are presented and indicate that their microstructure is retained even after high radiation exposure.

  1. Thermoluminescent dosimetry and of optically stimulated luminescence of diamond films grown up by the chemical vapor deposition technique exposed to beta radiation

    International Nuclear Information System (INIS)

    Melendrez A, R.; Barboza F, M.

    2002-01-01

    A study of the dosimetric properties through the thermoluminescence (Tl) and Optically stimulated luminescence (Lobe) in diamond films grown up by the chemical vapor deposition (Dq) techniques was realized.The films under study have thickness of 6, 12, 180 and 500 microns. The dose range was from 0 to 1.5 KGy, observing for the case of the thermoluminescent dosimetry a linear behavior in the range 0-300 Gy and a supra linearity effect in the range from 300-1500 Gy. For the case of the dosimetry by means of LOE a linear behavior in the range (0-300 Gy) without be enough for the saturation was observed, although some samples exhibit a linear behavior until 1500 Gy (6 microns). The irradiation was realized with a source of Strontium 90 of (40 mCi) and the photoestimulation for realizing the measures of LOE was realized using diodes emitting of laser light (470 nm) which generate until 50 MW/cm 2 . The Tl peak which was used to realize the dosimetry such Tl as LOE was that located around 340 C degrees in the brilliance curve which presents another peaks centered around of 110, 190, and 340 C degrees, depending on the film. It was realized a study of the Tl signal drop and it was observed that after 3 hours the signal was stable reaching a decay of 15 %. the analysis of the drop in the Tl signal, immediately after to irradiate and after to photoestimulate with the blue light laser for observing the LOE, indicated that exists a fall in all the Tl peaks, decaying in greater proportion those of more low temperature. (Author)

  2. Development of Diamond Tracking Detectors for High Luminosity Experiments at the LHC, HL-LHC and Beyond

    CERN Document Server

    Kagan, Harris (Ohio State)

    2018-01-01

    The RD42 collaboration at CERN is leading the effort to develop radiation tolerant devices based on polycrystalline Chemical Vapor Deposition (pCVD) diamond as a material for tracking detectors operating in harsh radiation environments. Diamond has properties that make it suitable for such detector applications. During the last few years the RD42 group has succeeded in producing and characterising a number of devices to address specific issues related to their use at the LHC and HL-LHC. Herein we present the status of the RD42 project with emphasis on recent beam test results and our proposed three year research plan. In particular, we review recent results on the stability of signal size on incident particle rate in diamond detectors over a range of particle fluxes up to 20 MHz/cm2, on the radiation tolerance of CVD diamond, on the diamond work with ATLAS and CMS, on the results of 3D diamond detectors fabricated in pCVD diamond and on the work with diamond manufacturers. In addition, we present the details ...

  3. Microcrystalline diamond cylindrical resonators with quality-factor up to 0.5 million

    Energy Technology Data Exchange (ETDEWEB)

    Saito, Daisuke; Yang, Chen; Lin, Liwei [Department of Mechanical Engineering, University of California, Berkeley, California 94720 (United States); Heidari, Amir [Department of Mechanical and Aerospace Engineering, University of California, Davis, California 95616 (United States); Najar, Hadi [Department of Electrical and Computer Engineering, University of California, Davis, California 95616 (United States); Horsley, David A. [Department of Mechanical and Aerospace Engineering, University of California, Davis, California 95616 (United States); Department of Electrical and Computer Engineering, University of California, Davis, California 95616 (United States)

    2016-02-01

    We demonstrate high quality-factor 1.5 mm diameter batch-fabricated microcrystalline diamond cylindrical resonators (CR) with quality-factors limited by thermoelastic damping (TED) and surface loss. Resonators were fabricated 2.6 and 5.3 μm thick in-situ boron-doped microcrystalline diamond films deposited using hot filament chemical vapor deposition. The quality-factor (Q) of as-fabricated CR's was found to increase with the resonator diameter and diamond thickness. Annealing the CRs at 700 °C in a nitrogen atmosphere led to a three-fold increase in Q, a result we attribute to thinning of the diamond layer via reaction with residual O{sub 2} in the annealing furnace. Post-anneal Q exceeding 0.5 million (528 000) was measured at the 19 kHz elliptical wineglass modes, producing a ring-down time of 8.9 s. A model for Q versus diamond thickness and resonance frequency is developed including the effects of TED and surface loss. Measured quality factors are shown to agree with the predictions of this model.

  4. Tribological performance of polycrystalline tantalum-carbide-incorporated diamond films on silicon substrates

    Science.gov (United States)

    Ullah, Mahtab; Rana, Anwar Manzoor; Ahmed, E.; Malik, Abdul Sattar; Shah, Z. A.; Ahmad, Naseeb; Mehtab, Ujala; Raza, Rizwan

    2018-05-01

    Polycrystalline tantalum-carbide-incorporated diamond coatings have been made on unpolished side of Si (100) wafer by hot filament chemical vapor deposition process. Morphology of the coatings has been found to vary from (111) triangular-facetted to predominantly (111) square-faceted by increasing the concentration of tantalum carbide. The results have been compared to those of a diamond reference coating with no tantalum content. An increase in roughness has been observed with the increase of tantalum carbide (TaC) due to change in morphology of the diamond films. It is noticed that roughness of the coatings increases as grains become more square-faceted. It is found that diamond coatings involving tantalum carbide are not as resistant as diamond films with no TaC content and the coefficient of friction for such coatings with microcrystalline grains can be manipulated to 0·33 under high vacuum of 10-7 Torr. Such a low friction coefficient value enhances tribological behavior of unpolished Si substrates and can possibly be used in sliding applications.

  5. Development of diamond thin film-based alpha particle detectors for online assay of plutonium content in corrosive liquid medium

    International Nuclear Information System (INIS)

    Nuwad, J.; Jain, Dheeraj; Manoj, N.; Sudarsan, V.; Panja, S.; Dhami, P.S.

    2014-01-01

    In the present work, diamond thin films were prepared using microwave plasma chemical vapor deposition (MPCVD) method and characterized using XRD, OES, SEM, Raman spectroscopy and I-V techniques. These films were subjected to annealing and chemical cleaning for further improving the film quality. Surface metallization was obtained by gold deposition using PVD. These films were configured in semiconductor-insulator-metal heterostructure and mounted in SS shells. Gold coated growth surface (detector's active area) was sealed by chemical resistant sealing. Suitable bias was applied between the front and back electrical contacts to enable charge collection generated upon alpha particle interaction with diamond. The photograph of developed detector in the lab is shown

  6. Planarization of the diamond film surface by using the hydrogen plasma etching with carbon diffusion process

    International Nuclear Information System (INIS)

    Kim, Sung Hoon

    2001-01-01

    Planarization of the free-standing diamond film surface as smooth as possible could be obtained by using the hydrogen plasma etching with the diffusion of the carbon species into the metal alloy (Fe, Cr, Ni). For this process, we placed the free-standing diamond film between the metal alloy and the Mo substrate like a metal-diamond-molybdenum (MDM) sandwich. We set the sandwich-type MDM in a microwave-plasma-enhanced chemical vapor deposition (MPECVD) system. The sandwich-type MDM was heated over ca. 1000 .deg. C by using the hydrogen plasma. We call this process as the hydrogen plasma etching with carbon diffusion process. After etching the free-standing diamond film surface, we investigated surface roughness, morphologies, and the incorporated impurities on the etched diamond film surface. Finally, we suggest that the hydrogen plasma etching with carbon diffusion process is an adequate etching technique for the fabrication of the diamond film surface applicable to electronic devices

  7. Raman Microscopic Analysis of Internal Stress in Boron-Doped Diamond

    Directory of Open Access Journals (Sweden)

    Kevin E. Bennet

    2015-05-01

    Full Text Available Analysis of the induced stress on undoped and boron-doped diamond (BDD thin films by confocal Raman microscopy is performed in this study to investigate its correlation with sample chemical composition and the substrate used during fabrication. Knowledge of this nature is very important to the issue of long-term stability of BDD coated neurosurgical electrodes that will be used in fast-scan cyclic voltammetry, as potential occurrence of film delaminations and dislocations during their surgical implantation can have unwanted consequences for the reliability of BDD-based biosensing electrodes. To achieve a more uniform deposition of the films on cylindrically-shaped tungsten rods, substrate rotation was employed in a custom-built chemical vapor deposition reactor. In addition to visibly preferential boron incorporation into the diamond lattice and columnar growth, the results also reveal a direct correlation between regions of pure diamond and enhanced stress. Definite stress release throughout entire film thicknesses was found in the current Raman mapping images for higher amounts of boron addition. There is also a possible contribution to the high values of compressive stress from sp2 type carbon impurities, besides that of the expected lattice mismatch between film and substrate.

  8. Investigation of the nucleation process of chemical vapour deposited diamond films

    International Nuclear Information System (INIS)

    Katai, S.

    2001-01-01

    The primary aim of this work was to contribute to the understanding of the bias enhanced nucleation (BEN) process during the chemical vapour deposition (CVD) of diamond on silicon. The investigation of both the gas phase environment above the substrate surface, by in situ mass selective energy analysis of ions, and of the surface composition and structure by in vacuo surface analytic methods (XPS, EELS) have been carried out. In both cases, the implementation of these measurements required the development and construction of special experimental apparatus as well. The secondary aim of this work was to give orientation to our long term goal of growing diamond films with improved quality. For this reason, (1) contaminant levels at the diamond-silicon interface after growth were studied by SIMS, (2) the internal stress distribution of highly oriented free-standing diamond films were studied by Raman spectroscopy, and (3) an attempt was made to produce spatially regular oriented nuclei formation by nucleating on a pattern created by laser treatment on silicon substrates. (orig.)

  9. Thermodynamic analysis of processes proceeding on (111) faces of diamond during chemical vapour deposition

    International Nuclear Information System (INIS)

    Piekarczyk, W.; Prawer, S.

    1992-01-01

    Chemically vapour deposited diamond is commonly synthesized from activated hydrogen-rich, carbon/hydrogen gas mixtures under conditions which should, from a thermodynamic equilibrium point of view, favour the production of graphite. Much remains to be understood about why diamond, and not graphite, forms under these conditions. However, it is well known that the presence of atomic hydrogen, is crucial to the success of diamond deposition. As part of an attempt to better understand the deposition process, a thermodynamic analysis of the process was performed on diamond (111) faces in hydrogen rich environments. It is shown that the key role of atomic hydrogen is to inhibit the reconstruction of the (111) face to an sp 2 -bonded structure, which would provide a template for graphite, rather than diamond formation. The model correctly predicts experimentally determined trends in growth rate and diamond film quality as a function of methane concentration in the stating gas mixture. 17 refs., 4 figs

  10. Development of polishing methods for Chemical Vapor Deposited Silicon Carbide mirrors for synchrotron radiation

    International Nuclear Information System (INIS)

    Fuchs, B.A.; Brown, N.J.

    1987-01-01

    Material properties of Chemical Vapor Deposited Silicon Carbide (CVD SiC) make it ideal for use in mirrors for synchrotron radiation experiments. We developed methods to grind and polish flat samples of CVD SiC down to measured surface roughness values as low as 1.1 Angstroms rms. We describe the processing details, including observations we made during trial runs with alternative processing recipes. We conclude that pitch polishing using progressively finer diamond abrasive, augmented with specific water based lubricants and additives, produces superior results. Using methods based on these results, a cylindrical and a toroidal mirror, each about 100 x 300mm, were respectively finished by Continental Optical and Frank Cooke, Incorporated. WYCO Interferometry shows these mirrors have surface roughness less than 5.7 Angstroms rms. These mirrors have been installed on the LLNL/UC X-ray Calibration and Standards Facility at the Stanford Synthrotron Radiation Laboratory

  11. Controlled surface chemistry of diamond/β-SiC composite films for preferential protein adsorption.

    Science.gov (United States)

    Wang, Tao; Handschuh-Wang, Stephan; Yang, Yang; Zhuang, Hao; Schlemper, Christoph; Wesner, Daniel; Schönherr, Holger; Zhang, Wenjun; Jiang, Xin

    2014-02-04

    Diamond and SiC both process extraordinary biocompatible, electronic, and chemical properties. A combination of diamond and SiC may lead to highly stable materials, e.g., for implants or biosensors with excellent sensing properties. Here we report on the controllable surface chemistry of diamond/β-SiC composite films and its effect on protein adsorption. For systematic and high-throughput investigations, novel diamond/β-SiC composite films with gradient composition have been synthesized using the hot filament chemical vapor deposition (HFCVD) technique. As revealed by scanning electron microscopy (SEM), the diamond/β-SiC ratio of the composite films shows a continuous change from pure diamond to β-SiC over a length of ∼ 10 mm on the surface. X-ray photoelectron spectroscopy (XPS) and time-of-flight secondary ion mass spectrometry (ToF-SIMS) was employed to unveil the surface termination of chemically oxidized and hydrogen treated surfaces. The surface chemistry of the composite films was found to depend on diamond/β-SiC ratio and the surface treatment. As observed by confocal fluorescence microscopy, albumin and fibrinogen were preferentially adsorbed from buffer: after surface oxidation, the proteins preferred to adsorb on diamond rather than on β-SiC, resulting in an increasing amount of proteins adsorbed to the gradient surfaces with increasing diamond/β-SiC ratio. By contrast, for hydrogen-treated surfaces, the proteins preferentially adsorbed on β-SiC, leading to a decreasing amount of albumin adsorbed on the gradient surfaces with increasing diamond/β-SiC ratio. The mechanism of preferential protein adsorption is discussed by considering the hydrogen bonding of the water self-association network to OH-terminated surfaces and the change of the polar surface energy component, which was determined according to the van Oss method. These results suggest that the diamond/β-SiC gradient film can be a promising material for biomedical applications which

  12. Control of spontaneous emission rate in luminescent resonant diamond particles

    Science.gov (United States)

    Savelev, R.; Zalogina, A.; Kudryashov, S.; Ivanova, A.; Levchenko, A.; Makarov, S.; Zuev, D.; Shadrivov, I.

    2018-01-01

    We study the properties of luminescent diamond particles of different sizes (up to ~1.5 μm) containing multiple NV-centers. We theoretically predict that the average liftetime in such particles is decreased by several times as compared to optically small subwavelength nanodiamonds. In our experiments, samples were obtained by milling the plasma-enhanced chemical vapor deposited diamond film, and characterized by Raman spectroscopy and dark- field spectroscopy methods. Time-resolved luminescence measurements of the excited state of NV-centers showed that their average lifetime varies from 10 to 17 ns in different samples. By comparing this data to the values of the lifetime of the NV-centers in optically small nanodiamonds, known from literature, we confirm a severalfold decrease of the lifetime in resonant particles.

  13. UV detectors based on epitaxial diamond films grown on single-crystal diamond substrates by vapor-phase synthesis

    International Nuclear Information System (INIS)

    Sharonov, G.V.; Petrov, S.A.; Bol'shakov, A.P.; Ral'chenko, V.G.; Kazyuchits, N.M.

    2010-01-01

    The prospects for use of CVD-technology for epitaxial growth of single-crystal diamond films of instrumental quality in UHF plasma for the production of optoelectronic devices are discussed. A technology for processing diamond single crystals that provides a perfect surface crystal structure with roughness less than 0,5 nm was developed. It was demonstrated that selective UV detectors based on synthetic single-crystal diamond substrates coated with single-crystal films can be produced. A criterion for selecting clean and structurally perfect single crystals of synthetic diamond was developed for the epitaxial growth technology. (authors)

  14. Beta Radiation Enhanced Thermionic Emission from Diamond Thin Films

    Directory of Open Access Journals (Sweden)

    Alex Croot

    2017-11-01

    Full Text Available Diamond-based thermionic emission devices could provide a means to produce clean and renewable energy through direct heat-to-electrical energy conversion. Hindering progress of the technology are the thermionic output current and threshold temperature of the emitter cathode. In this report, we study the effects on thermionic emission caused by in situ exposure of the diamond cathode to beta radiation. Nitrogen-doped diamond thin films were grown by microwave plasma chemical vapor deposition on molybdenum substrates. The hydrogen-terminated nanocrystalline diamond was studied using a vacuum diode setup with a 63Ni beta radiation source-embedded anode, which produced a 2.7-fold increase in emission current compared to a 59Ni-embedded control. The emission threshold temperature was also examined to further assess the enhancement of thermionic emission, with 63Ni lowering the threshold temperature by an average of 58 ± 11 °C compared to the 59Ni control. Various mechanisms for the enhancement are discussed, with a satisfactory explanation remaining elusive. Nevertheless, one possibility is discussed involving excitation of preexisting conduction band electrons that may skew their energy distribution toward higher energies.

  15. Fabrication of polycrystalline diamond refractive X-ray lens by femtosecond laser processing

    Energy Technology Data Exchange (ETDEWEB)

    Kononenko, T.V.; Ralchenko, V.G.; Ashkinazi, E.E.; Konov, V.I. [General Physics Institute of Russian Academy of Sciences, Moscow (Russian Federation); National Research Nuclear University ' ' MEPhI' ' , Moscow (Russian Federation); Polikarpov, M.; Ershov, P. [Immanuel Kant Baltic Federal University, Functional Nanomaterials, Kaliningrad (Russian Federation); Kuznetsov, S.; Yunkin, V. [Institute of Microelectronics Technology RAS, Chernogolovka, Moscow region (Russian Federation); Snigireva, I. [European Synchrotron Radiation Facility, Grenoble (France)

    2016-03-15

    X-ray planar compound refractive lenses were fabricated from a polycrystalline diamond plate grown by chemical vapor deposition, by precise through cutting with femtosecond laser pulses. The lens geometry and the surface morphology were investigated with optical and scanning electron microscopy, while the material structure modification was analyzed by Raman spectroscopy. The results of the preliminary lens test at 9.25-keV X-rays are presented. (orig.)

  16. Fabrication of polycrystalline diamond refractive X-ray lens by femtosecond laser processing

    International Nuclear Information System (INIS)

    Kononenko, T.V.; Ralchenko, V.G.; Ashkinazi, E.E.; Konov, V.I.; Polikarpov, M.; Ershov, P.; Kuznetsov, S.; Yunkin, V.; Snigireva, I.

    2016-01-01

    X-ray planar compound refractive lenses were fabricated from a polycrystalline diamond plate grown by chemical vapor deposition, by precise through cutting with femtosecond laser pulses. The lens geometry and the surface morphology were investigated with optical and scanning electron microscopy, while the material structure modification was analyzed by Raman spectroscopy. The results of the preliminary lens test at 9.25-keV X-rays are presented. (orig.)

  17. Fabrication of boron-doped nanocrystalline diamond nanoflowers based on 3D Cu(OH){sub 2} dendritic architectures

    Energy Technology Data Exchange (ETDEWEB)

    Sim, Huijun; Hong, Sukin; Lee, Seungkoo; Lim, Daesoon; Jin, Juneon; Hwang, Sungwoo [Korea University, Seoul (Korea, Republic of)

    2012-03-15

    Hot-filament chemical vapor deposition (HFCVD) was used to prepare boron-doped nanocrystalline diamond (BDND) nanoflowers on a Cu substrate with a Cu(OH){sub 2} dendritic architecture that had been formed by using electrostatic self-assembly (ESA) method with nanodiamond particles. The formation of diamond nanoflowers is controlled by the reaction time between the Cu(OH){sub 2} nanoflowers and the polymeric linker for the electrostatic attachment of nanodiamonds and by the deposition time for CVD diamond growth with a high nucleation density. Through analysis by field emission scanning electron microscopy (FESEM) and Raman spectroscopy, the optimal conditions for the synthesis of BDND nanoflowers are determined, and a possible explanation is provided.

  18. Ultrananocrystalline diamond film as an optimal cell interface for biomedical applications.

    Science.gov (United States)

    Bajaj, Piyush; Akin, Demir; Gupta, Amit; Sherman, Debby; Shi, Bing; Auciello, Orlando; Bashir, Rashid

    2007-12-01

    Surfaces of materials that promote cell adhesion, proliferation, and growth are critical for new generation of implantable biomedical devices. These films should be able to coat complex geometrical shapes very conformally, with smooth surfaces to produce hermetic bioinert protective coatings, or to provide surfaces for cell grafting through appropriate functionalization. Upon performing a survey of desirable properties such as chemical inertness, low friction coefficient, high wear resistance, and a high Young's modulus, diamond films emerge as very attractive candidates for coatings for biomedical devices. A promising novel material is ultrananocrystalline diamond (UNCD) in thin film form, since UNCD possesses the desirable properties of diamond and can be deposited as a very smooth, conformal coating using chemical vapor deposition. In this paper, we compared cell adhesion, proliferation, and growth on UNCD films, silicon, and platinum films substrates using different cell lines. Our results showed that UNCD films exhibited superior characteristics including cell number, total cell area, and cell spreading. The results could be attributed to the nanostructured nature or a combination of nanostructure/surface chemistry of UNCD, which provides a high surface energy, hence promoting adhesion between the receptors on the cell surface and the UNCD films.

  19. Field electron emission characteristics of chemical vapour deposition diamond films with controlled sp2 phase concentration

    International Nuclear Information System (INIS)

    Lu, X.; Yang, Q.; Xiao, C.; Hirose, A.

    2008-01-01

    Diamond films were synthesized in a microwave plasma-enhanced chemical vapour deposition reactor. The microstructure and surface morphology of deposited films were characterized by Raman spectroscope and scanning electron microscope. The sp 2 phase concentration in diamond films was varied and its effect on the field electron emission (FEE) properties was investigated. Diamond films deposited under higher methane concentration exhibit better FEE property including lower turn-on electric field and larger emission current. The predominating factor modifying the FEE property is presumed to be the increase of sp 2 phase concentration. The influence of bias voltage on the FEE property of diamond films is not monotonic. Postgrowth acid treatment reduces the sp 2 phase content in diamond films without changing diamond grain sizes. The corresponding FEE property was degraded

  20. High collection efficiency CVD diamond alpha detectors

    International Nuclear Information System (INIS)

    Bergonzo, P.; Foulon, F.; Marshall, R.D.; Jany, C.; Brambilla, A.; McKeag, R.D.; Jackman, R.B.

    1998-01-01

    Advances in Chemical Vapor Deposited (CVD) diamond have enabled the routine use of this material for sensor device fabrication, allowing exploitation of its unique combination of physical properties (low temperature susceptibility (> 500 C), high resistance to radiation damage (> 100 Mrad) and to corrosive media). A consequence of CVD diamond growth on silicon is the formation of polycrystalline films which has a profound influence on the physical and electronic properties with respect to those measured on monocrystalline diamond. The authors report the optimization of physical and geometrical device parameters for radiation detection in the counting mode. Sandwich and co-planar electrode geometries are tested and their performances evaluated with regard to the nature of the field profile and drift distances inherent in such devices. The carrier drift length before trapping was measured under alpha particles and values as high as 40% of the overall film thickness are reported. Further, by optimizing the device geometry, they show that a gain in collection efficiency, defined as the induced charge divided by the deposited charge within the material, can be achieved even though lower bias values are used

  1. Mechanism-Based FE Simulation of Tool Wear in Diamond Drilling of SiCp/Al Composites.

    Science.gov (United States)

    Xiang, Junfeng; Pang, Siqin; Xie, Lijing; Gao, Feinong; Hu, Xin; Yi, Jie; Hu, Fang

    2018-02-07

    The aim of this work is to analyze the micro mechanisms underlying the wear of macroscale tools during diamond machining of SiC p /Al6063 composites and to develop the mechanism-based diamond wear model in relation to the dominant wear behaviors. During drilling, high volume fraction SiC p /Al6063 composites containing Cu, the dominant wear mechanisms of diamond tool involve thermodynamically activated physicochemical wear due to diamond-graphite transformation catalyzed by Cu in air atmosphere and mechanically driven abrasive wear due to high-frequency scrape of hard SiC reinforcement on tool surface. An analytical diamond wear model, coupling Usui abrasive wear model and Arrhenius extended graphitization wear model was proposed and implemented through a user-defined subroutine for tool wear estimates. Tool wear estimate in diamond drilling of SiC p /Al6063 composites was achieved by incorporating the combined abrasive-chemical tool wear subroutine into the coupled thermomechanical FE model of 3D drilling. The developed drilling FE model for reproducing diamond tool wear was validated for feasibility and reliability by comparing numerically simulated tool wear morphology and experimentally observed results after drilling a hole using brazed polycrystalline diamond (PCD) and chemical vapor deposition (CVD) diamond coated tools. A fairly good agreement of experimental and simulated results in cutting forces, chip and tool wear morphologies demonstrates that the developed 3D drilling FE model, combined with a subroutine for diamond tool wear estimate can provide a more accurate analysis not only in cutting forces and chip shape but also in tool wear behavior during drilling SiC p /Al6063 composites. Once validated and calibrated, the developed diamond tool wear model in conjunction with other machining FE models can be easily extended to the investigation of tool wear evolution with various diamond tool geometries and other machining processes in cutting different

  2. Raman spectroscopy study of the influence of processing conditions on the structure of polycrystalline diamond films

    International Nuclear Information System (INIS)

    Ramamurti, R.; Shanov, V.; Singh, R.N.; Mamedov, S.; Boolchand, P.

    2006-01-01

    Diamond films are prepared by microwave plasma-enhanced chemical-vapor deposition on Si (100) substrates using the H 2 -Ar-CH 4 gases. Raman scattering data, including the peak position, intensity, area, and width, are analyzed in depth and used to obtain the sp 3 - and sp 2 -bonded carbon contents and the nature of internal stresses in the films. Polarization behavior of the Raman peaks is analyzed to assess its role on the quantitative analysis of the diamond films, which suggested that the 1150 cm -1 Raman peak in nanocrystalline diamond films could be attributed to sp 2 -bonded carbon. The role of the H 2 /Ar content in the gas mixture and substrate temperature on the characteristics of the diamond film is studied. Thickness and grain size of diamond films are also determined by scanning electron microscopy and related to the deposition conditions and Raman results. Deposition conditions, which led to highest sp 3 -bonded carbon content and growth rate, are identified

  3. Controlling Directional Liquid Motion on Micro- and Nanocrystalline Diamond/β-SiC Composite Gradient Films.

    Science.gov (United States)

    Wang, Tao; Handschuh-Wang, Stephan; Huang, Lei; Zhang, Lei; Jiang, Xin; Kong, Tiantian; Zhang, Wenjun; Lee, Chun-Sing; Zhou, Xuechang; Tang, Yongbing

    2018-01-30

    In this Article, we report the synthesis of micro- and nanocrystalline diamond/β-SiC composite gradient films, using a hot filament chemical vapor deposition (HFCVD) technique and its application as a robust and chemically inert means to actuate water and hazardous liquids. As revealed by scanning electron microscopy, the composition of the surface changed gradually from pure nanocrystalline diamond (hydrophobic) to a nanocrystalline β-SiC surface (hydrophilic). Transmission electron microscopy and Raman spectroscopy were employed to determine the presence of diamond, graphite, and β-SiC phases. The as-prepared gradient films were evaluated for their ability to actuate water. Indeed, water was transported via the gradient from the hydrophobic (hydrogen-terminated diamond) to the hydrophilic side (hydroxyl-terminated β-SiC) of the gradient surface. The driving distance and velocity of water is pivotally influenced by the surface roughness. The nanogradient surface showed significant promise as the lower roughness combined with the longer gradient yields in transport distances of up to 3.7 mm, with a maximum droplet velocity of nearly 250 mm/s measured by a high-speed camera. As diamond and β-SiC are chemically inert, the gradient surfaces can be used to drive hazardous liquids and reactive mixtures, which was signified by the actuation of hydrochloric acid and sodium hydroxide solution. We envision that the diamond/β-SiC gradient surface has high potential as an actuator for water transport in microfluidic devices, DNA sensors, and implants, which induce guided cell growth.

  4. Preliminary viability studies of fibroblastic cells cultured on microcrystalline and nanocrystalline diamonds produced by chemical vapour deposition method

    Directory of Open Access Journals (Sweden)

    Ana Amélia Rodrigues

    2013-02-01

    Full Text Available Implant materials used in orthopedics surgery have demonstrated some disadvantages, such as metallic corrosion processes, generation of wear particles, inflammation reactions and bone reabsorption in the implant region. The diamond produced through hot-filament chemical vapour deposition method is a new potential biomedical material due to its chemical inertness, extreme hardness and low coefficient of friction. In the present study we analysis two samples: the microcrystalline diamond and the nanocrystalline diamond. The aim of this study was to evaluate the surface properties of the diamond samples by scanning electron microscopy, Raman spectroscopy and atomic force microscopy. Cell viability and morphology were assessed using thiazolyl blue tetrazolium bromide, cytochemical assay and scanning electron microscopy, respectively. The results revealed that the two samples did not interfere in the cell viability, however the proliferation of fibroblasts cells observed was comparatively higher with the nanocrystalline diamond.

  5. Thermoluminescent properties of CVD diamond: applications to ionising radiation dosimetry; Proprietes thermoluminescentes du diamant CVD: applications a la dosimetrie des rayonnements ionisants

    Energy Technology Data Exchange (ETDEWEB)

    Petitfils, A

    2007-09-15

    Remarkable properties of synthetic diamond (human soft tissue equivalence, chemical stability, non-toxicity) make this material suitable for medical application as thermoluminescent dosimeter (TLD). This work highlights the interest of this material as radiotherapy TLD. In the first stage of this work, we looked after thermoluminescent (TL) and dosimetric properties of polycrystalline diamond made by Chemically Vapor Deposited (CVD) synthesis. Dosimetric characteristics are satisfactory as TLD for medical application. Luminescence thermal quenching on diamond has been investigated. This phenomenon leads to a decrease of dosimetric TL peak sensitivity when the heating rate increases. The second part of this work analyses the use of synthetic diamond as TLD in radiotherapy. Dose profiles, depth dose distributions and the cartography of an electron beam obtained with our samples are in very good agreement with results from an ionisation chamber. It is clearly shown that CVD) diamond is of interest to check beams of treatment accelerators. The use of these samples in a control of treatment with Intensity Modulated Radiation Therapy underlines good response of synthetic diamond in high dose gradient areas. These results indicate that CVD diamond is a promising material for radiotherapy dosimetry. (author)

  6. Molecular dynamics simulation of chemical vapor deposition of amorphous carbon. Dependence on H/C ratio of source gas

    International Nuclear Information System (INIS)

    Ito, Atsushi M.; Takayama, Arimichi; Nakamura, Hiroaki; Saito, Seiki; Ohno, Noriyasu; Kajita, Shin

    2011-01-01

    By molecular dynamics simulation, the chemical vapor deposition of amorphous carbon onto graphite and diamond surfaces was studied. In particular, we investigated the effect of source H/C ratio, which is the ratio of the number of hydrogen atoms to the number of carbon atoms in a source gas, on the deposition process. In the present simulation, the following two source gas conditions were tested: one was that the source gas was injected as isolated carbon and hydrogen atoms, and the other was that the source gas was injected as hydrocarbon molecules. Under the former condition, we found that as the source H/C ratio increases, the deposition rate of carbon atoms decreases exponentially. This exponential decrease in the deposition rate with increasing source H/C ratio agrees with experimental data. However, under the latter molecular source condition, the deposition rate did not decrease exponentially because of a chemical reaction peculiar to the type of hydrocarbon in the source gas. (author)

  7. Quantum chemical simulation of hydrogen like states in silicon and diamond

    International Nuclear Information System (INIS)

    Gel'fand, R.B.; Gordeev, V.A.; Gorelkinskij, Yu.V.

    1989-01-01

    The quantum-chemical methods of the complete neglect of differential overlap (CNDO) and intermediate neglect of differential overlap (INDO) are used to calculate the electronic structure of atomic hydrogen (muonium) located at different interstital sites of the silicon and diamond crystal lattices. The electronic g- and hyperfine interaction tensors of the impure atom are determined.The results obtained are compared with the experimental data on the 'normal' (Mu') and 'anomalous' (Mu * ) muonium centers as well as on the hydrogen-bearing Si-AA9 EPR center which is a hydrogen-bearing analogue of (Mu * ). The most likely localization sites for hydrogen (muonium) atoms in silicon and diamond crystals are established. 22 refs

  8. Localized chemical switching of the charge state of nitrogen-vacancy luminescence centers in diamond

    Energy Technology Data Exchange (ETDEWEB)

    Shanley, Toby W.; Martin, Aiden A.; Aharonovich, Igor, E-mail: Igor.Aharonovich@uts.edu.au; Toth, Milos, E-mail: Milos.Toth@uts.edu.au [School of Physics and Advanced Materials, University of Technology, Sydney, P.O. Box 123, Broadway, New South Wales 2007 (Australia)

    2014-08-11

    We present a direct-write chemical technique for controlling the charge state of near-surface nitrogen vacancy centers (NVs) in diamond by surface fluorination. Fluorination of H-terminated diamond is realized by electron beam stimulated desorption of H{sub 2}O in the presence of NF{sub 3} and verified with environmental photoyield spectroscopy (EPYS) and photoluminescence (PL) spectroscopy. PL spectra of shallow NVs in H- and F-terminated nanodiamonds show the expected dependence of the NV charge state on their energetic position with respect to the Fermi-level. EPYS reveals a corresponding difference between the ionization potential of H- and F-terminated diamond. The electron beam fluorination process is highly localized and can be used to fluorinate H-terminated diamond, and to increase the population of negatively charged NV centers.

  9. Spectroscopic ellipsometry characterization of nano-crystalline diamondfilms prepared at various substrate temperatures and pulsed plasma frequencies using microwave plasma enhanced chemical vapor deposition apparatus with linear antenna delivery

    Czech Academy of Sciences Publication Activity Database

    Mistrík, J.; Janíček, P.; Taylor, Andrew; Fendrych, František; Fekete, Ladislav; Jäger, Aleš; Nesládek, M.

    2014-01-01

    Roč. 571, č. 1 (2014), s. 230-237 ISSN 0040-6090 R&D Projects: GA ČR GA13-31783S; GA MŠk(CZ) LM2011026 Grant - others: COST Nano TP(XE) MP0901; OP VK(XE) CZ.1.07/2.3.00/20.0306 Institutional support: RVO:68378271 Keywords : nanocrystalline diamond * thin films * microwave plasma-enhanced chemical vapor deposition * pulsed plasma * low deposition temperature Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.759, year: 2014

  10. Plasmon resonance enhanced temperature-dependent photoluminescence of Si-V centers in diamond

    Energy Technology Data Exchange (ETDEWEB)

    Cheng, Shaoheng [State Key Laboratory of Superhard Materials, Jilin University, Changchun 130012 (China); State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Changchun 130012 (China); Song, Jie; Wang, Qiliang; Liu, Junsong; Li, Hongdong, E-mail: hdli@jlu.edu.cn [State Key Laboratory of Superhard Materials, Jilin University, Changchun 130012 (China); Zhang, Baolin [State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Changchun 130012 (China)

    2015-11-23

    Temperature dependent optical property of diamond has been considered as a very important factor for realizing high performance diamond-based optoelectronic devices. The photoluminescence feature of the zero phonon line of silicon-vacancy (Si-V) centers in Si-doped chemical vapor deposited single crystal diamond (SCD) with localized surface plasmon resonance (LSPR) induced by gold nanoparticles has been studied at temperatures ranging from liquid nitrogen temperature to 473 K, as compared with that of the SCD counterpart in absence of the LSPR. It is found that with LSPR the emission intensities of Si-V centers are significantly enhanced by factors of tens and the magnitudes of the redshift (width) of the emissions become smaller (narrower), in comparison with those of normal emissions without plasmon resonance. More interestingly, these strong Si-V emissions appear remarkably at temperatures up to 473 K, while the spectral feature was not reported in previous studies on the intrinsic Si-doped diamonds when temperatures are higher than room temperature. These findings would lead to reaching high performance diamond-based devices, such as single photon emitter, quantum cryptography, biomarker, and so forth, working under high temperature conditions.

  11. Cell adhesion and growth on ultrananocrystalline diamond and diamond-like carbon films after different surface modifications

    Energy Technology Data Exchange (ETDEWEB)

    Miksovsky, J. [Institute of Nanostructure Technologies and Analytics, Center for Interdisciplinary Nanostructure Science and Technology, University of Kassel (Germany); Institute of Physics ASCR, Prague (Czech Republic); Czech Technical University in Prague, Faculty of Biomedical Engineering, Kladno (Czech Republic); Voss, A. [Institute of Nanostructure Technologies and Analytics, Center for Interdisciplinary Nanostructure Science and Technology, University of Kassel (Germany); Kozarova, R. [Institute of Molecular Biology, Bulgarian Academy of Sciences, Sofia (Bulgaria); Kocourek, T.; Pisarik, P. [Institute of Physics ASCR, Prague (Czech Republic); Czech Technical University in Prague, Faculty of Biomedical Engineering, Kladno (Czech Republic); Ceccone, G. [Unit Nanobiosciences, European Commission Joint Research Centre, Ispra (Italy); Kulisch, W. [Institute of Nanostructure Technologies and Analytics, Center for Interdisciplinary Nanostructure Science and Technology, University of Kassel (Germany); Jelinek, M. [Institute of Physics ASCR, Prague (Czech Republic); Czech Technical University in Prague, Faculty of Biomedical Engineering, Kladno (Czech Republic); Apostolova, M.D. [Institute of Molecular Biology, Bulgarian Academy of Sciences, Sofia (Bulgaria); Reithmaier, J.P. [Institute of Nanostructure Technologies and Analytics, Center for Interdisciplinary Nanostructure Science and Technology, University of Kassel (Germany); Popov, C., E-mail: popov@ina.uni-kassel.de [Institute of Nanostructure Technologies and Analytics, Center for Interdisciplinary Nanostructure Science and Technology, University of Kassel (Germany)

    2014-04-01

    Graphical abstract: - Highlights: • UNCD and DLC films were modified by UV/O{sub 3} treatments, O{sub 2} or NH{sub 3}-containing plasmas. • Surface composition, wettability and surface energy change upon modifications. • Higher efficiency of UNCD modifications was observed. • Cell attachment and growth were influenced by the surface termination and roughness. - Abstract: Diamond and diamond-like carbon (DLC) films possess a set of excellent physical and chemical properties which together with a high biocompatibility make them attractive candidates for a number of medical and biotechnological applications. In the current work thin ultrananocrystalline diamond (UNCD) and DLC films were comparatively investigated with respect to cell attachment and proliferation after different surface modifications. The UNCD films were prepared by microwave plasma enhanced chemical vapor deposition, the DLC films by pulsed laser deposition (PLD). The films were comprehensively characterized with respect to their basic properties, e.g. crystallinity, morphology, chemical bonding nature, etc. Afterwards the UNCD and DLC films were modified applying O{sub 2} or NH{sub 3}/N{sub 2} plasmas and UV/O{sub 3} treatments to alter their surface termination. The surface composition of as-grown and modified samples was studied by X-ray photoelectron spectroscopy (XPS). Furthermore the films were characterized by contact angle measurements with water, formamide, 1-decanol and diiodomethane; from the results obtained the surface energy with its dispersive and polar components was calculated. The adhesion and proliferation of MG63 osteosarcoma cells on the different UNCD and DLC samples were assessed by measurement of the cell attachment efficiency and MTT assays. The determined cell densities were compared and correlated with the surface properties of as-deposited and modified UNCD and DLC films.

  12. Phenomenological effets of tantalum incorporation into diamond films: Experimental and first principle studies

    Energy Technology Data Exchange (ETDEWEB)

    Ullah, Mahtab, E-mail: mahtabullah@bzu.edu.pk [Department of Physics, Bahauddin Zakariya University Multan (Pakistan); Rana, Anwar Manzoor; Ahmad, E. [Department of Physics, Bahauddin Zakariya University Multan (Pakistan); Raza, Rizwan [Department of Physics, COMSATS Institute of Information Technology, Lahore-54000 (Pakistan); Hussain, Fayyaz [Department of Physics, Bahauddin Zakariya University Multan (Pakistan); Hussain, Akhtar; Iqbal, Muhammad [Theoretical Physics Division, PINSTECH, P.O. Nilore, Islamabad (Pakistan)

    2016-09-01

    Graphical abstract: - Highlights: • Fabrication of tantalum incorporated diamonds films using HFCVD technique. • Decrease in resistivity by increasing tantalum content in diamond thin films. • Electronic structure calculations of tantalum incorporated diamonds films through VASP code. • A rise of bond length and bond angles by addition of tantalum in the diamond lattice. • Confirmation of decrease of resistivity by adding tantalum due to creation of impurity states in the bandgap. - Abstract: Tantalum (Ta) incorporated diamond films are synthesized on silicon substrate by chemical vapor deposition under gas mixture of CH{sub 4} + H{sub 2}. Characterizations of the resulting films indicate that morphology and resistivity of as-grown diamond films are significantly influenced by the process parameters and the amount of tantalum incorporated in the diamond films. XRD plots reveal that diamond films are composed of TaC along with diamond for higher concentration of tantalum and Ta{sub 2}C phases for lower concentration of tantalum. EDS spectra confirms the existence of tantalum in the diamond films. Resistivity measurements illustrate a sudden fall of about two orders of magnitude by the addition of tantalum in the diamond films. Band structure of Ta-incorporated diamond has been investigated based on density functional theory (DFT) using VASP code. Band structure calculations lead to the semiconducting behavior of Ta-incorporated diamond films because of the creation of defects states inside the band gap extending towards conduction band minimum. Present DFT results support experimental trend of resistivity that with the incorporation of tantalum into diamond lattice causes a decrease in the resistivity of diamond films so that tantalum-incorporated diamond films behave like a good semiconductor.

  13. Surface structuring of boron doped CVD diamond by micro electrical discharge machining

    Science.gov (United States)

    Schubert, A.; Berger, T.; Martin, A.; Hackert-Oschätzchen, M.; Treffkorn, N.; Kühn, R.

    2018-05-01

    Boron doped diamond materials, which are generated by Chemical Vapor Deposition (CVD), offer a great potential for the application on highly stressed tools, e. g. in cutting or forming processes. As a result of the CVD process rough surfaces arise, which require a finishing treatment in particular for the application in forming tools. Cutting techniques such as milling and grinding are hardly applicable for the finish machining because of the high strength of diamond. Due to its process principle of ablating material by melting and evaporating, Electrical Discharge Machining (EDM) is independent of hardness, brittleness or toughness of the workpiece material. EDM is a suitable technology for machining and structuring CVD diamond, since boron doped CVD diamond is electrically conductive. In this study the ablation characteristics of boron doped CVD diamond by micro electrical discharge machining are investigated. Experiments were carried out to investigate the influence of different process parameters on the machining result. The impact of tool-polarity, voltage and discharge energy on the resulting erosion geometry and the tool wear was analyzed. A variation in path overlapping during the erosion of planar areas leads to different microstructures. The results show that micro EDM is a suitable technology for finishing of boron doped CVD diamond.

  14. Organo-Functionalization of Silicon Nanocrystals Synthesized by Inductively Coupled Plasma Chemical Vapor Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Don-Sung; Choe, Dong-Hoe; Jeong, Hyun-Dam [Chonnam National University, Gwangju (Korea, Republic of); Yoo, Seung-Wan; Kim, Jung-Hyung [Korea Research Institute of Standards and Science, Daejeon (Korea, Republic of)

    2016-05-15

    Octadecyl-terminated silicon nanocrystals (ODE-Si NCs) are obtained via a surface-initiated thermal hydrosilylation reaction on hydride-terminated Si NCs (H-Si NCs). Pristine Si NCs were synthesized at the gram scale by using inductively coupled plasma chemical vapor deposition (ICP-CVD) . The H-Si NCs were produced through a chemical etching process with hydrofluoric acid (HF), ethanol (EtOH), and distilled water (d-H{sub 2}O). The results obtained from X-ray diffraction (XRD) and field emission scanning electron microscopy (FE-SEM) indicate that the synthesized Si NCs obtained via ICP-CVD have diamond cubic-structured silicon with a grain size of 10 nm and a densely packed Si NC array consisting of individual NCs. Organo-functionalized Si NCs, i.e., ODE-Si NCs, are well soluble in organic solvent whereas pristine Si NCs synthesized through ICP-CVD are not. The surface chemistry of the ODE-Si NCs was confirmed via Fourier transform infrared spectroscopy (FT-IR), proton nuclear magnetic resonance spectroscopy ({sup 1}H-NMR), and field emission transmission electron microscopy (FE-TEM). Thereby, these newly synthesized and scalable organo-functionalized Si NCs are applicable as raw materials for practical use in devices by tuning the surface chemistry with various capping molecules.

  15. Ultrananocrystalline diamond film as a wear resistant and protective coating for mechanical seal applications

    International Nuclear Information System (INIS)

    Sumant, A.V.; Krauss, A.R.; Gruen, D.M.; Auciello, O.; Erdemir, A.; Williams, M.; Artiles, A.F.; Adams, W.

    2005-01-01

    Mechanical shaft seals used in pumps are critically important to the safe operation of the paper, pulp, and chemical process industry, as well as petroleum and nuclear power plants. Specifically, these seals prevent the leakage of toxic gases and hazardous chemicals to the environment and final products from the rotating equipment used in manufacturing processes. Diamond coatings have the potential to provide negligible wear, ultralow friction, and high corrosion resistance for the sliding surfaces of mechanical seals, because diamond exhibits outstanding tribological, physical, and chemical properties. However, diamond coatings produced by conventional chemical vapor deposition (CVD) exhibit high surface roughness (R a ≥ 1 μm), which results in high wear of the seal counterface, leading to premature seal failure. To avoid this problem, we have developed an ultrananocrystalline diamond (UNCD) film formed by a unique CH 4 /Ar microwave plasma CVD method. This method yields extremely smooth diamond coatings with surface roughness R a = 20-30 nm and an average grain size of 2-5 nm. We report the results of a systematic test program involving uncoated and UNCD-coated SiC shaft seals. Results confirmed that the UNCD-coated seals exhibited neither measurable wear nor any leakage during long-duration tests that took 21 days to complete. In addition, the UNCD coatings reduced the frictional torque for seal rotation by five to six times compared with the uncoated seals. This work promises to lead to rotating shaft seals with much improved service life, reduced maintenance cost, reduced leakage of environmentally hazardous materials, and increased energy savings. This technology may also have many other tribological applications involving rolling or sliding contacts.

  16. Rhenium Alloys as Ductile Substrates for Diamond Thin-Film Electrodes.

    Science.gov (United States)

    Halpern, Jeffrey M; Martin, Heidi B

    2014-02-01

    Molybdenum-rhenium (Mo/Re) and tungsten-rhenium (W/Re) alloys were investigated as substrates for thin-film, polycrystalline boron-doped diamond electrodes. Traditional, carbide-forming metal substrates adhere strongly to diamond but lose their ductility during exposure to the high-temperature (1000°C) diamond, chemical vapor deposition environment. Boron-doped semi-metallic diamond was selectively deposited for up to 20 hours on one end of Mo/Re (47.5/52.5 wt.%) and W/Re (75/25 wt.%) alloy wires. Conformal diamond films on the alloys displayed grain sizes and Raman signatures similar to films grown on tungsten; in all cases, the morphology and Raman spectra were consistent with well-faceted, microcrystalline diamond with minimal sp 2 carbon content. Cyclic voltammograms of dopamine in phosphate-buffered saline (PBS) showed the wide window and low baseline current of high-quality diamond electrodes. In addition, the films showed consistently well-defined, dopamine electrochemical redox activity. The Mo/Re substrate regions that were uncoated but still exposed to the diamond-growth environment remained substantially more flexible than tungsten in a bend-to-fracture rotation test, bending to the test maximum of 90° and not fracturing. The W/Re substrates fractured after a 27° bend, and the tungsten fractured after a 21° bend. Brittle, transgranular cleavage fracture surfaces were observed for tungsten and W/Re. A tension-induced fracture of the Mo/Re after the prior bend test showed a dimple fracture with a visible ductile core. Overall, the Mo/Re and W/Re alloys were suitable substrates for diamond growth. The Mo/Re alloy remained significantly more ductile than traditional tungsten substrates after diamond growth, and thus may be an attractive metal substrate for more ductile, thin-film diamond electrodes.

  17. Associated chemical and carbon isotopic composition variations in diamonds from Finsch and Premier kimberlite, South Africa

    International Nuclear Information System (INIS)

    Deines, P.

    1984-01-01

    The carbon isotopic composition of 66 inclusion-containing diamonds from the Premier kimberlite, South Africa, 93 inclusion-containing diamonds and four diamonds of two diamond-bearing peridotite xenoliths from the Finsch kimberlite, South Africa was measured. The data suggest a relationship between the carbon isotopic composition of the diamonds and the chemical composition of the associated silicates. For both kimberlites similar trends are noted for diamonds containing peridotite-suite inclusions (P-type) and for diamonds containing eclogite-suite inclusions (E-type): Higher delta 13 C P-type diamonds tend to have inclusions lower in SiO 2 , Al 2 O 3 , Cr 2 O 3 , MgO, Mg/(Mg + Fe) and higher in FeO and CaO. Higher delta 13 C E-type diamonds tend to have inclusions lower in SiO 2 , Al 2 O 3 , MgO, Mg/(Mg + Fe), Na 2 O, K 2 O, TiO 2 and higher in CaO, Ca/(Ca + Mg). Consideration of a number of different models that have been proposed for the genesis of kimberlites, their zenoliths and diamonds shows that they are all consistent with the conclusion that in the mantle, regions exist that are characterized by different mean carbon isotopic compositions. (author)

  18. Clinopyroxenes still trapped in diamonds: high-energy synchrotron X-ray diffraction as a chemical probe

    Science.gov (United States)

    Casati, Nicola; Nestola, Fabrizio; Alvaro, Matteo; Wilhelm, Heribert; Kleppe, Annette; Nimis, Paolo; Harris, Jeffrey W.

    2014-05-01

    Clinopyroxenes are mainly Ca-Na-Fe-Mg-silicates constituting a significant portion of the Earth's upper mantle up to 20% of such shell of our planet. They could be found as typical mineral inclusions in diamonds being diopsidic and omphacitic in composition and, together with garnets, cover a key role in providing indications concerning the source rock in which the diamond crystallize. In detail, it is well known that eclogitic diamonds are characterized by clinopyroxenes with omphacitic compositions (about Ca0.5Na0.5Mg0.5Al0.5Si2O6) whereas peridotitic diamonds show clinopyroxenes very rich in the diopside end-member (CaMgSi2O6). In order to get direct chemical composition on the inclusions, and therefore on the diamond origin source, it is obviously necessary to extract them breaking and/or polishing the diamond host. However, a non-destructive investigation of an inclusion still trapped in a diamond is useful and important for different reasons: (1) the inclusions could be under pressure and their crystal structure can be modified if the pressure is released by the extraction; (2) the residual pressure on the inclusion can provide information about the formation pressure of the diamond (e.g. Nestola et al. 2011 and references therein); (3) the morphology and growth relationships of the inclusion with the host diamond can provide indications about its protogenetic vs. syngenetic and/or epigenetic nature; and (4) preservation of the diamond surface growth features can maintain crucial information on late oxidation processes (Fedortchouk et al. 2011). However the available methods to measure the composition of the inclusions implies to destroy the sample. The aim of this work is to obtain chemical information on the inclusions still trapped in their diamond host and therefore to indicate the diamond origin without extracting the inclusions. The work was carried out by single crystal X-ray diffraction using a new experimental approach by high energy synchrotron

  19. A multilayer innovative solution to improve the adhesion of nanocrystalline diamond coatings

    Energy Technology Data Exchange (ETDEWEB)

    Poulon-Quintin, A., E-mail: poulon@icmcb-bordeaux.cnrs.fr [CNRS, ICMCB, UPR 9048, F-33600 Pessac (France); Univ. Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France); Faure, C.; Teulé-Gay, L.; Manaud, J.P. [CNRS, ICMCB, UPR 9048, F-33600 Pessac (France); Univ. Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France)

    2015-03-15

    Highlights: • Improvement of the NCD adhesion on WC-12%Co substrates for tooling applications using a multi-interlayer additional system. • Reduction of the graphite layer thickness and continuity at the interface with the diamond. • Transmission electron microscopy study for a better understanding of the diffusion phenomena occurring at the interfaces. - Abstract: Nano-crystalline diamond (NCD) films grown under negative biased substrates by chemical vapor deposition (CVD) are widely used as surface overlay coating onto cermet WC-Co cutting tools to get better performances. To improve the diamond adhesion to the cermet substrate, suitable multi-layer systems have been added. They are composed of a cobalt diffusion barrier close to the substrate (single and sequenced nitrides layers) coated with a nucleation extra layer to improve the nucleus density of diamond during CVD processing. For all systems, before and after diamond deposition, transmission electron microscopy (TEM) has been performed for a better understanding of the diffusion phenomena occurring at the interfaces and to evaluate the presence of graphitic species at the interface with the diamond. Innovative multilayer system dedicated to the regulation of cobalt diffusion coated with a bilayer system optimized for the carbon diffusion control, is shown as an efficient solution to significantly reduce the graphite layer formation at the interface with the diamond down to 10 nm thick and to increase the adhesion of NCD diamond layer as scratch-tests confirm.

  20. A CVD Diamond Detector for (n,a) Cross-Section Measurements

    CERN Document Server

    Weiss, Christina; Griesmayer, Erich; Guerrero, Carlos

    A novel detector based on the chemical vapor deposition (CVD) diamond technology has been developed in the framework of this PhD, for the experimental determination of (n,a) cross-sections at the neutron time-of-flight facility n_TOF at CERN. The 59Ni(n,a)56Fe cross-section, which is relevant for astrophysical questions as well as for risk-assessment studies in nuclear technology, has been measured in order to validate the applicability of the detector for such experiments. The thesis is divided in four parts. In the introductory part the motivation for measuring (n,a) cross-sections, the experimental challenges for such measurements and the reasons for choosing the CVD diamond technology for the detector are given. This is followed by the presentation of the n_TOF facility, an introduction to neutron-induced nuclear reactions and a brief summary of the interaction of particles with matter. The CVD diamond technology and the relevant matters related to electronics are given as well in this first part of the t...

  1. RF characteristic of MESFET on H-terminated DC arc jet CVD diamond film

    International Nuclear Information System (INIS)

    Liu, J.L.; Li, C.M.; Zhu, R.H.; Guo, J.C.; Chen, L.X.; Wei, J.J.; Hei, L.F.; Wang, J.J.; Feng, Z.H.; Guo, H.; Lv, F.X.

    2013-01-01

    Diamond has been considered to be a potential material for high-frequency and high-power electronic devices due to the excellent electrical properties. In this paper, we reported the radio frequency (RF) characteristic of metal-semiconductor field effect transistor (MESFET) on polycrystalline diamond films prepared by direct current (DC) arc jet chemical vapor deposition (CVD). First, 4 in polycrystalline diamond films were deposited by DC arc jet CVD in gas recycling mode with the deposition rate of 14 μm/h. Then the polished diamond films were treated by microwave hydrogen plasma and the 0.2 μm-gate-length MESFET was fabricated by using Au mask photolithography and electron beam (EB) lithography. The surface conductivity of the H-terminated diamond film and DC and RF performances of the MESFET were characterized. The results demonstrate that, the carrier mobility of 24.6 cm 2 /V s and the carrier density of 1.096 × 10 13 cm −2 are obtained on the surface of H-terminated diamond film. The FET shows the maximum transition frequency (f T ) of 5 GHz and the maximum oscillation frequency (f max ) of 6 GHz at V GS = −0.5 V and V DS = −8 V, which indicates that H-terminated DC arc jet CVD polycrystalline diamond is suitable for the development of high frequency devices.

  2. Low-temperature electrical transport in B-doped ultrananocrystalline diamond film

    International Nuclear Information System (INIS)

    Li, Lin; Zhao, Jing; Hu, Zhaosheng; Quan, Baogang; Li, Junjie; Gu, Changzhi

    2014-01-01

    B-doped ultrananocrystalline diamond (UNCD) films are grown using hot-filament chemical vapor deposition method, and their electrical transport properties varying with temperature are investigated. When the B-doped concentration of UNCD film is low, a step-like increase feature of the resistance is observed with decreasing temperature, reflecting at least three temperature-modified electronic state densities at the Fermi level according to three-dimensional Mott's variable range hopping transport mechanism, which is very different from that of reported B-doped nanodiamond. With increasing B-doped concentration, a superconductive transformation occurs in the UNCD film and the highest transformation temperature of 5.3 K is observed, which is higher than that reported for superconducting nanodiamond films. In addition, the superconducting coherence length is about 0.63 nm, which breaks a reported theoretical and experimental prediction about ultra-nanoscale diamond's superconductivity

  3. Ionization signals from diamond detectors in fast-neutron fields

    Energy Technology Data Exchange (ETDEWEB)

    Weiss, C. [European Organization for Nuclear Research (CERN), Geneva (Switzerland); CIVIDEC Instrumentation, Wien (Austria); Frais-Koelbl, H. [University of Applied Sciences, Wiener Neustadt (Austria); Griesmayer, E.; Kavrigin, P. [CIVIDEC Instrumentation, Wien (Austria); Vienna University of Technology, Wien (Austria)

    2016-09-15

    In this paper we introduce a novel analysis technique for measurements with single-crystal chemical vapor deposition (sCVD) diamond detectors in fast-neutron fields. This method exploits the unique electronic property of sCVD diamond sensors that the signal shape of the detector current is directly proportional to the initial ionization profile. In fast-neutron fields the diamond sensor acts simultaneously as target and sensor. The interaction of neutrons with the stable isotopes {sup 12}C and {sup 13}C is of interest for fast-neutron diagnostics. The measured signal shapes of detector current pulses are used to identify individual types of interactions in the diamond with the goal to select neutron-induced reactions in the diamond and to suppress neutron-induced background reactions as well as γ-background. The method is verified with experimental data from a measurement in a 14.3 MeV neutron beam at JRC-IRMM, Geel/Belgium, where the {sup 13}C(n, α){sup 10}Be reaction was successfully extracted from the dominating background of recoil protons and γ-rays and the energy resolution of the {sup 12}C(n, α){sup 9}Be reaction was substantially improved. The presented analysis technique is especially relevant for diagnostics in harsh radiation environments, like fission and fusion reactors. It allows to extract the neutron spectrum from the background, and is particularly applicable to neutron flux monitoring and neutron spectroscopy. (orig.)

  4. Synthesis and characterization of carbon nanofilms for chemical sensing

    Science.gov (United States)

    Kumar, Vivek

    Carbon nanofilms obtained by high temperature graphitization of diamond surface in inert atmospheres or vacuum are modified by treatment in plasma of different precursor gases. At temperatures above 1000 °C, a stable conductive film of thickness between 10 - 100 nm and specific resistivity 10-3-10-4 Ωm, depending upon the heating conditions and the growth atmosphere, is formed on diamond surface. A gray, thin film of high surface resistivity is obtained in high vacuum, while at low vacuum (below 10-4 mbar), a thick black film of low surface resistivity forms. It is observed that the exposure to plasma reduces the surface conductance of carbon nanofilms as result of a partial removal of carbon and the plasma-stimulated amorphization. The rate of the reduction of conductance and hence the etching ability of plasma depends on the type of precursor gas. Hydrogen reveals the strongest etching ability, followed by oxygen and argon, whereas SF6 is ineffective. The carbon nanofilms show significant sensitivity of their electrical conductance to temperature and exposure to the vapors of common organic compounds. The oxygen plasma treated films exhibit selective response to acetone and water vapors. The fast response and recovery of the conductance are the features of the carbon nanofilms. The plasma-treated carbon nanofilm on graphitized diamond surface is discussed as a promising sensing material for development of all-carbon chemical sensors, which may be suitable for biological and medical applications. An alternative approach of fabrication of temperature and chemical sensitive carbon nanofilms on insulating substrates is proposed. The films are obtained by direct deposition of sputtered carbon on highly polished quartz substrates followed by subsequent annealing at temperatures above 400 °C. It is observed that the as-deposited films are essentially amorphous, while the heating induces irreversible structural ordering and gradual conversion of amorphous carbon in

  5. Recent Results from Beam Tests of 3D and Pad pCVD Diamond Detectors

    CERN Document Server

    Wallny, Rainer

    2017-01-01

    Results from prototypes of a detector using chemical vapor deposited (CVD) diamond with embedded resistive electrodes in the bulk forming a 3D diamond device are presented. A detector system consisting of 3D devices based on poly-crystalline CVD (pCVD) diamond was connected to a multi-channel readout and successfully tested in a 120 GeV/c proton beam at CERN proving for the first time the feasibility of the 3D detector concept in pCVD for particle tracking applications. We also present beam test results on the dependence of signal size on incident particle rate in charged particle detectors based on poly-crystalline CVD diamond. The detectors were tested in a 260 MeV/c pion beam over a range of particle fluxes from 2 kHz/cm2 to 10 MHz/cm2 . The pulse height of the sensors was measured with pad readout electronics at a peaking time of 7 ns. Our data from the 2015 beam tests at PSI indicate that the pulse height of poly-crystalline CVD diamond sensor irradiated to 5×1014 neq/cm2 is independent of particle flux...

  6. Synthesis of thick diamond films by direct current hot-cathode plasma chemical vapour deposition

    CERN Document Server

    Jin Zeng Sun; Bai Yi Zhen; Lu Xian Yi

    2002-01-01

    The method of direct current hot-cathode plasma chemical vapour deposition has been established. A long-time stable glow discharge at large discharge current and high gas pressure has been achieved by using a hot cathode in the temperature range from 1100 degree C to 1500 degree C and non-symmetrical configuration of the poles, in which the diameter of the cathode is larger than that of anode. High-quality thick diamond films, with a diameter of 40-50 mm and thickness of 0.5-4.2 mm, have been synthesized by this method. Transparent thick diamond films were grown over a range of growth rates between 5-10 mu m/h. Most of the thick diamond films have thermal conductivities of 10-12 W/K centre dot cm. The thick diamond films with high thermal conductivity can be used as a heat sink of semiconducting laser diode array and as a heat spreading and isolation substrate of multichip modules. The performance can be obviously improved

  7. Diamond Pixel Detectors and 3D Diamond Devices

    International Nuclear Information System (INIS)

    Venturi, N.

    2016-01-01

    Results from detectors of poly-crystalline chemical vapour deposited (pCVD) diamond are presented. These include the first analysis of data of the ATLAS Diamond Beam Monitor (DBM). The DBM module consists of pCVD diamond sensors instrumented with pixellated FE-I4 front-end electronics. Six diamond telescopes, each with three modules, are placed symmetrically around the ATLAS interaction point. The DBM tracking capabilities allow it to discriminate between particles coming from the interaction point and background particles passing through the ATLAS detector. Also, analysis of test beam data of pCVD DBM modules are presented. A new low threshold tuning algorithm based on noise occupancy was developed which increases the DBM module signal to noise ratio significantly. Finally first results from prototypes of a novel detector using pCVD diamond and resistive electrodes in the bulk, forming a 3D diamond device, are discussed. 3D devices based on pCVD diamond were successfully tested with test beams at CERN. The measured charge is compared to that of a strip detector mounted on the same pCVD diamond showing that the 3D device collects significantly more charge than the planar device.

  8. Environmental conditions to achieve low adhesion and low friction on diamond surfaces

    International Nuclear Information System (INIS)

    Guo, Haibo; Qi, Yue

    2010-01-01

    The adhesion and friction of both diamond and diamond-like carbon coatings can be dramatically changed by active gases in the environment, such as hydrogen, water vapor and humid air, due to tribochemical reactions. To understand the atmospheric effects and to predict the optimized environmental conditions (gas species, pressure and temperature), the tribochemical reactions on diamond surfaces are modeled from first principles thermodynamics. The results show that both H 2 and a mixture of H 2 O plus O 2 (such as humid air) can effectively achieve low adhesion and low friction with a fully –H or –OH passivated surface at very low partial pressures. Water vapor itself can passivate diamond (1 1 1) and (1 0 0) surfaces into half –H and half –OH terminated surfaces, but only at unrealistically high partial pressures. Even a trace amount of oxygen combined with water vapor can significantly reduce the water partial pressure for passivation. In all tribochemical reactions considered, the partial pressure required to reach low adhesion and low friction increases rapidly with temperature, and diamond (1 0 0) surface requires less partial pressures than (1 1 1) surface for surface passivation

  9. Research on chemical vapor deposition processes for advanced ceramic coatings

    Science.gov (United States)

    Rosner, Daniel E.

    1993-01-01

    Our interdisciplinary background and fundamentally-oriented studies of the laws governing multi-component chemical vapor deposition (VD), particle deposition (PD), and their interactions, put the Yale University HTCRE Laboratory in a unique position to significantly advance the 'state-of-the-art' of chemical vapor deposition (CVD) R&D. With NASA-Lewis RC financial support, we initiated a program in March of 1988 that has led to the advances described in this report (Section 2) in predicting chemical vapor transport in high temperature systems relevant to the fabrication of refractory ceramic coatings for turbine engine components. This Final Report covers our principal results and activities for the total NASA grant of $190,000. over the 4.67 year period: 1 March 1988-1 November 1992. Since our methods and the technical details are contained in the publications listed (9 Abstracts are given as Appendices) our emphasis here is on broad conclusions/implications and administrative data, including personnel, talks, interactions with industry, and some known applications of our work.

  10. Effect of vapor-phase oxygen on chemical vapor deposition growth of graphene

    Science.gov (United States)

    Terasawa, Tomo-o.; Saiki, Koichiro

    2015-03-01

    To obtain a large-area single-crystal graphene, chemical vapor deposition (CVD) growth on Cu is considered the most promising. Recently, the surface oxygen on Cu has been found to suppress the nucleation of graphene. However, the effect of oxygen in the vapor phase was not elucidated sufficiently. Here, we investigate the effect of O2 partial pressure (PO2) on the CVD growth of graphene using radiation-mode optical microscopy. The nucleation density of graphene decreases monotonically with PO2, while its growth rate reaches a maximum at a certain pressure. Our results indicate that PO2 is an important parameter to optimize in the CVD growth of graphene.

  11. Structure and wettability property of the growth and nucleation surfaces of thermally treated freestanding CVD diamond films

    Science.gov (United States)

    Pei, Xiaoqiang; Cheng, Shaoheng; Ma, Yibo; Wu, Danfeng; Liu, Junsong; Wang, Qiliang; Yang, Yizhou; Li, Hongdong

    2015-08-01

    This paper reports the surface features and wettability properties of the (1 0 0)-textured freestanding chemical vapor deposited (CVD) diamond films after thermal exposure in air at high temperature. Thermal oxidation at proper conditions eliminates selectively nanodiamonds and non-diamond carbons in the films. The growth side of the films contains (1 0 0)-oriented micrometer-sized columns, while its nucleation side is formed of nano-sized tips. The examined wettability properties of the as-treated diamond films reveal a hydrophilicity and superhydrophilicity on the growth surface and nucleation surface, respectively, which is determined by oxygen termination and geometry structure of the surface. When the surface termination is hydrogenated, the wettability of nucleation side converted from superhydrophilicity to high hydrophobicity, while the hydrophilicity of the growth side does not change significantly. The findings open a possibility for realizing freestanding diamond films having not only novel surface structures but also multifunction applications, especially proposed on the selected growth side or nucleation side in one product.

  12. Sputtered tungsten-based ternary and quaternary layers for nanocrystalline diamond deposition.

    Science.gov (United States)

    Walock, Michael J; Rahil, Issam; Zou, Yujiao; Imhoff, Luc; Catledge, Shane A; Nouveau, Corinne; Stanishevsky, Andrei V

    2012-06-01

    Many of today's demanding applications require thin-film coatings with high hardness, toughness, and thermal stability. In many cases, coating thickness in the range 2-20 microm and low surface roughness are required. Diamond films meet many of the stated requirements, but their crystalline nature leads to a high surface roughness. Nanocrystalline diamond offers a smoother surface, but significant surface modification of the substrate is necessary for successful nanocrystalline diamond deposition and adhesion. A hybrid hard and tough material may be required for either the desired applications, or as a basis for nanocrystalline diamond film growth. One possibility is a composite system based on carbides or nitrides. Many binary carbides and nitrides offer one or more mentioned properties. By combining these binary compounds in a ternary or quaternary nanocrystalline system, we can tailor the material for a desired combination of properties. Here, we describe the results on the structural and mechanical properties of the coating systems composed of tungsten-chromium-carbide and/or nitride. These WC-Cr-(N) coatings are deposited using magnetron sputtering. The growth of adherent nanocrystalline diamond films by microwave plasma chemical vapor deposition has been demonstrated on these coatings. The WC-Cr-(N) and WC-Cr-(N)-NCD coatings are characterized with atomic force microscopy and SEM, X-ray diffraction, X-ray photoelectron spectroscopy, Raman spectroscopy, and nanoindentation.

  13. A nitrogen doped low-dislocation density free-standing single crystal diamond plate fabricated by a lift-off process

    Energy Technology Data Exchange (ETDEWEB)

    Mokuno, Yoshiaki, E-mail: mokuno-y@aist.go.jp; Kato, Yukako; Tsubouchi, Nobuteru; Chayahara, Akiyoshi; Yamada, Hideaki; Shikata, Shinichi [Research Institute for Ubiquitous Energy Devices, National Institute of Advanced Industrial Science and Technology (AIST), 1-8-31 Midorigaoka, Ikeda, Osaka 563-8577 (Japan)

    2014-06-23

    A nitrogen-doped single crystal diamond plate with a low dislocation density is fabricated by chemical vapor deposition (CVD) from a high pressure high temperature synthetic type IIa seed substrate by ion implantation and lift-off processes. To avoid sub-surface damage, the seed surface was subjected to deep ion beam etching. In addition, we introduced a nitrogen flow during the CVD step to grow low-strain diamond at a relatively high growth rate. This resulted in a plate with low birefringence and a dislocation density as low as 400 cm{sup −2}, which is the lowest reported value for a lift-off plate. Reproducing this lift-off process may allow mass-production of single crystal CVD diamond plates with low dislocation density and consistent quality.

  14. Electrochemical Behavior of Biomedical Titanium Alloys Coated with Diamond Carbon in Hanks' Solution

    Science.gov (United States)

    Gnanavel, S.; Ponnusamy, S.; Mohan, L.; Radhika, R.; Muthamizhchelvan, C.; Ramasubramanian, K.

    2018-03-01

    Biomedical implants in the knee and hip are frequent failures because of corrosion and stress on the joints. To solve this important problem, metal implants can be coated with diamond carbon, and this coating plays a critical role in providing an increased resistance to implants toward corrosion. In this study, we have employed diamond carbon coating over Ti-6Al-4V and Ti-13Nb-13Zr alloys using hot filament chemical vapor deposition method which is well-established coating process that significantly improves the resistance toward corrosion, wears and hardness. The diamond carbon-coated Ti-13Nb-13Zr alloy showed an increased microhardness in the range of 850 HV. Electrochemical impedance spectroscopy and polarization studies in SBF solution (simulated body fluid solution) were carried out to understand the in vitro behavior of uncoated as well as coated titanium alloys. The experimental results showed that the corrosion resistance of Ti-13Nb-13Zr alloy is relatively higher when compared with diamond carbon-coated Ti-6Al-4V alloys due to the presence of β phase in the Ti-13Nb-13Zr alloy. Electrochemical impedance results showed that the diamond carbon-coated alloys behave as an ideal capacitor in the body fluid solution. Moreover, the stability in mechanical properties during the corrosion process was maintained for diamond carbon-coated titanium alloys.

  15. Advances in the chemical vapor deposition (CVD) of Tantalum

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Christensen, Erik

    2014-01-01

    The chemical stability of tantalum in hot acidic media has made it a key material in the protection of industrial equipment from corrosion under such conditions. The Chemical Vapor Deposition of tantalum to achieve such thin corrosion resistant coatings is one of the most widely mentioned examples...

  16. Synthesis of diamond-like carbon via PECD using a streaming neutral gas injection hollow cathode

    International Nuclear Information System (INIS)

    Pacho, A.; Pares, E.; Ramos, H.; Mendenilla, A.; Malapit, G.

    2009-01-01

    A streaming neutral gas injection hollow cathode system was used to deposit diamond-like carbon films via plasma enhanced chemical vapor deposition on silicon and nickel-coated silicon substrates with acetylene and hydrogen as reactant gases. Samples were characterized using SEM and Raman spectroscopy. The work presented here aims to demonstrate the capability of the system to synthesize carbonaceous films and is starting point towards work on formation of carbon nanostructures. (author)

  17. Fabrication of Cf/SiC composite by chemical vapor infiltration

    International Nuclear Information System (INIS)

    Park, Ji Yeon; Kim, Weon Ju

    2003-07-01

    This technical report reviewed the fabrication process of fiber reinforced ceramic composites, characteristics of the chemical vapor infiltration process, and applications for C f /SiC composite to develop a carbon fiber reinforced silicon carbide composite. Infiltration process was performed by the chemical vapor infiltration process using methyltrichlorosilane and hydrogen gas as a source and a diluent, respectively. Infiltration behavior, phase analysis, microstructure observation were carried out. Parameter study results of C f /SiC composite fabricated with some variables such as reaction pressure, reaction temperature, input gas ratio and preform thickness were described

  18. A finite element analysis of the effects of geometrical shape on the elastic properties of chemical vapor deposited diamond nanowire

    Directory of Open Access Journals (Sweden)

    Garuma Abdisa Denu

    2017-01-01

    Full Text Available We report the effect of geometrical shape of diamond nanowire on its mechanical properties. Finite element modeling using COMSOL Multiphysics software is used to simulate various diamond nanowire with circular, square, rectangular, hexagonal and triangular cross-sections. A bending test under concentrated load applied at one of the free ends is simulated using FEM. The force response of the nanowire under different loading is studied for the various cross-sections. The dimensions of each cross-section is chosen so that material properties such as Young’s modulus can be kept constant for comparison in all the cross-sections. It is found out that the bending capability of a triangular nanowire is higher compared to other cross-sections due to its lowest second moment. Circular and hexagonal cross-section show highest stiffness. The study of mechanical property of diamond nanowires is useful for optimal nanomechanical designs where the effect of cross-section has to be taken into account.

  19. Bragg superlattice for obtaining individual photoluminescence of diamond color centers in dense 3D ensembles

    Science.gov (United States)

    Kukushkin, V. A.

    2017-10-01

    A way to significantly increase the spatial resolution of the color center photoluminescence collection in chemically vapor-deposited (CVD) diamond at a fixed exciting beam focal volume is suggested. It is based on the creation of a narrow waveguide for the color center photoluminescence with a small number of allowed vertical indices of guided modes. The waveguide is formed between the top surface of a CVD diamond film and an underlaid mirror—a Bragg superlattice made of interchanging high- and low boron-doped layers of CVD diamond. The guided color center photoluminescence is extracted through the top surface of a CVD diamond film with the frustrated total internal reflection method. According to the results of simulation made for a case when color centers are nitrogen-vacancy (NV) centers, the suggested way allows to increase the maximal value of the NV center concentration still compatible with selective collection of their photoluminescence by several times at a fixed exciting beam focal volume. This increase is provided without the deterioration of the NV center photoluminescence collection efficiency.

  20. Structural and electrical characterization of diamond films deposited in nitrogen/oxygen containing gas mixture by linear antenna microwave CVD process

    Czech Academy of Sciences Publication Activity Database

    Vojs, Marian; Varga, Marián; Babchenko, Oleg; Ižák, Tibor; Mikolášek, M.; Marton, M.; Kromka, Alexander

    2014-01-01

    Roč. 312, SEP (2014), s. 226-230 ISSN 0169-4332 R&D Projects: GA ČR(CZ) GBP108/12/G108 Institutional support: RVO:68378271 Keywords : pulsed linear antenna microwave chemical vapor deposition * nanocrystalline diamond * Raman spectroscopy * admittance spectroscopy * n-type conductive NCD Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.711, year: 2014

  1. Nucleation and adhesion of diamond films on Co cemented tungsten carbide

    Energy Technology Data Exchange (ETDEWEB)

    Polini, R.; Santarelli, M.; Traversa, E.

    1999-12-01

    Diamond deposits were grown using hot filament chemical vapor deposition (CVD) on pretreated Co cemented tungsten carbide (WC-Co) substrates with an average grain size of 6 {micro}m. Depositions were performed with 0.5 or 1.0% methane concentration and with substrate temperatures ranging from 750 to 1,000 C. Diamond nucleation densities were measured by scanning electron microscopy. Scratched and bias-enhanced nucleation pretreated substrates showed the larger nucleation densities. Etching of the WC performed by Murakami's reagent, followed by surface-Co dissolution (MP pretreatment), led to a roughened but scarcely nucleating surface. The performance of a scratching prior to the MP pretreatment allowed one to increase the nucleation density, due scratching-induced defects, confined in the outermost layer of WC grains, which act as nucleation sites. Smaller nucleation densities were observed with increasing the substrate temperature and reducing the methane concentration, confirming that diamond nucleates via a heterogeneous process. The adhesion of continuous films was evaluated by the reciprocal of the slope of crack radius-indentation load functions. The substrate pretreatments mainly affected the film adhesion, while the influence of CVD process conditions was minor. The two main factors that improve the diamond film adhesion are the coating-substrate contact area and the surface-Co removal.

  2. Vaporization of a mixed precursors in chemical vapor deposition for YBCO films

    Science.gov (United States)

    Zhou, Gang; Meng, Guangyao; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1995-01-01

    Single phase YBa2Cu3O7-delta thin films with T(c) values around 90 K are readily obtained by using a single source chemical vapor deposition technique with a normal precursor mass transport. The quality of the films is controlled by adjusting the carrier gas flow rate and the precursor feed rate.

  3. Classification Characteristics of Carbon Nanotube Polymer Composite Chemical Vapor Detectors

    National Research Council Canada - National Science Library

    Hinshaw, Huynh A

    2006-01-01

    .... This is accomplished by the detection and identification of chemical agents. The Air Force has several instruments to detect chemical vapors, but is always looking for lighter, faster, and more accurate technology for a better capability...

  4. Calibration of an isotopically enriched carbon-13 layer pressure sensor to 156 GPa in a diamond anvil cell

    International Nuclear Information System (INIS)

    Qiu Wei; Baker, Paul A.; Velisavljevic, Nenad; Vohra, Yogesh K.; Weir, Samuel T.

    2006-01-01

    An isotopically enriched 13 C homoepitaxial diamond layer of 6±1 μm thickness was grown on top of a brilliant cut diamond anvil by a microwave plasma chemical vapor deposition process for application as a pressure sensor. This isotopically enriched diamond tip was then used in conjunction with a natural isotopic abundance diamond anvil to generate high pressure on the sample. We provide a calibration for the 13 C Raman mode of this extremely thin epitaxial layer to 156 GPa using ruby fluorescence and the equation of state of copper as secondary pressure standards. The nonlinear calibration of the 13 C Raman mode pressure sensor is compared with similar calibrations of 12 C Raman edge and a good agreement is obtained. The Raman signal from the 13 C epitaxial layer remained a distinct singlet to 156 GPa, and pressure calibration is independent of sample mechanical strength or the diamond anvil geometry. The use of even thinner layer would allow calibration further into ultrahigh pressure regime where the use of other optical sensors has proven to be difficult

  5. Rhombic Coulomb diamonds in a single-electron transistor based on an Au nanoparticle chemically anchored at both ends.

    Science.gov (United States)

    Azuma, Yasuo; Onuma, Yuto; Sakamoto, Masanori; Teranishi, Toshiharu; Majima, Yutaka

    2016-02-28

    Rhombic Coulomb diamonds are clearly observed in a chemically anchored Au nanoparticle single-electron transistor. The stability diagrams show stable Coulomb blockade phenomena and agree with the theoretical curve calculated using the orthodox model. The resistances and capacitances of the double-barrier tunneling junctions between the source electrode and the Au core (R1 and C1, respectively), and those between the Au core and the drain electrode (R2 and C2, respectively), are evaluated as 4.5 MΩ, 1.4 aF, 4.8 MΩ, and 1.3 aF, respectively. This is determined by fitting the theoretical curve against the experimental Coulomb staircases. Two-methylene-group short octanedithiols (C8S2) in a C8S2/hexanethiol (C6S) mixed self-assembled monolayer is concluded to chemically anchor the core of the Au nanoparticle at both ends between the electroless-Au-plated nanogap electrodes even when the Au nanoparticle is protected by decanethiol (C10S). This is because the R1 value is identical to that of R2 and corresponds to the tunneling resistances of the octanedithiol chemically bonded with the Au core and the Au electrodes. The dependence of the Coulomb diamond shapes on the tunneling resistance ratio (R1/R2) is also discussed, especially in the case of the rhombic Coulomb diamonds. Rhombic Coulomb diamonds result from chemical anchoring of the core of the Au nanoparticle at both ends between the electroless-Au-plated nanogap electrodes.

  6. Metastable State Diamond Growth and its Applications to Electronic Devices.

    Science.gov (United States)

    Jeng, David Guang-Kai

    Diamond which consists of a dense array of carbon atoms joined by strong covalent bonds and formed into a tetrahedral crystal structure has remarkable mechanical, thermal, optical and electrical properties suitable for many industrial applications. With a proper type of doping, diamond is also an ideal semiconductor for high performance electronic devices. Unfortunately, natural diamond is rare and limited by its size and cost, it is not surprising that people continuously look for a synthetic replacement. It was believed for long time that graphite, another form of carbon, may be converted into diamond under high pressure and temperature. However, the exact condition of conversion was not clear. In 1939, O. I. Leipunsky developed an equilibrium phase diagram between graphite and diamond based on thermodynamic considerations. In the phase diagram, there is a low temperature (below 1000^ circC) and low pressure (below 1 atm) region in which diamond is metastable and graphite is stable, therefore establishes the conditions for the coexistence of the two species. Leipunsky's pioneer work opened the door for diamond synthesis. In 1955, the General Electric company (GE) was able to produce artificial diamond at 55k atm pressure and a temperature of 2000^ circC. Contrary to GE, B. Derjaguin and B. V. Spitzyn in Soviet Union, developed a method of growing diamonds at 1000^circC and at a much lower pressure in 1956. Since then, researchers, particularly in Soviet Union, are continuously looking for methods to grow diamond and diamond film at lower temperatures and pressures with slow but steady progress. It was only in the early 80's that the importance of growing diamond films had attracted the attentions of researchers in the Western world and in Japan. Recent progress in plasma physics and chemical vapor deposition techniques in integrated electronics technology have pushed the diamond growth in its metastable states into a new era. In this research, a microwave plasma

  7. Diamonds on Diamond: structural studies at extreme conditions on the Diamond Light Source.

    Science.gov (United States)

    McMahon, M I

    2015-03-06

    Extreme conditions (EC) research investigates how the structures and physical and chemical properties of materials change when subjected to extremes of pressure and temperature. Pressures in excess of one million times atmospheric pressure can be achieved using a diamond anvil cell, and, in combination with high-energy, micro-focused radiation from a third-generation synchrotron such as Diamond, detailed structural information can be obtained using either powder or single-crystal diffraction techniques. Here, I summarize some of the research drivers behind international EC research, and then briefly describe the techniques by which high-quality diffraction data are obtained. I then highlight the breadth of EC research possible on Diamond by summarizing four examples from work conducted on the I15 and I19 beamlines, including a study which resulted in the first research paper from Diamond. Finally, I look to the future, and speculate as to the type of EC research might be conducted at Diamond over the next 10 years. © 2015 The Author(s) Published by the Royal Society. All rights reserved.

  8. Indigenous development of diamond detectors for monitoring neutrons

    International Nuclear Information System (INIS)

    Singh, Arvind; Amit Kumar; Topkar, Anita; Pithawa, C.K.

    2013-01-01

    High purity synthetic chemically vapor deposited (CVD) diamond has several outstanding characteristics that make it as an important material for detector applications specifically for extreme environmental conditions like high temperature, high radiation, and highly corrosive environments. Diamond detectors are especially considered promising for monitoring fast neutrons produced by the D-T nuclear fusion reactions in next generation fusion facilities such as ITER. When fast neutrons interact with carbon, elastic, inelastic and (n,α) type reactions can occur. These reactions can be employed for the detection of fast neutrons using diamond. We have initiated the development of diamond detectors based on synthetic CVD substrates. In this paper, the first test of a polycrystalline CVD diamond detector with fast neutrons is reported. The test results demonstrate that this detector can be used for monitoring fast neutrons. The diamond detectors have been fabricated using 5 mm x 5 mm, 300 μm polycrystalline diamond substrates. Aluminum metallization has been used on both sides of the detector to provide electrical contacts. The performance of fabricated detectors was first evaluated using current and capacitance measurements. The leakage current was observed to be stable and about a few pAs for voltages up to 300V. The capacitance-voltage characteristics showed a constant capacitance which is as expected. To confirm the response of the detector to charged particles, the pulse height spectrum (PHS) was obtained using 238 Pu- 239 Pu dual α- source. The PHS showed a continuum without any peak due to polycrystalline nature of diamond film. The response of the detector to fast neutrons has been studied using the fast neutron facility at NXF, BARC. The PHS obtained for a neutron yield of 4 x 10 8 n/s is shown. The average counts per second (cps) measured for diamond detector for different neutron yields is shown. The plot shows linearity with coefficient of determination R

  9. Microwave assisted chemical vapor infiltration

    International Nuclear Information System (INIS)

    Devlin, D.J.; Currier, R.P.; Barbero, R.S.; Espinoza, B.F.; Elliott, N.

    1991-01-01

    A microwave assisted process for production of continuous fiber reinforced ceramic matrix composites is described. A simple apparatus combining a chemical vapor infiltration reactor with a conventional 700 W multimode oven is described. Microwave induced inverted thermal gradients are exploited with the ultimate goal of reducing processing times on complex shapes. Thermal gradients in stacks of SiC (Nicalon) cloths have been measured using optical thermometry. Initial results on the ''inside out'' deposition of SiC via decomposition of methyltrichlorosilane in hydrogen are presented. Several key processing issues are identified and discussed. 5 refs

  10. Overview of chemical vapor infiltration

    Energy Technology Data Exchange (ETDEWEB)

    Besmann, T.M.; Stinton, D.P.; Lowden, R.A.

    1993-06-01

    Chemical vapor infiltration (CVI) is developing into a commercially important method for the fabrication of continuous filament ceramic composites. Current efforts are focused on the development of an improved understanding of the various processes in CVI and its modeling. New approaches to CVI are being explored, including pressure pulse infiltration and microwave heating. Material development is also proceeding with emphasis on improving the oxidation resistance of the interfacial layer between the fiber and matrix. This paper briefly reviews these subjects, indicating the current state of the science and technology.

  11. Adhesion enhancement of diamond-like carbon thin films on Ti alloys by incorporation of nanodiamond particles

    International Nuclear Information System (INIS)

    Zhang, C.Z.; Tang, Y.; Li, Y.S.; Yang, Q.

    2013-01-01

    Coating adherent diamond-like carbon (DLC) thin films directly on Ti alloys is technologically difficult. This research incorporates nanodiamond particles to form a diamond/DLC composite interlayer to enhance the adhesion of DLC thin films on Ti6Al4V substrates. Initially, nanodiamond particles were deposited on Ti6Al4V substrates by microwave plasma enhanced chemical vapor deposition from a methane–hydrogen gas mixture. A DLC thin film was then deposited, on top of the nanodiamond particles, by direct ion beam deposition. Scanning electron microscopy, Atomic force microscopy, X-ray Diffraction and Raman spectroscopy were used to characterize the microstructure and chemical bonding of the deposited particles and films, and Rockwell indentation testing was used to evaluate the adhesion of the deposited films. The results indicate that the pre-deposited nanodiamond particles significantly enhance the interfacial adhesion between the DLC thin film and the Ti6Al4V substrate, possibly by enhanced interfacial bonding, mechanical interlocking, and stress relief. - Highlights: ► Nanodiamond particles were deposited on Ti6Al4V before DLC deposition. ► Diamond/DLC composite film was formed by incorporation of nanodiamond particles. ► Greatly enhanced adhesion of diamond/DLC composite film on Ti6Al4V was achieved. ► Enhanced adhesion is by increased interfacial bonding and mechanical interlocking

  12. Adhesion enhancement of diamond-like carbon thin films on Ti alloys by incorporation of nanodiamond particles

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, C.Z.; Tang, Y. [Department of Mechanical Engineering, University of Saskatchewan, 57 Campus Drive, Saskatoon, SK, Canada S7N 5A9 (Canada); Li, Y.S. [Plasma Physics Laboratory, University of Saskatchewan, 116 Science Place, Saskatoon, SK, Canada S7N 5E2 (Canada); Yang, Q., E-mail: qiaoqin.yang@usask.ca [Department of Mechanical Engineering, University of Saskatchewan, 57 Campus Drive, Saskatoon, SK, Canada S7N 5A9 (Canada)

    2013-01-01

    Coating adherent diamond-like carbon (DLC) thin films directly on Ti alloys is technologically difficult. This research incorporates nanodiamond particles to form a diamond/DLC composite interlayer to enhance the adhesion of DLC thin films on Ti6Al4V substrates. Initially, nanodiamond particles were deposited on Ti6Al4V substrates by microwave plasma enhanced chemical vapor deposition from a methane–hydrogen gas mixture. A DLC thin film was then deposited, on top of the nanodiamond particles, by direct ion beam deposition. Scanning electron microscopy, Atomic force microscopy, X-ray Diffraction and Raman spectroscopy were used to characterize the microstructure and chemical bonding of the deposited particles and films, and Rockwell indentation testing was used to evaluate the adhesion of the deposited films. The results indicate that the pre-deposited nanodiamond particles significantly enhance the interfacial adhesion between the DLC thin film and the Ti6Al4V substrate, possibly by enhanced interfacial bonding, mechanical interlocking, and stress relief. - Highlights: ► Nanodiamond particles were deposited on Ti6Al4V before DLC deposition. ► Diamond/DLC composite film was formed by incorporation of nanodiamond particles. ► Greatly enhanced adhesion of diamond/DLC composite film on Ti6Al4V was achieved. ► Enhanced adhesion is by increased interfacial bonding and mechanical interlocking.

  13. Deposition and micro electrical discharge machining of CVD-diamond layers incorporated with silicon

    Science.gov (United States)

    Kühn, R.; Berger, T.; Prieske, M.; Börner, R.; Hackert-Oschätzchen, M.; Zeidler, H.; Schubert, A.

    2017-10-01

    In metal forming, lubricants have to be used to prevent corrosion or to reduce friction and tool wear. From an economical and ecological point of view, the aim is to avoid the usage of lubricants. For dry deep drawing of aluminum sheets it is intended to apply locally micro-structured wear-resistant carbon based coatings onto steel tools. One type of these coatings are diamond layers prepared by chemical vapor deposition (CVD). Due to the high strength of diamond, milling processes are unsuitable for micro-structuring of these layers. In contrast to this, micro electrical discharge machining (micro EDM) is a suitable process for micro-structuring CVD-diamond layers. Due to its non-contact nature and its process principle of ablating material by melting and evaporating, it is independent of the hardness, brittleness or toughness of the workpiece material. In this study the deposition and micro electrical discharge machining of silicon incorporated CVD-diamond (Si-CVD-diamond) layers were presented. For this, 10 µm thick layers were deposited on molybdenum plates by a laser-induced plasma CVD process (LaPlas-CVD). For the characterization of the coatings RAMAN- and EDX-analyses were conducted. Experiments in EDM were carried out with a tungsten carbide tool electrode with a diameter of 90 µm to investigate the micro-structuring of Si-CVD-diamond. The impact of voltage, discharge energy and tool polarity on process speed and resulting erosion geometry were analyzed. The results show that micro EDM is a suitable technology for micro-structuring of silicon incorporated CVD-diamond layers.

  14. Characterization of chemical vapour deposited diamond films: correlation between hydrogen incorporation and film morphology and quality

    International Nuclear Information System (INIS)

    Tang, C J; Neves, A J; Carmo, M C

    2005-01-01

    In order to tailor diamond synthesized through chemical vapour deposition (CVD) for different applications, many diamond films of different colours and variable quality were deposited by a 5 kW microwave plasma CVD reactor under different growth conditions. The morphology, quality and hydrogen incorporation of these films were characterized using scanning electron microscopy (SEM), Raman and Fourier-transform infrared (FTIR) spectroscopy, respectively. From this study, a general trend between hydrogen incorporation and film colour, morphology and quality was found. That is, as the films sorted by colour gradually become darker, ranging from white through grey to black, high magnification SEM images illustrate that the smoothness of the well defined crystalline facet gradually decreases and second nucleation starts to appear on it, indicating gradual degradation of the crystalline quality. Correspondingly, Raman spectra evidence that the diamond Raman peak at 1332 cm -1 becomes broader and the non-diamond carbon band around 1500 cm -1 starts to appear and becomes stronger, confirming increase of the non-diamond component and decrease of the phase purity of the film, while FTIR spectra show that the CH stretching band and the two CVD diamond specific peaks around 2830 cm -1 rise rapidly, and this indicates that the total amount of hydrogen incorporated into the film increases significantly

  15. A beam radiation monitor based on CVD diamonds for SuperB

    Science.gov (United States)

    Cardarelli, R.; Di Ciaccio, A.

    2013-08-01

    Chemical Vapor Deposition (CVD) diamond particle detectors are in use in the CERN experiments at LHC and at particle accelerator laboratories in Europe, USA and Japan mainly as beam monitors. Nowadays it is considered a proven technology with a very fast signal read-out and a very high radiation tolerance suitable for measurements in high radiation environment zones i.e. near the accelerators beam pipes. The specific properties of CVD diamonds make them a prime candidate for measuring single particles as well as high-intensity particle cascades, for timing measurements on the sub-nanosecond scale and for beam protection systems in hostile environments. A single-crystalline CVD (scCVD) diamond sensor, read out with a new generation of fast and high transition frequency SiGe bipolar transistor amplifiers, has been tested for an application as radiation monitor to safeguard the silicon vertex tracker in the SuperB detector from excessive radiation damage, cumulative dose and instantaneous dose rates. Test results with 5.5 MeV alpha particles from a 241Am radioactive source and from electrons from a 90Sr radioactive source are presented in this paper.

  16. Growth of high quality AlN films on CVD diamond by RF reactive magnetron sputtering

    Science.gov (United States)

    Chen, Liang-xian; Liu, Hao; Liu, Sheng; Li, Cheng-ming; Wang, Yi-chao; An, Kang; Hua, Chen-yi; Liu, Jin-long; Wei, Jun-jun; Hei, Li-fu; Lv, Fan-xiu

    2018-02-01

    A highly oriented AlN layer has been successfully grown along the c-axis on a polycrystalline chemical vapor deposited (CVD) diamond by RF reactive magnetron sputtering. Structural, morphological and mechanical properties of the heterostructure were investigated by Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM), Transmission Electron Microscopy (TEM), X-ray diffraction (XRD), Nano-indentation and Four-probe meter. A compact AlN film was demonstrated on the diamond layer, showing columnar grains and a low surface roughness of 1.4 nm. TEM results revealed a sharp AlN/diamond interface, which was characterized by the presence of a distinct 10 nm thick buffer layer resulting from the initial AlN growth stage. The FWHM of AlN (002) diffraction peak and its rocking curve are as low as 0.41° and 3.35° respectively, indicating a highly preferred orientation along the c-axis. AlN sputtered films deposited on glass substrates show a higher bulk resistivity (up to 3 × 1012 Ω cm), compared to AlN films deposited on diamond (∼1010 Ω cm). Finally, the film hardness and Young's modulus of AlN films on diamond are 25.8 GPa and 489.5 GPa, respectively.

  17. Diamond electrophoretic microchips-Joule heating effects

    International Nuclear Information System (INIS)

    Karczemska, Anna T.; Witkowski, Dariusz; Ralchenko, Victor; Bolshakov, Andrey; Sovyk, Dmitry; Lysko, Jan M.; Fijalkowski, Mateusz; Bodzenta, Jerzy; Hassard, John

    2011-01-01

    Microchip electrophoresis (MCE) has become a mature separation technique in the recent years. In the presented research, a polycrystalline diamond electrophoretic microchip was manufactured with a microwave plasma chemical vapour deposition (MPCVD) method. A replica technique (mould method) was used to manufacture microstructures in diamond. A numerical analysis with CoventorWare TM was used to compare thermal properties during chip electrophoresis of diamond and glass microchips of the same geometries. Temperature distributions in microchips were demonstrated. Thermal, electrical, optical, chemical and mechanical parameters of the polycrystalline diamond layers are advantageous over traditionally used materials for microfluidic devices. Especially, a very high thermal conductivity coefficient gives a possibility of very efficient dissipation of Joule heat from the diamond electrophoretic microchip. This enables manufacturing of a new generation of microdevices.

  18. Diamond electrophoretic microchips-Joule heating effects

    Energy Technology Data Exchange (ETDEWEB)

    Karczemska, Anna T., E-mail: anna.karczemska@p.lodz.pl [Technical University of Lodz, Institute of Turbomachinery, 219/223 Wolczanska str., Lodz (Poland); Witkowski, Dariusz [Technical University of Lodz, Institute of Turbomachinery, 219/223 Wolczanska str., Lodz (Poland); Ralchenko, Victor, E-mail: ralchenko@nsc.gpi.ru [General Physics Institute, Russian Academy of Science, 38 Vavilov str., Moscow (Russian Federation); Bolshakov, Andrey; Sovyk, Dmitry [General Physics Institute, Russian Academy of Science, 38 Vavilov str., Moscow (Russian Federation); Lysko, Jan M., E-mail: jmlysko@ite.waw.pl [Institute of Electron Technology, Al. Lotnikow 32/46, 02-668 Warsaw (Poland); Fijalkowski, Mateusz, E-mail: petr.louda@vslib.cz [Technical University of Liberec, Faculty of Mechanical Engineering (Czech Republic); Bodzenta, Jerzy, E-mail: jerzy.bodzenta@polsl.pl [Silesian University of Technology, Institute of Physics, 2 Krzywoustego str., 44-100 Gliwice (Poland); Hassard, John, E-mail: j.hassard@imperial.ac.uk [Imperial College of Science, Technology and Medicine, London (United Kingdom)

    2011-03-15

    Microchip electrophoresis (MCE) has become a mature separation technique in the recent years. In the presented research, a polycrystalline diamond electrophoretic microchip was manufactured with a microwave plasma chemical vapour deposition (MPCVD) method. A replica technique (mould method) was used to manufacture microstructures in diamond. A numerical analysis with CoventorWare{sup TM} was used to compare thermal properties during chip electrophoresis of diamond and glass microchips of the same geometries. Temperature distributions in microchips were demonstrated. Thermal, electrical, optical, chemical and mechanical parameters of the polycrystalline diamond layers are advantageous over traditionally used materials for microfluidic devices. Especially, a very high thermal conductivity coefficient gives a possibility of very efficient dissipation of Joule heat from the diamond electrophoretic microchip. This enables manufacturing of a new generation of microdevices.

  19. Diamond network: template-free fabrication and properties.

    Science.gov (United States)

    Zhuang, Hao; Yang, Nianjun; Fu, Haiyuan; Zhang, Lei; Wang, Chun; Huang, Nan; Jiang, Xin

    2015-03-11

    A porous diamond network with three-dimensionally interconnected pores is of technical importance but difficult to be produced. In this contribution, we demonstrate a simple, controllable, and "template-free" approach to fabricate diamond networks. It combines the deposition of diamond/β-SiC nanocomposite film with a wet-chemical selective etching of the β-SiC phase. The porosity of these networks was tuned from 15 to 68%, determined by the ratio of the β-SiC phase in the composite films. The electrochemical working potential and the reactivity of redox probes on the diamond networks are similar to those of a flat nanocrystalline diamond film, while their surface areas are hundreds of times larger than that of a flat diamond film (e.g., 490-fold enhancement for a 3 μm thick diamond network). The marriage of the unprecedented physical/chemical features of diamond with inherent advantages of the porous structure makes the diamond network a potential candidate for various applications such as water treatment, energy conversion (batteries or fuel cells), and storage (capacitors), as well as electrochemical and biochemical sensing.

  20. Alcohol vapor sensing by cadmium-doped zinc oxide thick films based chemical sensor

    Science.gov (United States)

    Zargar, R. A.; Arora, M.; Chackrabarti, S.; Ahmad, S.; Kumar, J.; Hafiz, A. K.

    2016-04-01

    Cadmium-doped zinc oxide nanoparticles were derived by simple chemical co-precipitation route using zinc acetate dihydrate and cadmium acetate dihydrate as precursor materials. The thick films were casted from chemical co-precipitation route prepared nanoparticles by economic facile screen printing method. The structural, morphological, optical and electrical properties of the film were characterized relevant to alcohol vapor sensing application by powder XRD, SEM, UV-VIS and DC conductivity techniques. The response and sensitivity of alcohol (ethanol) vapor sensor are obtained from the recovery curves at optimum working temperature range from 20∘C to 50∘C. The result shows that maximum sensitivity of the sensor is observed at 25∘C operating temperature. On varying alcohol vapor concentration, minor variation in resistance has been observed. The sensing mechanism of sensor has been described in terms of physical adsorption and chemical absorption of alcohol vapors on cadmium-doped zinc oxide film surface and inside film lattice network through weak hydrogen bonding, respectively.

  1. Structural and electrical properties of conducting diamond nanowires.

    Science.gov (United States)

    Sankaran, Kamatchi Jothiramalingam; Lin, Yen-Fu; Jian, Wen-Bin; Chen, Huang-Chin; Panda, Kalpataru; Sundaravel, Balakrishnan; Dong, Chung-Li; Tai, Nyan-Hwa; Lin, I-Nan

    2013-02-01

    Conducting diamond nanowires (DNWs) films have been synthesized by N₂-based microwave plasma enhanced chemical vapor deposition. The incorporation of nitrogen into DNWs films is examined by C 1s X-ray photoemission spectroscopy and morphology of DNWs is discerned using field-emission scanning electron microscopy and transmission electron microscopy (TEM). The electron diffraction pattern, the visible-Raman spectroscopy, and the near-edge X-ray absorption fine structure spectroscopy display the coexistence of sp³ diamond and sp² graphitic phases in DNWs films. In addition, the microstructure investigation, carried out by high-resolution TEM with Fourier transformed pattern, indicates diamond grains and graphitic grain boundaries on surface of DNWs. The same result is confirmed by scanning tunneling microscopy and scanning tunneling spectroscopy (STS). Furthermore, the STS spectra of current-voltage curves discover a high tunneling current at the position near the graphitic grain boundaries. These highly conducting regimes of grain boundaries form effective electron paths and its transport mechanism is explained by the three-dimensional (3D) Mott's variable range hopping in a wide temperature from 300 to 20 K. Interestingly, this specific feature of high conducting grain boundaries of DNWs demonstrates a high efficiency in field emission and pave a way to the next generation of high-definition flat panel displays or plasma devices.

  2. Ion beam induced surface graphitization of CVD diamond for x-ray beam position monitor applications

    International Nuclear Information System (INIS)

    Liu, Chian; Shu, D.; Kuzay, T.M.; Wen, L.; Melendres, C.A.; Argonne National Lab., IL

    1996-01-01

    The Advanced Photon Source at ANL is a third-generation synchrotron facility that generates powerful x-ray beams on its undulator beamlines. It is important to know the position and angle of the x- ray beam during experiments. Due to very high heat flux levels, several patented x-ray beam position monitors (XBPM) exploiting chemical vapor deposition (CVD) diamond have been developed. These XBPMs have a thin layer of low-atomic-mass metallic coating so that photoemission from the x rays generate a minute but measurable current for position determination. Graphitization of the CVD diamond surface creates a very thin, intrinsic and conducting layer that can stand much higher temperatures and minimal x-ray transmission losses compared to the coated metallic layers. In this paper, a laboratory sputter ion source was used to transform selected surfaces of a CVD diamond substrate into graphite. The effect of 1-5 keV argon ion bombardment on CVD diamond surfaces at various target temperatures from 200 to 500 C was studied using Auger electron spectroscopy and in-situ electrical resistivity measurements. Graphitization after the ion bombardment has been confirmed and optimum conditions for graphitization studied. Raman spectroscopy was used to identify the overall diamond structure in the bulk of CVD diamond substrate after the ion bombardments. It was found that target temperature plays an important role in stability and electrical conductivity of the irradiated CVD diamonds

  3. Pixelated transmission-mode diamond X-ray detector.

    Science.gov (United States)

    Zhou, Tianyi; Ding, Wenxiang; Gaowei, Mengjia; De Geronimo, Gianluigi; Bohon, Jen; Smedley, John; Muller, Erik

    2015-11-01

    Fabrication and testing of a prototype transmission-mode pixelated diamond X-ray detector (pitch size 60-100 µm), designed to simultaneously measure the flux, position and morphology of an X-ray beam in real time, are described. The pixel density is achieved by lithographically patterning vertical stripes on the front and horizontal stripes on the back of an electronic-grade chemical vapor deposition single-crystal diamond. The bias is rotated through the back horizontal stripes and the current is read out on the front vertical stripes at a rate of ∼ 1 kHz, which leads to an image sampling rate of ∼ 30 Hz. This novel signal readout scheme was tested at beamline X28C at the National Synchrotron Light Source (white beam, 5-15 keV) and at beamline G3 at the Cornell High Energy Synchrotron Source (monochromatic beam, 11.3 keV) with incident beam flux ranges from 1.8 × 10(-2) to 90 W mm(-2). Test results show that the novel detector provides precise beam position (positional noise within 1%) and morphology information (error within 2%), with an additional software-controlled single channel mode providing accurate flux measurement (fluctuation within 1%).

  4. Application of heat treatment and dispersive strengthening concept in interlayer deposition to enhance diamond film adherence

    Energy Technology Data Exchange (ETDEWEB)

    Lin Chiiruey [Tatung Inst. of Technol., Taipei (Taiwan, Province of China). Dept. of Mech. Eng.; Kuo Chengtzu; Chang Rueyming [Institute of Materials Science and Engineering, National Chiao Tung University, 1001 Ta-Hsueh Road, Hsinchu 30050 (Taiwan, Province of China)

    1997-10-31

    Two different deposition processes were carried out to enhance adherence of diamond films on WC+3-5%Co substrate with Ti-Si as the interlayer. One process can be called two-step diamond deposition process. Another process can be called interlayer heat treatment process. Diamond films were deposited by a microwave plasma chemical vapor deposition system. Ti and Si interlayer are deposited by DC sputter and an E-gun, respectively. Film morphologies, interface structure and film quality were examined by SEM, XRD, Auger electron spectroscopy and Raman spectroscopy. The residual stresses and adhesion strengths of the films were determined by Raman spectroscopy and indentation adhesion testing, respectively. Comparing the regular one-step diamond deposition process with the present two different new processes, the average dP/dX values, which are a measure of the adherence of the film, are 354 kgf/mm, 494 kgf/mm and 787 kgf/mm, respectively. In other words, the interlayer heat treatment process gives the best film adherence on average. For the two-step diamond deposition process, the interlayer thickness and the percent diamond surface coverage of the first diamond deposition step are the main parameters, and there exists an optimum Ti thickness and percent diamond coverage for the best film adherence. The main contribution to better film adherence is not a large difference in residual stress, but is due to the following reasons. The interlayer heat treatment can transform amorphous Si to polycrystalline Si, and may form strong TiC and SiC bonding. The polycrystalline Si and the diamond particles from the first diamond deposition step can be an effective seeds to enhance diamond nucleation. (orig.) 11 refs.

  5. Ceramic composites by chemical vapor infiltration

    International Nuclear Information System (INIS)

    Stinton, D.P.

    1987-01-01

    Composites consisting of silicon carbide matrices reinforced with continuous ceramic fibers are being developed for high-temperature structural applications. Chemical vapor deposition (CVD) techniques are very effective in fabricating composites with high strengths and exceptional fracture toughness. Mechanical properties of infiltrated composites are controlled by the strength of the interfacial bond between the fibers and matrix. This paper describes two CVD techniques and reviews the models being developed to better understand and control the infiltration process

  6. Chemically assisted release of transition metals in graphite vaporizers for atomic spectrometry

    International Nuclear Information System (INIS)

    Katskov, Dmitri; Darangwa, Nicholas; Grotti, Marco

    2006-01-01

    decomposition of metal oxide, is the most probable source of chemical energy, which facilitates the vaporization. Intensity of the process depends on chemical properties of the sample and substrate and efficiency of mass and heat transfer by the protective gas. The discussed mechanism of chemically assisted vapor release signifies the energy exchange between all participants of the vaporization process in ET AAS including the matrix, modifier, purge gas and analyte. The finding contributes in the ET AAS theory regarding the mechanisms of vaporization and mass transfer in the presence of matrix and modifiers

  7. Medical applications of diamond particles & surfaces

    OpenAIRE

    Roger J Narayan; Ryan D. Boehm; Anirudha V. Sumant

    2011-01-01

    Diamond has been considered for use in several medical applications due to its unique mechanical, chemical, optical, and biological properties. In this paper, methods for preparing synthetic diamond surfaces and particles are described. In addition, recent developments involving the use of diamond in prostheses, sensing, imaging, and drug delivery applications are reviewed. These developments suggest that diamond-containing structures will provide significant improvements in the diagnosis and...

  8. SiC interlayer by laser-cladding on WC-Co substrates for CVD diamond deposition

    Energy Technology Data Exchange (ETDEWEB)

    Contin, Andre; Fraga, Mariana Amorim; Vieira, Jose; Trava-Airoldi, Vladimir Jesus; Corat, Evaldo Jose, E-mail: andrecontin@yahoo.com.br [Instituto Nacional de Pesquisas Espaciais (INPE), Sao Jose dos Campos, SP (Brazil); Campos, Raonei Alves [Universidade Federal do Sul e Sudeste do Para (UNIFESSPA), Belem, PA (Brazil); Vasconcelos, Getulio [Instituto de Estudos Avancados (IEA), Sao Jose dos Campos, SP (Brazil)

    2016-07-01

    Full text: Despite their huge industrial potential and commercial interest, the direct diamond coating on cemented carbide (WC-Co) is limited, mainly because of the catalytic effect of Cobalt (Co) and the high difference in thermal expansion coefficient [1]. This results in poor adherence between diamond and WC-Co. In addition, the low diamond film adhesion to the cemented carbide useless for machining applications. Removal of Co binder from the substrate surface by superficial etching is one of the techniques used to improve the adhesion between diamond and WC-Co. For the present study, diamond films were deposited on WC-Co substrates with an intermediate barrier to block the Co diffusion to the surface substrate. The laser cladding process produced the SiC barrier, in which a powder layer is melted by a laser irradiation to create the coating on the substrate. The use of laser cladding is the novel method for an intermediate barrier for cemented carbides. The advantages of laser cladding include a faster processing speed, precision, versatility. We reported the application of pretreatment method called ESND (Electrostatic self-assembly seeding of nanocrystalline diamond). The nucleation density was around 10{sup 11}part/cm{sup 2}. Diamond films were grown by Hot Filament Chemical Vapor Deposition. Characterization of samples included Field Emission Gun-Scanning Electron Microscopy (FEG-SEM), Energy Dispersive X-ray (EDX), X-ray diffraction (XRD) and Raman Scattering Spectroscopy. Results showed that laser irradiation formed stable Co compounds in the interfacial barrier. It is because nucleation and good quality of diamond film since the cobalt are no longer free to migrate to the surface during the CVD diamond deposition. Reference: [1] Y. X. Cui, B. Shen, F. H. Sun. Diamond deposition on WC–Co substrate with amorphous SiC interlayer, Surface Engineering, 30, (2014) 237-243. (author)

  9. Optical properties of diamond like carbon nanocomposite thin films

    Science.gov (United States)

    Alam, Md Shahbaz; Mukherjee, Nillohit; Ahmed, Sk. Faruque

    2018-05-01

    The optical properties of silicon incorporated diamond like carbon (Si-DLC) nanocomposite thin films have been reported. The Si-DLC nanocomposite thin film deposited on glass and silicon substrate by radio frequency plasma enhanced chemical vapor deposition (RF-PECVD) process. Fourier transformed infrared spectroscopic analysis revealed the presence of different bonding within the deposited films and deconvolution of FTIR spectra gives the chemical composition i.e., sp3/sp2 ratio in the films. Optical band gap calculated from transmittance spectra increased from 0.98 to 2.21 eV with a variation of silicon concentration from 0 to 15.4 at. %. Due to change in electronic structure by Si incorporation, the Si-DLC film showed a broad photoluminescence (PL) peak centered at 467 nm, i.e., in the visible range and its intensity was found to increase monotonically with at. % of Si.

  10. Investigation of the physics of diamond MEMS : diamond allotrope lithography

    International Nuclear Information System (INIS)

    Zalizniak, I.; Olivero, P.; Jamieson, D.N.; Prawer, S.; Reichart, P.; Rubanov, S.; Petriconi, S.

    2005-01-01

    We propose a novel lithography process in which ion induced phase transfomations of diamond form sacrificial layers allowing the fabrication of small structures including micro-electromechanical systems (MEMS). We have applied this novel lithography to the fabrication of diamond microcavities, cantilevers and optical waveguides. In this paper we present preliminary experiments directed at the fabrication of suspended diamond disks that have the potential for operation as optical resonators. Such structures would be very durable and resistant to chemical attack with potential applications as novel sensors for extreme environments or high temperature radiation detectors. (author). 3 refs., 3 figs

  11. Stretchable Electronic Sensors of Nanocomposite Network Films for Ultrasensitive Chemical Vapor Sensing.

    Science.gov (United States)

    Yan, Hong; Zhong, Mengjuan; Lv, Ze; Wan, Pengbo

    2017-11-01

    A stretchable, transparent, and body-attachable chemical sensor is assembled from the stretchable nanocomposite network film for ultrasensitive chemical vapor sensing. The stretchable nanocomposite network film is fabricated by in situ preparation of polyaniline/MoS 2 (PANI/MoS 2 ) nanocomposite in MoS 2 suspension and simultaneously nanocomposite deposition onto prestrain elastomeric polydimethylsiloxane substrate. The assembled stretchable electronic sensor demonstrates ultrasensitive sensing performance as low as 50 ppb, robust sensing stability, and reliable stretchability for high-performance chemical vapor sensing. The ultrasensitive sensing performance of the stretchable electronic sensors could be ascribed to the synergistic sensing advantages of MoS 2 and PANI, higher specific surface area, the reliable sensing channels of interconnected network, and the effectively exposed sensing materials. It is expected to hold great promise for assembling various flexible stretchable chemical vapor sensors with ultrasensitive sensing performance, superior sensing stability, reliable stretchability, and robust portability to be potentially integrated into wearable electronics for real-time monitoring of environment safety and human healthcare. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Near-infrared refractive index of synthetic single crystal and polycrystalline diamonds at high temperatures

    Science.gov (United States)

    Yurov, V. Yu.; Bushuev, E. V.; Popovich, A. F.; Bolshakov, A. P.; Ashkinazi, E. E.; Ralchenko, V. G.

    2017-12-01

    We measured the refractive index n(T) and thermo-optical coefficient β(T) = (1/n)(dn/dT) of high quality synthetic diamonds from room temperature to high temperatures, up to 1520 K, in near-infrared spectral range at wavelength 1.56 μm, using a low-coherence interferometry. A type IIa single crystal diamond produced by high pressure-high temperature technique and a transparent polycrystalline diamond grown by chemical vapor deposition were tested and revealed a very close n(T) behavior, with n = 2.384 ± 0.001 at T = 300 K, monotonically increasing to 2.428 at 1520 K. The n(T) data corrected to thermal expansion of diamond are well fitted with 3rd order polynomials, and alternatively, with the Bose-Einstein model with an effective oscillator frequency of 970 cm-1. Almost linear n(T) dependence is observed above 800 K. The thermo-optical coefficient is found to increase monotonically from (0.6 ± 0.1) × 10-5 K-1 (300 K) to (2.0 ± 0.1) × 10-5 K-1 (1300 K) with a tendency to saturation at >1200 K. These β(T) values are an order of magnitude lower than those known for Si, GaAs, and InP. The obtained results significantly extend the temperature range, where the refractive index of diamond was previously measured.

  13. Chemical vapor deposition of refractory metals and ceramics III

    International Nuclear Information System (INIS)

    Gallois, B.M.; Lee, W.Y.; Pickering, M.A.

    1995-01-01

    The papers contained in this volume were originally presented at Symposium K on Chemical Vapor Deposition of Refractory Metals and Ceramics III, held at the Fall Meeting of the Materials Research Society in Boston, Massachusetts, on November 28--30, 1994. This symposium was sponsored by Morton International Inc., Advanced Materials, and by The Department of Energy-Oak Ridge National Laboratory. The purpose of this symposium was to exchange scientific information on the chemical vapor deposition (CVD) of metallic and ceramic materials. CVD technology is receiving much interest in the scientific community, in particular, to synthesize new materials with tailored chemical composition and physical properties that offer multiple functionality. Multiphase or multilayered films, functionally graded materials (FGMs), ''smart'' material structures and nanocomposites are some examples of new classes of materials being produced via CVD. As rapid progress is being made in many interdisciplinary research areas, this symposium is intended to provide a forum for reporting new scientific results and addressing technological issues relevant to CVD materials and processes. Thirty four papers have been processed separately for inclusion on the data base

  14. Mechanical pretreatment for improved adhesion of diamond coatings

    International Nuclear Information System (INIS)

    Toenshoff, H.K.; Mohlfeld, A.; Gey, C.; Winkler, J.

    1999-01-01

    Diamond coatings are mainly used in cutting processes due to their tribological characteristics. They show a high hardness, low friction coefficient, high wear resistance and good chemical inertness. In relation to polycrystalline diamond (PCD)-tipped cutting inserts, especially the advantageous chemical stability of diamond coatings is superior as no binder phases between diamond grains are used. However, the deposition of adherent high-quality diamond coatings has been found difficult. Thus, substrate pretreatment is utilised to improve film adhesion. This investigation is based on water peening of the substrate material before coating. The investigation revealed best results for diamond film adhesion on pretreated substrates compared to conventional diamond coatings on cemented carbide tools applied with the CVD hot-filament process. In final cutting tests with increased film adhesion trough water peened cutting tools an improved wear behavior was detected. (orig.)

  15. Vacancy-impurity centers in diamond: prospects for synthesis and applications

    Science.gov (United States)

    Ekimov, E. A.; Kondrin, M. V.

    2017-06-01

    The bright luminescence of impurity-vacancy complexes, combined with high chemical and radiation resistance, makes diamond an attractive platform for the production of single-photon emitters and luminescent biomarkers for applications in nanoelectronics and medicine. Two representatives of this kind of defects in diamond, silicon-vacancy (SiV) and germanium-vacancy (GeV) centers, are discussed in this review; their similarities and differences are demonstrated in terms of the more thoroughly studied nitrogen-vacancy (NV) complexes. The recent discovery of GeV luminescent centers opens a unique opportunity for the controlled synthesis of single-photon emitters in nanodiamonds. We demonstrate prospects for the high-pressure high-temperature (HPHT) technique to create single-photon emitters, not only as an auxiliary to chemical vapor deposition (CVD) and ion-implantation methods but also as a primary synthesis tool for producing color centers in nanodiamonds. Besides practical applications, comparative studies of these two complexes, which belong to the same structural class of defects, have a fundamental importance for deeper understanding of shelving levels, the electronic structure, and optical properties of these centers. In conclusion, we discuss several open problems regarding the structure, charge state, and practical application of these centers, which still require a solution.

  16. Half-sandwich cobalt complexes in the metal-organic chemical vapor deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Georgi, Colin [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Hapke, Marko; Thiel, Indre [Leibniz-Institut für Katalyse e.V. an der Universität Rostock (LIKAT), Albert-Einstein-Straße 29a, Rostock 18059 (Germany); Hildebrandt, Alexander [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Waechtler, Thomas; Schulz, Stefan E. [Fraunhofer Institute of Electronic Nano Systems (ENAS), Technologie-Campus 3, Chemnitz 09126 (Germany); Technische Universität Chemnitz, Center for Microtechnologies (ZfM), Chemnitz 09107 (Germany); Lang, Heinrich, E-mail: heinrich.lang@chemie.tu-chemnitz.de [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany)

    2015-03-02

    A series of cobalt half-sandwich complexes of type [Co(η{sup 5}-C{sub 5}H{sub 5})(L)(L′)] (1: L, L′ = 1,5-hexadiene; 2: L = P(OEt){sub 3}, L′ = H{sub 2}C=CHSiMe{sub 3}; 3: L = L′ = P(OEt){sub 3}) has been studied regarding their physical properties such as the vapor pressure, decomposition temperature and applicability within the metal-organic chemical vapor deposition (MOCVD) process, with a focus of the influence of the phosphite ligands. It could be shown that an increasing number of P(OEt){sub 3} ligands increases the vapor pressure and thermal stability of the respective organometallic compound. Complex 3 appeared to be a promising MOCVD precursor with a high vapor pressure and hence was deposited onto Si/SiO{sub 2} (100 nm) substrates. The resulting reflective layer is closed, dense and homogeneous, with a slightly granulated surface morphology. X-ray photoelectron spectroscopy (XPS) studies demonstrated the formation of metallic cobalt, cobalt phosphate, cobalt oxide and cobalt carbide. - Highlights: • Thermal studies and vapor pressure measurements of cobalt half-sandwich complexes was carried out. • Chemical vapor deposition with cobalt half-sandwich complexes is reported. • The use of Co-phosphites results in significant phosphorous-doped metallic layers.

  17. Self-catalytic growth of tin oxide nanowires by chemical vapor deposition process

    CSIR Research Space (South Africa)

    Thabethe, BS

    2013-01-01

    Full Text Available The authors report on the synthesis of tin oxide (SnO(sub2)) nanowires by a chemical vapor deposition (CVD) process. Commercially bought SnO nanopowders were vaporized at 1050°C for 30 minutes with argon gas continuously passing through the system...

  18. Comprehensive Evaluation of the Properties of Nanocrystalline Diamond Coatings Grown Using CVD with E/H Field Glow Discharge Stabilization

    Directory of Open Access Journals (Sweden)

    Iu. Nasieka

    2015-01-01

    Full Text Available The nanocrystalline diamond films (coatings were prepared using the plasma enhanced chemical vapor deposition (PECVD technique. In this method, direct current (DC glow discharge in the crossed E/H fields was used to activate the gas phase. The diamond coatings were deposited from the working gas mixture CH4/H2 with addition of nitrogen in various concentrations. It was ascertained that addition of N2 to the working gas mixture leads to reduction in the sizes of diamond grains as well as to the substantial decrease in the resistivity of the studied films. The electrophysical data are in good agreement with the changes induced by varying the N2 content in the Raman scattering spectra. The increase in the N2 concentration causes significant lowering of the crystalline diamond related peak and increase in the intensity of the peaks related to the sp2-bonded carbon. These changes in the spectra indicate significant disordering of the structure of prepared films and its uniformity in the nanodiamond film volume. With the great possibility, it is associated with a decrease in the sizes of diamond crystalline grains and tendency of NCD film to amorphization.

  19. Surface modification on 304 SS by plasma-immersed ion implantation to improve the adherence of a CVD diamond film

    Energy Technology Data Exchange (ETDEWEB)

    Nono, M.C.A.; Corat, E.J. (Instituto Nacional de Pesquisas Espaciais, Sao Jose dos Campos, SP (Brazil)); Ueda, M.; Stellati, C.; Barroso, J.J.; Conrad, J.R.; Shamim, M.; Fetherston, P.; Sridharan, K.

    1999-02-01

    The weak adherence of chemical vapor deposited (CVD) diamond films on steel substrates is an important factor that limits the technological applications of these materials. We are interested in enhancing the film-to-substrate adherence by using substrate surfaces with a previous modification by plasma-immersed ion implantation (PIII). In this work we present and discuss the preliminary results on phase formation, microstructure and adherence evaluations. CVD diamond films were deposited on 304 SS, the surface of which was modified by implanted carbon ions. The samples were first submitted to implantation with 30 keV carbon ions at different doses. Later, these surfaces were examined by Auger spectroscopy (SAM), scanning electron microscopy (SEM) and X-ray diffraction. We observed a metastable carbide phase formed from carbon and iron, which is considered to be a good polycrystalline material for the nucleation of CVD diamond crystals. The CVD diamond nucleation and film growth were observed by SEM and Raman spectroscopy. These results are discussed with the emphasis on the carbon diffusion barrier on the substrate surfaces. The preliminary results of diamond growth were encouraging. (orig.) 7 refs.

  20. Direct deposition of patterned nanocrystalline CVD diamond using an electrostatic self-assembly method with nanodiamond particles

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Seung-Koo; Kim, Jong-Hoon; Jeong, Min-Goon; Lim, Dae-Soon [Department of Materials Science and Engineering, Korea University, Anam-Dong 5-1, Seoungbuk-Ku, Seoul 136-713 (Korea, Republic of); Song, Min-Jung, E-mail: dslim@korea.ac.kr [Center for Advanced Device Materials, Korea University, Anam-Dong 5-1, Seoungbuk-Ku, Seoul 136-713 (Korea, Republic of)

    2010-12-17

    Micron-sized and precise patterns of nanocrystalline CVD diamond were fabricated successfully on substrates using dispersed nanodiamond particles, charge connection by electrostatic self-assembly, and photolithography processes. Nanodiamond particles which had been dispersed using an attritional milling system were attached electrostatically on substrates as nuclei for diamond growth. In this milling process, poly sodium 4-styrene sulfonate (PSS) was added as an anionic dispersion agent to produce the PSS/nanodiamond conjugates. Ultra dispersed nanodiamond particles with a {zeta}-potential and average particle size of - 60.5 mV and {approx} 15 nm, respectively, were obtained after this milling process. These PSS/nanodiamond conjugates were attached electrostatically to a cationic polyethyleneimine (PEI) coated surface on to which a photoresist had been patterned in an aqueous solution of the PSS/nanodiamond conjugated suspension. A selectively seeded area was formed successfully using the above process. A hot filament chemical vapor deposition system was used to synthesize the nanocrystalline CVD diamond on the seeded area. Micron-sized, thin and precise nanocrystalline CVD diamond patterns with a high nucleation density (3.8 {+-} 0.4 x 10{sup 11} cm{sup -2}) and smooth surface were consequently fabricated.

  1. Direct deposition of patterned nanocrystalline CVD diamond using an electrostatic self-assembly method with nanodiamond particles

    International Nuclear Information System (INIS)

    Lee, Seung-Koo; Kim, Jong-Hoon; Jeong, Min-Goon; Lim, Dae-Soon; Song, Min-Jung

    2010-01-01

    Micron-sized and precise patterns of nanocrystalline CVD diamond were fabricated successfully on substrates using dispersed nanodiamond particles, charge connection by electrostatic self-assembly, and photolithography processes. Nanodiamond particles which had been dispersed using an attritional milling system were attached electrostatically on substrates as nuclei for diamond growth. In this milling process, poly sodium 4-styrene sulfonate (PSS) was added as an anionic dispersion agent to produce the PSS/nanodiamond conjugates. Ultra dispersed nanodiamond particles with a ζ-potential and average particle size of - 60.5 mV and ∼ 15 nm, respectively, were obtained after this milling process. These PSS/nanodiamond conjugates were attached electrostatically to a cationic polyethyleneimine (PEI) coated surface on to which a photoresist had been patterned in an aqueous solution of the PSS/nanodiamond conjugated suspension. A selectively seeded area was formed successfully using the above process. A hot filament chemical vapor deposition system was used to synthesize the nanocrystalline CVD diamond on the seeded area. Micron-sized, thin and precise nanocrystalline CVD diamond patterns with a high nucleation density (3.8 ± 0.4 x 10 11 cm -2 ) and smooth surface were consequently fabricated.

  2. Porous boron doped diamonds as metal-free catalysts for the oxygen reduction reaction in alkaline solution

    Science.gov (United States)

    Suo, Ni; Huang, Hao; Wu, Aimin; Cao, Guozhong; Hou, Xiaoduo; Zhang, Guifeng

    2018-05-01

    Porous boron doped diamonds (BDDs) were obtained on foam nickel substrates with a porosity of 80%, 85%, 90% and 95% respectively by hot filament chemical vapor deposition (HFCVD) technology. Scanning electron microscopy (SEM) reveals that uniform and compact BDDs with a cauliflower-like morphology have covered the overall frame of the foam nickel substrates. Raman spectroscopy shows that the BDDs have a poor crystallinity due to heavily doping boron. X-ray photoelectron spectroscopy (XPS) analysis effectively demonstrates that boron atoms can be successfully incorporated into the crystal lattice of diamonds. Electrochemical measurements indicate that the oxygen reduction potential is unaffected by the specific surface area (SSA), and both the onset potential and the limiting diffusion current density are enhanced with increasing SSA. It is also found that the durability and methanol tolerance of the boron doped diamond catalysts are attenuated as the increasing of SSA. The SSA of the catalyst is directly proportional to the oxygen reduction activity and inversely to the durability and methanol resistance. These results provide a reference to the application of porous boron doped diamonds as potential cathodic catalysts for the oxygen reduction reaction in alkaline solution by adjusting the SSA.

  3. CVD diamond Brewster window: feasibility study by FEM analyses

    Directory of Open Access Journals (Sweden)

    Vaccaro A.

    2012-09-01

    Full Text Available Chemical vapor deposition (CVD diamond windows are a crucial component in heating and current drive (H&CD applications. In order to minimize the amount of reflected power from the diamond disc, its thickness must match the desired beam wavelength, thus proper targeting of the plasma requires movable beam reflectors. This is the case, for instance, of the ITER electron cyclotron H&CD system. However, looking at DEMO, the higher heat loads and neutron fluxes could make the use of movable parts close to the plasma difficult. The issue might be solved by using gyrotrons able to tune the beam frequency to the desired resonance, but this concept requires transmission windows that work in a given frequency range, such as the Brewster window. It consists of a CVD diamond disc brazed to two copper cuffs at the Brewster angle. The brazing process is carried out at about 800°C and then the temperature is decreased down to room temperature. Diamond and copper have very different thermal expansion coefficients, therefore high stresses build up during the cool down phase that might lead to failure of the disc. Considering also the complex geometry of the window with the skewed position of the disc, analyses are required in the first place to check its feasibility. The cool down phase was simulated by FEM structural analyses for several geometric and constraint configurations of the window. A study of indirect cooling of the window by water was also performed considering a HE11 mode beam. The results are here reported.

  4. Chemical vapor composites (CVC)

    International Nuclear Information System (INIS)

    Reagan, P.

    1993-01-01

    The Chemical Vapor Composite, CVC trademark , process fabricates composite material by simply mixing particles (powders and or fibers) with CVD reactants which are transported and co-deposited on a hot substrate. A key feature of the CVC process is the control provided by varing the density, geometry (aspect ratio) and composition of the entrained particles in the matrix material, during deposition. The process can fabricate composite components to net shape (± 0.013 mm) on a machined substrate in a single step. The microstructure of the deposit is described and several examples of different types of particles in the matrix are illustrated. Mechanical properties of SiC composite material fabricated with SiC powder and fiber will be presented. Several examples of low cost ceramic composite products will be shown. (orig.)

  5. Development of nanodiamond foils for H- stripping to Support the Spallation Neutron Source (SNS) using hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Vispute, R D [Blue Wave Semiconductors; Ermer, Henry K [Blue Wave Semiconductors; Sinsky, Phillip [Blue Wave Semiconductors; Seiser, Andrew [Blue Wave Semiconductors; Shaw, Robert W [ORNL; Wilson, Leslie L [ORNL

    2014-01-01

    Thin diamond foils are needed in many particle accelerator experiments regarding nuclear and atomic physics, as well as in some interdisciplinary research. Particularly, nanodiamond texture is attractive for this purpose as it possesses a unique combination of diamond properties such as high thermal conductivity, mechanical strength and high radiation hardness; therefore, it is a potential material for energetic ion beam stripper foils. At the ORNL Spallation Neutron Source (SNS), the installed set of foils must be able to survive a nominal five-month operation period, without the need for unscheduled costly shutdowns and repairs. Thus, a small foil about the size of a postage stamp is critical to the operation of SNS and similar sources in U.S. laboratories and around the world. We are investigating nanocrystalline, polycrystalline and their admixture films fabricated using a hot filament chemical vapor deposition (HFCVD) system for H- stripping to support the SNS at Oak Ridge National Laboratory. Here we discuss optimization of process variables such as substrate temperature, process gas ratio of H2/Ar/CH4, substrate to filament distance, filament temperature, carburization conditions, and filament geometry to achieve high purity diamond foils on patterned silicon substrates with manageable intrinsic and thermal stresses so that they can be released as free standing foils without curling. An in situ laser reflectance interferometry tool (LRI) is used for monitoring the growth characteristics of the diamond thin film materials. The optimization process has yielded free standing foils with no pinholes. The sp3/sp2 bonds are controlled to optimize electrical resistivity to reduce the possibility of surface charging of the foils. The integrated LRI and HFCVD process provides real time information on the growth of films and can quickly illustrate growth features and control film thickness. The results are discussed in the light of development of nanodiamond foils that

  6. The chemical vapor deposition of zirconium carbide onto ceramic substrates

    International Nuclear Information System (INIS)

    Glass A, John Jr.; Palmisiano, Nick Jr.; Welsh R, Edward

    1999-01-01

    Zirconium carbide is an attractive ceramic material due to its unique properties such as high melting point, good thermal conductivity, and chemical resistance. The controlled preparation of zirconium carbide films of superstoichiometric, stoichiometric, and substoichiometric compositions has been achieved utilizing zirconium tetrachloride and methane precursor gases in an atmospheric pressure high temperature chemical vapor deposition system

  7. A large area diamond-based beam tagging hodoscope for ion therapy monitoring

    Science.gov (United States)

    Gallin-Martel, M.-L.; Abbassi, L.; Bes, A.; Bosson, G.; Collot, J.; Crozes, T.; Curtoni, S.; Dauvergne, D.; De Nolf, W.; Fontana, M.; Gallin-Martel, L.; Hostachy, J.-Y.; Krimmer, J.; Lacoste, A.; Marcatili, S.; Morse, J.; Motte, J.-F.; Muraz, J.-F.; Rarbi, F. E.; Rossetto, O.; Salomé, M.; Testa, É.; Vuiart, R.; Yamouni, M.

    2018-01-01

    The MoniDiam project is part of the French national collaboration CLaRyS (Contrôle en Ligne de l'hAdronthérapie par RaYonnements Secondaires) for on-line monitoring of hadron therapy. It relies on the imaging of nuclear reaction products that is related to the ion range. The goal here is to provide large area beam detectors with a high detection efficiency for carbon or proton beams giving time and position measurement at 100 MHz count rates (beam tagging hodoscope). High radiation hardness and intrinsic electronic properties make diamonds reliable and very fast detectors with a good signal to noise ratio. Commercial Chemical Vapor Deposited (CVD) poly-crystalline, heteroepitaxial and monocrystalline diamonds were studied. Their applicability as a particle detector was investigated using α and β radioactive sources, 95 MeV/u carbon ion beams at GANIL and 8.5 keV X-ray photon bunches from ESRF. This facility offers the unique capability of providing a focused ( 1 μm) beam in bunches of 100 ps duration, with an almost uniform energy deposition in the irradiated detector volume, therefore mimicking the interaction of single ions. A signal rise time resolution ranging from 20 to 90 ps rms and an energy resolution of 7 to 9% were measured using diamonds with aluminum disk shaped surface metallization. This enabled us to conclude that polycrystalline CVD diamond detectors are good candidates for our beam tagging hodoscope development. Recently, double-side stripped metallized diamonds were tested using the XBIC (X Rays Beam Induced Current) set-up of the ID21 beamline at ESRF which permits us to evaluate the capability of diamond to be used as position sensitive detector. The final detector will consist in a mosaic arrangement of double-side stripped diamond sensors read out by a dedicated fast-integrated electronics of several hundreds of channels.

  8. Electrochemical properties of N-doped hydrogenated amorphous carbon films fabricated by plasma-enhanced chemical vapor deposition methods

    Energy Technology Data Exchange (ETDEWEB)

    Tanaka, Yoriko; Furuta, Masahiro; Kuriyama, Koichi; Kuwabara, Ryosuke; Katsuki, Yukiko [Division of Environmental Science and Engineering, Graduate School of Science and Engineering, Yamaguchi University, 1677-1 Yoshida, Yamaguchi-shi, Yamaguchi 753-8512 (Japan); Kondo, Takeshi [Department of Pure and Applied Chemistry, Faculty of Science and Technology, Tokyo University of Science, 2641 Yamazaki, Noda 278-8510 (Japan); Fujishima, Akira [Kanagawa Advanced Science and Technology (KAST), 3-2-1, Sakato, Takatsu-ku, Kawasaki-shi, Kanagawa 213-0012 (Japan); Honda, Kensuke, E-mail: khonda@yamaguchi-u.ac.j [Division of Environmental Science and Engineering, Graduate School of Science and Engineering, Yamaguchi University, 1677-1 Yoshida, Yamaguchi-shi, Yamaguchi 753-8512 (Japan)

    2011-01-01

    Nitrogen-doped hydrogenated amorphous carbon thin films (a-C:N:H, N-doped DLC) were synthesized with microwave-assisted plasma-enhanced chemical vapor deposition widely used for DLC coating such as the inner surface of PET bottles. The electrochemical properties of N-doped DLC surfaces that can be useful in the application as an electrochemical sensor were investigated. N-doped DLC was easily fabricated using the vapor of nitrogen contained hydrocarbon as carbon and nitrogen source. A N/C ratio of resulting N-doped DLC films was 0.08 and atomic ratio of sp{sup 3}/sp{sup 2}-bonded carbons was 25/75. The electrical resistivity and optical gap were 0.695 {Omega} cm and 0.38 eV, respectively. N-doped DLC thin film was found to be an ideal polarizable electrode material with physical stability and chemical inertness. The film has a wide working potential range over 3 V, low double-layer capacitance, and high resistance to electrochemically induced corrosion in strong acid media, which were the same level as those for boron-doped diamond (BDD). The charge transfer rates for the inorganic redox species, Fe{sup 2+/3+} and Fe(CN){sub 6}{sup 4-/3-} at N-doped DLC were sufficiently high. The redox reaction of Ce{sup 2+/3+} with standard potential higher than H{sub 2}O/O{sub 2} were observed due to the wider potential window. At N-doped DLC, the change of the kinetics of Fe(CN){sub 6}{sup 3-/4-} by surface oxidation is different from that at BDD. The rate of Fe(CN){sub 6}{sup 3-/4-} was not varied before and after oxidative treatment on N-doped DLC includes sp{sup 2} carbons, which indicates high durability of the electrochemical activity against surface oxidation.

  9. Fabrication and characterization of composite TiO{sub 2} nanotubes/boron-doped diamond electrodes towards enhanced supercapacitors

    Energy Technology Data Exchange (ETDEWEB)

    Sobaszek, M. [Department of Metrology and Optoelectronics, Faculty of Electronics, Telecommunications and Informatics, Gdańsk University of Technology, 11/12 G. Narutowicza St., 80-233 Gdańsk (Poland); Siuzdak, K.; Sawczak, M. [Centre for Plasma and Laser Engineering, The Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, 14 Fiszera St., 80-231 Gdansk (Poland); Ryl, J. [Department of Electrochemistry, Corrosion and Material Engineering, Faculty of Chemistry, Gdańsk University of Technology, 11/12 G. Narutowicza St., 80-233 Gdańsk (Poland); Bogdanowicz, R., E-mail: rbogdan@eti.pg.gda.pl [Department of Metrology and Optoelectronics, Faculty of Electronics, Telecommunications and Informatics, Gdańsk University of Technology, 11/12 G. Narutowicza St., 80-233 Gdańsk (Poland)

    2016-02-29

    The composite TiO{sub 2} nanotubes/boron-doped diamond electrodes were deposited using Microwave Plasma Enhanced Chemical Vapor Deposition resulting in the improved electrochemical performance. This composite electrode can deliver high specific capacitance of 7.46 mF cm{sup −2} comparing to boron-doped diamond (BDD) deposited onto flat Ti plate (0.11 mF cm{sup −2}).The morphology and composition of composite electrode were characterized by scanning electron microscopy, Raman spectroscopy and X-ray photoelectron spectroscopy (XPS) techniques. According to XPS and Raman analyses, the structure of TiO{sub 2} was greatly changed during Chemical Vapor Deposition process: formation of Ti{sup 3+} sites, partial anatase to rutile transformation and titanium carbide phase formation. This effect is attributed to the simultaneous presence of activated hydrogen and carbon in the plasma leading to enhanced dehydration of NTs (nanotubes) followed by carbon bonding. The enhanced capacitive effect of TiO{sub 2} NT/BDD could be recognized as: (1) the unique synergistic morphology of NTs and BDD providing more efficient conducting pathway for the diffusion of ions and (2) partial decomposition of NTs and transformation towards to TiC and Ti{sub 2}O{sub 3} fractions. Finally, highly ordered titania nanotubes produced via simply, quick and controllable method — anodization, could act as promising substrate for conductive BDD layer deposition and further application of such composites for supercapacitor construction. - Highlights: • The TiO{sub 2} nanotube (NT)/diamond electrode delivers capacitance of 7.46 mF cm{sup −2}. • The NTs are not affected by diamond growth process and keep their pristine shape. • The BDD overlayer fully encapsulates TiO{sub 2} NTs exhibiting typical columnar growth. • The activated hydrogen and carbon in the plasma lead to enhanced dehydration of NTs. • The presence of TiC and Ti{sub 2}O{sub 3} fractions introducing additional capacitance.

  10. Biofunctionalization of diamond microelectrodes

    Energy Technology Data Exchange (ETDEWEB)

    Reitinger, Andreas Adam; Lud, Simon Quartus; Stutzmann, Martin; Garrido, Jose Antonio [Walter Schottky Institut, TU Muenchen (Germany); Hutter, Naima Aurelia; Richter, Gerhard; Jordan, Rainer [WACKER-Chair of Macromolecular Chemistry, TU Muenchen (Germany)

    2010-07-01

    In this work we present two main routes for the biofunctionalization of nanocrystalline diamond films, aiming at the application of diamond microelectrodes as amperometric biosensors. We report on direct covalent grafting of biomolecules on nanocrystalline diamond films via diazonium monophenyls and biphenyls as well as other linker molecules, forming self-assembled monolayers on the diamond surface. Monolayers with different functional head groups have been characterized. Patterning of the available functional groups using electron beam-induced chemical lithography allows the selective preparation of well-localized docking sites for the immobilization of biomolecules. Furthermore, polymer brushes are expected to enable novel paths for designing more advanced biosensing schemes, incorporating multifunctional groups and a higher loading capacity for biomolecules. Here, we focus on the preparation of polymer grafts by self-initiated photografting and photopolymerization. Further chemical modification of the grafted polymer brushes results in the introduction of additional functional molecules, paving the way for the incorporation of more complex molecular structures such as proteins. In a comparative study we investigate the advantages and disadvantages of both approaches.

  11. Nanostructured Diamond Device for Biomedical Applications.

    Science.gov (United States)

    Fijalkowski, M; Karczemska, A; Lysko, J M; Zybala, R; KozaneckI, M; Filipczak, P; Ralchenko, V; Walock, M; Stanishevsky, A; Mitura, S

    2015-02-01

    Diamond is increasingly used in biomedical applications because of its unique properties such as the highest thermal conductivity, good optical properties, high electrical breakdown voltage as well as excellent biocompatibility and chemical resistance. Diamond has also been introduced as an excellent substrate to make the functional microchip structures for electrophoresis, which is the most popular separation technique for the determination of analytes. In this investigation, a diamond electrophoretic chip was manufactured by a replica method using a silicon mold. A polycrystalline 300 micron-thick diamond layer was grown by the microwave plasma-assisted CVD (MPCVD) technique onto a patterned silicon substrate followed by the removal of the substrate. The geometry of microstructure, chemical composition, thermal and optical properties of the resulting free-standing diamond electrophoretic microchip structure were examined by CLSM, SFE, UV-Vis, Raman, XRD and X-ray Photoelectron Spectroscopy, and by a modified laser flash method for thermal property measurements.

  12. Complete Quantum Control of a Single Silicon-Vacancy Center in a Diamond Nanopillar

    Science.gov (United States)

    Zhang, Jingyuan Linda; Lagoudakis, Konstantinos G.; Tzeng, Yan-Kai; Dory, Constantin; Radulaski, Marina; Kelaita, Yousif; Shen, Zhi-Xun; Melosh, Nicholas A.; Chu, Steven; Vuckovic, Jelena

    Coherent quantum control of a quantum bit (qubit) is an important step towards its use in a quantum network. SiV- center in diamond offers excellent physical qualities such as low inhomogeneous broadening, fast photon emission, and a large Debye-Waller factor, while the fast spin manipulation and techniques to extend the spin coherence time are under active investigation. Here, we demonstrate full coherent control over the state of a single SiV- center in a diamond nanopillar using ultrafast optical pulses. The high quality of the chemical vapor deposition grown SiV- centers allows us to coherently manipulate and quasi-resonantly read out the state of the single SiV- center. Moreover, the SiV- centers being coherently controlled are integrated into diamond nanopillar arrays in a site-controlled, individually addressable manner with high yield, low strain, and high spectral stability, which paves the way for scalable on chip optically accessible quantum system in a quantum photonic network. Financial support is provided by the DOE Office of Basic Energy Sciences, Division of Materials Sciences through Stanford Institute for Materials and Energy Sciences (SIMES) under contract DE-AC02-76SF00515.

  13. Patterned hydrophobic and hydrophilic surfaces of ultra-smooth nanocrystalline diamond layers

    Energy Technology Data Exchange (ETDEWEB)

    Mertens, M., E-mail: michael.mertens@uni-ulm.de [Institute of Micro and Nanomaterials, Ulm University, 89081 Ulm (Germany); Mohr, M.; Brühne, K.; Fecht, H.J. [Institute of Micro and Nanomaterials, Ulm University, 89081 Ulm (Germany); Łojkowski, M.; Święszkowski, W. [Faculty of Materials Science and Engineering, Warsaw University of Technology, Warsaw (Poland); Łojkowski, W. [Institute of High Pressure Physics, Polish Academy of Sciences, Warsaw (Poland)

    2016-12-30

    Highlights: • Hydrophobic and hydrophilic properties on fluorine-, hydrogen- and oxygen- terminated ultra-nanocrystalline diamond films. • Micropatterned - multi-terminated layers with both hydrophobic and hydrophilic areas on one sample. • Visualization of multi-terminated surfaces by e.g. SEM and LFM. • Roughness and friction investigations on different terminated surfaces. • Smooth and biocompatible surfaces with same roughness regardless of hydrophobicity for microbiological investigations. - Abstract: In this work, we show that ultra nanocrystalline diamond (UNCD) surfaces have been modified to add them hydrophobic and hydrophilic properties. The nanocrystalline diamond films were deposited using the hot filament chemical vapor deposition (HFCVD) technique. This allows growing diamond on different substrates which can be even 3D or structured. Silicon and, for optical applications, transparent quartz glass are the preferred substrates for UNCD layers growth. Fluorine termination leads to strong hydrophobic properties as indicated by a high contact angle for water of more than 100°. Hydrogen termination shows lesser hydrophobic behavior. Hydrophilic characteristics has been realised with oxygen termination. X-ray photoelectron spectroscopy (XPS) and energy dispersive X-ray spectroscopy (EDX) measurements confirm the oxygen and fluorine- termination on the nanocrystalline diamond surface. Further, by micropatterning using photolithography, multi-terminated layers have been created with both hydrophobic and hydrophilic areas. In addition, we have shown that retermination is achieved, and the properties of the surface have been changed from hydrophobic to hydrophilic and vice versa. Micro- roughness and stress in the grown film influences slightly the wetting angle as well. The opportunity to realize local differences in hydrophobicity on nanocrystalline diamond layers, in any size or geometry, offers interesting applications for example in

  14. Industrialization of hot wire chemical vapor deposition for thin film applications

    NARCIS (Netherlands)

    Schropp, Ruud

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical

  15. Scientific Fundamentals and Technological Development of Novel Biocompatible/Corrosion Resistant Ultrananocrystalline Diamond (UNCD) Coating Enabling Next Generation Superior Metal-Based Dental Implants

    Science.gov (United States)

    Kang, Karam

    Current Ti-based dental implants exhibit failure (2-10%), due to various mechanisms, including chemical corrosion of the surface of the TiO2 naturally covered Ti-based implants. This thesis focused on developing a unique biocompatible/bio-inert/corrosion resistant/low cost Ultrananocrystalline Diamond (UNCD) coating (with 3-5 nm grain size) for encapsulation of Tibased micro-implants to potentially eliminate the corrosion/mechanical induced failure of current commercial Ti-based dental implants. Microwave Plasma Chemical Vapor Deposition (MPCVD) and Hot Filament Chemical Vapor Deposition (HFCVD) processes were used to grow UNCD coatings. The surface topography and chemistry of UNCD coatings were characterized using scanning electron microscopy (SEM), Raman, and X-ray photoelectron spectroscopies (XPS) respectively. In conclusion, this thesis contributed to establish the optimal conditions to grow UNCD coatings on the complex 3-D geometry of Ti-based micro-implants, with geometry similar to real implants, relevant to developing UNCD-coated Ti-based dental implants with superior mechanical/chemical performance than current Ti-based implants.

  16. Complete long-term corrosion protection with chemical vapor deposited graphene

    DEFF Research Database (Denmark)

    Yu, Feng; Camilli, Luca; Wang, Ting

    2018-01-01

    Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer-graphene......Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer......-graphene hybrid coating, comprising two single layers of CVD graphene sandwiched by three layers of polyvinyl butyral, which provides complete corrosion protection of commercial aluminum alloys even after 120 days of exposure to simulated seawater. The essential role played by graphene in the hybrid coating...

  17. Synthesis of chiral polyaniline films via chemical vapor phase polymerization

    DEFF Research Database (Denmark)

    Chen, J.; Winther-Jensen, B.; Pornputtkul, Y.

    2006-01-01

    Electrically and optically active polyaniline films doped with (1)-(-)-10- camphorsulfonic acid were successfully deposited on nonconductive substrates via chemical vapor phase polymerization. The above polyaniline/ R- camphorsulfonate films were characterized by electrochemical and physical...

  18. Interlayers Applied to CVD Diamond Deposition on Steel Substrate: A Review

    Directory of Open Access Journals (Sweden)

    Djoille Denner Damm

    2017-09-01

    Full Text Available Academics and industry have sought after combining the exceptional properties of diamonds with the toughness of steel. Since the early 1990s several partial solutions have been found but chemical vapor deposition (CVD diamond deposition on steel substrate continues to be a persistent problem. The main drawbacks are the high carbon diffusion from gas phase into substrate, the transition metals on the material surface that catalyze sp2 bond formation, instead of sp3 bonds, and the high thermal expansion coefficient (TEC mismatch between diamond and steels. An intermediate layer has been found necessary to increase diamond adhesion. Literature has proposed many efficient intermediate layers as a diffusion barrier for both, carbon and iron, but most intermediate layers shown have not solved TEC mismatch. In this review, we briefly discuss the solutions that exclusively work as diffusion barrier and discuss in a broader way the ones that also solve, or may potentially solve, the TEC mismatch problem. We examine some multilayers, the iron borides, the chromium carbides, and vanadium carbides. We go through the most relevant results of the last two and a half decades, including recent advances in our group. Vanadium carbide looks promising since it has shown excellent diffusion barrier properties, its TEC is intermediary between diamond and steel and, it has been thickened to manage thermal stress relief. We also review a new deposition technique to set up intermediate layers: laser cladding. It is promising because of its versatility in mixing different materials and fusing and/or sintering them on a steel surface. We conclude by remarking on new perspectives.

  19. The effect of the partial pressure of H2 gas and atomic hydrogen on diamond films deposited using CH3OH/H2O gas

    International Nuclear Information System (INIS)

    Lee, Kwon-Jai; Koh, Jae-Gui; Shin, Jae-Soo; Kwon, Ki-Hong; Lee, Chang-Hee

    2006-01-01

    Diamond films were deposited on Si(100) substrates by hot filament chemical vapor deposition (HFCVD) with a CH 3 OH/H 2 O gas mixture while changing the gas ratio. The films were analyzed with scanning electron microscopy (SEM), Raman spectroscopy, and optical emission spectroscopy (OES). The diamond films were grown with CH 3 OH being 52 % by volume of the gas mixture. The effect of atomic hydrogen on the film was different from that of the CH 4 /H 2 gas mixture. Analysis with OES during film growth indicated that among the thermally dissociated hydrogen radicals, only H α contributed to the etching of graphite.

  20. Microwave plasma induced surface modification of diamond-like carbon films

    Science.gov (United States)

    Rao Polaki, Shyamala; Kumar, Niranjan; Gopala Krishna, Nanda; Madapu, Kishore; Kamruddin, Mohamed; Dash, Sitaram; Tyagi, Ashok Kumar

    2017-12-01

    Tailoring the surface of diamond-like carbon (DLC) film is technically relevant for altering the physical and chemical properties, desirable for useful applications. A physically smooth and sp3 dominated DLC film with tetrahedral coordination was prepared by plasma-enhanced chemical vapor deposition technique. The surface of the DLC film was exposed to hydrogen, oxygen and nitrogen plasma for physical and chemical modifications. The surface modification was based on the concept of adsorption-desorption of plasma species and surface entities of films. Energetic chemical species of microwave plasma are adsorbed, leading to desorbtion of the surface carbon atoms due to energy and momentum exchange. The interaction of such reactive species with DLC films enhanced the roughness, surface defects and dangling bonds of carbon atoms. Adsorbed hydrogen, oxygen and nitrogen formed a covalent network while saturating the dangling carbon bonds around the tetrahedral sp3 valency. The modified surface chemical affinity depends upon the charge carriers and electron covalency of the adsorbed atoms. The contact angle of chemically reconstructed surface increases when a water droplet interacts either through hydrogen or van dear Waals bonding. These weak interactions influenced the wetting property of the DLC surface to a great extent.

  1. Electron field emission from boron doped microcrystalline diamond

    International Nuclear Information System (INIS)

    Roos, M.; Baranauskas, V.; Fontana, M.; Ceragioli, H.J.; Peterlevitz, A.C.; Mallik, K.; Degasperi, F.T.

    2007-01-01

    Field emission properties of hot filament chemical vapor deposited boron doped polycrystalline diamond have been studied. Doping level (N B ) of different samples has been varied by the B/C concentration in the gas feed during the growth process and doping saturation has been observed for high B/C ratios. Threshold field (E th ) for electron emission as function of B/C concentration has been measured, and the influences of grain boundaries, doping level and surface morphology on field emission properties have been investigated. Carrier transport through conductive grains and local emission properties of surface sites have been figured out to be two independent limiting effects in respect of field emission. Emitter current densities of 500 nA cm -2 were obtained using electric fields less than 8 V/μm

  2. Panel 2 - properties of diamond and diamond-like-carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Blau, P.J.; Clausing, R.E. [Oak Ridge National Lab., TN (United States); Ajayi, O.O.; Liu, Y.Y.; Purohit, A. [Argonne National Lab., IL (United States); Bartelt, P.F. [Deere & Co., Moline, IL (United States); Baughman, R.H. [Allied Signal, Morristown, NJ (United States); Bhushan, B. [Ohio State Univ., Columbus (United States); Cooper, C.V. [United Technologies Research Center, East Hartford, CT (United States); Dugger, M.T. [Sandia National Laboratories, Albuquerque, NM (United States); Freedman, A. [Aerodyne Research, Inc., Billerica, MA (United States); Larsen-Basse, J. [National Science Foundation, Washington, DC (United States); McGuire, N.R. [Caterpillar, Peoria, IL (United States); Messier, R.F. [Pennsylvania State Univ., University Park (United States); Noble, G.L.; Ostrowki, M.H. [John Crane, Inc., Morton Grove, IL (United States); Sartwell, B.D. [Naval Research Lab., Washington, DC (United States); Wei, R. [Colorado State Univ., Fort Collins (United States)

    1993-01-01

    This panel attempted to identify and prioritize research and development needs in determining the physical, mechanical and chemical properties of diamond and diamond-like-carbon films (D/DLCF). Three specific goals were established. They were: (1) To identify problem areas which produce concern and require a better knowledge of D/DLCF properties. (2) To identify and prioritize key properties of D/DLCF to promote transportation applications. (3) To identify needs for improvement in properties-measurement methods. Each of these goals is addressed subsequently.

  3. Performance and characterisation of CVD diamond coated, sintered diamond and WC-Co cutting tools for dental and micromachining applications

    International Nuclear Information System (INIS)

    Sein, Htet; Ahmed, Waqar; Jackson, Mark; Woodwards, Robert; Polini, Riccardo

    2004-01-01

    Diamond coatings are attractive for cutting processes due to their high hardness, low friction coefficient, excellent wear resistance and chemical inertness. The application of diamond coatings on cemented tungsten carbide (WC-Co) tools was the subject of much attention in recent years in order to improve cutting performance and tool life. WC-Co tools containing 6% Co and 94% WC substrate with an average grain size 1-3 μm were used in this study. In order to improve the adhesion between diamond and WC substrates, it is necessary to etch away the surface Co and prepare the surface for subsequent diamond growth. Hot filament chemical vapour deposition with a modified vertical filament arrangement has been employed for the deposition of diamond films. Diamond film quality and purity have been characterised using scanning electron microscopy and micro-Raman spectroscopy. The performance of diamond coated WC-Co bur, uncoated WC-Co bur, and diamond embedded (sintered) bur have been compared by drilling a series of holes into various materials such as human teeth, borosilicate glass and porcelain teeth. Flank wear has been used to assess the wear rates of the tools. The materials subjected to cutting processes have been examined to assess the quality of the finish. Diamond coated WC-Co microdrills and uncoated microdrills were also tested on aluminium alloys. Results show that there was a 300% improvement when the drills were coated with diamond compared to the uncoated tools

  4. Electrochemical performances of diamond-like carbon coatings on carbon steel, stainless steel, and brass

    International Nuclear Information System (INIS)

    Hadinata, Samuel-Sudibyo; Lee, Ming-Tsung; Pan, Szu-Jung; Tsai, Wen-Ta; Tai, Chen-Yi; Shih, Chuan-Feng

    2013-01-01

    Diamond-like carbon (DLC) coatings have been deposited onto stainless steel, carbon steel and brass by plasma-enhanced chemical vapor deposition, respectively. Atomic arrangement, chemical structure, surface morphology and cross-section microstructure of the DLC coatings were examined by X-ray diffraction, Raman scattering spectroscopy and scanning electron microscopy. The electrochemical behaviors of the DLC coatings in 3.5 wt.% NaCl solution were investigated by performing an open circuit potential (OCP) measurement and a potentiodynamic polarization test. The experimental results showed that properly deposited DLC coatings could cause an increase of OCP by hundreds of millivolts and a reduction of anodic current density by several orders of magnitude as compared to that of the substrate. The results also demonstrated that electrochemical techniques could be used as tools to detect the soundness of the DLC coating by examining OCP and polarization curve, which varied with the form of defect and depended on the type of substrate. - Highlights: ► The substrate could affect the quality of diamond-like carbon (DLC) coating. ► Defect-free DLC coating exhibited extremely low anodic current density. ► The quality of DLC coating on metal could be evaluated by electrochemical test

  5. Electrochemical performances of diamond-like carbon coatings on carbon steel, stainless steel, and brass

    Energy Technology Data Exchange (ETDEWEB)

    Hadinata, Samuel-Sudibyo; Lee, Ming-Tsung [Department of Materials Science and Engineering, National Cheng Kung University, 1, Ta-Hsueh Road, Tainan 701, Taiwan (China); Pan, Szu-Jung [Ocean Energy Research Center, Tainan Hydraulics Laboratory, National Cheng Kung University, 1, Ta-Hsueh Road, Tainan 701, Taiwan (China); Tsai, Wen-Ta, E-mail: wttsai@mail.ncku.edu.tw [Department of Materials Science and Engineering, National Cheng Kung University, 1, Ta-Hsueh Road, Tainan 701, Taiwan (China); Ocean Energy Research Center, Tainan Hydraulics Laboratory, National Cheng Kung University, 1, Ta-Hsueh Road, Tainan 701, Taiwan (China); Tai, Chen-Yi [Ocean Energy Research Center, Tainan Hydraulics Laboratory, National Cheng Kung University, 1, Ta-Hsueh Road, Tainan 701, Taiwan (China); Shih, Chuan-Feng [Ocean Energy Research Center, Tainan Hydraulics Laboratory, National Cheng Kung University, 1, Ta-Hsueh Road, Tainan 701, Taiwan (China); Department of Electrical Engineering, National Cheng Kung University, 1, Ta-Hsueh Road, Tainan 701, Taiwan (China)

    2013-02-01

    Diamond-like carbon (DLC) coatings have been deposited onto stainless steel, carbon steel and brass by plasma-enhanced chemical vapor deposition, respectively. Atomic arrangement, chemical structure, surface morphology and cross-section microstructure of the DLC coatings were examined by X-ray diffraction, Raman scattering spectroscopy and scanning electron microscopy. The electrochemical behaviors of the DLC coatings in 3.5 wt.% NaCl solution were investigated by performing an open circuit potential (OCP) measurement and a potentiodynamic polarization test. The experimental results showed that properly deposited DLC coatings could cause an increase of OCP by hundreds of millivolts and a reduction of anodic current density by several orders of magnitude as compared to that of the substrate. The results also demonstrated that electrochemical techniques could be used as tools to detect the soundness of the DLC coating by examining OCP and polarization curve, which varied with the form of defect and depended on the type of substrate. - Highlights: ► The substrate could affect the quality of diamond-like carbon (DLC) coating. ► Defect-free DLC coating exhibited extremely low anodic current density. ► The quality of DLC coating on metal could be evaluated by electrochemical test.

  6. Electrochemical applications of CVD diamond

    International Nuclear Information System (INIS)

    Pastor-Moreno, Gustavo

    2002-01-01

    Diamond technology has claimed an important role in industry since non-expensive methods of synthesis such as chemical vapour deposition allow to elaborate cheap polycrystalline diamond. This fact has increased the interest in the scientific community due to the outstanding properties of diamond. Since Pleskov published in 1987 the first paper in electrochemistry, many researchers around the world have studied different aspects of diamond electrochemistry such as reactivity, electrical structure, etc. As part of this worldwide interest these studies reveal new information about diamond electrodes. These studies report investigation of diamond electrodes characterized using structural techniques like scanning electrode microscopy and Raman spectroscopy. A new electrochemical theory based on surface states is presented that explains the metal and the semiconductor behaviour in terms of the doping level of the diamond electrode. In an effort to characterise the properties of diamond electrodes the band edges for hydrogen and oxygen terminated surface are located in organic solvent, hence avoiding possible interference that are present in aqueous solution. The determination of the band edges is performed by Mott-Schottky studies. These allow the calculation of the flat band potential and therefore the band edges. Additional cyclic voltammetric studies are presented for both types of surface termination. Mott-Schottky data and cyclic voltammograms are compared and explained in terms of the band edge localisation. Non-degenerately p-type semiconductor behaviour is presented for hydrogen terminated boron doped diamond. Graphitic surface states on oxidised surface boron doped diamond are responsible for the electrochemistry of redox couples that posses similar energy. Using the simple redox couple 1,4-benzoquinone effect of surface termination on the chemical behaviour of diamond is presented. Hydrogen sublayers in diamond electrodes seem to play an important role for the

  7. Evaluation of Chemical Warfare Agent Percutaneous Vapor Toxicity: Derivation of Toxicity Guidelines for Assessing Chemical Protective Ensembles.

    Energy Technology Data Exchange (ETDEWEB)

    Watson, A.P.

    2003-07-24

    Percutaneous vapor toxicity guidelines are provided for assessment and selection of chemical protective ensembles (CPEs) to be used by civilian and military first responders operating in a chemical warfare agent vapor environment. The agents evaluated include the G-series and VX nerve agents, the vesicant sulfur mustard (agent HD) and, to a lesser extent, the vesicant Lewisite (agent L). The focus of this evaluation is percutaneous vapor permeation of CPEs and the resulting skin absorption, as inhalation and ocular exposures are assumed to be largely eliminated through use of SCBA and full-face protective masks. Selection of appropriately protective CPE designs and materials incorporates a variety of test parameters to ensure operability, practicality, and adequacy. One aspect of adequacy assessment should be based on systems tests, which focus on effective protection of the most vulnerable body regions (e.g., the groin area), as identified in this analysis. The toxicity range of agent-specific cumulative exposures (Cts) derived in this analysis can be used as decision guidelines for CPE acceptance, in conjunction with weighting consideration towards more susceptible body regions. This toxicity range is bounded by the percutaneous vapor estimated minimal effect (EME{sub pv}) Ct (as the lower end) and the 1% population threshold effect (ECt{sub 01}) estimate. Assumptions of exposure duration used in CPE certification should consider that each agent-specific percutaneous vapor cumulative exposure Ct for a given endpoint is a constant for exposure durations between 30 min and 2 hours.

  8. CN distribution in flame deposition of diamond and its relation to the growth rate, morphology, and nitrogen incorporation of the diamond layer

    NARCIS (Netherlands)

    Klein-Douwel, R.J.H.; Schermer, J.J.; Meulen, ter J.J.

    1998-01-01

    Two-dimensional laser-induced fluorescence (2D-LIF) measurements areapplied to the chemical vapour deposition (CVD) of diamond by anoxyacetylene flame to visualize the distribution of CN in the gas phaseduring the diamond growth process. The obtained diamond deposits arecharacterized by optical as

  9. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  10. The Oxford-Diamond In Situ Cell for studying chemical reactions using time-resolved X-ray diffraction

    Science.gov (United States)

    Moorhouse, Saul J.; Vranješ, Nenad; Jupe, Andrew; Drakopoulos, Michael; O'Hare, Dermot

    2012-08-01

    A versatile, infrared-heated, chemical reaction cell has been assembled and commissioned for the in situ study of a range of chemical syntheses using time-resolved energy-dispersive X-ray diffraction (EDXRD) on Beamline I12 at the Diamond Light Source. Specialized reactor configurations have been constructed to enable in situ EDXRD investigation of samples under non-ambient conditions. Chemical reactions can be studied using a range of sample vessels such as alumina crucibles, steel hydrothermal autoclaves, and glassy carbon tubes, at temperatures up to 1200 °C.

  11. Ellipsometric investigation of nitrogen doped diamond thin films grown in microwave CH{sub 4}/H{sub 2}/N{sub 2} plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ficek, Mateusz, E-mail: rbogdan@eti.pg.gda.pl [Department of Metrology and Optoelectronics, Faculty of Electronics, Telecommunications and Informatics, Gdansk University of Technology, 11/12 G. Narutowicza St., 80-233 Gdansk (Poland); Institute for Materials Research (IMO), Hasselt University, Wetenschapspark 1, B-3590 Diepenbeek (Belgium); Sankaran, Kamatchi J.; Haenen, Ken [Institute for Materials Research (IMO), Hasselt University, Wetenschapspark 1, B-3590 Diepenbeek (Belgium); IMOMEC, IMEC vzw, Wetenschapspark 1, B-3590 Diepenbeek (Belgium); Ryl, Jacek; Darowicki, Kazimierz [Department of Electrochemistry, Corrosion and Material Engineering, Gdansk University of Technology, 11/12 Narutowicza St., 80-233 Gdansk (Poland); Bogdanowicz, Robert [Department of Metrology and Optoelectronics, Faculty of Electronics, Telecommunications and Informatics, Gdansk University of Technology, 11/12 G. Narutowicza St., 80-233 Gdansk (Poland); Materials and Process Simulation Center, California Institute of Technology, Pasadena, California 91125 (United States); Lin, I-Nan [Department of Physics, Tamkang University, Tamsui 251, Taiwan (China)

    2016-06-13

    The influence of N{sub 2} concentration (1%–8%) in CH{sub 4}/H{sub 2}/N{sub 2} plasma on structure and optical properties of nitrogen doped diamond (NDD) films was investigated. Thickness, roughness, and optical properties of the NDD films in the VIS–NIR range were investigated on the silicon substrates using spectroscopic ellipsometry. The samples exhibited relatively high refractive index (2.6 ± 0.25 at 550 nm) and extinction coefficient (0.05 ± 0.02 at 550 nm) with a transmittance of 60%. The optical investigation was supported by the molecular and atomic data delivered by Raman studies, bright field transmission electron microscopy imaging, and X-ray photoelectron spectroscopy diagnostics. Those results revealed that while the films grown in CH{sub 4}/H{sub 2} plasma contained micron-sized diamond grains, the films grown using CH{sub 4}/H{sub 2}/(4%)N{sub 2} plasma exhibited ultranano-sized diamond grains along with n-diamond and i-carbon clusters, which were surrounded by amorphous carbon grain boundaries.

  12. LOW-TEMPERATURE SURFACE HARDENING FOR DIAMOND TOOLS

    Directory of Open Access Journals (Sweden)

    A. A. Shmatov

    2009-01-01

    Full Text Available The structure and properties of cutting diamond tools subjected to thermo-hydro-chemical treatment are examined in the paper. The process involves a chemical treatment of tools in a specially prepared aqueous suspension of oxides Ti, Mo and other ingredients and subsequent heat treatment (minimal process temperature 130 °C. Thermo-hydro-chemical method permits to increase a wear resistance of cutting diamond tools by the factor of 1.3–4.0 in comparison with traditional one.

  13. Conductive diamond electrodes for water purification

    Directory of Open Access Journals (Sweden)

    Carlos Alberto Martínez-Huitle

    2007-12-01

    Full Text Available Nowadays, synthetic diamond has been studied for its application in wastewater treatment, electroanalysis, organic synthesis and sensor areas; however, its use in the water disinfection/purification is its most relevant application. The new electrochemistry applications of diamond electrodes open new perspectives for an easy, effective, and chemical free water treatment. This article highlights and summarizes the results of a selection of papers dealing with electrochemical disinfection using synthetic diamond films.

  14. Radiation damage in the diamond based beam condition monitors of the CMS experiment at the Large Hadron Collider (LHC) at CERN

    CERN Document Server

    Guthoff, Moritz; Dabrowski, Anne; De Boer, Wim; Stickland, David; Lange, Wolfgang; Lohmann, Wolfgang

    2013-01-01

    The Beam Condition Monitor (BCM) of the CMS detector at the LHC is a protection device similar to the LHC Beam Loss Monitor system. While the electronics used is the same, poly-crystalline Chemical Vapor Deposition (pCVD) diamonds are used instead of ionization chambers as the BCM sensor material. The main purpose of the system is the protection of the silicon Pixel and Strip tracking detectors by inducing a beam dump, if the beam losses are too high in the CMS detector. By comparing the detector current with the instantaneous luminosity, the BCM detector ef fi ciency can be monitored. The number of radiation-induced defects in the diamond, reduces the charge collection distance, and hence lowers the signal. The number of these induced defects can be simulated using the FLUKA Monte Carlo simulation. The cross-section for creating defects increases with decreasing energies of the impinging particles. This explains, why diamond sensors mounted close to heavy calorimeters experience more radiation damage, becaus...

  15. Study on tribological behavior and cutting performance of CVD diamond and DLC films on Co-cemented tungsten carbide substrates

    International Nuclear Information System (INIS)

    Zhang Dongcan; Shen Bin; Sun Fanghong

    2010-01-01

    The tribological behaviors of diamond and diamond-like carbon (DLC) films play a major role on their machining and mechanical applications. In this study, diamond and diamond-like carbon (DLC) films are deposited on the cobalt cemented tungsten carbide (WC-Co) substrate respectively adopting the hot filament chemical vapor deposition (HFCVD) technique and the vacuum arc discharge with a graphite cathode, and their friction properties are evaluated on a reciprocating ball-on-plate tribometer with counterfaces of silicon nitride (Si 3 N 4 ) ceramic, cemented tungsten carbide (WC) and ball-bearing steel materials, under the ambient air without lubricating condition. Moreover, to evaluate their cutting performance, comparative turning tests are conducted using the uncoated WC-Co and as-fabricated CVD diamond and DLC coated inserts, with glass fiber reinforced plastics (GFRP) composite materials as the workpiece. The as-deposited HFCVD diamond and DLC films are characterized with energy-dispersive X-ray spectroscopy (EDX), scanning electron microscope (SEM), X-ray diffraction spectroscopy (XRD), Raman spectroscopy and 3D surface topography based on white-light interferometry. Furthermore, Rocwell C indentation tests are conducted to evaluate the adhesion of HFCVD diamond and DLC films grown onto WC-Co substrates. SEM and 3D surface topography based on white-light interferometry are also used to investigate the worn region on the surfaces of diamond and DLC films. The friction tests suggest that the obtained friction coefficient curves that of various contacts exhibit similar evolution tendency. For a given counterface, DLC films present lower stable friction coefficients than HFCVD diamond films under the same sliding conditions. The cutting tests results indicate that flank wear of the HFCVD diamond coated insert is lower than that of DLC coated insert before diamond films peeling off.

  16. Effect of substrates on tribological properties of diamond-like carbon coating

    Directory of Open Access Journals (Sweden)

    Renhui ZHANG

    2017-06-01

    Full Text Available In order to well investigate the effect of different substrates on the friction and wear of diamond-like carbon (DLC coating, the DLC coatings are deposited on substrates like the high-speed steel (HSS, SiC and 304 stainless steel by using plasma enhanced chemical vapor deposition method. The diamond-like carbon is prepared. The microstructure of the coatings is characterized using SEM, TEM and Raman. The SEM results exhibit that the total thickness of the coatings is about 6.5 μm, and there's apparent interfaces between layers. The TEM results imply that the coatings have an amorphous structure. Raman spectrum exhibits that G and D peaks are observed, which implies that the deposition coatings are diamond-like carbon coating. The results of tribological tests show that the substrates have a significant effect on the friction and wear of the coating. For different substrates, the transfer film is found on the steel counterpart surface, the wear track of the HSS has a lowest width, and the DLC coating that deposited on HSS exhibits the lowest wear and low friction coefficient (about 0.1.The microstructure of different substrates wear track surfaces is analyzed by using Raman spectrum, and the lowest wear of the HSS is attributed to the lower degree of the graphitization. The research provides reference for preparing the DLC coating with excellent tribological properties.

  17. Phosphorylated nano-diamond/ Polyimide Nanocomposites

    International Nuclear Information System (INIS)

    Beyler-Çiǧil, Asli; Çakmakçi, Emrah; Kahraman, Memet Vezir

    2014-01-01

    In this study, a novel route to synthesize polyimide (PI)/phosphorylated nanodiamond films with improved thermal and mechanical properties was developed. Surface phosphorylation of nano-diamond was performed in dichloromethane. Phosphorylation dramatically enhanced the thermal stability of nano-diamond. Poly(amic acid) (PAA), which is the precursor of PI, was successfully synthesized with 3,3',4,4'-Benzophenonetetracarboxylic dianhydride (BTDA) and 4,4'-oxydianiline (4,4'-ODA) in the solution of N,N- dimethylformamide (DMF). Pure BTDA-ODA polyimide films and phosphorylated nanodiamond containing BTDA-ODA PI films were prepared. The PAA displayed good compatibility with phosphorylated nano-diamond. The morphology of the polyimide (PI)/phosphorylated nano-diamond was characterized by scanning electron microscopy (SEM). Chemical structure of polyimide and polyimide (PI)/phosphorylated nano-diamond was characterized by FTIR. SEM and FTIR results showed that the phosphorylated nano-diamond was successfully prepared. Thermal properties of the polyimide (PI)/phosphorylated nanodiamond was characterized by thermogravimetric analysis (TGA). TGA results showed that the thermal stability of (PI)/phosphorylated nano-diamond film was increased

  18. Oxygen plasma etching of silver-incorporated diamond-like carbon films

    International Nuclear Information System (INIS)

    Marciano, F.R.; Bonetti, L.F.; Pessoa, R.S.; Massi, M.; Santos, L.V.; Trava-Airoldi, V.J.

    2009-01-01

    Diamond-like carbon (DLC) film as a solid lubricant coating represents an important area of investigation related to space devices. The environment for such devices involves high vacuum and high concentration of atomic oxygen. The purpose of this paper is to study the behavior of silver-incorporated DLC thin films against oxygen plasma etching. Silver nanoparticles were produced through an electrochemical process and incorporated into DLC bulk during the deposition process using plasma enhanced chemical vapor deposition technique. The presence of silver does not affect significantly DLC quality and reduces by more than 50% the oxygen plasma etching. Our results demonstrated that silver nanoparticles protect DLC films against etching process, which may increase their lifetime in low earth orbit environment.

  19. Oxygen plasma etching of silver-incorporated diamond-like carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Marciano, F.R., E-mail: fernanda@las.inpe.b [Instituto Nacional de Pesquisas Espaciais (INPE), Laboratorio Associado de Sensores e Materiais (LAS), Av. dos Astronautas 1758, Sao Jose dos Campos, 12227-010, SP (Brazil); Instituto Tecnologico de Aeronautica (ITA), Centro Tecnico Aeroespacial (CTA), Pca. Marechal Eduardo Gomes, 50-Sao Jose dos Campos, 12228-900, SP (Brazil); Bonetti, L.F. [Clorovale Diamantes Industria e Comercio Ltda, Estr. do Torrao de Ouro, 500-Sao Jose dos Campos, 12229-390, SP (Brazil); Pessoa, R.S.; Massi, M. [Instituto Tecnologico de Aeronautica (ITA), Centro Tecnico Aeroespacial (CTA), Pca. Marechal Eduardo Gomes, 50-Sao Jose dos Campos, 12228-900, SP (Brazil); Santos, L.V.; Trava-Airoldi, V.J. [Instituto Nacional de Pesquisas Espaciais (INPE), Laboratorio Associado de Sensores e Materiais (LAS), Av. dos Astronautas 1758, Sao Jose dos Campos, 12227-010, SP (Brazil)

    2009-08-03

    Diamond-like carbon (DLC) film as a solid lubricant coating represents an important area of investigation related to space devices. The environment for such devices involves high vacuum and high concentration of atomic oxygen. The purpose of this paper is to study the behavior of silver-incorporated DLC thin films against oxygen plasma etching. Silver nanoparticles were produced through an electrochemical process and incorporated into DLC bulk during the deposition process using plasma enhanced chemical vapor deposition technique. The presence of silver does not affect significantly DLC quality and reduces by more than 50% the oxygen plasma etching. Our results demonstrated that silver nanoparticles protect DLC films against etching process, which may increase their lifetime in low earth orbit environment.

  20. Electronic and physico-chemical properties of nanometric boron delta-doped diamond structures

    International Nuclear Information System (INIS)

    Chicot, G.; Fiori, A.; Tran Thi, T. N.; Bousquet, J.; Delahaye, J.; Grenet, T.; Eon, D.; Omnès, F.; Bustarret, E.; Volpe, P. N.; Tranchant, N.; Mer-Calfati, C.; Arnault, J. C.; Gerbedoen, J. C.; Soltani, A.; De Jaeger, J. C.; Alegre, M. P.; Piñero, J. C.; Araújo, D.; Jomard, F.

    2014-01-01

    Heavily boron doped diamond epilayers with thicknesses ranging from 40 to less than 2 nm and buried between nominally undoped thicker layers have been grown in two different reactors. Two types of [100]-oriented single crystal diamond substrates were used after being characterized by X-ray white beam topography. The chemical composition and thickness of these so-called delta-doped structures have been studied by secondary ion mass spectrometry, transmission electron microscopy, and spectroscopic ellipsometry. Temperature-dependent Hall effect and four probe resistivity measurements have been performed on mesa-patterned Hall bars. The temperature dependence of the hole sheet carrier density and mobility has been investigated over a broad temperature range (6 K  2 /Vs, independently of the layer thickness and the substrate type. Comparison with previously published data and theoretical calculations showed that scattering by ionized impurities explained only partially this low common value. None of the delta-layers showed any sign of confinement-induced mobility enhancement, even for thicknesses lower than 2 nm

  1. Metal–organic covalent network chemical vapor deposition for gas separation

    NARCIS (Netherlands)

    Boscher, N.D.; Wang, M.; Perrotta, A.; Heinze, K.; Creatore, A.; Gleason, K.K.

    2016-01-01

    The chemical vapor deposition (CVD) polymerization of metalloporphyrin building units is demonstrated to provide an easily up-scalable one-step method toward the deposition of a new class of dense and defect-free metal–organic covalent network (MOCN) layers. The resulting hyper-thin and flexible

  2. Determining the microwave coupling and operational efficiencies of a microwave plasma assisted chemical vapor deposition reactor under high pressure diamond synthesis operating conditions

    Energy Technology Data Exchange (ETDEWEB)

    Nad, Shreya [Department of Electrical and Computer Engineering, Michigan State University, East Lansing, Michigan 48824 (United States); Department of Physics and Astronomy, Michigan State University, East Lansing, Michigan 48824 (United States); Gu, Yajun; Asmussen, Jes [Department of Electrical and Computer Engineering, Michigan State University, East Lansing, Michigan 48824 (United States)

    2015-07-15

    The microwave coupling efficiency of the 2.45 GHz, microwave plasma assisted diamond synthesis process is investigated by experimentally measuring the performance of a specific single mode excited, internally tuned microwave plasma reactor. Plasma reactor coupling efficiencies (η) > 90% are achieved over the entire 100–260 Torr pressure range and 1.5–2.4 kW input power diamond synthesis regime. When operating at a specific experimental operating condition, small additional internal tuning adjustments can be made to achieve η > 98%. When the plasma reactor has low empty cavity losses, i.e., the empty cavity quality factor is >1500, then overall microwave discharge coupling efficiencies (η{sub coup}) of >94% can be achieved. A large, safe, and efficient experimental operating regime is identified. Both substrate hot spots and the formation of microwave plasmoids are eliminated when operating within this regime. This investigation suggests that both the reactor design and the reactor process operation must be considered when attempting to lower diamond synthesis electrical energy costs while still enabling a very versatile and flexible operation performance.

  3. Chemical vapor deposition: A technique for applying protective coatings

    Energy Technology Data Exchange (ETDEWEB)

    Wallace, T.C. Sr.; Bowman, M.G.

    1979-01-01

    Chemical vapor deposition is discussed as a technique for applying coatings for materials protection in energy systems. The fundamentals of the process are emphasized in order to establish a basis for understanding the relative advantages and limitations of the technique. Several examples of the successful application of CVD coating are described. 31 refs., and 18 figs.

  4. Tribological properties of nanocrystalline diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Erdemir, A.; Fenske, G.R.; Krauss, A.R.; Gruen, D.M.; McCauley, T.; Csencsits, R.T. [Argonne National Lab., IL (United States). Energy Technology Div.

    1999-11-01

    In this paper, we present the friction and wear properties of nanocrystalline diamond (NCD) films grown in Ar-fullerene (C{sub 60}) and Ar-CH{sub 4} microwave plasmas. Specifically, we will address the fundamental tribological issues posed by these films during sliding against Si{sub 3}N{sub 4} counterfaces in ambient air and inert gases. Grain sizes of the films grown by the new method are very small (10-30 nm) and are much smoother (20-40 nm, root mean square) than those of films grown by the conventional H{sub 2}-CH{sub 4} microwave-assisted chemical vapor deposition process. Transmission electron microscopy (TEM) revealed that the grain boundaries of these films are very sharp and free of nondiamond phases. The microcrystalline diamond films grown by most conventional methods consist of large grains and a rough surface finish, which can cause severe abrasion during sliding against other materials. The friction coefficients of films grown by the new method (i.e. in Ar-C{sub 60} and Ar-CH{sub 4} plasmas) are comparable with those of natural diamond, and wear damage on counterface materials is minimal. Fundamental tribological studies indicate that these films may undergo phase transformation during long-duration, high-speed and/or high-load sliding tests and that the transformation products trapped at the sliding interfaces can intermittently dominate friction and wear performance. Using results from a combination of TEM, electron diffraction, Raman spectroscopy, and electron energy loss spectroscopy, we describe the structural chemistry of the debris particles trapped at the sliding interfaces and elucidate their possible effects on friction and wear of NCD films in dry N{sub 2}. Finally, we suggest a few potential applications in which NCD films can improve performance and service lives. (orig.)

  5. Development of a new neutron mirror made of deuterated Diamond-like carbon

    International Nuclear Information System (INIS)

    Sakurai, Dai; Chiba, Junsei; Shimizu, Hirohiko M; Nishimura, Daiki; Ino, Takashi; Kaneko, Naokatsu; Muto, Suguru; Kakusho, Nobunori; Seki, Yoshichika; Katayama, Ryo; Kitaguchi, Masaaki; Mishima, Kenji; Yamashita, Satoru; Ozeki, Kazuhide; Yoshioka, Tamaki

    2014-01-01

    We developed a new neutron mirror made of Diamond-like carbon (DLC). DLC is a film of amorphous carbon that has characteristics of both diamond and graphite. We produced DLC mirrors by ionization deposition method which is one of the chemical vapor deposition (CVD). Generally, DLC made by CVD contents a few tens of percentages of hydrogen. It decreases the Fermi potential of the DLC coating because hydrogen has negative Fermi potential. In order to increase the Fermi potential of the coating, we deuterated the DLC by using deuterated benzene for the source gas. The characteristics of the deuterated DLC(DDLC) coating was evaluated by RBS, ERDA, x-ray reflectivity, AFM. As a result, DDLC coating has 243 neV due to deuteration, which is the same level as Ni. The RMS of height of the DDLC was 0.6nm so that the DDLC coating can be applied for a focusing mirror or specular transportation of pulsed neutron. Besides, we also develop Hydrogen/Deuterium DLC multiple layer mirror. So far, 4 layers mirror has been succeeded.

  6. A sandwich-like differential B-dot based on EACVD polycrystalline diamond slice

    Science.gov (United States)

    Xu, P.; Yu, Y.; Xu, L.; Zhou, H. Y.; Qiu, C. J.

    2018-06-01

    In this article, we present a method of mass production of a standardized high-performance differential B-dot magnetic probe together with the magnetic field measurement in a pulsed current device with the current up to hundreds of kilo-Amperes. A polycrystalline diamond slice produced in an Electron Assisted Chemical Vapor Deposition device is used as the base and insulating material to imprint two symmetric differential loops for the magnetic field measurement. The SP3 carbon bond in the cubic lattice structure of diamond is confirmed by Raman spectra. The thickness of this slice is 20 μm. A gold loop is imprinted onto each surface of the slice by using the photolithography technique. The inner diameter, width, and thickness of each loop are 0.8 mm, 50 μm, and 1 μm, respectively. It provides a way of measuring the pulsed magnetic field with a high spatial and temporal resolution, especially in limited space. This differential magnetic probe has demonstrated a very good common-mode rejection rate through the pulsed magnetic field measurement.

  7. Production and testing of a synthetic diamond film radiation dosimeter for radiotherapy

    CERN Document Server

    Fidanzio, A; Venanzi, C; Pinzari, F; Piermattei, A

    2002-01-01

    A detector, constituted by a polycrystalline chemical vapor deposited diamond film, has been made for on-line radiotherapy beam analysis in terms of dose distributions in water equivalent material. Preliminary results are reported which evidence that the leakage current can be a limiting parameter for an efficient collection of the charge carriers produced by the ionizing radiation. A signal to noise ratio near to 100 has been obtained. A priming effect similar to that found in natural diamond devices has also been evidenced, and a stable detector response was obtained after an accumulated dose of 5 Gy. The linearity has been achieved between the detector reading and the dose. The detector sensitivity resulted was equal to 77 nC/Gy per mm sup 3 of detector sensitive volume. A power law with exponent DELTA less than one has been found between detector reading and dose rate. However, when the dose rate dependence was corrected, the percentage depth doses, along an X-ray beam central axis, was in agreement with ...

  8. Subtle Raman signals from nano-diamond and β-SiC thin films

    International Nuclear Information System (INIS)

    Kuntumalla, Mohan Kumar; Ojha, Harish; Srikanth, Vadali Venkata Satya Siva

    2013-01-01

    Micro Raman scattering experiments are carried out in pursuit of subtle but discernable signals from nano-diamond and β-SiC thin films. The thin films are synthesized using microwave plasma assisted chemical vapor deposition technique. Raman scattering experiments in conjunction with scanning electron microscopy and x-ray diffraction were carried out to extract microstructure and phase information of the above mentioned thin films. Certain subtle Raman signals have been identified in this work. In the case of nanodiamond thin films, Raman bands at ∼ 485 and ∼ 1220 cm −1 are identified. These bands have been assigned to the nanodiamond present in nanodiamond thin films. In the case of nano β-SiC thin films, optical phonons are identified using surface enhanced Raman scattering. - Highlights: ► Subtle Raman signals from nano-diamond and β-silicon carbide related thin films. ► Raman bands at ∼ 485 and ∼ 1220 cm −1 from nanodiamond thin films are identified. ► Longitudinal optical phonon from nano β-silicon carbide thin films is identified

  9. CVD diamond windows for infrared synchrotron applications

    International Nuclear Information System (INIS)

    Sussmann, R.S.; Pickles, C.S.J.; Brandon, J.R.; Wort, C.J.H.; Coe, S.E.; Wasenczuk, A.; Dodge, C.N.; Beale, A.C.; Krehan, A.J.; Dore, P.; Nucara, A.; Calvani, P.

    1998-01-01

    This paper describes the attributes that make diamond a unique material for infrared synchrotron beam experiments. New developments in diamond synthesised by Chemical Vapour Deposition (CVD) promise to extend the range of applications which have been hitherto limited by the availability and cost of large-size single-crystal diamond. Polycrystalline CVD diamond components such as large (100 mm) diameter windows with extremely good transparency over a wide spectral range are now commercially available. Properties of CVD diamond of relevance to optical applications, such as mechanical strength, thermal conductivity and absolute bulk absorption, are discussed. It is shown that although some of the properties of CVD diamond (similar to other polycrystalline industrial ceramics) are affected by the grain structure, currently produced CVD diamond optical components have the quality and performance required for numerous demanding applications

  10. Radiation stable, hybrid, chemical vapor infiltration/preceramic polymer joining of silicon carbide components

    Energy Technology Data Exchange (ETDEWEB)

    Khalifa, Hesham E., E-mail: hesham.khalifa@ga.com [General Atomics, 3550 General Atomics Ct., San Diego 92121, CA (United States); Koyanagi, Takaaki [Oak Ridge National Laboratory, P.O. Box 2008, Oak Ridge 37831, TN (United States); Jacobsen, George M.; Deck, Christian P.; Back, Christina A. [General Atomics, 3550 General Atomics Ct., San Diego 92121, CA (United States)

    2017-04-15

    This paper reports on a nuclear-grade joining material for bonding of silicon carbide-based components. The joint material is fabricated via a hybrid preceramic polymer, chemical vapor infiltration process. The joint is comprised entirely of β-SiC and results in excellent mechanical and permeability performance. The joint strength, composition, and microstructure have been characterized before and after irradiation to 4.5 dpa at 730 °C in the High Flux Isotope Reactor. The hybrid preceramic polymer-chemical vapor infiltrated joint exhibited complete retention of shear strength and no evidence of microstructural evolution or damage was detected following irradiation.

  11. Effect of precursor supply on structural and morphological characteristics of fe nanomaterials synthesized via chemical vapor condensation method.

    Science.gov (United States)

    Ha, Jong-Keun; Ahn, Hyo-Jun; Kim, Ki-Won; Nam, Tae-Hyun; Cho, Kwon-Koo

    2012-01-01

    Various physical, chemical and mechanical methods, such as inert gas condensation, chemical vapor condensation, sol-gel, pulsed wire evaporation, evaporation technique, and mechanical alloying, have been used to synthesize nanoparticles. Among them, chemical vapor condensation (CVC) has the benefit of its applicability to almost all materials because a wide range of precursors are available for large-scale production with a non-agglomerated state. In this work, Fe nanoparticles and nanowires were synthesized by chemical vapor condensation method using iron pentacarbonyl (Fe(CO)5) as the precursor. The effect of processing parameters on the microstructure, size and morphology of Fe nanoparticles and nanowires were studied. In particular, we investigated close correlation of size and morphology of Fe nanoparticles and nanowires with atomic quantity of inflow precursor into the electric furnace as the quantitative analysis. The atomic quantity was calculated by Boyle's ideal gas law. The Fe nanoparticles and nanowires with various diameter and morphology have successfully been synthesized by the chemical vapor condensation method.

  12. Micro-strip sensors based on CVD diamond

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D' Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D. E-mail: dirk.meier@cern.ch; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M

    2000-10-11

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  13. Micro-strip sensors based on CVD Diamond

    CERN Document Server

    Adam, W; Bergonzo, P; Bertuccio, G; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Koeth, T W; Krammer, Manfred; Lo Giudice, A; Lü, R; MacLynne, L; Manfredotti, C; Meier, D; Mishina, M; Moroni, L; Oh, A; Pan, L S; Pernicka, Manfred; Peitz, A; Perera, L P; Pirollo, S; Procario, M; Riester, J L; Roe, S; Rousseau, L; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S R; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trischuk, W; Tromson, D; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; Wetstein, M; White, C; Zeuner, W; Zoeller, M M

    2000-01-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  14. Micro-strip sensors based on CVD diamond

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.

    2000-01-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation

  15. Micro-strip sensors based on CVD diamond

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L. S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J. L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; RD42 Collaboration

    2000-10-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  16. Tandem solar cells deposited using hot-wire chemical vapor deposition

    NARCIS (Netherlands)

    Veen, M.K. van

    2003-01-01

    In this thesis, the application of the hot-wire chemical vapor deposition (HWCVD) technique for the deposition of silicon thin films is described. The HWCVD technique is based on the dissociation of silicon-containing gasses at the catalytic surface of a hot filament. Advantages of this technique

  17. Diamond nanowires: fabrication, structure, properties, and applications.

    Science.gov (United States)

    Yu, Yuan; Wu, Liangzhuan; Zhi, Jinfang

    2014-12-22

    C(sp(3) )C-bonded diamond nanowires are wide band gap semiconductors that exhibit a combination of superior properties such as negative electron affinity, chemical inertness, high Young's modulus, the highest hardness, and room-temperature thermal conductivity. The creation of 1D diamond nanowires with their giant surface-to-volume ratio enhancements makes it possible to control and enhance the fundamental properties of diamond. Although theoretical comparisons with carbon nanotubes have shown that diamond nanowires are energetically and mechanically viable structures, reproducibly synthesizing the crystalline diamond nanowires has remained challenging. We present a comprehensive, up-to-date review of diamond nanowires, including a discussion of their synthesis along with their structures, properties, and applications. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Chemical Vapor Transport Deposition of Molybdenum Disulfide Layers Using H2O Vapor as the Transport Agent

    Directory of Open Access Journals (Sweden)

    Shichao Zhao

    2018-02-01

    Full Text Available Molybdenum disulfide (MoS2 layers show excellent optical and electrical properties and have many potential applications. However, the growth of high-quality MoS2 layers is a major bottleneck in the development of MoS2-based devices. In this paper, we report a chemical vapor transport deposition method to investigate the growth behavior of monolayer/multi-layer MoS2 using water (H2O as the transport agent. It was shown that the introduction of H2O vapor promoted the growth of MoS2 by increasing the nucleation density and continuous monolayer growth. Moreover, the growth mechanism is discussed.

  19. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  20. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  1. Microstructure and mechanical properties of diamond films on titanium-aluminum-vanadium alloy

    Science.gov (United States)

    Catledge, Shane Aaron

    The primary focus of this dissertation is the investigation of the processing-structure-property relationships of diamond films deposited on Ti-6Al-4V alloy by microwave plasma chemical vapor deposition (MPCVD). By depositing a well-adhered protective layer of diamond on an alloy component, its hardness, wear-resistance, performance, and overall lifetime could be significantly increased. However, due to the large thermal expansion mismatch between the diamond film and metal (and the corresponding residual stress induced in the film), film adhesion is typically unsatisfactory and often results in immediate delamination after processing. Therefore, it is a major goal of this research to improve adhesion of the diamond film to the alloy substrate. Through the use of innovative processing techniques involving MPCVD deposition conditions and methane (CH4), nitrogen (N2), and hydrogen (H2) chemistry, we have achieved diamond films which consistently adhere to the alloy substrate. In addition, we have discovered that, with the appropriate choice of deposition conditions, the film structure can be tailored to range from highly crystalline, well-faceted diamond to nanocrystalline diamond with extremely low surface roughness (as low as 27 nm). The relationship between processing and structure was studied using in-situ optical emission spectroscopy, micro-Raman spectroscopy, surface profilometry, glancing-angle x-ray diffraction, and scanning electron microscopy. We observe that when nitrogen is added to the H2/CH4 feedgas mixture, a carbon-nitrogen (CN) emission band arises and its relative abundance to the carbon dimer (C2) gas species is shown to have a pronounced influence on the diamond film structure. By appropriate choice of deposition chemistry and conditions, we can tailor the diamond film structure and its corresponding properties. The mechanical properties of interest in this thesis are those relating to the integrity of the film/substrate interface, as well as the

  2. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.; Sevilla, Galo T.; Rader, Kelly; Hussain, Muhammad Mustafa

    2013-01-01

    electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace

  3. Modifying thin film diamond for electronic applications

    International Nuclear Information System (INIS)

    Baral, B.

    1999-01-01

    The unique combination of properties that diamond possesses are being exploited in both electronic and mechanical applications. An important step forward in the field has been the ability to grow thin film diamond by chemical vapour deposition (CVD) methods and to control parameters such as crystal orientation, dopant level and surface roughness. An extensive understanding of the surface of any potential electronic material is vital to fully comprehend its behaviour within device structures. The surface itself ultimately controls key aspects of device performance when interfaced with other materials. This study has provided insight into important chemical reactions on polycrystalline CVD diamond surfaces, addressing how certain surface modifications will ultimately affect the properties of the material. A review of the structure, bonding, properties and potential of diamond along with an account of the current state of diamond technology and CVD diamond growth is provided. The experimental chapter reviews bulk material and surface analytical techniques employed in this work and is followed by an investigation of cleaning treatments for polycrystalline CVD diamond aimed at removing non-diamond carbon from the surface. Selective acid etch treatments are compared and contrasted for efficacy with excimer laser irradiation and hydrogen plasma etching. The adsorption/desorption kinetics of potential dopant-containing precursors on polycrystalline CVD diamond surfaces have been investigated to compare their effectiveness at introducing dopants into the diamond during the growth stage. Both boron and sulphur-containing precursor compounds have been investigated. Treating polycrystalline CVD diamond in various atmospheres / combination of atmospheres has been performed to enhance electron field emission from the films. Films which do not emit electrons under low field conditions can be modified such that they emit at fields as low as 10 V/μm. The origin of this enhancement

  4. Commissioning and first operation of the pCVD diamond ATLAS Beam Conditions Monitor

    CERN Document Server

    Dobos, D

    2009-01-01

    The main aim of the ATLAS Beam Conditions Monitor is to protect the ATLAS Inner Detector silicon trackers from high radiation doses caused by LHC beam incidents, e.g. magnet failures. The BCM uses in total 16 1x1 cm2 500 μm thick polycrystalline chemical vapor deposition (pCVD) diamond sensors. They are arranged in 8 positions around the ATLAS LHC interaction point. Time difference measurements with sub nanosecond resolution are performed to distinguish between particles from a collision and spray particles from a beam incident. An abundance of the latter leads the BCM to provoke an abort of the LHC beam. A FPGA based readout system with a sampling rate of 2.56 GHz performs the online data analysis and interfaces the results to ATLAS and the beam abort system. The BCM diamond sensors, the detector modules and their readout system are described. Results of the operation with the first LHC beams are reported and results of commissioning and timing measurements (e.g. with cosmic muons) in preparation for first ...

  5. Anodic oxidation with doped diamond electrodes: a new advanced oxidation process

    International Nuclear Information System (INIS)

    Kraft, Alexander; Stadelmann, Manuela; Blaschke, Manfred

    2003-01-01

    Boron-doped diamond anodes allow to directly produce OH· radicals from water electrolysis with very high current efficiencies. This has been explained by the very high overvoltage for oxygen production and many other anodic electrode processes on diamond anodes. Additionally, the boron-doped diamond electrodes exhibit a high mechanical and chemical stability. Anodic oxidation with diamond anodes is a new advanced oxidation process (AOP) with many advantages compared to other known chemical and photochemical AOPs. The present work reports on the use of diamond anodes for the chemical oxygen demand (COD) removal from several industrial wastewaters and from two synthetic wastewaters with malic acid and ethylenediaminetetraacetic (EDTA) acid. Current efficiencies for the COD removal between 85 and 100% have been found. The formation and subsequent removal of by-products of the COD oxidation has been investigated for the first time. Economical considerations of this new AOP are included

  6. Physico-chemical mechanism for the vapors sensitivity of photoluminescent InP quantum dots

    Science.gov (United States)

    Prosposito, P.; De Angelis, R.; De Matteis, F.; Hatami, F.; Masselink, W. T.; Zhang, H.; Casalboni, M.

    2016-03-01

    InP/InGaP surface quantum dots are interesting materials for optical chemical sensors since they present an intense emission at room temperature, whose intensity changes rapidly and reversibly depending on the composition of the environmental atmosphere. We present here their emission properties by time resolved photoluminescence spectroscopy investigation and we discuss the physico-chemical mechanism behind their sensitivity to the surrounding atmosphere. Photoluminescence transients in inert atmosphere (N2) and in solvent vapours of methanol, clorophorm, acetone and water were measured. The presence of vapors of clorophorm, acetone and water showed a very weak effect on the transient times, while an increase of up to 15% of the decay time was observed for methanol vapour exposure. On the basis of the vapor molecule nature (polarity, proticity, steric hindrance, etc.) and of the interaction of the vapor molecules with the quantum dots surface a sensing mechanism involving quantum dots non-radiative surface states is proposed.

  7. Physico-chemical mechanism for the vapors sensitivity of photoluminescent InP quantum dots

    International Nuclear Information System (INIS)

    Prosposito, P.; De Angelis, R.; De Matteis, F.; Casalboni, M.; Hatami, F.; Masselink, W.T.; Zhang, H.

    2016-01-01

    InP/InGaP surface quantum dots are interesting materials for optical chemical sensors since they present an intense emission at room temperature, whose intensity changes rapidly and reversibly depending on the composition of the environmental atmosphere. We present here their emission properties by time resolved photoluminescence spectroscopy investigation and we discuss the physico-chemical mechanism behind their sensitivity to the surrounding atmosphere. Photoluminescence transients in inert atmosphere (N 2 ) and in solvent vapours of methanol, chloroform, acetone and water were measured. The presence of vapors of chloroform, acetone and water showed a very weak effect on the transient times, while an increase of up to 15% of the decay time was observed for methanol vapour exposure. On the basis of the vapor molecule nature (polarity, proticity, steric hindrance, etc.) and of the interaction of the vapor molecules with the quantum dots surface a sensing mechanism involving quantum dots non-radiative surface states is proposed. (paper)

  8. Diamond-like carbon films deposited by a hybrid ECRCVD system

    International Nuclear Information System (INIS)

    Guo, C.T.; Dittrich, K.-H.

    2007-01-01

    A novel hybrid technique for diamond-like carbon (DLC) film deposition has been developed. This technique combines the electron cyclotron resonance chemical vapor deposition (ECRCVD) of C 2 H 2 and metallic magnetron sputtering. Here we described how DLC film is used for a variety of applications such as stamper, PCB micro-tools, and threading form-tools by taking advantage of hybrid ECRCVD system. The structure of the DLC films is delineated by a function of bias voltages by Raman spectroscopy. This function includes parameters such as dependence of G peak positions and the intensity ratio (I D /I G ). Atomic force microscope (AFM) examines the root-mean-square (R.M.S.) roughness and the surface morphology. Excellent adhesion and lower friction coefficients of a DLC film were also assessed

  9. Gas Permeation, Mechanical Behavior and Cytocompatibility of Ultrathin Pure and Doped Diamond-Like Carbon and Silicon Oxide Films

    Directory of Open Access Journals (Sweden)

    Juergen M. Lackner

    2013-12-01

    Full Text Available Protective ultra-thin barrier films gather increasing economic interest for controlling permeation and diffusion from the biological surrounding in implanted sensor and electronic devices in future medicine. Thus, the aim of this work was a benchmarking of the mechanical oxygen permeation barrier, cytocompatibility, and microbiological properties of inorganic ~25 nm thin films, deposited by vacuum deposition techniques on 50 µm thin polyetheretherketone (PEEK foils. Plasma-activated chemical vapor deposition (direct deposition from an ion source was applied to deposit pure and nitrogen doped diamond-like carbon films, while physical vapor deposition (magnetron sputtering in pulsed DC mode was used for the formation of silicon as well as titanium doped diamond-like carbon films. Silicon oxide films were deposited by radio frequency magnetron sputtering. The results indicate a strong influence of nanoporosity on the oxygen transmission rate for all coating types, while the low content of microporosity (particulates, etc. is shown to be of lesser importance. Due to the low thickness of the foil substrates, being easily bent, the toughness as a measure of tendency to film fracture together with the elasticity index of the thin films influence the oxygen barrier. All investigated coatings are non-pyrogenic, cause no cytotoxic effects and do not influence bacterial growth.

  10. Rapid Thermal Chemical Vapor Deposition for Dual-Gated Sub-100 nm MOSFET's

    National Research Council Canada - National Science Library

    Sturm, James

    2001-01-01

    ... (such as microprocessors and memory chips) is based. This project examines the scaling of MOSFET's to very small channel dimensions using a vertical structure which is defined by Rapid Thermal Chemical Vapor Deposition...

  11. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  12. Development of CVD diamond radiation detectors

    CERN Document Server

    Adam, W; Berdermann, E; Bogani, F; Borchi, E; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fisch, D; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E A; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Knöpfle, K T; Krammer, Manfred; Manfredi, P F; Meier, D; Mishina, M; Le Normand, F; Pan, L S; Pernegger, H; Pernicka, Manfred; Pirollo, S; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Tapper, R J; Tesarek, R J; Thomson, G B; Trawick, M L; Trischuk, W; Turchetta, R; Walsh, A M; Wedenig, R; Weilhammer, Peter; Ziock, H J; Zoeller, M M

    1998-01-01

    Diamond is a nearly ideal material for detecting ionizing radiation. Its outstanding radiation hardness, fast charge collection and low leakage current allow a diamond detector to be used in high ra diation, high temperature and in aggressive chemical media. We have constructed charged particle detectors using high quality CVD diamond. Characterization of the diamond samples and various detect ors are presented in terms of collection distance, $d=\\mu E \\tau$, the average distance electron-hole pairs move apart under the influence of an electric field, where $\\mu$ is the sum of carrier mo bilities, $E$ is the applied electric field, and $\\tau$ is the mobility weighted carrier lifetime. Over the last two years the collection distance increased from $\\sim$ 75 $\\mu$m to over 200 $\\mu$ m. With this high quality CVD diamond a series of micro-strip and pixel particle detectors have been constructed. These devices were tested to determine their position resolution and signal to n oise performance. Diamond detectors w...

  13. An assessment of radiotherapy dosimeters based on CVD grown diamond

    International Nuclear Information System (INIS)

    Ramkumar, S.; Buttar, C.M.; Conway, J.; Whitehead, A.J.; Sussman, R.S.; Hill, G.; Walker, S.

    2001-01-01

    Diamond is potentially a very suitable material for use as a dosimeter for radiotherapy. Its radiation hardness, the near tissue equivalence and chemical inertness are some of the characteristics of diamond, which make it well suited for its application as a dosimeter. Recent advances in the synthesis of diamond by chemical vapour deposition (CVD) technology have resulted in the improvement in the quality of material and increased its suitability for radiotherapy applications. We report in this paper, the response of prototype dosimeters based on two different types (CVD1 and CVD2) of CVD diamond to X-rays. The diamond devices were assessed for sensitivity, dependence of response on dose and dose rate, and compared with a Scanditronix silicon photon diode and a PTW natural diamond dosimeter. The diamond devices of CVD1 type showed an initial increase in response with dose, which saturates after ∼6 Gy. The diamond devices of CVD2 type had a response at low fields ( 1162.8 V/cm), the CVD2-type devices showed polarisation and dose-rate dependence. The sensitivity of the CVD diamond devices varied between 82 and 1300 nC/Gy depending upon the sample type and the applied voltage. The sensitivity of CVD diamond devices was significantly higher than that of natural diamond and silicon dosimeters. The results suggest that CVD diamond devices can be fabricated for successful use in radiotherapy applications

  14. Chemical Modification of Boron-Doped Diamond Electrodes for Applications to Biosensors and Biosensing.

    Science.gov (United States)

    Svítková, Jana; Ignat, Teodora; Švorc, Ľubomír; Labuda, Ján; Barek, Jiří

    2016-05-03

    Boron-doped diamond (BDD) is a prospective electrode material that possesses many exceptional properties including wide potential window, low noise, low and stable background current, chemical and mechanical stability, good biocompatibility, and last but not least exceptional resistance to passivation. These characteristics extend its usability in various areas of electrochemistry as evidenced by increasing number of published articles over the past two decades. The idea of chemically modifying BDD electrodes with molecular species attached to the surface for the purpose of creating a rational design has found promising applications in the past few years. BDD electrodes have appeared to be excellent substrate materials for various chemical modifications and subsequent application to biosensors and biosensing. Hence, this article presents modification strategies that have extended applications of BDD electrodes in electroanalytical chemistry. Different methods and steps of surface modification of this electrode material for biosensing and construction of biosensors are discussed.

  15. Nanocrystalline diamond film as cathode for gas discharge sensors

    Energy Technology Data Exchange (ETDEWEB)

    Jou, Shyankay, E-mail: sjou@mail.ntust.edu.t [Graduate Institute of Materials Science and Technology, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Huang, Bohr-Ran [Graduate Institute of Electro-Optical Engineering and Department of Electronic Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Wu, Meng-Chang [Department of Electronic Engineering, National Yunlin University of Science and Technology, Touliu 640, Taiwan (China)

    2010-05-31

    Nanocrystalline diamond (NCD) film was deposited on a silicon substrate utilizing microwave plasma-enhanced chemical vapor deposition in a mixed flow of methane, hydrogen and argon. The deposited film had a cauliflower-like morphology, and was composed of NCD, carbon clusters and mixed sp{sup 2}- and sp{sup 3}-bonded carbon. Electron field emission (EFE) in vacuum and electrical discharges in Ar, N{sub 2} and O{sub 2} using the NCD film as the cathode were characterized. The turn-on field for EFE and the geometric enhancement factor for the NCD film were 8.5 V/{mu}m and 668, respectively. The breakdown voltages for Ar, N{sub 2} and O{sub 2} increased with pressures from 1.33 x 10{sup 4} Pa to 1.01 x 10{sup 5} Pa, following the right side of the normal Paschen curve.

  16. Reduced chemical warfare agent sorption in polyurethane-painted surfaces via plasma-enhanced chemical vapor deposition of perfluoroalkanes.

    Science.gov (United States)

    Gordon, Wesley O; Peterson, Gregory W; Durke, Erin M

    2015-04-01

    Perfluoralkalation via plasma chemical vapor deposition has been used to improve hydrophobicity of surfaces. We have investigated this technique to improve the resistance of commercial polyurethane coatings to chemicals, such as chemical warfare agents. The reported results indicate the surface treatment minimizes the spread of agent droplets and the sorption of agent into the coating. The improvement in resistance is likely due to reduction of the coating's surface free energy via fluorine incorporation, but may also have contributing effects from surface morphology changes. The data indicates that plasma-based surface modifications may have utility in improving chemical resistance of commercial coatings.

  17. Oxidation Kinetics of Chemically Vapor-Deposited Silicon Carbide in Wet Oxygen

    Science.gov (United States)

    Opila, Elizabeth J.

    1994-01-01

    The oxidation kinetics of chemically vapor-deposited SiC in dry oxygen and wet oxygen (P(sub H2O) = 0.1 atm) at temperatures between 1200 C and 1400 C were monitored using thermogravimetric analysis. It was found that in a clean environment, 10% water vapor enhanced the oxidation kinetics of SiC only very slightly compared to rates found in dry oxygen. Oxidation kinetics were examined in terms of the Deal and Grove model for oxidation of silicon. It was found that in an environment containing even small amounts of impurities, such as high-purity Al2O3 reaction tubes containing 200 ppm Na, water vapor enhanced the transport of these impurities to the oxidation sample. Oxidation rates increased under these conditions presumably because of the formation of less protective sodium alumino-silicate scales.

  18. Development of diamond coated tool and its performance in ...

    Indian Academy of Sciences (India)

    Unknown

    Mechanical Engineering Department, Indian Institute of Technology, Kharagpur 721 302, India ... chemical inertness of diamond coating towards the work material, did not show any .... CVD diamond coated carbide tools, Ph D Thesis, Indian.

  19. Diamond based adsorbents and their application in chromatography.

    Science.gov (United States)

    Peristyy, Anton A; Fedyanina, Olga N; Paull, Brett; Nesterenko, Pavel N

    2014-08-29

    The idea of using diamond and diamond containing materials in separation sciences has attracted a strong interest in the past decade. The combination of a unique range of properties, such as chemical inertness, mechanical, thermal and hydrolytic stability, excellent thermal conductivity with minimal thermal expansion and intriguing adsorption properties makes diamond a promising material for use in various modes of chromatography. This review summarises the recent research on the preparation of diamond and diamond based stationary phases, their properties and chromatographic performance. Special attention is devoted to the dominant retention mechanisms evident for particular diamond containing phases, and their subsequent applicability to various modes of chromatography, including chromatography carried out under conditions of high temperature and pressure. Copyright © 2014 Elsevier B.V. All rights reserved.

  20. Initial damage processes for diamond film exposure to hydrogen plasma

    International Nuclear Information System (INIS)

    Deslandes, A.; Guenette, M.C.; Samuell, C.M.; Karatchevtseva, I.; Ionescu, M.; Cohen, D.D.; Blackwell, B.; Corr, C.; Riley, D.P.

    2013-01-01

    Graphical abstract: -- Highlights: • Exposing chemical vapour deposited (CVD) diamond films in a recently constructed device, MAGPIE, specially commissioned to simulate fusion plasma conditions. • Non-diamond material is etched from the diamond. • There is no hydrogen retention observed, which suggests diamond is an excellent candidate for plasma facing materials. • Final structure of the surface is dependent on synergistic effects of etching and ion-induced structural change. -- Abstract: Diamond is considered to be a possible alternative to other carbon based materials as a plasma facing material in nuclear fusion devices due to its high thermal conductivity and resistance to chemical erosion. In this work CVD diamond films were exposed to hydrogen plasma in the MAGnetized Plasma Interaction Experiment (MAGPIE): a linear plasma device at the Australian National University which simulates plasma conditions relevant to nuclear fusion. Various negative sample stage biases of magnitude less than 500 V were applied to control the energies of impinging ions. Characterisation results from SEM, Raman spectroscopy and ERDA are presented. No measureable quantity of hydrogen retention was observed, this is either due to no incorporation of hydrogen into the diamond structure or due to initial incorporation as a hydrocarbon followed by subsequent etching back into the plasma. A model is presented for the initial stages of diamond erosion in fusion relevant hydrogen plasma that involves chemical erosion of non-diamond material from the surface by hydrogen radicals and damage to the subsurface region from energetic hydrogen ions. These results show that the initial damage processes in this plasma regime are comparable to previous studies of the fundamental processes as reported for less extreme plasma such as in the development of diamond films

  1. Effect of pretreatment and deposition parameters on diamond nucleation in CVD

    International Nuclear Information System (INIS)

    Nazim, E.; Izman, S.; Ourdjini, A.; Shaharoun, A.M.

    2007-01-01

    Chemical vapour deposition (CVD) of diamond films on cemented carbide (WC) has aroused great interest in recent years. The combination of toughness from the WC and the high hardness of diamond results in outstanding wear resistance. This will increase the lifetime and better technical performance of the components made of diamond coated carbide. One of the important steps in the growth of diamond film is the nucleation of diamond as its density strongly influences the diamond growth process, film quality and morphology. In this paper the various effects of surface pretreatment and diamond deposition conditions on the diamond nucleation density are reviewed. (author)

  2. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Yuping; Li, Chengchen [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Mingming, E-mail: andychain@live.cn [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Yu, Xiao; Chang, Yunwei [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Anqi [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Zhu, Hai, E-mail: zhuhai5@mail.sysu.edu.cn [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Tang, Zikang, E-mail: zktang@umac.mo [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); The Institute of Applied Physics and Materials Engineering, University of Macau, Avenida da Universidade, Taipa, Macau (China)

    2016-12-09

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  3. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhao, Yuping; Li, Chengchen; Chen, Mingming; Yu, Xiao; Chang, Yunwei; Chen, Anqi; Zhu, Hai; Tang, Zikang

    2016-01-01

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  4. Ultra-high wear resistance of ultra-nanocrystalline diamond film: Correlation with microstructure and morphology

    Science.gov (United States)

    Rani, R.; Kumar, N.; Lin, I.-Nan

    2016-05-01

    Nanostructured diamond films are having numerous unique properties including superior tribological behavior which is promising for enhancing energy efficiency and life time of the sliding devices. High wear resistance is the principal criterion for the smooth functioning of any sliding device. Such properties are achievable by tailoring the grain size and grain boundary volume fraction in nanodiamond film. Ultra-nanocrystalline diamond (UNCD) film was attainable using optimized gas plasma condition in a microwave plasma enhanced chemical vapor deposition (MPECVD) system. Crystalline phase of ultra-nanodiamond grains with matrix phase of amorphous carbon and short range ordered graphite are encapsulated in nanowire shaped morphology. Film showed ultra-high wear resistance and frictional stability in micro-tribological contact conditions. The negligible wear of film at the beginning of the tribological contact was later transformed into the wearless regime for prolonged sliding cycles. Both surface roughness and high contact stress were the main reasons of wear at the beginning of sliding cycles. However, the interface gets smoothened due to continuous sliding, finally leaded to the wearless regime.

  5. SiC nanofibers grown by high power microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Honda, Shin-ichi; Baek, Yang-Gyu; Ikuno, Takashi; Kohara, Hidekazu; Katayama, Mitsuhiro; Oura, Kenjiro; Hirao, Takashi

    2003-01-01

    Silicon carbide (SiC) nanofibers have been synthesized on Si substrates covered by Ni thin films using high power microwave chemical vapor deposition (CVD). Characterization using transmission electron microscopy (TEM) combined with electron energy-dispersive X-ray spectroscopy (EDX) revealed that the resultant fibrous nanostructures were assigned to β-SiC with high crystallinity. The formation of SiC nanofibers can be explained by the vapor liquid solid (VLS) mechanism in which precipitation of SiC occurs from the supersaturated Ni nanoparticle containing Si and C

  6. Hydrogen content and density in nanocrystalline carbon films of a predominant diamond character

    International Nuclear Information System (INIS)

    Hoffman, A.; Heiman, A.; Akhvlediani, R.; Lakin, E.; Zolotoyabko, E.; Cyterman, C.

    2003-01-01

    Nanocrystalline carbon films possessing a prevailing diamond or graphite character, depending on substrate temperature, can be deposited from a methane hydrogen mixture by the direct current glow discharge plasma chemical vapor deposition method. While at a temperature of ∼880 deg. C, following the formation of a thin precursor graphitic film, diamond nucleation occurs and a nanodiamond film grows, at higher and lower deposition temperatures the films maintain their graphitic character. In this study the hydrogen content, density and nanocrystalline phase composition of films deposited at various temperatures are investigated. We aim to elucidate the role of hydrogen in nanocrystalline films with a predominant diamond character. Secondary ion mass spectroscopy revealed a considerable increase of the hydrogen concentration in the films that accompanies the growth of nanodiamond. It correlates with near edge x-ray adsorption spectroscopy measurements, that showed an appearance of spectroscopic features associated with the diamond structure, and with a substantial increase of the film density detected by x-ray reflectivity. Electron energy loss spectroscopy showed that nanocrystalline diamond films can be deposited from a CH 4 /H 2 mixture with hydrogen concentration in the 80%-95% range. For a deposition temperature of 880 deg. C, the highest diamond character of the films was found for a hydrogen concentration of 91% of H 2 . The deposition temperature plays an important role in diamond formation, strongly influencing the content of adsorbed hydrogen with an optimum at 880 deg. C. It is suggested that diamond nucleation and growth of the nanodiamond phase is driven by densification of the deposited graphitic films which results in high local compressive stresses. Nanodiamond formation is accompanied by an increase of hydrogen concentration in the films. It is suggested that hydrogen retention is critical for stabilization of nanodiamond crystallites. At lower

  7. A new CVD diamond mosaic-detector for (n, α) cross-section measurements at the n{sub T}OF experiment at CERN

    Energy Technology Data Exchange (ETDEWEB)

    Weiß, C., E-mail: christina.weiss@cern.ch [Atominstitut, Technische Universität Wien (Austria); European Organization for Nuclear Research (CERN), Geneva (Switzerland); Griesmayer, E. [Atominstitut, Technische Universität Wien (Austria); Guerrero, C. [European Organization for Nuclear Research (CERN), Geneva (Switzerland); Altstadt, S. [Johann-Wolfgang-Goethe Universität, Frankfurt (Germany); Andrzejewski, J. [Uniwersytet Łódzki, Lodz (Poland); Audouin, L. [Centre National de la Recherche Scientifique/IN2P3 - IPN, Orsay (France); Badurek, G. [Atominstitut, Technische Universität Wien (Austria); Barbagallo, M. [Istituto Nazionale di Fisica Nucleare, Bari (Italy); Bécares, V. [Centro de Investigaciones Energeticas Medioambientales y Tecnológicas (CIEMAT), Madrid (Spain); Bečvář, F. [Charles University, Prague (Czech Republic); Belloni, F. [Commissariat à l’Énergie Atomique (CEA) Saclay - Irfu, Gif-sur-Yvette (France); Berthoumieux, E. [Commissariat à l’Énergie Atomique (CEA) Saclay - Irfu, Gif-sur-Yvette (France); European Organization for Nuclear Research (CERN), Geneva (Switzerland); Billowes, J. [University of Manchester, Oxford Road, Manchester (United Kingdom); Boccone, V. [European Organization for Nuclear Research (CERN), Geneva (Switzerland); Bosnar, D. [Department of Physics, Faculty of Science, University of Zagreb (Croatia); Brugger, M.; Calviani, M. [European Organization for Nuclear Research (CERN), Geneva (Switzerland); Calviño, F. [Universitat Politecnica de Catalunya, Barcelona (Spain); and others

    2013-12-21

    At the n{sub T}OF experiment at CERN a dedicated single-crystal chemical vapor deposition (sCVD) Diamond Mosaic-Detector has been developed for (n,α) cross-section measurements. The detector, characterized by an excellent time and energy resolution, consists of an array of 9 sCVD diamond diodes. The detector has been characterized and a cross-section measurement has been performed for the {sup 59}Ni(n,α){sup 56}Fe reaction in 2012. The characteristics of the detector, its performance and the promising preliminary results of the experiment are presented. -- Highlights: •A large-area detector of 3 ×3 sCVD diamonds was built for (n, α) measurements. •The {sup 59}Ni(n, α){sup 56}Fe cross-section was measured successfully at n{sub T}OF/CERN. •The energy resolution of the detector meets the expectations from simulations. •The reaction products during the measurement at n{sub T}OF could clearly be separated. •The detector is suitable for (n, α) measurements in a heterogeneous beam.

  8. Microwave plasma deposition of diamond like carbon coatings

    Indian Academy of Sciences (India)

    Abstract. The promising applications of the microwave plasmas have been appearing in the fields of chemical processes and semiconductor manufacturing. Applications include surface deposition of all types including diamond/diamond like carbon (DLC) coatings, etching of semiconductors, promotion of organic reactions, ...

  9. Oxygen termination of homoepitaxial diamond surface by ozone and chemical methods: An experimental and theoretical perspective

    Science.gov (United States)

    Navas, Javier; Araujo, Daniel; Piñero, José Carlos; Sánchez-Coronilla, Antonio; Blanco, Eduardo; Villar, Pilar; Alcántara, Rodrigo; Montserrat, Josep; Florentin, Matthieu; Eon, David; Pernot, Julien

    2018-03-01

    Phenomena related with the diamond surface of both power electronic and biosensor devices govern their global behaviour. In particular H- or O-terminations lead to wide variations in their characteristics. To study the origins of such aspects in greater depth, different methods to achieve oxygen terminated diamond were investigated following a multi-technique approach. DFT calculations were then performed to understand the different configurations between the C and O atoms. Three methods for O-terminating the diamond surface were performed: two physical methods with ozone at different pressures, and an acid chemical treatment. X-ray photoelectron spectroscopy, spectroscopic ellipsometry, HRTEM, and EELS were used to characterize the oxygenated surface. Periodic-DFT calculations were undertaken to understand the effect of the different ways in which the oxygen atoms are bonded to carbon atoms on the diamond surface. XPS results showed the presence of hydroxyl or ether groups, composed of simple Csbnd O bonds, and the acid treatment resulted in the highest amount of O on the diamond surface. In turn, ellipsometry showed that the different treatments led to the surface having different optical properties, such as a greater refraction index and extinction coefficient in the case of the sample subjected to acid treatment. TEM analysis showed that applying temperature treatment improved the distribution of the oxygen atoms at the interface and that this generates a thinner amount of oxygen at each position and higher interfacial coverage. Finally, DFT calculations showed both an increase in the number of preferential electron transport pathways when π bonds and ether groups appear in the system, and also the presence of states in the middle of the band gap when there are π bonds, Cdbnd C or Cdbnd O.

  10. Vertically aligned nanowires from boron-doped diamond.

    Science.gov (United States)

    Yang, Nianjun; Uetsuka, Hiroshi; Osawa, Eiji; Nebel, Christoph E

    2008-11-01

    Vertically aligned diamond nanowires with controlled geometrical properties like length and distance between wires were fabricated by use of nanodiamond particles as a hard mask and by use of reactive ion etching. The surface structure, electronic properties, and electrochemical functionalization of diamond nanowires were characterized by atomic force microscopy (AFM) and scanning tunneling microscopy (STM) as well as electrochemical techniques. AFM and STM experiments show that diamond nanowire etched for 10 s have wire-typed structures with 3-10 nm in length and with typically 11 nm spacing in between. The electrode active area of diamond nanowires is enhanced by a factor of 2. The functionalization of nanowire tips with nitrophenyl molecules is characterized by STM on clean and on nitrophenyl molecule-modified diamond nanowires. Tip-modified diamond nanowires are promising with respect to biosensor applications where controlled biomolecule bonding is required to improve chemical stability and sensing significantly.

  11. Gas analysis during the chemical vapor deposition of carbon

    International Nuclear Information System (INIS)

    Lieberman, M.L.; Noles, G.T.

    1973-01-01

    Gas chromatographic analyses were performed during the chemical vapor deposition of carbon in both isothermal and thermal gradient systems. Such data offer insight into the gas phase processes which occur during deposition and the interrelations which exist between gas composition, deposition rate, and resultant structure of the deposit. The results support a carbon CVD model presented previously. The application of chromatographic analysis to research, development, and full-scale facilities is shown. (U.S.)

  12. Dependence of reaction pressure on deposition and properties of boron-doped freestanding diamond films

    International Nuclear Information System (INIS)

    Li Liuan; Li Hongdong; Lue Xianyi; Cheng Shaoheng; Wang Qiliang; Ren Shiyuan; Liu Junwei; Zou Guangtian

    2010-01-01

    In this paper, we investigate the reaction pressure-dependent growth and properties of boron-doped freestanding diamond films, synthesized by hot filament chemical vapor deposition (HFCVD) at different boron-doping levels. With the decrease in pressure, the growth feature of the films varies from mixed [1 1 1] and [1 1 0] to dominated [1 1 1] texture. The low reaction pressure, as well as high boron-doping level, results in the increase (decrease) of carrier concentration (resistivity). The high concentration of atomic hydrogen in the ambient and preferable [1 1 1] growth, due to the low reaction pressure, is available for the enhancement of boron doping. The estimated residual stress increases with increase in the introducing boron level.

  13. Chemical modifications and stability of diamond nanoparticles resolved by infrared spectroscopy and Kelvin force microscopy

    Czech Academy of Sciences Publication Activity Database

    Kozak, Halyna; Remeš, Zdeněk; Houdková, Jana; Stehlík, Štěpán; Kromka, Alexander; Rezek, Bohuslav

    2013-01-01

    Roč. 15, č. 4 (2013), "1568-1"-"1568-9" ISSN 1388-0764 R&D Projects: GA ČR GAP108/12/0910; GA ČR GPP205/12/P331; GA MŠk LH12186 Institutional support: RVO:68378271 Keywords : diamond nanoparticles * chemical modification * GAR-FTIR * AFM * KFM * XPS Subject RIV: BH - Optics, Masers, Lasers Impact factor: 2.278, year: 2013 http://link.springer.com/article/10.1007%2Fs11051-013-1568-7

  14. Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition

    Science.gov (United States)

    Lackey, Jr., Walter J.; Caputo, Anthony J.

    1986-01-01

    A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.

  15. Multilayer CVD Diamond Coatings in the Machining of an Al6061-15 Vol % Al2O3 Composite

    Directory of Open Access Journals (Sweden)

    Mohammadmehdi Shabani

    2017-10-01

    Full Text Available Ceramic cutting inserts coated with ten-fold alternating micro- and nanocrystalline diamond (MCD/NCD layers grown by hot filament chemical vapor deposition (CVD were tested in the machining of an Al based metallic matrix composite (MMC containing 15 vol % Al2O3 particles. Inserts with total coating thicknesses of approximately 12 µm and 24 µm were produced and used in turning: cutting speed (v of 250 to 1000 m·min−1; depth of cut (DOC from 0.5 to 3 mm and feed (f between 0.1 and 0.4 mm·rev−1. The main cutting force increases linearly with DOC (ca. 294 N per mm and with feed (ca. 640 N per mm·rev−1. The thicker coatings work within the following limits: DOC up to 1.5 mm and maximum speeds of 750 m·min−1 for feeds up to 0.4 mm·rev−1. Flank wear is predominant but crater wear is also observed due to the negative tool normal rake. Layer-by-layer wear of the tool rake, and not total delamination from the substrate, evidenced one of the advantages of using a multilayer design. The MCD/NCD multilayer diamond coated indexable inserts have longer tool life than most CVD diamond systems and behave as well as most polycrystalline diamond (PCD tools.

  16. Ultrananocrystalline Diamond Membranes for Detection of High-Mass Proteins

    Science.gov (United States)

    Kim, H.; Park, J.; Aksamija, Z.; Arbulu, M.; Blick, R. H.

    2016-12-01

    Mechanical resonators realized on the nanoscale by now offer applications in mass sensing of biomolecules with extraordinary sensitivity. The general idea is that perfect mechanical mass sensors should be of extremely small size to achieve zepto- or yoctogram sensitivity in weighing single molecules similar to a classical scale. However, the small effective size and long response time for weighing biomolecules with a cantilever restricts their usefulness as a high-throughput method. Commercial mass spectrometry (MS), on the other hand, such as electrospray ionization and matrix-assisted laser desorption and ionization (MALDI) time of flight (TOF) and their charge-amplifying detectors are the gold standards to which nanomechanical resonators have to live up to. These two methods rely on the ionization and acceleration of biomolecules and the following ion detection after a mass selection step, such as TOF. The principle we describe here for ion detection is based on the conversion of kinetic energy of the biomolecules into thermal excitation of chemical vapor deposition diamond nanomembranes via phonons followed by phonon-mediated detection via field emission of thermally emitted electrons. We fabricate ultrathin diamond membranes with large lateral dimensions for MALDI TOF MS of high-mass proteins. These diamond membranes are realized by straightforward etching methods based on semiconductor processing. With a minimal thickness of 100 nm and cross sections of up to 400 ×400 μ m2 , the membranes offer extreme aspect ratios. Ion detection is demonstrated in MALDI TOF analysis over a broad range from insulin to albumin. The resulting data in detection show much enhanced resolution as compared to existing detectors, which can offer better sensitivity and overall performance in resolving protein masses.

  17. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  18. Metalorganic chemical vapor deposition and characterization of ZnO materials

    Science.gov (United States)

    Sun, Shangzu; Tompa, Gary S.; Hoerman, Brent; Look, David C.; Claflin, Bruce B.; Rice, Catherine E.; Masaun, Puneet

    2006-04-01

    Zinc oxide is attracting growing interest for potential applications in electronics, optoelectronics, photonics, and chemical and biochemical sensing, among other applications. We report herein our efforts in the growth and characterization of p- and n-type ZnO materials by metalorganic chemical vapor deposition (MOCVD), focusing on recent nitrogen-doped films grown using diethyl zinc as the zinc precursor and nitric oxide (NO) as the dopant. Characterization results, including resistivity, Hall measurements, photoluminescence, and SIMS, are reported and discussed. Electrical behavior was observed to be dependent on illumination, atmosphere, and heat treatment, especially for p-type material.

  19. CVD diamond detectors and dosimeters

    International Nuclear Information System (INIS)

    Manfredotti, C.; Fizzotti, F.; LoGiudice, A.; Paolini, C.; Oliviero, P.; Vittone, E.; Torino Univ., Torino

    2002-01-01

    Natural diamond, because of its well-known properties of tissue-equivalence, has recorded a wide spreading use in radiotherapy planning with electron linear accelerators. Artificial diamond dosimeters, as obtained by Chemical Vapour Deposition (CVD) could be capable to offer the same performances and they can be prepared in different volumes and shapes. The dosimeter sensitivity per unit volume may be easily proved to be better than standard ionization microchamber. We have prepared in our laboratory CVD diamond microchamber (diamond tips) in emispherical shape with an external diameter of 200 μm, which can be used both as X-ray beam profilometers and as microdosimeters for small field applications like stereotaxy and also for in vivo applications. These dosimeters, which are obtained on a wire substrate that could be either metallic or SiC or even graphite, display good performances also as ion or synchrotron X-rays detectors

  20. Electrochemical oxidation of biological pretreated and membrane separated landfill leachate concentrates on boron doped diamond anode

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, Bo, E-mail: 357436235@qq.com [School of Materials Science and Engineering, Central South University, Changsha 410083 (China); Yu, Zhiming, E-mail: zhiming@csu.edu.cn [School of Materials Science and Engineering, Central South University, Changsha 410083 (China); State Key Laboratory of Powder Metallurgy, Central South University, Changsha 410083 (China); Wei, Qiuping, E-mail: qiupwei@csu.edu.cn [School of Materials Science and Engineering, Central South University, Changsha 410083 (China); State Key Laboratory of Powder Metallurgy, Central South University, Changsha 410083 (China); Long, HangYu, E-mail: 55686385@qq.com [School of Materials Science and Engineering, Central South University, Changsha 410083 (China); Xie, Youneng, E-mail: 1187272844@qq.com [School of Materials Science and Engineering, Central South University, Changsha 410083 (China); Wang, Yijia, E-mail: 503630433@qq.com [School of Materials Science and Engineering, Central South University, Changsha 410083 (China)

    2016-07-30

    Highlights: • High quality boron-doped diamond film electrodes were synthesized on Nb substrates. • Electrochemical oxidation on boron-doped diamond anode is an effective method for treating landfill leachate concentrates. • Optimal operating conditions for electrochemical oxidation of landfill leachate concentrates is determined. • 87.5% COD removal and 74.06% NH{sub 3}−N removal were achieved after 6 h treatment. - Abstract: In the present study, the high quality boron-doped diamond (BDD) electrodes with excellent electrochemical properties were deposited on niobium (Nb) substrates by hot filament chemical vapor deposition (HFCVD) method. The electrochemical oxidation of landfill leachate concentrates from disc tube reverse osmosis (DTRO) process over a BDD anode was investigated. The effects of varying operating parameters, such as current density, initial pH, flow velocity and cathode material on degradation efficiency were also evaluated following changes in chemical oxygen demand (COD) and ammonium nitrogen (NH{sub 3}−N). The instantaneous current efficiency (ICE) was used to appraise different operating conditions. As a result, the best conditions obtained were as follows, current density 50 mA cm{sup −2}, pH 5.16, flow velocity 6 L h{sup −1}. Under these conditions, 87.5% COD and 74.06% NH{sub 3}−N removal were achieved after 6 h treatment, with specific energy consumption of 223.2 kWh m{sup −3}. In short, these results indicated that the electrochemical oxidation with BDD/Nb anode is an effective method for the treatment of landfill leachate concentrates.

  1. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yu Shengwang, E-mail: bkdysw@yahoo.cn; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-11-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH{sub 3}){sub 4}) diluted in H{sub 2} as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co{sub 2}Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  2. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    International Nuclear Information System (INIS)

    Yu Shengwang; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-01-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH 3 ) 4 ) diluted in H 2 as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co 2 Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  3. Laser Cutting of Thick Diamond Films Using Low-Power Laser

    Energy Technology Data Exchange (ETDEWEB)

    Park, Y.J.; Baik, Y.J. [Korea Institute of Science and Technology, Seoul (Korea)

    2000-02-01

    Laser cutting of thick diamond films is studied rising a low-power(10 W) copper vapor laser. Due to the existence of the saturation depth in laser cutting, thick diamond films are not easily cut by low-power lasers. In this study, we have adopted a low thermal- conductivity underlayer of alumina and a heating stage (up to 500 deg. C in air) to prevent the laser energy from consuming-out and, in turn, enhance the cutting efficiency. Aspect ratio increases twice from 3.5 to 7 when the alumina underlayer used. Adopting a heating stage also increases aspect ratio and more than 10 is obtained at higher temperatures than 400 deg. C. These results show that thick diamond films can be cut, with low-power lasers, simply by modifying the thermal property of underlayer. (author). 13 refs., 5 figs.

  4. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  5. Chemically vapor-deposited tungsten: its high temperature strength and ductility

    International Nuclear Information System (INIS)

    Bryant, W.A.

    1977-01-01

    The high temperature tensile ductility (as measured by total elongation normal to the growth direction) of chemically vapor-deposited tungsten was found to be significantly greater than previously reported. A correlation was found between ductility and void content. However, voids were found to have essentially no effect on the high temperature strength of this material, which is considerably weaker than powder metallurgy tungsten. (Auth.)

  6. Characterization of boron doped nanocrystalline diamonds

    International Nuclear Information System (INIS)

    Peterlevitz, A C; Manne, G M; Sampaio, M A; Quispe, J C R; Pasquetto, M P; Iannini, R F; Ceragioli, H J; Baranauskas, V

    2008-01-01

    Nanostructured diamond doped with boron was prepared using a hot-filament assisted chemical vapour deposition system fed with an ethyl alcohol, hydrogen and argon mixture. The reduction of the diamond grains to the nanoscale was produced by secondary nucleation and defects induced by argon and boron atoms via surface reactions during chemical vapour deposition. Raman measurements show that the samples are nanodiamonds embedded in a matrix of graphite and disordered carbon grains, while morphological investigations using field electron scanning microscopy show that the size of the grains ranges from 20 to 100 nm. The lowest threshold fields achieved were in the 1.6 to 2.4 V/μm range

  7. Conformal coverage of poly(3,4-ethylenedioxythiophene) films with tunable nanoporosity via oxidative chemical vapor deposition

    NARCIS (Netherlands)

    Im, S.G.; Kusters, D.J.N.; Choi, W.; Baxamusa, S.H.; Sanden, van de M.C.M.; Gleason, K.K.

    2008-01-01

    Novel nanoporous poly(3,4-ethylenedioxythiophene) (PEDOT) films with basalt-like surface morphology are successfully obtained via a one-step, vapor phase process of oxidative chemical vapor deposition (oCVD) by introducing a new oxidant, CuCl2, The substrate temperature of the oCVD process is a

  8. On the development of a dual-layered diamond-coated tool for the effective machining of titanium Ti-6Al-4V alloy

    International Nuclear Information System (INIS)

    Srinivasan, Balaji; Rao, Balkrishna C; Ramachandra Rao, M S

    2017-01-01

    This work is focused on the development of a dual-layered diamond-coated tungsten carbide tool for machining titanium Ti-6Al-4V alloy. A hot-filament chemical vapor deposition technique was used to synthesize diamond films on tungsten carbide tools. A boron-doped diamond interlayer was added to a microcrystalline diamond layer in an attempt to improve the interface adhesion strength. The dual-layered diamond-coated tool was employed in machining at cutting speeds in the range of 70 to 150 m min −1 with a lower feed and a lower depth of cut of 0.5 mm rev −1 and 0.5 mm, respectively, to operate in the transition from adhesion- to diffusion-tool-wear and thereby arrive at suitable conditions for enhancing tool life. The proposed tool was then compared, on the basis of performance under real-time cutting conditions, with commercially available microcrystalline diamond, nanocrystalline diamond, titanium nitride and uncoated tungsten carbide tools. The life and surface finish of the proposed dual-layered tool and uncoated tungsten carbide were also investigated in interrupted cutting such as milling. The results of this study show a significant improvement in tool life and finish of Ti-6Al-4V parts machined with the dual-layered diamond-coated tool when compared with its uncoated counterpart. These results pave the way for the use of a low-cost tool, with respect to, polycrystalline diamond for enhancing both tool life and machining productivity in critical sectors fabricating parts out of titanium Ti-6Al-4V alloy. The application of this coating technology can also be extended to the machining of non-ferrous alloys owing to its better adhesion strength. (paper)

  9. Crystal growth of CVD diamond and some of its peculiarities

    CERN Document Server

    Piekarczyk, W

    1999-01-01

    Experiments demonstrate that CVD diamond can form in gas environments that are carbon undersaturated with respect to diamond. This fact is, among others, the most serious violation of principles of chemical thermodynamics. In this $9 paper it is shown that none of the principles is broken when CVD diamond formation is considered not a physical process consisting in growth of crystals but a chemical process consisting in accretion of macro-molecules of polycyclic $9 saturated hydrocarbons belonging to the family of organic compounds the smallest representatives of which are adamantane, diamantane, triamantane and so forth. Since the polymantane macro-molecules are in every respect identical with $9 diamond single crystals with hydrogen-terminated surfaces, the accretion of polymantane macro- molecules is a process completely equivalent to the growth of diamond crystals. However, the accretion of macro-molecules must be $9 described in a way different from that used to describe the growth of crystals because so...

  10. Sticking non-stick: Surface and Structure control of Diamond-like Carbon in Plasma Enhanced Chemical Vapour Deposition

    Science.gov (United States)

    Jones, B. J.; Nelson, N.

    2016-10-01

    This short review article explores the practical use of diamond-like carbon (DLC) produced by plasma enhanced chemical vapour deposition (PECVD). Using as an example issues relating to the DLC coating of a hand-held surgical device, we draw on previous works using atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy, scanning electron microscopy, tensiometry and electron paramagnetic resonance. Utilising data from these techniques, we examine the surface structure, substrate-film interface and thin film microstructure, such as sp2/sp3 ratio (graphitic/diamond-like bonding ratio) and sp2 clustering. We explore the variations in parameters describing these characteristics, and relate these to the final device properties such as friction, wear resistance, and diffusion barrier integrity. The material and device characteristics are linked to the initial plasma and substrate conditions.

  11. Sticking non-stick: Surface and Structure control of Diamond-like Carbon in Plasma Enhanced Chemical Vapour Deposition

    International Nuclear Information System (INIS)

    Jones, B J; Nelson, N

    2016-01-01

    This short review article explores the practical use of diamond-like carbon (DLC) produced by plasma enhanced chemical vapour deposition (PECVD). Using as an example issues relating to the DLC coating of a hand-held surgical device, we draw on previous works using atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy, scanning electron microscopy, tensiometry and electron paramagnetic resonance. Utilising data from these techniques, we examine the surface structure, substrate-film interface and thin film microstructure, such as sp 2 /sp 3 ratio (graphitic/diamond-like bonding ratio) and sp 2 clustering. We explore the variations in parameters describing these characteristics, and relate these to the final device properties such as friction, wear resistance, and diffusion barrier integrity. The material and device characteristics are linked to the initial plasma and substrate conditions. (paper)

  12. Room-temperature deposition of diamond-like carbon field emitter on flexible substrates

    International Nuclear Information System (INIS)

    Chen, H.; Iliev, M.N.; Liu, J.R.; Ma, K.B.; Chu, W.-K.; Badi, N.; Bensaoula, A.; Svedberg, E.B.

    2006-01-01

    Room-temperature fabrication of diamond-like carbon electron field emitters on flexible polyimide substrate is reported. These thin film field emitters are made using an Ar gas cluster ion beam assisted C 6 vapor deposition method. The bond structure of the as-deposited diamond-like carbon film was studied using Raman spectroscopy. The field emission characteristics of the deposited films were also measured. Electron current densities over 15 mA/cm 2 have been recorded under an electrical field of about 65 V/μm. These diamond-like carbon field emitters are easy and inexpensive to fabricate. The results are promising for flexible field-emission fabrication without the need of complex patterning and tip shaping as compared to the Spindt-type field emitters

  13. Low-Energy, Hydrogen-Free Method of Diamond Synthesis

    Science.gov (United States)

    Varshney, Deepak (Inventor); Morell, Gerardo (Inventor); Weiner, Brad R. (Inventor); Makarov, Vladimir (Inventor)

    2013-01-01

    Diamond thin films were deposited on copper substrate by the Vapor Solid (VS) deposition method using a mixture of fullerene C(sub 60) and graphite as the source material. The deposition took place only when the substrate was kept in a narrow temperature range of approximately 550-650 C. Temperatures below and above this range results in the deposition of fullerenes and other carbon compounds, respectively.

  14. SU-E-T-153: Detector-Grade CVD Diamond for Radiotherapy Dosimetry.

    Science.gov (United States)

    Lansley, S; Betzel, G; McKay, D; Meyer, J

    2012-06-01

    To evaluate the use of commercially available detector-grade synthetic diamond films made via chemical vapor deposition (CVD) as x- ray detectors for radiotherapy dosimetry. A detector was fabricated using high-quality single crystal CVD diamond films (0.5 × 3 × 3 mm̂3) with 0.4 mm̂3 sensitive volumes, which were encapsulated with PMMA. The detector was placed in a (30 × 30 × 30 cm̂3) PTW water phantom. Six- and ten-MV photons from an Elekta Synergy linac were measured using an SSD of 90 cm and typically a 10-cm phantom depth with a 10 × 10 cm̂2 field size in the central axis of the beam. Data acquisition was performed using a PTW UNIDOS E electrometer with a 100-V bias. The detector was evaluated by measuring leakage current, priming dose, response dynamics, dose linearity, dependence on dose rate, percent depth dose (6 and 10 MV photons) and output factors. Some measurements were compared with a Si diode detector, 0.04 and/or 0.13-cc ion chamber(s). Leakage currents were negligible (∼1 pA) given the overall average sensitivity of the material (680 nC/Gy at 100 V). Detector current rise and fall times were detectors as expected. The type of diamond tested has potential to be used for small field dosimetry due to its small sensitive volume and high sensitivity. Further experiments are ongoing and detector packaging is yet to be optimized. © 2012 American Association of Physicists in Medicine.

  15. A kinetic and equilibrium analysis of silicon carbide chemical vapor deposition on monofilaments

    Science.gov (United States)

    Gokoglu, S. A.; Kuczmarski, M. A.

    1993-01-01

    Chemical kinetics of atmospheric pressure silicon carbide (SiC) chemical vapor deposition (CVD) from dilute silane and propane source gases in hydrogen is numerically analyzed in a cylindrical upflow reactor designed for CVD on monofilaments. The chemical composition of the SiC deposit is assessed both from the calculated total fluxes of carbon and silicon and from chemical equilibrium considerations for the prevailing temperatures and species concentrations at and along the filament surface. The effects of gas and surface chemistry on the evolution of major gas phase species are considered in the analysis.

  16. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, W.; Nakaanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The reaction orders with respect to the partial pressures of hydrogen and boron trichloride are one half and one third, respectively. It has been found that the outer layer of a deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB by the use of X-ray diffraction and EPMA line analysis

  17. Inclusions in diamonds constrain thermo-chemical conditions during Mesozoic metasomatism of the Kaapvaal cratonic mantle

    Science.gov (United States)

    Weiss, Yaakov; Navon, Oded; Goldstein, Steven L.; Harris, Jeff W.

    2018-06-01

    Fluid/melt inclusions in diamonds, which were encapsulated during a metasomatic event and over a short period of time, are isolated from their surrounding mantle, offering the opportunity to constrain changes in the sub-continental lithospheric mantle (SCLM) that occurred during individual thermo-chemical events, as well as the composition of the fluids involved and their sources. We have analyzed a suite of 8 microinclusion-bearing diamonds from the Group I De Beers Pool kimberlites, South Africa, using FTIR, EPMA and LA-ICP-MS. Seven of the diamonds trapped incompatible-element-enriched saline high density fluids (HDFs), carry peridotitic mineral microinclusions, and substitutional nitrogen almost exclusively in A-centers. This low-aggregation state of nitrogen indicates a short mantle residence times and/or low mantle ambient temperature for these diamonds. A short residence time is favored because, elevated thermal conditions prevailed in the South African lithosphere during and following the Karoo flood basalt volcanism at ∼180 Ma, thus the saline metasomatism must have occurred close to the time of kimberlite eruptions at ∼85 Ma. Another diamond encapsulated incompatible-element-enriched silicic HDFs and has 25% of its nitrogen content residing in B-centers, implying formation during an earlier and different metasomatic event that likely relates to the Karoo magmatism at ca. 180 Ma. Thermometry of mineral microinclusions in the diamonds carrying saline HDFs, based on Mg-Fe exchange between garnet-orthopyroxene (Opx)/clinopyroxene (Cpx)/olivine and the Opx-Cpx thermometer, yield temperatures between 875-1080 °C at 5 GPa. These temperatures overlap with conditions recorded by touching inclusion pairs in diamonds from the De Beers Pool kimberlites, which represent the mantle ambient conditions just before eruption, and are altogether lower by 150-250 °C compared to P-T gradients recorded by peridotite xenoliths from the same locality. Oxygen fugacity (fO2

  18. Biomineralized diamond-like carbon films with incorporated titanium dioxide nanoparticles improved bioactivity properties and reduced biofilm formation.

    Science.gov (United States)

    Lopes, F S; Oliveira, J R; Milani, J; Oliveira, L D; Machado, J P B; Trava-Airoldi, V J; Lobo, A O; Marciano, F R

    2017-12-01

    Recently, the development of coatings to protect biomedical alloys from oxidation, passivation and to reduce the ability for a bacterial biofilm to form after implantation has emerged. Diamond-like carbon films are commonly used for implanted medical due to their physical and chemical characteristics, showing good interactions with the biological environment. However, these properties can be significantly improved when titanium dioxide nanoparticles are included, especially to enhance the bactericidal properties of the films. So far, the deposition of hydroxyapatite on the film surface has been studied in order to improve biocompatibility and bioactive behavior. Herein, we developed a new route to obtain a homogeneous and crystalline apatite coating on diamond-like carbon films grown on 304 biomedical stainless steel and evaluated its antibacterial effect. For this purpose, films containing two different concentrations of titanium dioxide (0.1 and 0.3g/L) were obtained by chemical vapor deposition. To obtain the apatite layer, the samples were soaked in simulated body fluid solution for up to 21days. The antibacterial activity of the films was evaluated by bacterial eradication tests using Staphylococcus aureus biofilm. Scanning electron microscopy, X-ray diffraction, Raman scattering spectroscopy, and goniometry showed that homogeneous, crystalline, and hydrophilic apatite films were formed independently of the titanium dioxide concentration. Interestingly, the diamond-like films containing titanium dioxide and hydroxyapatite reduced the biofilm formation compared to controls. A synergism between hydroxyapatite and titanium dioxide that provided an antimicrobial effect against opportunistic pathogens was clearly observed. Copyright © 2017 Elsevier B.V. All rights reserved.

  19. Silicon Oil DC200(R)5CST as AN Alternative Coolant for Cvd Diamond Windows

    Science.gov (United States)

    Vaccaro, A.; Aiello, G.; Meier, A.; Schere, T.; Schreck, S.; Spaeh, P.; Strauss, D.; Gantenbein, G.

    2011-02-01

    The production of high power mm-wave radiation is a key technology in large fusion devices, since it is required for localized plasma heating and current drive. Transmission windows are necessary to keep the vacuum in the gyrotron system and also act as tritium barriers. With its excellent optical, thermal and mechanical properties, synthetic CVD (Chemical Vapor Deposition) diamond is the state of the art material for the cw transmission of the mm-wave beams produced by high power gyrotrons. The gyrotrons foreseen for the W7-X stellarator are designed for cw operation with 1 MW output power at 140 GHz. The output window unit is designed by TED (Thales Electron Devices, France) using a single edge circumferentially cooled CVD-diamond disc with an aperture of 88 mm. The window unit is cooled by de-ionized water which is considered as chemical aggressive and might cause corrosion in particular at the brazing. The use of a different coolant such as silicon oil could prevent this issue. The cooling circuit has been simulated by steady-state CFD analysis. A total power generation of 1 kW (RF transmission losses) with pure Gaussian distribution has been assumed for the diamond disc. The performance of both water and the industrial silicon oil DC200(R) have been investigated and compared with a focus on the temperature distribution on the disc, the pressure drop across the cooling path and the heat flux distribution. Although the silicon oil has a higher viscosity (~x5), lower heat capacity (~x1/2) and lower thermal conductivity (~x1/3), it has proven to be a good candidate as alternative to water.

  20. Comparisons between a gas-phase model of silane chemical vapor deposition and laser-diagnostic measurements

    International Nuclear Information System (INIS)

    Breiland, W.G.; Coltrin, M.E.; Ho, P.

    1986-01-01

    Theoretical modeling and experimental measurements have been used to study gas-phase chemistry in the chemical vapor deposition (CVD) of silicon from silane. Pulsed laser Raman spectroscopy was used to obtain temperature profiles and to obtain absolute density profiles of silane during deposition at atmospheric and 6-Torr total pressures for temperatures ranging from 500 to 800 0 C. Laser-excited fluorescence was used to obtain relative density profiles of Si 2 during deposition at 740 0 C in helium with 0-12 Torr added hydrogen. These measurements are compared to predictions from the theoretical model of Coltrin, Kee, and Miller. The predictions agree qualitatively with experiment. These studies indicate that fluid mechanics and gas-phase chemical kinetics are important considerations in understanding the chemical vapor deposition process

  1. Diamond detectors for high energy physics experiments

    Science.gov (United States)

    Bäni, L.; Alexopoulos, A.; Artuso, M.; Bachmair, F.; Bartosik, M.; Beacham, J.; Beck, H.; Bellini, V.; Belyaev, V.; Bentele, B.; Berdermann, E.; Bergonzo, P.; Bes, A.; Brom, J.-M.; Bruzzi, M.; Cerv, M.; Chiodini, G.; Chren, D.; Cindro, V.; Claus, G.; Collot, J.; Cumalat, J.; Dabrowski, A.; D'Alessandro, R.; Dauvergne, D.; de Boer, W.; Dorfer, C.; Dünser, M.; Eremin, V.; Eusebi, R.; Forcolin, G.; Forneris, J.; Frais-Kölbl, H.; Gallin-Martel, L.; Gallin-Martel, M. L.; Gan, K. K.; Gastal, M.; Giroletti, C.; Goffe, M.; Goldstein, J.; Golubev, A.; Gorišek, A.; Grigoriev, E.; Grosse-Knetter, J.; Grummer, A.; Gui, B.; Guthoff, M.; Haughton, I.; Hiti, B.; Hits, D.; Hoeferkamp, M.; Hofmann, T.; Hosslet, J.; Hostachy, J.-Y.; Hügging, F.; Hutton, C.; Jansen, H.; Janssen, J.; Kagan, H.; Kanxheri, K.; Kasieczka, G.; Kass, R.; Kassel, F.; Kis, M.; Konovalov, V.; Kramberger, G.; Kuleshov, S.; Lacoste, A.; Lagomarsino, S.; Lo Giudice, A.; Lukosi, E.; Maazouzi, C.; Mandic, I.; Mathieu, C.; Menichelli, M.; Mikuž, M.; Morozzi, A.; Moss, J.; Mountain, R.; Murphy, S.; Muškinja, M.; Oh, A.; Oliviero, P.; Passeri, D.; Pernegger, H.; Perrino, R.; Picollo, F.; Pomorski, M.; Potenza, R.; Quadt, A.; Re, A.; Reichmann, M.; Riley, G.; Roe, S.; Sanz, D.; Scaringella, M.; Schaefer, D.; Schmidt, C. J.; Schnetzer, S.; Sciortino, S.; Scorzoni, A.; Seidel, S.; Servoli, L.; Smith, S.; Sopko, B.; Sopko, V.; Spagnolo, S.; Spanier, S.; Stenson, K.; Stone, R.; Sutera, C.; Tannenwald, B.; Taylor, A.; Traeger, M.; Tromson, D.; Trischuk, W.; Tuve, C.; Uplegger, L.; Velthuis, J.; Venturi, N.; Vittone, E.; Wagner, S.; Wallny, R.; Wang, J. C.; Weingarten, J.; Weiss, C.; Wengler, T.; Wermes, N.; Yamouni, M.; Zavrtanik, M.

    2018-01-01

    Beam test results of the radiation tolerance study of chemical vapour deposition (CVD) diamond against different particle species and energies is presented. We also present beam test results on the independence of signal size on incident particle rate in charged particle detectors based on un-irradiated and irradiated poly-crystalline CVD diamond over a range of particle fluxes from 2 kHz/cm2 to 10 MHz/cm2. The pulse height of the sensors was measured with readout electronics with a peaking time of 6 ns. In addition functionality of poly-crystalline CVD diamond 3D devices was demonstrated in beam tests and 3D diamond detectors are shown to be a promising technology for applications in future high luminosity experiments.

  2. Rapid and highly efficient growth of graphene on copper by chemical vapor deposition of ethanol

    Energy Technology Data Exchange (ETDEWEB)

    Lisi, Nicola, E-mail: nicola.lisi@enea.it [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Buonocore, Francesco; Dikonimos, Theodoros; Leoni, Enrico [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Faggio, Giuliana; Messina, Giacomo [Dipartimento di Ingegneria dell' Informazione, delle Infrastrutture e dell' Energia Sostenibile (DIIES), Università “Mediterranea” di Reggio Calabria, 89122 Reggio Calabria (Italy); Morandi, Vittorio; Ortolani, Luca [CNR-IMM Bologna, Via Gobetti 101, 40129 Bologna (Italy); Capasso, Andrea [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy)

    2014-11-28

    The growth of graphene by chemical vapor deposition on metal foils is a promising technique to deliver large-area films with high electron mobility. Nowadays, the chemical vapor deposition of hydrocarbons on copper is the most investigated synthesis method, although many other carbon precursors and metal substrates are used too. Among these, ethanol is a safe and inexpensive precursor that seems to offer favorable synthesis kinetics. We explored the growth of graphene on copper from ethanol, focusing on processes of short duration (up to one min). We investigated the produced films by electron microscopy, Raman and X-ray photoemission spectroscopy. A graphene film with high crystalline quality was found to cover the entire copper catalyst substrate in just 20 s, making ethanol appear as a more efficient carbon feedstock than methane and other commonly used precursors. - Highlights: • Graphene films were grown by fast chemical vapor deposition of ethanol on copper. • High-temperature/short-time growth produced highly crystalline graphene. • The copper substrate was entirely covered by a graphene film in just 20 s. • Addition of H{sub 2} had a negligible effect on the crystalline quality.

  3. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.; Smith, Casey; Hussain, Muhammad Mustafa

    2014-01-01

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.

    2014-05-15

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Proposed Occupational Exposure Limits for Non-Carcinogenic Hanford Waste Tank Vapor Chemicals

    International Nuclear Information System (INIS)

    Poet, Torka S.; Timchalk, Chuck

    2006-01-01

    A large number of volatile chemicals have been identified in the headspaces of tanks used to store mixed chemical and radioactive waste at the U.S. Department of Energy (DOE) Hanford Site, and there is concern that vapor releases from the tanks may be hazardous to workers. Contractually established occupational exposure limits (OELs) established by the Occupational Safety and Health Administration (OSHA) and American Conference of Governmental Industrial Hygienists (ACGIH) do not exist for all chemicals of interest. To address the need for worker exposure guidelines for those chemicals that lack OSHA or ACGIH OELs, a procedure for assigning Acceptable Occupational Exposure Limits (AOELs) for Hanford Site tank farm workers has been developed and applied to a selected group of 57 headspace chemicals

  6. Proposed Occupational Exposure Limits for Non-Carcinogenic Hanford Waste Tank Vapor Chemicals

    Energy Technology Data Exchange (ETDEWEB)

    Poet, Torka S.; Timchalk, Chuck

    2006-03-24

    A large number of volatile chemicals have been identified in the headspaces of tanks used to store mixed chemical and radioactive waste at the U.S. Department of Energy (DOE) Hanford Site, and there is concern that vapor releases from the tanks may be hazardous to workers. Contractually established occupational exposure limits (OELs) established by the Occupational Safety and Health Administration (OSHA) and American Conference of Governmental Industrial Hygienists (ACGIH) do not exist for all chemicals of interest. To address the need for worker exposure guidelines for those chemicals that lack OSHA or ACGIH OELs, a procedure for assigning Acceptable Occupational Exposure Limits (AOELs) for Hanford Site tank farm workers has been developed and applied to a selected group of 57 headspace chemicals.

  7. Modeling of diamond radiation detectors

    International Nuclear Information System (INIS)

    Milazzo, L.; Mainwood, A.

    2004-01-01

    We have built up a computer simulation of the detection mechanism in the diamond radiation detectors. The diamond detectors can be fabricated from a chemical vapour deposition polycrystalline diamond film. In this case, the trapping-detrapping and recombination at the defects inside the grains and at the grain boundaries degrade the transport properties of the material and the charge induction processes. These effects may strongly influence the device's response. Previous simulations of this kind of phenomena in the diamond detectors have generally been restricted to the simple detector geometries and homogeneous distribution of the defects. In our model, the diamond film (diamond detector) is simulated by a grid. We apply a spatial and time discretization, regulated by the grid resolution, to the equations describing the charge transport and, by using the Shockley-Ramo theorem, we calculate the signal induced on the electrodes. In this way, we can simulate the effects of the nonhomogeneous distributions of the trapping, recombination, or scattering centers and can investigate the differences observed when different particles, energies, and electrode configurations are used. The simulation shows that the efficiency of the detector increases linearly with the average grain size, that the charge collection distance is small compared to the dimensions of a single grain, and that for small grains, the trapping at the intragrain defects is insignificant compared to the effect of the grain boundaries

  8. Functionalization of nanocrystalline diamond films with phthalocyanines

    Energy Technology Data Exchange (ETDEWEB)

    Petkov, Christo [Institute of Nanostructure Technologies and Analytics (INA), Center for Interdisciplinary Nanostructure Science and Technology (CINSaT), University of Kassel (Germany); Reintanz, Philipp M. [Institute of Chemistry, Center for Interdisciplinary Nanostructure Science and Technology (CINSaT), University of Kassel (Germany); Kulisch, Wilhelm [Institute of Nanostructure Technologies and Analytics (INA), Center for Interdisciplinary Nanostructure Science and Technology (CINSaT), University of Kassel (Germany); Degenhardt, Anna Katharina [Institute of Chemistry, Center for Interdisciplinary Nanostructure Science and Technology (CINSaT), University of Kassel (Germany); Weidner, Tobias [Max Planck Institute for Polymer Research, Mainz (Germany); Baio, Joe E. [School of Chemical, Biological and Environmental Engineering, Oregon State University, Corvallis, OR (United States); Merz, Rolf; Kopnarski, Michael [Institut für Oberflächen- und Schichtanalytik (IFOS), Kaiserslautern (Germany); Siemeling, Ulrich [Institute of Chemistry, Center for Interdisciplinary Nanostructure Science and Technology (CINSaT), University of Kassel (Germany); Reithmaier, Johann Peter [Institute of Nanostructure Technologies and Analytics (INA), Center for Interdisciplinary Nanostructure Science and Technology (CINSaT), University of Kassel (Germany); Popov, Cyril, E-mail: popov@ina.uni-kassel.de [Institute of Nanostructure Technologies and Analytics (INA), Center for Interdisciplinary Nanostructure Science and Technology (CINSaT), University of Kassel (Germany)

    2016-08-30

    Highlights: • Grafting of phthalocyanines on nanocrystalline diamond films with different terminations. • Pc with different central atoms and side chains synthesized and characterized. • Attachment of Pc on H- and O-terminated NCD studied by XPS and NEXAFS spectroscopy. • Orientation order of phthalocyanine molecules on NCD surface. - Abstract: Phthalocyanine (Pc) derivatives containing different central metal atoms (Mn, Cu, Ti) and different peripheral chains were synthesized and comprehensively characterized. Their interaction with nanocrystalline diamond (NCD) films, as-grown by hot-filament chemical vapor deposition or after their modification with oxygen plasma to exchange the hydrogen termination with oxygen-containing groups, was studied by X-ray photoelectron spectroscopy (XPS) and near-edge X-ray absorption fine structure (NEXAFS) spectroscopy. The elemental composition as determined by XPS showed that the Pc were grafted on both as-grown and O-terminated NCD. Mn, Cu and Ti were detected together with N stemming from the Pc ring and S in case of the Ti-Pc from the peripheral ligands. The results for the elemental surface composition and the detailed study of the N 1s, S 2p and O 1s core spectra revealed that Ti-Pc grafted better on as-grown NCD but Cu-Pc and Mn-Pc on O-terminated films. Samples of Mn-Pc on as-grown and O-terminated NCD were further investigated by NEXAFS spectroscopy. The results showed ordering of the grafted molecules, laying flat on the H-terminated NCD surface while only the macrocycles were oriented parallel to the O-terminated surface with the peripheral chains perpendicular to it.

  9. Vapor deposition of tantalum and tantalum compounds

    International Nuclear Information System (INIS)

    Trkula, M.

    1996-01-01

    Tantalum, and many of its compounds, can be deposited as coatings with techniques ranging from pure, thermal chemical vapor deposition to pure physical vapor deposition. This review concentrates on chemical vapor deposition techniques. The paper takes a historical approach. The authors review classical, metal halide-based techniques and current techniques for tantalum chemical vapor deposition. The advantages and limitations of the techniques will be compared. The need for new lower temperature processes and hence new precursor chemicals will be examined and explained. In the last section, they add some speculation as to possible new, low-temperature precursors for tantalum chemical vapor deposition

  10. Pore-scale modeling of vapor transport in partially saturated capillary tube with variable area using chemical potential

    DEFF Research Database (Denmark)

    Addassi, Mouadh; Schreyer, Lynn; Johannesson, Björn

    2016-01-01

    Here we illustrate the usefulness of using the chemical potential as the primary unknown by modeling isothermal vapor transport through a partially saturated cylindrically symmetric capillary tube of variable cross-sectional area using a single equation. There are no fitting parameters and the nu......Here we illustrate the usefulness of using the chemical potential as the primary unknown by modeling isothermal vapor transport through a partially saturated cylindrically symmetric capillary tube of variable cross-sectional area using a single equation. There are no fitting parameters...... and the numerical solutions to the equation are compared with experimental results with excellent agreement. We demonstrate that isothermal vapor transport can be accurately modeled without modeling the details of the contact angle, microscale temperature fluctuations, or pressure fluctuations using a modification...

  11. Plasma boriding of a cobalt–chromium alloy as an interlayer for nanostructured diamond growth

    Energy Technology Data Exchange (ETDEWEB)

    Johnston, Jamin M.; Jubinsky, Matthew; Catledge, Shane A., E-mail: catledge@uab.edu

    2015-02-15

    Highlights: • Metal-boride layer creates a compatible surface for NSD deposition. • PECVD boriding on CoCrMo produces robust metal-boride layer. • Deposition temperature comparison shows 750 °C boriding masks surface cobalt. • EDS shows boron diffusion as well as deposition. • Nanoindentation hardness of CoCrMo substantially increases after boriding. - Abstract: Chemical vapor deposited (CVD) diamond coatings can potentially improve the wear resistance of cobalt–chromium medical implant surfaces, but the high cobalt content in these alloys acts as a catalyst to form graphitic carbon. Boriding by high temperature liquid baths and powder packing has been shown to improve CVD diamond compatibility with cobalt alloys. We use the microwave plasma-enhanced (PE) CVD process to deposit interlayers composed primarily of the borides of cobalt and chromium. The use of diborane (B{sub 2}H{sub 6}) in the plasma feedgas allows for the formation of a robust boride interlayer for suppressing graphitic carbon during subsequent CVD of nano-structured diamond (NSD). This metal–boride interlayer is shown to be an effective diffusion barrier against elemental cobalt for improving nucleation and adhesion of NSD coatings on a CoCrMo alloy. Migration of elemental cobalt to the surface of the interlayer is significantly reduced and undetectable on the surface of the subsequently-grown NSD coating. The effects of PECVD boriding are compared for a range of substrate temperatures and deposition times and are evaluated using glancing-angle X-ray diffraction (XRD), cross-sectional scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDS), and micro-Raman spectroscopy. Boriding of CoCrMo results in adhered nanostructured diamond coatings with low surface roughness.

  12. MgB2 thin films by hybrid physical-chemical vapor deposition

    International Nuclear Information System (INIS)

    Xi, X.X.; Pogrebnyakov, A.V.; Xu, S.Y.; Chen, K.; Cui, Y.; Maertz, E.C.; Zhuang, C.G.; Li, Qi; Lamborn, D.R.; Redwing, J.M.; Liu, Z.K.; Soukiassian, A.; Schlom, D.G.; Weng, X.J.; Dickey, E.C.; Chen, Y.B.; Tian, W.; Pan, X.Q.; Cybart, S.A.; Dynes, R.C.

    2007-01-01

    Hybrid physical-chemical vapor deposition (HPCVD) has been the most effective technique for depositing MgB 2 thin films. It generates high magnesium vapor pressures and provides a clean environment for the growth of high purity MgB 2 films. The epitaxial pure MgB 2 films grown by HPCVD show higher-than-bulk T c due to tensile strain in the films. The HPCVD films are the cleanest MgB 2 materials reported, allowing basic research, such as on magnetoresistance, that reveals the two-band nature of MgB 2 . The carbon-alloyed HPCVD films demonstrate record-high H c2 values promising for high magnetic field applications. The HPCVD films and multilayers have enabled the fabrication of high quality MgB 2 Josephson junctions

  13. Modeling of an improved chemical vapor infiltration process for ceramic composites fabrication

    International Nuclear Information System (INIS)

    Tai, N.H.; Chou, T.W.

    1990-01-01

    A quasi-steady-state approach is applied to model the pressure-driven, temperature-gradient chemical vapor infiltration (improved CVI process) for ceramic matrix composites fabrication. The deposited matrix in this study is SiC which is converted from the thermal decomposition of methyltrichlorosilane gas under excess hydrogen. A three-dimensional unit cell is adopted to simulate the spatial arrangements of reinforcements in discontinuous fiber mats and three-dimensionally woven fabrics. The objectives of this paper are to predict the temperature and density distributions in a fibrous preform during processing, the advancement of the solidified front, the total fabrication period, and the vapor inlet pressure variation for maintaining a constant flow rate

  14. Silicon solar cell performance deposited by diamond like carbon thin film ;Atomic oxygen effects;

    Science.gov (United States)

    Aghaei, Abbas Ail; Eshaghi, Akbar; Karami, Esmaeil

    2017-09-01

    In this research, a diamond-like carbon thin film was deposited on p-type polycrystalline silicon solar cell via plasma-enhanced chemical vapor deposition method by using methane and hydrogen gases. The effect of atomic oxygen on the functioning of silicon coated DLC thin film and silicon was investigated. Raman spectroscopy, field emission scanning electron microscopy, atomic force microscopy and attenuated total reflection-Fourier transform infrared spectroscopy were used to characterize the structure and morphology of the DLC thin film. Photocurrent-voltage characteristics of the silicon solar cell were carried out using a solar simulator. The results showed that atomic oxygen exposure induced the including oxidation, structural changes, cross-linking reactions and bond breaking of the DLC film; thus reducing the optical properties. The photocurrent-voltage characteristics showed that although the properties of the fabricated thin film were decreased after being exposed to destructive rays, when compared with solar cell without any coating, it could protect it in atomic oxygen condition enhancing solar cell efficiency up to 12%. Thus, it can be said that diamond-like carbon thin layer protect the solar cell against atomic oxygen exposure.

  15. A CVD diamond detector for (n,α) cross-section measurements

    International Nuclear Information System (INIS)

    Weiss, C.

    2014-01-01

    A novel detector based on the chemical vapor deposition (CVD) diamond technology has been developed in the framework of this PhD, for the experimental determination of (n,α) cross-sections at the neutron time-of-flight facility n⎽TOF at CERN. The 59 Ni(n,α) 56 Fe cross-section, which is relevant for astrophysical questions as well as for risk-assessment studies in nuclear technology, has been measured in order to validate the applicability of the detector for such experiments. The thesis is divided in four parts. In the introductory part the motivation for measuring (n,α) cross-sections, the experimental challenges for such measurements and the reasons for choosing the CVD diamond technology for the detector are given. This is followed by the presentation of the n⎽TOF facility, an introduction to neutron-induced nuclear reactions and a brief summary of the interaction of particles with matter. The CVD diamond technology and the relevant matters related to electronics are given as well in this first part of the thesis. The second part is dedicated to the design and production of the Diamond Mosaic-Detector (DM-D) and its characterization. The 59 Ni(n,α) 56 Fe cross-section measurement at n⎽TOF and the data analysis are discussed in detail in the third part of the thesis, before the summary of the thesis and an outlook to possible future developments and applications conclude the thesis in the forth part. In this work, the Diamond Mosaic-Detector, which consist of eight single-crystal (sCVD) diamond sensors and one 'Diamond on Iridium' (DOI) sensor has proven to be well suited for (n,α) cross-section measurements for 1 MeV < E α < 22 MeV. The upper limit is given by the thickness of the sensors, d = 150 μm, while the lower limit is dictated by background induced by neutron capture reactions in in-beam materials. The cross-section measurement was focussed on the resonance integral of 59 Ni(n,α) 56 Fe at E n = 203 eV, with the aim of clarifying

  16. Anomalous Fe and Mn heterogeneity observed in microscopic inclusions in diamond using nuclear microscopy

    International Nuclear Information System (INIS)

    Sideras-Haddad, E.; Hart, R.J.; Connell, S.H.; Sellschop, J.P.F.; Tredoux, M.

    1999-01-01

    A Fe and Mn heterogeneity effect was observed in microscopic garnet inclusion in diamond using micro-PIXE. Results are presented and the implications of these disequilibrium element distributions during growth of garnets are discussed. The occurrence of zoning or any type of chemical inhomogeneity within inclusions encapsulated within diamond has implications on the age of formation of the inclusion and the diamond. It is believed that the age of the inclusion is that of the diamond formation itself, with subsequent isolation of the inclusion from the mantle by the diamond. The significance of the chemical heterogeneity or zoning is that it should not exist given the high temperature and long time of encapsulation

  17. VAPOR SAMPLING DEVICE FOR INTERFACE WITH MICROTOX ASSAY FOR SCREENING TOXIC INDUSTRIAL CHEMICALS

    Science.gov (United States)

    A time-integrated sampling system interfaced with a toxicity-based assay is reported for monitoring volatile toxic industrial chemicals (TICs). Semipermeable membrane devices (SPMDs) using dimethyl sulfoxide (DMSO) as the fill solvent accumulated each of 17 TICs from the vapor...

  18. Thermoluminescent behavior of diamond thin films exposed to ultraviolet radiation

    International Nuclear Information System (INIS)

    Barboza F, M.; Gastelum, S.; Melendrez, R.; Chernov, V.; Bernal, R.; Cruz V, C.; Brown, F.

    2002-01-01

    In this work the thermoluminescent properties of diamond thin films are discussed which are grown up through the chemical vapor method exposed to ultraviolet radiation of 200-280 nm. The films with thickness 3, 6, 9, 12, 180 and 500 microns were grown up using a precursor gas formed of H 2 -CH 4 -CO excited through microwave energy or hot filament.The structure and morphology of the films were examined through scanning electron microscopy, indicating the formation of different diamond polycrystal structures which depend on the type of heating of the precursor gas used as well as the film dimensions. In general, the brilliance curve depends on the sample and the wavelength of the irradiation ultraviolet light, however it presents clearly thermoluminescence bands in 148, 160, 272, 304, 320 and 324 C degrees. The maximum of the thermoluminescence efficiency is obtained for the case of sample exposure with light of 214 nm. The sample of 500 microns is what exhibits greater thermoluminescent efficiency of those studied samples. The thermoluminescent behavior in function of radiation dose presents regions of linearity and supra linearity for higher and small doses respectively. The disappearance of the thermoluminescent signal depends on the characteristics of the film and it can reach until a 30 % of loss before to reach the stability. (Author)

  19. Fabrication and evaluation of chemically vapor deposited tungsten heat pipe.

    Science.gov (United States)

    Bacigalupi, R. J.

    1972-01-01

    A network of lithium-filled tungsten heat pipes is being considered as a method of heat extraction from high temperature nuclear reactors. The need for material purity and shape versatility in these applications dictates the use of chemically vapor deposited (CVD) tungsten. Adaptability of CVD tungsten to complex heat pipe designs is shown. Deposition and welding techniques are described. Operation of two lithium-filled CVD tungsten heat pipes above 1800 K is discussed.

  20. Electroluminescence Spectrum Shift with Switching Behaviour of Diamond Thin Films

    Institute of Scientific and Technical Information of China (English)

    王小平; 王丽军; 张启仁; 姚宁; 张兵临

    2003-01-01

    We report a special phenomenon on switching behaviour and the electroluminescence (EL) spectrum shift of doped diamond thin films. Nitrogen and cerium doped diamond thin films were deposited on a silicon substrate by microwave plasma-assisted chemical vapour deposition system and other special techniques. An EL device with a three-layer structure of nitrogen doped diamond/cerium doped diamond/SiO2 thin films was made. The EL device was driven by a direct-current power supply. Its EL character has been investigated, and a switching behaviour was observed. The EL light emission colour of diamond films changes from yellow (590nm) to blue (454 nm) while the switching behaviour appears.

  1. Morphology modulating the wettability of a diamond film.

    Science.gov (United States)

    Tian, Shibing; Sun, Weijie; Hu, Zhaosheng; Quan, Baogang; Xia, Xiaoxiang; Li, Yunlong; Han, Dong; Li, Junjie; Gu, Changzhi

    2014-10-28

    Control of the wetting property of diamond surface has been a challenge because of its maximal hardness and good chemical inertness. In this work, the micro/nanoarray structures etched into diamond film surfaces by a maskless plasma method are shown to fix a surface's wettability characteristics, and this means that the change in morphology is able to modulate the wettability of a diamond film from weakly hydrophilic to either superhydrophilic or superhydrophobic. It can be seen that the etched diamond surface with a mushroom-shaped array is superhydrophobic following the Cassie mode, whereas the etched surface with nanocone arrays is superhydrophilic in accordance with the hemiwicking mechnism. In addition, the difference in cone densities of superhydrophilic nanocone surfaces has a significant effect on water spreading, which is mainly derived from different driving forces. This low-cost and convenient means of altering the wetting properties of diamond surfaces can be further applied to underlying wetting phenomena and expand the applications of diamond in various fields.

  2. Diamond Detector Technology: Status and Perspectives

    CERN Document Server

    Reichmann, M; Artuso, M; Bachmair, F; Bäni, L; Bartosik, M; Beacham, J; Beck, H; Bellini, V; Belyaev, V; Bentele, B; Berdermann, E; Bergonzo, P; Bes, A; Brom, J-M; Bruzzi, M; Cerv, M; Chiodini, G; Chren, D; Cindro, V; Claus, G; Collot, J; Cumalat, J; Dabrowski, A; D'Alessandro, R; Dauvergne, D; de Boer, W; Dorfer, C; Dünser, M; Eremin, V; Eusebi, R; Forcolin, G; Forneris, J; Frais-Kölbl, H; Gallin-Martel, L; Gallin-Martel, M L; Gan, K K; Gastal, M; Giroletti, C; Goffe, M; Goldstein, J; Golubev, A; Gorišek, A; Grigoriev, E; Grosse-Knetter, J; Grummer, A; Gui, B; Guthoff, M; Haughton, I; Hiti, B; Hits, D; Hoeferkamp, M; Hofmann, T; Hosslet, J; Hostachy, J-Y; Hügging, F; Hutton, C; Jansen, H; Janssen, J; Kagan, H; Kanxheri, K; Kasieczka, G; Kass, R; Kassel, F; Kis, M; Konovalov, V; Kramberger, G; Kuleshov, S; Lacoste, A; Lagomarsino, S; Lo Giudice, A; Lukosi, E; Maazouzi, C; Mandic, I; Mathieu, C; Menichelli, M; Mikuž, M; Morozzi, A; Moss, J; Mountain, R; Murphy, S; Muškinja, M; Oh, A; Oliviero, P; Passeri, D; Pernegger, H; Perrino, R; Picollo, F; Pomorski, M; Potenza, R; Quadt, A; Re, A; Riley, G; Roe, S; Sanz-Becerra, D A; Scaringella, M; Schaefer, D; Schmidt, C J; Schnetzer, S; Sciortino, S; Scorzoni, A; Seidel, S; Servoli, L; Smith, S; Sopko, B; Sopko, V; Spagnolo, S; Spanier, S; Stenson, K; Stone, R; Sutera, C; Tannenwald, B; Taylor, A; Traeger, M; Tromson, D; Trischuk, W; Tuve, C; Uplegger, L; Velthuis, J; Venturi, N; Vittone, E; Wagner, S; Wallny, R; Wang, J C; Weingarten, J; Weiss, C; Wengler, T; Wermes, N; Yamouni, M; Zavrtanik, M

    2018-01-01

    The planned upgrade of the LHC to the High-Luminosity-LHC will push the luminosity limits above the original design values. Since the current detectors will not be able to cope with this environment ATLAS and CMS are doing research to find more radiation tolerant technologies for their innermost tracking layers. Chemical Vapour Deposition (CVD) diamond is an excellent candidate for this purpose. Detectors out of this material are already established in the highest irradiation regimes for the beam condition monitors at LHC. The RD42 collaboration is leading an effort to use CVD diamonds also as sensor material for the future tracking detectors. The signal behaviour of highly irradiated diamonds is presented as well as the recent study of the signal dependence on incident particle flux. There is also a recent development towards 3D detectors and especially 3D detectors with a pixel readout based on diamond sensors.

  3. Continuous, Highly Flexible, and Transparent Graphene Films by Chemical Vapor Deposition for Organic Photovoltaics

    KAUST Repository

    Gomez De Arco, Lewis; Zhang, Yi; Schlenker, Cody W.; Ryu, Koungmin; Thompson, Mark E.; Zhou, Chongwu

    2010-01-01

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD

  4. Fabrication of fiber-reinforced composites by chemical vapor infiltration

    Energy Technology Data Exchange (ETDEWEB)

    Besmann, T.M.; McLaughlin, J.C. [Oak Ridge National Lab., TN (United States). Metals and Ceramics Div.; Probst, K.J.; Anderson, T.J. [Univ. of Florida, Gainesville, FL (United States). Dept. of Chemical Engineering; Starr, T.L. [Georgia Inst. of Tech., Atlanta, GA (United States). Dept. of Materials Science and Engineering

    1997-12-01

    Silicon carbide-based heat exchanger tubes are of interest to energy production and conversion systems due to their excellent high temperature properties. Fiber-reinforced SiC is of particular importance for these applications since it is substantially tougher than monolithic SiC, and therefore more damage and thermal shock tolerant. This paper reviews a program to develop a scaled-up system for the chemical vapor infiltration of tubular shapes of fiber-reinforced SiC. The efforts include producing a unique furnace design, extensive process and system modeling, and experimental efforts to demonstrate tube fabrication.

  5. TL and LOE dosimetric evaluation of diamond films exposed to beta and ultraviolet radiation

    International Nuclear Information System (INIS)

    Preciado F, S.; Melendrez, R.; Chernov, V.; Barboza F, M.; Schreck, M.; Cruz Z, E.

    2005-01-01

    The diamond possesses a privileged position regarding other materials of great technological importance. Their applications go from the optics, microelectronics, metals industry, medicine and of course as dosemeter, in the registration and detection of ionizing and non ionizing radiation. In this work the results of TL/LOE obtained in two samples of diamond of 10 μm thickness grown by the chemical vapor deposition method (CVD) assisted by microwave plasma. The films were deposited in a silicon substrate (001) starting from a mixture of gases composed of CH 4 /H 2 and 750 ppm of molecular nitrogen as dopant. The samples were exposed to beta radiation (Sr 90 / Y 90 ) and ultraviolet, being stimulated later on thermal (TL) and optically (LOE) to evaluate their dosimetric properties. The sample without doping presented high response TL/LOE to the ultraviolet and beta radiation. The TL glow curve of the sample without doping showed two TL peaks with second order kinetics in the range of 520 to 550 K, besides a peak with first order kinetics of more intensity around 607 K. The TL efficiency of the non doped sample is bigger than the doped with nitrogen; however the LOE efficiency is similar in both samples. The results indicate that the CVD diamond possesses excellent perspectives for dosimetric applications, with special importance in radiotherapy due to it is biologically compatible with the human tissue. (Author)

  6. Plasma-enhanced chemical vapor deposition of aluminum oxide using ultrashort precursor injection pulses

    NARCIS (Netherlands)

    Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2012-01-01

    An alternative plasma-enhanced chemical vapor deposition (PECVD) method is developed and applied for the deposition of high-quality aluminum oxide (AlOx) films. The PECVD method combines a continuous plasma with ultrashort precursor injection pulses. We demonstrate that the modulation of the

  7. The study and the realization of radiation detectors made from polycrystalline diamond films grown by microwave plasma enhanced chemical vapour deposition technique

    International Nuclear Information System (INIS)

    Jany, Ch.

    1998-01-01

    The aim of this work was to develop radiation detectors made from polycrystalline diamond films grown by microwave plasma enhanced chemical vapour deposition technique. The influence of surface treatments, contact technology and diamond growth parameters on the diamond detectors characteristics was investigated in order to optimise the detector response to alpha particles. The first part of the study focused on the electrical behaviour of as-deposited diamond surface, showing a p type conduction and its influence on the leakage current of the device. A surface preparation process was established in order to reduce the leakage current of the device by surface dehydrogenation using an oxidising step. Several methods to form and treat electrical contacts were also investigated showing that the collection efficiency of the device decreases after contact annealing. In the second part, we reported the influence of the diamond deposition parameters on the characteristics of the detectors. The increase of the deposition temperature and/or methane concentration was shown to lead η to decrease. In contrast, η was found to increase with the micro-wave power. The evolution of the diamond detector characteristics results from the variation in sp 2 phases incorporation and in the crystallography quality of the films. These defects increase the leakage current and reduce the carrier mobility and lifetime. Measurements carried out on detectors with different thicknesses showed that the physical properties varies along the growth direction, improving with the film thickness. Finally, the addition of nitrogen (> 10 ppm) in the gas mixture during diamond deposition was found to strongly reduce the collection efficiency of the detectors. To conclude the study, we fabricated and characterised diamond devices which were used for thermal neutron detection and for the intensity and shape measurement of VUV and soft X-ray pulses. (author)

  8. Comparison of natural and synthetic diamond X-ray detectors.

    Science.gov (United States)

    Lansley, S P; Betzel, G T; Metcalfe, P; Reinisch, L; Meyer, J

    2010-12-01

    Diamond detectors are particularly well suited for dosimetry applications in radiotherapy for reasons including near-tissue equivalence and high-spatial resolution resulting from small sensitive volumes. However, these detectors have not become commonplace due to high cost and poor availability arising from the need for high-quality diamond. We have fabricated relatively cheap detectors from commercially-available synthetic diamond fabricated using chemical vapour deposition. Here, we present a comparison of one of these detectors with the only commercially-available diamond-based detector (which uses a natural diamond crystal). Parameters such as the energy dependence and linearity of charge with dose were investigated at orthovoltage energies (50-250 kV), and dose-rate dependence of charge at linear accelerator energy (6 MV). The energy dependence of a synthetic diamond detector was similar to that of the natural diamond detector, albeit with slightly less variation across the energy range. Both detectors displayed a linear response with dose (at 100 kV) over the limited dose range used. The sensitivity of the synthetic diamond detector was 302 nC/Gy, compared to 294 nC/Gy measured for the natural diamond detector; however, this was obtained with a bias of 246.50 V compared to a bias of 61.75 V used for the natural diamond detector. The natural diamond detector exhibited a greater dependency on dose-rate than the synthetic diamond detector. Overall, the synthetic diamond detector performed well in comparison to the natural diamond detector.

  9. Low drift and small hysteresis characteristics of diamond electrolyte-solution-gate FET

    International Nuclear Information System (INIS)

    Sasaki, Yoshinori; Kawarada, Hiroshi

    2010-01-01

    We have investigated drift and hysteresis characteristics on an electrolyte-solution-gate field-effect transistor (SGFET) with a unique structure using polycrystalline diamond and verified the possibility as chemical sensors and biosensors. Silicon-based ion-sensitive field effect transistors (ISFETs) have not yet solved such time-related issues due to the chemical instability of the passivation layer covering on SiO 2 and that is why the Si-ISFET is not wide spread. First of all, we have confirmed that the pH sensitivities of oxygen- and amine-terminated diamond surfaces are 20 mV/pH and 48 mV/pH, respectively, whereas that of hydrogen-terminated surface is only 7 mV/pH. Drift characteristics measurement on diamond SGFET reveals that diamond SGFETs with any surface termination are more stable in electrolyte solution than Si-ISFETs with typical passivation membranes. Hysteresis width, which is known to be a more serious cause of measurement error than drift, proves to be 0.39 mV on amine-terminated SGFET. This is less than 1/10 compared with common Si 3 N 4 -ISFET. These results can be explained by high tolerance of diamond against ions in solution due to intrinsic chemical stability and densely packed structure of diamond itself. In this work, we bear out that diamond SGFET is a promising platform for highly sensitive biosensor application owing to the superiority in terms of time response and resulting measurement accuracy.

  10. Liquid jet impingement cooling with diamond substrates for extremely high heat flux applications

    International Nuclear Information System (INIS)

    Lienhard V, J.H.

    1993-01-01

    The combination of impinging jets and diamond substrates may provide an effective solution to a class of extremely high heat flux problems in which very localized heat loads must be removed. Some potential applications include the cooling of high-heat-load components in synchrotron x-ray, fusion, and semiconductor laser systems. Impinging liquid jets are a very effective vehicle for removing high heat fluxes. The liquid supply arrangement is relatively simple, and low thermal resistances can be routinely achieved. A jet's cooling ability is a strong function of the size of the cooled area relative to the jet diameter. For relatively large area targets, the critical heat fluxes can approach 20 W/mm 2 . In this situation, burnout usually originates at the outer edge of the cooled region as increasing heat flux inhibits the liquid supply. Limitations from liquid supply are minimized when heating is restricted to the jet stagnation zone. The high stagnation pressure and high velocity gradients appear to suppress critical flux phenomena, and fluxes of up to 400 W/mm 2 have been reached without evidence of burnout. Instead, the restrictions on heat flux are closely related to properties of the cooled target. Target properties become an issue owing to the large temperatures and large temperature gradients that accompany heat fluxes over 100 W/mm 2 . These conditions necessitate a target with both high thermal conductivity to prevent excessive temperatures and good mechanical properties to prevent mechanical failures. Recent developments in synthetic diamond technology present a possible solution to some of the solid-side constraints on heat flux. Polycrystalline diamond foils can now be produced by chemical vapor deposition in reasonable quantity and at reasonable cost. Synthetic single crystal diamonds as large as 1 cm 2 are also available

  11. Combustion chemical vapor desposited coatings for thermal barrier coating systems

    Energy Technology Data Exchange (ETDEWEB)

    Hampikian, J.M.; Carter, W.B. [Georgia Institute of Technology, Atlanta, GA (United States)

    1995-10-01

    The new deposition process, combustion chemical vapor deposition, shows a great deal of promise in the area of thermal barrier coating systems. This technique produces dense, adherent coatings, and does not require a reaction chamber. Coatings can therefore be applied in the open atmosphere. The process is potentially suitable for producing high quality CVD coatings for use as interlayers between the bond coat and thermal barrier coating, and/or as overlayers, on top of thermal barrier coatings.

  12. Effect of magnetic and electric coupling fields on micro- and nano- structure of carbon films in the CVD diamond process and their electron field emission property

    Science.gov (United States)

    Wang, Yijia; Li, Jiaxin; Hu, Naixiu; Jiang, Yunlu; Wei, Qiuping; Yu, Zhiming; Long, Hangyu; Zhu, Hekang; Xie, Youneng; Ma, Li; Lin, Cheng-Te; Su, Weitao

    2018-03-01

    In this paper, both electric field and magnetic field were used to assist the hot filament chemical vapor deposition (HFCVD) and we systematically investigated the effects of which on the (1) phase composition, (2) grain size, (3) thickness and (4) preferred orientation of diamond films through SEM, Raman and XRD. The application of magnetic field in electric field, so called ‘the magnetic and electric coupling fields’, enhanced the graphitization and refinement of diamond crystals, slowed down the decrease of film thickness along with the increase of bias current, and suppressed diamond (100) orientation. During the deposition process, the electric field provided additional energy to HFCVD system and generated large number of energetic particles which might annihilate at the substrate and lose kinetic energy, while the Lorentz force, provided by magnetic field, could constrict charged particles (including electrons) to do spiral movement, which prolonged their moving path and life, thus the system energy increased. With the graphitization of diamond films intensified, the preferred orientation of diamond films completely evolved from (110) to (100), until the orientation and diamond phase disappeared, which can be attributed to (I) the distribution and concentration ratio of carbon precursors (C2H2 and CH3) and (II) graphitization sequence of diamond crystal facets. Since the electron field emission property of carbon film is sensitive to the phase composition, thickness and preferred orientation, nano- carbon cones, prepared by the negative bias current of 20 mA and magnetic field strength of 80 Gauss, exhibited the lowest turn-on field of 6.1 V -1 μm-1.

  13. Nucleation of microwave plasma CVD diamond on molybdenum (Mo) substrate

    International Nuclear Information System (INIS)

    Inderjeet, K.; Ramesh, S.

    2000-01-01

    Molybdenum is a metal, which is gaining increasing significance in industrial applications. The main use of Mo is as all alloying element added in small amounts to steel, irons and non- ferrous alloys in order to enhance the strength, toughness and wear resistance. Mo is also vastly being employed in the automotive and aircraft industries, mainly due to its low coefficient of friction. Diamond, on be other hand, is a unique material for innumerable applications because of its usual combination of physical and chemical properties. Several potential applications can be anticipated for diamond in many sectors including electronics, optics, as protective corrosion resistant coatings, cutting tools, etc. With the enhancement in science and technology, diamond microcrystals and thin films are now being produced from the vapour phase by a variety of chemical vapour deposition (CVD) techniques; such as microwave plasma CVD. With such technology being made available, it is envisage that diamond-coated molybdenum would further enhance the performance and to open up new avenue for Mo in various industries. Therefore, it is the aim of the present work to study the nucleation and growth of diamond particles on Mo surface by employing microwave plasma CVD (MAPCVD). In the present work, diamond deposition was carried out in several stages by varying the deposition distance. The nucleation and growth rate were studied using scanning electron microscopy (SEM). In addition, the existence of diamond was verified by X-ray diffraction (XRD) analysis. It has been found that the nucleation and growth rate of diamond particles were influenced by the deposition height between the substrate and plasma. Under the optimum condition, well defined diamond crystallites distributed homogeneously throughout the surface, could be obtained. Some of the important parameters controlling the deposition and growth of diamond particles on Mo surface are discussed. (author)

  14. Direct dry transfer of chemical vapor deposition graphene to polymeric substrates

    OpenAIRE

    Fechine, Guilhermino J. M.; Martin-Fernandez, Inigo; Yiapanis, George; de Oliveira, Ricardo V. Bof; Hu, Xiao; Yarovsky, Irene; Neto, Antonio H. Castro; Ozyilmaz, Barbaros

    2014-01-01

    We demonstrate the direct dry transfer of large area Chemical Vapor Deposition graphene to several polymers (low density polyethylene, high density polyethylene, polystyrene, polylactide acid and poly(vinylidenefluoride-co-trifluoroethylene) by means of only moderate heat and pressure, and the later mechanical peeling of the original graphene substrate. Simulations of the graphene-polymer interactions, rheological tests and graphene transfer at various experimental conditions show that contro...

  15. Electrochemically assisted deposition of hydroxyapatite on Ti6Al4V substrates covered by CVD diamond films - Coating characterization and first cell biological results.

    Science.gov (United States)

    Strąkowska, Paulina; Beutner, René; Gnyba, Marcin; Zielinski, Andrzej; Scharnweber, Dieter

    2016-02-01

    Although titanium and its alloys are widely used as implant material for orthopedic and dental applications they show only limited corrosion stability and osseointegration in different cases. The aim of the presented research was to develop and characterize a novel surface modification system from a thin diamond base layer and a hydroxyapatite (HAp) top coating deposited on the alloy Ti6Al4V widely used for implants in contact with bone. This coating system is expected to improve both the long-term corrosion behavior and the biocompatibility and bioactivity of respective surfaces. The diamond base films were obtained by Microwave Plasma Assisted Chemical Vapor Deposition (MW-PACVD); the HAp coatings were formed in aqueous solutions by electrochemically assisted deposition (ECAD) at varying polarization parameters. Scanning electron microscopy (SEM), Raman microscopy, and electrical conductivity measurements were applied to characterize the generated surface states; the calcium phosphate coatings were additionally chemically analyzed for their composition. The biological properties of the coating system were assessed using hMSC cells analyzing for cell adhesion, proliferation, and osteogenic differentiation. Varying MW-PACVD process conditions resulted in composite coatings containing microcrystalline diamond (MCD/Ti-C), nanocrystalline diamond (NCD), and boron-doped nanocrystalline diamond (B-NCD) with the NCD coatings being dense and homogeneous and the B-NCD coatings showing increased electrical conductivity. The ECAD process resulted in calcium phosphate coatings from stoichiometric and non-stoichiometric HAp. The deposition of HAp on the B-NCD films run at lower cathodic potentials and resulted both in the highest coating mass and the most homogenous appearance. Initial cell biological investigations showed an improved cell adhesion in the order B-NCD>HAp/B-NCD>uncoated substrate. Cell proliferation was improved for both investigated coatings whereas ALP

  16. CVD diamond for nuclear detection applications

    CERN Document Server

    Bergonzo, P; Tromson, D; Mer, C; Guizard, B; Marshall, R D; Foulon, F

    2002-01-01

    Chemically vapour deposited (CVD) diamond is a remarkable material for the fabrication of radiation detectors. In fact, there exist several applications where other standard semiconductor detectors do not fulfil the specific requirements imposed by corrosive, hot and/or high radiation dose environments. The improvement of the electronic properties of CVD diamond has been under intensive investigations and led to the development of a few applications that are addressing specific industrial needs. Here, we report on CVD diamond-based detector developments and we describe how this material, even though of a polycrystalline nature, is readily of great interest for applications in the nuclear industry as well as for physics experiments. Improvements in the material synthesis as well as on device fabrication especially concern the synthesis of films that do not exhibit space charge build up effects which are often encountered in CVD diamond materials and that are highly detrimental for detection devices. On a pre-i...

  17. CVD diamond for nuclear detection applications

    International Nuclear Information System (INIS)

    Bergonzo, P.; Brambilla, A.; Tromson, D.; Mer, C.; Guizard, B.; Marshall, R.D.; Foulon, F.

    2002-01-01

    Chemically vapour deposited (CVD) diamond is a remarkable material for the fabrication of radiation detectors. In fact, there exist several applications where other standard semiconductor detectors do not fulfil the specific requirements imposed by corrosive, hot and/or high radiation dose environments. The improvement of the electronic properties of CVD diamond has been under intensive investigations and led to the development of a few applications that are addressing specific industrial needs. Here, we report on CVD diamond-based detector developments and we describe how this material, even though of a polycrystalline nature, is readily of great interest for applications in the nuclear industry as well as for physics experiments. Improvements in the material synthesis as well as on device fabrication especially concern the synthesis of films that do not exhibit space charge build up effects which are often encountered in CVD diamond materials and that are highly detrimental for detection devices. On a pre-industrial basis, CVD diamond detectors have been fabricated for nuclear industry applications in hostile environments. Such devices can operate in harsh environments and overcome limitations encountered with the standard semiconductor materials. Of these, this paper presents devices for the monitoring of the alpha activity in corrosive nuclear waste solutions, such as those encountered in nuclear fuel assembly reprocessing facilities, as well as diamond-based thermal neutron detectors exhibiting a high neutron to gamma selectivity. All these demonstrate the effectiveness of a demanding industrial need that relies on the remarkable resilience of CVD diamond

  18. Ion-beam technologies

    Energy Technology Data Exchange (ETDEWEB)

    Fenske, G.R. [Argonne National Lab., IL (United States)

    1993-01-01

    This compilation of figures and diagrams reviews processes for depositing diamond/diamond-like carbon films. Processes addressed are chemical vapor deposition (HFCVD, PACVD, etc.), plasma vapor deposition (plasma sputtering, ion beam sputtering, evaporation, etc.), low-energy ion implantation, and hybrid processes (biased sputtering, IBAD, biased HFCVD, etc.). The tribological performance of coatings produced by different means is discussed.

  19. The effect of ion-beam induced strain on the nucleation density of chemical vapour deposited diamond

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Nugent, K.W.; Bettiol, A.A.; Kostidis, L.I.; Jamieson, D.N.

    1995-01-01

    The effect of ion implantation on the nucleation of CVD diamond on silicon and diamond substrates has been investigated. The strategy employed is to create laterally confined regions of strain in the substrates by focused MeV implantation of light ions. Raman Microscopy has been employed to obtain spatially resolved maps of the strain in these implanted regions. On diamond substrates a homo-epitaxial CVD diamond film was grown on top of both the implanted and unimplanted regions of the substrate. Raman analysis of the film grown on top of the implanted region revealed it to be under slightly tensile strain as compared to that grown on the unimplanted diamond substrate. The film deposited on the implanted portion of the diamond showed a lower fluorescence background; indicating a lower concentration of incorporated defects. These results suggest that the strain and defects in the diamond substrate material have an important influence on the quality of the homo-epitaxially grown diamond films. 6 refs., 5 figs

  20. Adhesion of osteoblasts on chemically patterned nanocrystalline diamonds

    Czech Academy of Sciences Publication Activity Database

    Kalbáčová, M.; Michalíková, Lenka; Barešová, V.; Kromka, Alexander; Rezek, Bohuslav; Kmoch, S.

    2008-01-01

    Roč. 245, č. 10 (2008), s. 2124-2127 ISSN 0370-1972 R&D Projects: GA AV ČR KAN400100701 Institutional research plan: CEZ:AV0Z10100521 Keywords : cell growth * nanocrystalline diamond * surface termination Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.166, year: 2008

  1. Novel diamond-coated tools for dental drilling applications.

    Science.gov (United States)

    Jackson, M J; Sein, H; Ahmed, W; Woodwards, R

    2007-01-01

    The application of diamond coatings on cemented tungsten carbide (WC-Co) tools has been the subject of much attention in recent years in order to improve cutting performance and tool life in orthodontic applications. WC-Co tools containing 6% Co metal and 94% WC substrate with an average grain size of 1 - 3 microm were used in this study. In order to improve the adhesion between diamond and WC substrates it is necessary to etch cobalt from the surface and prepare it for subsequent diamond growth. Alternatively, a titanium nitride (TiN) interlayer can be used prior to diamond deposition. Hot filament chemical vapour deposition (HFCVD) with a modified vertical filament arrangement has been employed for the deposition of diamond films to TiN and etched WC substrates. Diamond film quality and purity has been characterized using scanning electron microscopy (SEM) and micro Raman spectroscopy. The performances of diamond-coated WC-Co tools, uncoated WC-Co tools, and diamond embedded (sintered) tools have been compared by drilling a series of holes into various materials such as human tooth, borosilicate glass, and acrylic tooth materials. Flank wear has been used to assess the wear rates of the tools when machining biomedical materials such as those described above. It is shown that using an interlayer such as TiN prior to diamond deposition provides the best surface preparation for producing dental tools.

  2. Voltammetric and impedance behaviours of surface-treated nano-crystalline diamond film electrodes

    International Nuclear Information System (INIS)

    Liu, F. B.; Jing, B.; Cui, Y.; Di, J. J.; Qu, M.

    2015-01-01

    The electrochemical performances of hydrogen- and oxygen-terminated nano-crystalline diamond film electrodes were investigated by cyclic voltammetry and AC impedance spectroscopy. In addition, the surface morphologies, phase structures, and chemical states of the two diamond films were analysed by scanning probe microscopy, Raman spectroscopy, and X-ray photoelectron spectroscopy, respectively. The results indicated that the potential window is narrower for the hydrogen-terminated nano-crystalline diamond film than for the oxygen-terminated one. The diamond film resistance and capacitance of oxygen-terminated diamond film are much larger than those of the hydrogen-terminated diamond film, and the polarization resistances and double-layer capacitance corresponding to oxygen-terminated diamond film are both one order of magnitude larger than those corresponding to the hydrogen-terminated diamond film. The electrochemical behaviours of the two diamond film electrodes are discussed

  3. Polycrystalline-Diamond MEMS Biosensors Including Neural Microelectrode-Arrays

    Directory of Open Access Journals (Sweden)

    Donna H. Wang

    2011-08-01

    Full Text Available Diamond is a material of interest due to its unique combination of properties, including its chemical inertness and biocompatibility. Polycrystalline diamond (poly-C has been used in experimental biosensors that utilize electrochemical methods and antigen-antibody binding for the detection of biological molecules. Boron-doped poly-C electrodes have been found to be very advantageous for electrochemical applications due to their large potential window, low background current and noise, and low detection limits (as low as 500 fM. The biocompatibility of poly-C is found to be comparable, or superior to, other materials commonly used for implants, such as titanium and 316 stainless steel. We have developed a diamond-based, neural microelectrode-array (MEA, due to the desirability of poly-C as a biosensor. These diamond probes have been used for in vivo electrical recording and in vitro electrochemical detection. Poly-C electrodes have been used for electrical recording of neural activity. In vitro studies indicate that the diamond probe can detect norepinephrine at a 5 nM level. We propose a combination of diamond micro-machining and surface functionalization for manufacturing diamond pathogen-microsensors.

  4. Nanostructured titanium/diamond-like carbon multilayer films: deposition, characterization, and applications.

    Science.gov (United States)

    Dwivedi, Neeraj; Kumar, Sushil; Malik, Hitendra K

    2011-11-01

    Titanium/diamond-like carbon multilayer (TDML) films were deposited using a hybrid system combining radio frequency (RF)-sputtering and RF-plasma enhanced chemical vapor deposition (PECVD) techniques under a varied number of Ti/diamond-like carbon (DLC) bilayers from 1 to 4, at high base pressure of 1 × 10(-3) Torr. The multilayer approach was used to create unique structures such as nanospheres and nanorods in TDML films, which is confirmed by scanning electron microscopy (SEM) analysis and explained by a hypothetical model. Surface composition was evaluated by X-ray photoelectron spectroscopy (XPS), whereas energy dispersive X-ray analysis (EDAX) and time-of-flight secondary ion mass spectrometer (ToF-SIMS) measurements were performed to investigate the bulk composition. X-ray diffraction (XRD) was used to evaluate the phase and crystallinity of the deposited TDML films. Residual stress in these films was found to be significantly low. These TDML films were found to have excellent nanomechanical properties with maximum hardness of 41.2 GPa. In addition, various nanomechanical parameters were calculated and correlated with each other. Owing to metallic interfacial layer of Ti in multilayer films, the optical properties, electrical properties, and photoluminescence were improved significantly. Due to versatile nanomechanical properties and biocompatibility of DLC and DLC based films, these TDML films may also find applications in biomedical science.

  5. Chemical interactions between aerosols and vapors in the primary circuit of an LWR during a severe accident

    International Nuclear Information System (INIS)

    Wheatley, C.J.

    1988-01-01

    Aerosol formation, agglomeration, convection and deposition within the primary circuit of an LWR during a severe accident significantly affect the transport of fission products, even though they may compose only a small fraction of the aerosol material. Intra-particle and vapor chemical interactions are important to this through mass transfer between the aerosol and vapor. The authors will describe a model that attempts to account for these processes and of the two-way coupling that exists with the thermal hydraulics. They will discuss what agglomeration and deposition mechanisms must be included, alternatives for treating intra-particle chemical interactions, mechanisms of aerosol formation, and methods for solving the resulting equations. Results will be presented that illustrate the importance of treating the two-way coupling and the extent to which disequilibrium between the aerosol and vapor affects fission product behavior

  6. Finite Element Analysis Modeling of Chemical Vapor Deposition of Silicon Carbide

    Science.gov (United States)

    2014-06-19

    concentrations. This is the method by which species adsorb to the surface of the substrate. The movement resulting from diffusion is governed by...itself. This can be treacherous, however. The mesh is what the entire finite element method is built upon. If the movement of the backbone has... Brownian Motion Algorithm for Tow Scale Modeling of Chemical Vapor Infiltration. Computational Materials Science, 1871-1878. !178 23. Wang, C. & D

  7. Study on stability of a-SiCOF films deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Ding Shijin; Zhang Qingquan; Wang Pengfei; Zhang Wei; Wang Jitao

    2001-01-01

    Low-dielectric-constant a-SiCOF films have been prepared from TEOS, C 4 F 8 and Ar by using plasma enhanced chemical vapor deposition method. With the aid of X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), the chemical bonding configuration, thermal stability and resistance to water of the films are explored

  8. Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam

    KAUST Repository

    Chen, Wei; Fan, Zhongli; Zeng, Gaofeng; Lai, Zhiping

    2013-01-01

    High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found

  9. Diamond thin films: giving biomedical applications a new shine.

    Science.gov (United States)

    Nistor, P A; May, P W

    2017-09-01

    Progress made in the last two decades in chemical vapour deposition technology has enabled the production of inexpensive, high-quality coatings made from diamond to become a scientific and commercial reality. Two properties of diamond make it a highly desirable candidate material for biomedical applications: first, it is bioinert, meaning that there is minimal immune response when diamond is implanted into the body, and second, its electrical conductivity can be altered in a controlled manner, from insulating to near-metallic. In vitro, diamond can be used as a substrate upon which a range of biological cells can be cultured. In vivo , diamond thin films have been proposed as coatings for implants and prostheses. Here, we review a large body of data regarding the use of diamond substrates for in vitro cell culture. We also detail more recent work exploring diamond-coated implants with the main targets being bone and neural tissue. We conclude that diamond emerges as one of the major new biomaterials of the twenty-first century that could shape the way medical treatment will be performed, especially when invasive procedures are required. © 2017 The Authors.

  10. THE INFLUENCE OF RECUPIRATION’S METHODS OF GRAPHITE TO PROPERTIES OF SYNTHESIZED DIAMONDS

    Directory of Open Access Journals (Sweden)

    G. P. Bogatyreva

    2015-03-01

    Full Text Available The graphite’s waste can be used for synthesis of diamonds. It is established, that activation of graphite’s waste essential influence on a degree of transition of graph-ite in diamond and their physico-chemical properties. The activation of th graphite’s waste changes essentially their absorption and structural characteristics and to a great extent affect the characteristics of synthesized diamond. Thermal activation of graphite’s waste leads to that are synthesized, basically, diamond micropowders, and electrochemical — diamond grinding powders.

  11. Assessment of CVD diamond as a thermoluminescence dosemeter material

    International Nuclear Information System (INIS)

    Borchi, E.; Furetta, C.; Leroy, C.

    1996-01-01

    Diamond has a low atomic number (Z = 6) and is therefore essentially soft tissue (Z = 7.4) equivalent. As such, diamond is an attractive material for applications in dosimetry in which the radiation absorption in the sensor material should be as close as possible to that of soft tissue. Synthetic diamond prepared by chemical vapour deposition (CVD) offers an attractive option for this application. The aim of the present work is to report results on the thermoluminescence (TL) properties of CVD diamond samples. The annealing procedures, the linearity of the TL response as a function of dose, a short-term fading experiment and some kinetic properties have been investigated and are reported here. (Author)

  12. Tracing the depositional history of Kalimantan diamonds by zircon provenance and diamond morphology studies

    Science.gov (United States)

    Kueter, Nico; Soesilo, Joko; Fedortchouk, Yana; Nestola, Fabrizio; Belluco, Lorenzo; Troch, Juliana; Wälle, Markus; Guillong, Marcel; Von Quadt, Albrecht; Driesner, Thomas

    2016-11-01

    Diamonds in alluvial deposits in Southeast Asia are not accompanied by indicator minerals suggesting primary kimberlite or lamproite sources. The Meratus Mountains in Southeast Borneo (Province Kalimantan Selatan, Indonesia) provide the largest known deposit of these so-called "headless" diamond deposits. Proposals for the origin of Kalimantan diamonds include the adjacent Meratus ophiolite complex, ultra-high pressure (UHP) metamorphic terranes, obducted subcontinental lithospheric mantle and undiscovered kimberlite-type sources. Here we report results from detailed sediment provenance analysis of diamond-bearing Quaternary river channel material and from representative outcrops of the oldest known formations within the Alino Group, including the diamond-bearing Campanian-Maastrichtian Manunggul Formation. Optical examination of surfaces of diamonds collected from artisanal miners in the Meratus area (247 stones) and in West Borneo (Sanggau Area, Province Kalimantan Barat; 85 stones) points toward a classical kimberlite-type source for the majority of these diamonds. Some of the diamonds host mineral inclusions suitable for deep single-crystal X-ray diffraction investigation. We determined the depth of formation of two olivines, one coesite and one peridotitic garnet inclusion. Pressure of formation estimates for the peridotitic garnet at independently derived temperatures of 930-1250 °C are between 4.8 and 6.0 GPa. Sediment provenance analysis includes petrography coupled to analyses of detrital garnet and glaucophane. The compositions of these key minerals do not indicate kimberlite-derived material. By analyzing almost 1400 zircons for trace element concentrations with laser ablation ICP-MS (LA-ICP-MS) we tested the mineral's potential as an alternative kimberlite indicator. The screening ultimately resulted in a small subset of ten zircons with a kimberlitic affinity. Subsequent U-Pb dating resulting in Cretaceous ages plus a detailed chemical reflection make

  13. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kumar, A.; Voevodin, A.A.; Paul, R.; Altfeder, I.; Zemlyanov, D.; Zakharov, D.N.; Fisher, T.S.

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface

  14. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, A., E-mail: kumar50@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Voevodin, A.A. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Paul, R. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Altfeder, I. [Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Zemlyanov, D.; Zakharov, D.N. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Fisher, T.S., E-mail: tsfisher@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States)

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface.

  15. Correlation of chemical evaporation rate with vapor pressure.

    Science.gov (United States)

    Mackay, Donald; van Wesenbeeck, Ian

    2014-09-02

    A new one-parameter correlation is developed for the evaporation rate (ER) of chemicals as a function of molar mass (M) and vapor pressure (P) that is simpler than existing correlations. It applies only to liquid surfaces that are unaffected by the underlying solid substrate as occurs in the standard ASTM evaporation rate test and to quiescent liquid pools. The relationship has a sounder theoretical basis than previous correlations because ER is correctly correlated with PM rather than P alone. The inclusion of M increases the slope of previous log ER versus log P regressions to a value close to 1.0 and yields a simpler one-parameter correlation, namely, ER (μg m(-1) h(-1)) = 1464P (Pa) × M (g mol(-1)). Applications are discussed for the screening level assessment and ranking of chemicals for evaporation rate, such as pesticides, fumigants, and hydrocarbon carrier fluids used in pesticide formulations, liquid consumer products used indoors, and accidental spills of liquids. The mechanistic significance of the single parameter as a mass-transfer coefficient or velocity is discussed.

  16. Heteroepitaxial growth of 3-5 semiconductor compounds by metal-organic chemical vapor deposition for device applications

    Science.gov (United States)

    Collis, Ward J.; Abul-Fadl, Ali

    1988-01-01

    The purpose of this research is to design, install and operate a metal-organic chemical vapor deposition system which is to be used for the epitaxial growth of 3-5 semiconductor binary compounds, and ternary and quaternary alloys. The long-term goal is to utilize this vapor phase deposition in conjunction with existing current controlled liquid phase epitaxy facilities to perform hybrid growth sequences for fabricating integrated optoelectronic devices.

  17. Chemical vapor deposition of yttria stabilized zirconia in porous substrates

    International Nuclear Information System (INIS)

    Carolan, M.F.; Michaels, J.N.

    1987-01-01

    Electrochemical vapor deposition (EVD) of yttria stabilized zirconia (YSZ) is the preferred route to the production of thin films of YSZ on porous substrates. This process has been used in the construction of both fuel cells and steam electrolyzers. A critical aspect of the EVD process is an initial chemical vapor deposition phase in which the pores of a porous substrate are plugged by YSZ. In this process, water vapor and a mixture of gaseous zirconium chloride and yttrium chloride diffuse into the porous substrate from opposite sides and react to form YSZ and HCl ga. During the second stage of the process a continuous dense film of electrolyte is formed by a tarnishing-type process. Experimentally it is observed that the pores plug within a few pore diameters of the metal chloride face of the substrate. A kinetic rate expression that is first order in metal chloride but zero order in water is best able to explain this phenomenon. With this rate expression, the pores always plug near the metal chloride face. The model predicts less pore narrowing to occur as the ratio of the reaction rate to the diffusion rate of the metal chloride is increased. A kinetic rate expression that is first order in both water and metal chloride predicts that the pores plug much deeper in the substrate

  18. Microstructure and chemical bond evolution of diamond-like carbon films machined by femtosecond laser

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Jing; Wang, Chunhui [Science and Technology on Thermostructure Composite Materials Laboratory, Northwestern Polytechnical University, Xi’an 710072 (China); Liu, Yongsheng, E-mail: yongshengliu@nwpu.edu.cn [Science and Technology on Thermostructure Composite Materials Laboratory, Northwestern Polytechnical University, Xi’an 710072 (China); Cheng, Laifei [Science and Technology on Thermostructure Composite Materials Laboratory, Northwestern Polytechnical University, Xi’an 710072 (China); Li, Weinan [State Key Laboratory of Transient Optics and Photonics, Xi’an Institute of Optics and Precision Mechanics, Chinese Academy of Sciences, Xi’an 10068 (China); Zhang, Qing [Science and Technology on Thermostructure Composite Materials Laboratory, Northwestern Polytechnical University, Xi’an 710072 (China); Yang, Xiaojun [State Key Laboratory of Transient Optics and Photonics, Xi’an Institute of Optics and Precision Mechanics, Chinese Academy of Sciences, Xi’an 10068 (China)

    2015-06-15

    Highlights: • The machining depth was essentially proportional to the laser power. • The well patterned microgrooves and ripple structures with nanoparticles were formed distinctly in the channels. And the number of nanoparticles increased with the processing power as well. • It revealed a conversion from amorphous carbon to nanocrystalline graphite after laser treated with increasing laser power. • It showed that a great decrease of sp{sup 3}/sp{sup 2} after laser treatment. - Abstract: Femtosecond laser is of great interest for machining high melting point and hardness materials such as diamond-like carbon, SiC ceramic, et al. In present work, the microstructural and chemical bond evolution of diamond-like carbon films were investigated using electron microscopy and spectroscopy techniques after machined by diverse femtosecond laser power in air. The results showed the machining depth was essentially proportional to the laser power. The well patterned microgrooves and ripple structures with nanoparticles were formed distinctly in the channels. Considering the D and G Raman band parameters on the laser irradiation, it revealed a conversion from amorphous carbon to nanocrystalline graphite after laser treated with increasing laser power. X-ray photoelectron spectroscopy analysis showed a great decrease of sp{sup 3}/sp{sup 2} after laser treatment.

  19. Origin, state of the art and some prospects of the diamond CVD

    CERN Document Server

    Spitsyn, B V; Alexenko, A E

    2000-01-01

    A short review on the diamond CVD origin, together with its state of the art and some prospects was given. New hybrid methods of the diamond CVD permit to gain 1.2 to 6 times of growth rate in comparison with ordinary diamond CVD's. Recent results on n-type diamond film synthesis through phosphorus doping in the course of the CVD process are briefly discussed. In comparison with high-pressure diamond synthesis, the CVD processes open new facets of the diamond as ultimate crystal for science and technology evolution. It was stressed that, mainly on the basis of new CVDs of diamond, the properties of natural diamond are not only reproduced, but can be surpassed. As examples, mechanical (fracture resistance), physical (thermal conductivity), and chemical (oxidation stability) properties are mentioned. Some present issues in the field are considered.

  20. Controlling the resistivity gradient in chemical vapor deposition-deposited aluminum-doped zinc oxide

    NARCIS (Netherlands)

    Ponomarev, M. V.; Verheijen, M. A.; Keuning, W.; M. C. M. van de Sanden,; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO: Al layers by focusing on the control

  1. The Influence of Titanium Dioxide on Diamond-Like Carbon Biocompatibility for Dental Applications

    Directory of Open Access Journals (Sweden)

    C. C. Wachesk

    2016-01-01

    Full Text Available The physical and chemical characteristics of diamond-like carbon (DLC films make them suitable for implantable medical and odontological interests. Despite their good interactions with biological environment, incorporated nanoparticles can significantly enhance DLC properties. This manuscript studies the potential of titanium dioxide (TiO2 incorporated-DLC films in dental applications. In this scene, both osteoblasts attachment and spreading on the coatings and their corrosion characteristics in artificial saliva were investigated. The films were grown on 304 stainless steel substrates using plasma enhanced chemical vapor deposition. Raman scattering spectroscopy characterized the film structure. As the concentration of TiO2 increased, the films increased the osteoblast viability (MTT assay, becoming more thermodynamically favorable to cell spreading (WAd values became more negative. The increasing number of osteoblast nuclei indicates a higher adhesion between the cells and the films. The potentiodynamic polarization test in artificial saliva shows an increase in corrosion protection when TiO2 are present. These results show the potential use of TiO2-DLC films in implantable surfaces.

  2. Controllable chemical vapor deposition of large area uniform nanocrystalline graphene directly on silicon dioxide

    DEFF Research Database (Denmark)

    Sun, Jie; Lindvall, Niclas; Cole, Matthew T.

    2012-01-01

    Metal-catalyst-free chemical vapor deposition (CVD) of large area uniform nanocrystalline graphene on oxidized silicon substrates is demonstrated. The material grows slowly, allowing for thickness control down to monolayer graphene. The as-grown thin films are continuous with no observable pinholes...

  3. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  4. Electrochemically assisted deposition of hydroxyapatite on Ti6Al4V substrates covered by CVD diamond films — Coating characterization and first cell biological results

    Energy Technology Data Exchange (ETDEWEB)

    Strąkowska, Paulina [Gdańsk University of Technology, Mechanical Engineering Faculty (Poland); Gdańsk University of Technology, Faculty of Electronics, Telecommunications, and Informatics (Poland); Beutner, René [Max Bergmann Center, Technische Universität Dresden (Germany); Gnyba, Marcin [Gdańsk University of Technology, Faculty of Electronics, Telecommunications, and Informatics (Poland); Zielinski, Andrzej [Gdańsk University of Technology, Mechanical Engineering Faculty (Poland); Scharnweber, Dieter, E-mail: Dieter.Scharnweber@tu-dresden.de [Max Bergmann Center, Technische Universität Dresden (Germany)

    2016-02-01

    Although titanium and its alloys are widely used as implant material for orthopedic and dental applications they show only limited corrosion stability and osseointegration in different cases. The aim of the presented research was to develop and characterize a novel surface modification system from a thin diamond base layer and a hydroxyapatite (HAp) top coating deposited on the alloy Ti6Al4V widely used for implants in contact with bone. This coating system is expected to improve both the long-term corrosion behavior and the biocompatibility and bioactivity of respective surfaces. The diamond base films were obtained by Microwave Plasma Assisted Chemical Vapor Deposition (MW-PACVD); the HAp coatings were formed in aqueous solutions by electrochemically assisted deposition (ECAD) at varying polarization parameters. Scanning electron microscopy (SEM), Raman microscopy, and electrical conductivity measurements were applied to characterize the generated surface states; the calcium phosphate coatings were additionally chemically analyzed for their composition. The biological properties of the coating system were assessed using hMSC cells analyzing for cell adhesion, proliferation, and osteogenic differentiation. Varying MW-PACVD process conditions resulted in composite coatings containing microcrystalline diamond (MCD/Ti-C), nanocrystalline diamond (NCD), and boron-doped nanocrystalline diamond (B-NCD) with the NCD coatings being dense and homogeneous and the B-NCD coatings showing increased electrical conductivity. The ECAD process resulted in calcium phosphate coatings from stoichiometric and non-stoichiometric HAp. The deposition of HAp on the B-NCD films run at lower cathodic potentials and resulted both in the highest coating mass and the most homogenous appearance. Initial cell biological investigations showed an improved cell adhesion in the order B-NCD > HAp/B-NCD > uncoated substrate. Cell proliferation was improved for both investigated coatings whereas ALP

  5. Electrochemically assisted deposition of hydroxyapatite on Ti6Al4V substrates covered by CVD diamond films — Coating characterization and first cell biological results

    International Nuclear Information System (INIS)

    Strąkowska, Paulina; Beutner, René; Gnyba, Marcin; Zielinski, Andrzej; Scharnweber, Dieter

    2016-01-01

    Although titanium and its alloys are widely used as implant material for orthopedic and dental applications they show only limited corrosion stability and osseointegration in different cases. The aim of the presented research was to develop and characterize a novel surface modification system from a thin diamond base layer and a hydroxyapatite (HAp) top coating deposited on the alloy Ti6Al4V widely used for implants in contact with bone. This coating system is expected to improve both the long-term corrosion behavior and the biocompatibility and bioactivity of respective surfaces. The diamond base films were obtained by Microwave Plasma Assisted Chemical Vapor Deposition (MW-PACVD); the HAp coatings were formed in aqueous solutions by electrochemically assisted deposition (ECAD) at varying polarization parameters. Scanning electron microscopy (SEM), Raman microscopy, and electrical conductivity measurements were applied to characterize the generated surface states; the calcium phosphate coatings were additionally chemically analyzed for their composition. The biological properties of the coating system were assessed using hMSC cells analyzing for cell adhesion, proliferation, and osteogenic differentiation. Varying MW-PACVD process conditions resulted in composite coatings containing microcrystalline diamond (MCD/Ti-C), nanocrystalline diamond (NCD), and boron-doped nanocrystalline diamond (B-NCD) with the NCD coatings being dense and homogeneous and the B-NCD coatings showing increased electrical conductivity. The ECAD process resulted in calcium phosphate coatings from stoichiometric and non-stoichiometric HAp. The deposition of HAp on the B-NCD films run at lower cathodic potentials and resulted both in the highest coating mass and the most homogenous appearance. Initial cell biological investigations showed an improved cell adhesion in the order B-NCD > HAp/B-NCD > uncoated substrate. Cell proliferation was improved for both investigated coatings whereas ALP

  6. Effects of temperature and Mo2C layer on stress and structural properties in CVD diamond film grown on Mo foil

    International Nuclear Information System (INIS)

    Long, Fen; Wei, Qiuping; Yu, Z.M.; Luo, Jiaqi; Zhang, Xiongwei; Long, Hangyu; Wu, Xianzhe

    2013-01-01

    Highlights: •Polycrystalline diamond films were grown on Mo foil substrates by HF-CVD. •We investigated the temperature dependence of the film stress for each sample. •We show that how the thermal stress and intrinsic stress affects the total stress. •The stress of Mo foil substrate obtained by XRD was investigated in this study. •The effect of Mo 2 C interface layer for stress of multilayer system was considered. -- Abstract: Polycrystalline diamond films have been prepared by hot-filament-assisted chemical vapor deposition (HFCVD) on Mo foils. The morphology, growth rate, phase composition, element distribution and residual stress of the films at different temperature were investigated by field-emission scanning electron microscopy, Raman spectrum, field emission electron probe microanalysis and X-ray diffraction. Results show that the residual stress of the diamond films is compressive. The thermal stress plays a decisive role in the total stress, while the intrinsic stress can change the trend of the total stress. The residual stress of substrate gradually changes from tensile stress to compressive stress with the increase of the deposited temperature. A Mo 2 C interlayer is formed during deposition process, and this layer has an important influence on the stresses of films and substrates

  7. Industrial Scale Synthesis of Carbon Nanotubes Via Fluidized Bed Chemical Vapor Deposition: A Senior Design Project

    Science.gov (United States)

    Smith, York R.; Fuchs, Alan; Meyyappan, M.

    2010-01-01

    Senior year chemical engineering students designed a process to produce 10 000 tonnes per annum of single wall carbon nanotubes (SWNT) and also conducted bench-top experiments to synthesize SWNTs via fluidized bed chemical vapor deposition techniques. This was an excellent pedagogical experience because it related to the type of real world design…

  8. Neutron detection at jet using artificial diamond detectors

    International Nuclear Information System (INIS)

    Pillon, M.; Angelone, M.; Lattanzi, D.; Marinelli, M.; Milani, E.; Tucciarone, A.; Verona-Rinati, G.; Popovichev, S.; Montereali, R.M.; Vincenti, M.A.; Murari, A.

    2007-01-01

    Artificial diamond neutron detectors recently proved to be promising devices to measure the neutron production on large experimental fusion machines. Diamond detectors are very promising detectors to be used in fusion environment due to their radiation hardness, low sensitivity to gamma rays, fast response and high energy resolution. High quality 'electronic grade' diamond films are produced through microwave chemical vapour deposition (CVD) technique. Two CVD diamond detectors have been installed and operated at joint European torus (JET), Culham Science Centre, UK. One of these detectors was a polycrystalline CVD diamond film; about 12 mm 2 area and 30 μm thickness while the second was a monocrystalline film of about 5 mm 2 area and 20 μm thick. Both diamonds were covered with 2 μm of lithium fluoride (LiF) 95% enriched in 6 Li. The LiF layer works as a neutron-to-charged particle converter so these detectors can measure thermalized neutrons. Their output signals were compared to JET total neutron yield monitors (KN1 diagnostic) realized with a set of uranium fission chambers. Despite their small active volumes the diamond detectors were able to measure total neutron yields with good reliability and stability during the recent JET experimental campaign of 2006

  9. OSL and TL dosimeter characterization of boron doped CVD diamond films

    Science.gov (United States)

    Gonçalves, J. A. N.; Sandonato, G. M.; Meléndrez, R.; Chernov, V.; Pedroza-Montero, M.; De la Rosa, E.; Rodríguez, R. A.; Salas, P.; Barboza-Flores, M.

    2005-04-01

    Natural diamond is an exceptional prospect for clinical radiation dosimetry due to its tissue-equivalence properties and being chemically inert. The use of diamond in radiation dosimetry has been halted by the high market price; although recently the capability of growing high quality CVD diamond has renewed the interest in using diamond films as radiation dosimeters. In the present work we have characterized the dosimetric properties of diamond films synthesized by the HFCVD method. The thermoluminescence and the optically stimulated luminescence of beta exposed diamond sample containing a B/C 4000 ppm doping presents excellent properties suitable for dosimetric applications with β-ray doses up to 3.0 kGy. The observed OSL and TL performance is reasonable appropriate to justify further investigation of diamond films as dosimeters for ionizing radiation, specially in the radiotherapy field where very well localized and in vivo and real time radiation dose applications are essential.

  10. Plasma enhanced chemical vapor deposition silicon oxynitride optimized for application in integrated optics

    NARCIS (Netherlands)

    Worhoff, Kerstin; Driessen, A.; Lambeck, Paul; Hilderink, L.T.H.; Linders, Petrus W.C.; Popma, T.J.A.

    1999-01-01

    Silicon Oxynitride layers are grown from SiH4/N2, NH3 and N2O by Plasma Enhanced Chemical Vapor Deposition. The process is optimized with respect to deposition of layers with excellent uniformity in the layer thickness, high homogeneity of the refractive index and good reproducibility of the layer

  11. Chemical vapor deposition. Volume 2. 1975--July, 1978 (a bibliography with abstracts). Report for 1975--July 1978

    International Nuclear Information System (INIS)

    Smith, M.F.

    1978-07-01

    Research on chemical vapor deposition of carbon, carbides, ceramics, metals, and glasses are cited. Applications of this process include optical coatings, semiconducting films, laser materials, solar cells, composite fabrication, and nuclear reactor material fabrication. The physical, mechanical, and chemical properties of these coatings are covered

  12. MICHIGAN SOIL VAPOR EXTRACTION REMEDIATION (MISER) MODEL: A COMPUTER PROGRAM TO MODEL SOIL VAPOR EXTRACTION AND BIOVENTING OF ORGANIC CHEMICALS IN UNSATURATED GEOLOGICAL MATERIAL

    Science.gov (United States)

    Soil vapor extraction (SVE) and bioventing (BV) are proven strategies for remediation of unsaturated zone soils. Mathematical models are powerful tools that can be used to integrate and quantify the interaction of physical, chemical, and biological processes occurring in field sc...

  13. Chemical vapor deposition (CVD) of uranium for alpha spectrometry

    International Nuclear Information System (INIS)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F.

    2015-09-01

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  14. The study on diamond-coated insert by DC plasma jet CVD

    International Nuclear Information System (INIS)

    Zhou Kesong; Dai Mingjiang; Song Jinbing; Kuang Tongchun; Liu Zhengyi

    2001-01-01

    Diamond coating were deposited on cemented carbide inserts by DC plasma jet CVD. The cemented carbide inserts were pretreated by methods including chemical etching of Co, Ar/H 2 plasma etching. The characteristics of diamond film, interface structure, adhesion strength and film stress were analysized by different methods such as SEM, XRD, Raman spectrum etc. A comparing experiment of cutting Al - 22 % Si alloy was carried out with diamond-coated cemented carbide inserts and uncoated cemented carbide inserts. The results show that the diamond-coated cemented carbide insert has a great advantage for cutting abrasive high content Al - Si alloy. (author)

  15. Relationship between the evaporation rate and vapor pressure of moderately and highly volatile chemicals.

    Science.gov (United States)

    van Wesenbeeck, Ian; Driver, Jeffrey; Ross, John

    2008-04-01

    Volatilization of chemicals can be an important form of dissipation in the environment. Rates of evaporative losses from plant and soil surfaces are useful for estimating the potential for food-related dietary residues and operator and bystander exposure, and can be used as source functions for screening models that predict off-site movement of volatile materials. A regression of evaporation on vapor pressure from three datasets containing 82 pesticidal active ingredients and co-formulants, ranging in vapor pressure from 0.0001 to >30,000 Pa was developed for this purpose with a regression correlation coefficient of 0.98.

  16. Nitrogen-doped diamond electrode shows high performance for electrochemical reduction of nitrobenzene

    International Nuclear Information System (INIS)

    Zhang, Qing; Liu, Yanming; Chen, Shuo; Quan, Xie; Yu, Hongtao

    2014-01-01

    Highlights: • A metal-free nitrogen-doped diamond electrode was synthesized. • The electrode exhibits high electrocatalytic activity for nitrobenzene reduction. • The electrode exhibits high selectivity for reduction of nitrobenzene to aniline. • High energy efficiency was obtained compared with graphite electrode. -- Abstract: Effective electrode materials are critical to electrochemical reduction, which is a promising method to pre-treat anti-oxidative and bio-refractory wastewater. Herein, nitrogen-doped diamond (NDD) electrodes that possess superior electrocatalytic properties for reduction were fabricated by microwave-plasma-enhanced chemical vapor deposition technology. Nitrobenzene (NB) was chosen as the probe compound to investigate the material's electro-reduction activity. The effects of potential, electrolyte concentration and pH on NB reduction and aniline (AN) formation efficiencies were studied. NDD exhibited high electrocatalytic activity and selectivity for reduction of NB to AN. The NB removal efficiency and AN formation efficiency were 96.5% and 88.4% under optimal conditions, respectively; these values were 1.13 and 3.38 times higher than those of graphite electrodes. Coulombic efficiencies for NB removal and AN formation were 27.7% and 26.1%, respectively; these values were 4.70 and 16.6 times higher than those of graphite electrodes under identical conditions. LC–MS analysis revealed that the dominant reduction pathway on the NDD electrode was NB to phenylhydroxylamine (PHA) to AN

  17. A predictive model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Trachtenberg, I.; Edgar, T.F. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1994-06-01

    The chemical vapor deposition of polysilicon from thermally activated silane in a cold wall, single-wafer rapid thermal system was studied by experimentation at a variety of low pressure conditions, including very high temperatures. The effect of diluent gas on polysilicon deposition rates was examined using hydrogen, helium, and krypton. A mass-transfer model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system was developed. This model was used to produce an empirical rate expression for silicon deposition from silane by regressing kinetic parameters to fit experimental data. The resulting model provided accurate predictions over widely varying conditions in the experimental data.

  18. Advances in modeling of chemical vapor infiltration for tube fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Starr, T.L. [Georgia Inst. of Tech., Atlanta, GA (United States). School of Materials Science and Technology

    1998-04-01

    The forced flow/thermal gradient chemical vapor infiltration process (FCVI) can be used for fabrication of tube-shaped components of ceramic matrix composites. Recent experimental work at Oak Ridge National Laboratory (ORNL) includes process and materials development studies using a small tube reactor. Use of FCVI for this geometry involves significant changes in fixturing as compared to disk-shaped preforms previously fabricated. The authors have used their computer model of the CVI process to simulate tube densification and to identify process modifications that will decrease processing time. This report presents recent model developments and applications.

  19. Single-crystal and polycrystalline diamond erosion studies in Pilot-PSI

    Science.gov (United States)

    Kogut, D.; Aussems, D.; Ning, N.; Bystrov, K.; Gicquel, A.; Achard, J.; Brinza, O.; Addab, Y.; Martin, C.; Pardanaud, C.; Khrapak, S.; Cartry, G.

    2018-03-01

    Diamond is a promising candidate for enhancing the negative-ion surface production in the ion sources for neutral injection in fusion reactors; hence evaluation of its reactivity towards hydrogen plasma is of high importance. Single crystal and polycrystalline diamond samples were exposed in Pilot-PSI with the D+ flux of (4‒7)·1024 m-2s-1 and the impact energy of 7-9 eV per deuteron at different surface temperatures; under such conditions physical sputtering is negligible, however chemical sputtering is important. Net chemical sputtering yield Y = 9.7·10-3 at/ion at 800 °C was precisely measured ex-situ using a protective platinum mask (5 × 10 × 2 μm) deposited beforehand on a single crystal followed by the post-mortem analysis using Transmission Electron Microscopy (TEM). The structural properties of the exposed diamond surface were analyzed by Raman spectroscopy and X-ray Photoelectron Spectroscopy (XPS). Gross chemical sputtering yields were determined in-situ by means of optical emission spectroscopy of the molecular CH A-X band for several surface temperatures. A bell-shaped dependence of the erosion yield versus temperature between 400 °C and 1200 °C was observed, with a maximum yield of ∼1.5·10-2 at/ion attained at 900 °C. The yields obtained for diamond are relatively high (0.5-1.5)·10-2 at/ion, comparable with those of graphite. XPS analysis shows amorphization of diamond surface within 1 nm depth, in a good agreement with molecular dynamics (MD) simulation. MD was also applied to study the hydrogen impact energy threshold for erosion of [100] diamond surface at different temperatures.

  20. Atmospheric pressure plasma enhanced chemical vapor deposition of zinc oxide and aluminum zinc oxide

    International Nuclear Information System (INIS)

    Johnson, Kyle W.; Guruvenket, Srinivasan; Sailer, Robert A.; Ahrenkiel, S. Phillip; Schulz, Douglas L.

    2013-01-01

    Zinc oxide (ZnO) and aluminum-doped zinc oxide (AZO) thin films were deposited via atmospheric pressure plasma enhanced chemical vapor deposition. A second-generation precursor, bis(1,1,1,5,5,5-hexafluoro-2,4-pentanedionato)(N,N′-diethylethylenediamine) zinc, exhibited significant vapor pressure and good stability at one atmosphere where a vaporization temperature of 110 °C gave flux ∼ 7 μmol/min. Auger electron spectroscopy confirmed that addition of H 2 O to the carrier gas stream mitigated F contamination giving nearly 1:1 metal:oxide stoichiometries for both ZnO and AZO with little precursor-derived C contamination. ZnO and AZO thin film resistivities ranged from 14 to 28 Ω·cm for the former and 1.1 to 2.7 Ω·cm for the latter. - Highlights: • A second generation precursor was utilized for atmospheric pressure film growth. • Addition of water vapor to the carrier gas stream led to a marked reduction of ZnF 2 . • Carbonaceous contamination from the precursor was minimal