WorldWideScience

Sample records for design speed

  1. Aerodynamic design on high-speed trains

    Science.gov (United States)

    Ding, San-San; Li, Qiang; Tian, Ai-Qin; Du, Jian; Liu, Jia-Li

    2016-04-01

    Compared with the traditional train, the operational speed of the high-speed train has largely improved, and the dynamic environment of the train has changed from one of mechanical domination to one of aerodynamic domination. The aerodynamic problem has become the key technological challenge of high-speed trains and significantly affects the economy, environment, safety, and comfort. In this paper, the relationships among the aerodynamic design principle, aerodynamic performance indexes, and design variables are first studied, and the research methods of train aerodynamics are proposed, including numerical simulation, a reduced-scale test, and a full-scale test. Technological schemes of train aerodynamics involve the optimization design of the streamlined head and the smooth design of the body surface. Optimization design of the streamlined head includes conception design, project design, numerical simulation, and a reduced-scale test. Smooth design of the body surface is mainly used for the key parts, such as electric-current collecting system, wheel truck compartment, and windshield. The aerodynamic design method established in this paper has been successfully applied to various high-speed trains (CRH380A, CRH380AM, CRH6, CRH2G, and the Standard electric multiple unit (EMU)) that have met expected design objectives. The research results can provide an effective guideline for the aerodynamic design of high-speed trains.

  2. Characterizing speed-independence of high-level designs

    DEFF Research Database (Denmark)

    Kishinevsky, Michael; Staunstrup, Jørgen

    1994-01-01

    This paper characterizes the speed-independence of high-level designs. The characterization is a condition on the design description ensuring that the behavior of the design is independent of the speeds of its components. The behavior of a circuit is modeled as a transition system, that allows data...... types, and internal as well as external non-determinism. This makes it possible to verify the speed-independence of a design without providing an explicit realization of the environment. The verification can be done mechanically. A number of experimental designs have been verified including a speed-independent...

  3. DESIGN AND IMPLEMENTATION OF CONVEYOR LINE SPEED ...

    African Journals Online (AJOL)

    DESIGN AND IMPLEMENTATION OF CONVEYOR LINE SPEED SYNCHRONISER FOR INDUSTRIAL CONTROL APPLICATIONS: A CASE STUDY OF ... in bottles.Therefore a Proportional Integral Derivative(PID) controller was designed to act as a speed synchronizer in order to eliminate the above mentioned problems.

  4. Speed Optimization in Liner Shipping Network Design

    DEFF Research Database (Denmark)

    Brouer, Berit Dangaard; Karsten, Christian Vad; Pisinger, David

    In the Liner Shipping Network Design Problem (LSNDP) services sail at a given speed throughout a round trip. In reality most services operate with a speed differentiated head- and back-haul, or even individual speeds on every sailing between two ports. The speed of a service is decisive...

  5. A High-Speed Design of Montgomery Multiplier

    Science.gov (United States)

    Fan, Yibo; Ikenaga, Takeshi; Goto, Satoshi

    With the increase of key length used in public cryptographic algorithms such as RSA and ECC, the speed of Montgomery multiplication becomes a bottleneck. This paper proposes a high speed design of Montgomery multiplier. Firstly, a modified scalable high-radix Montgomery algorithm is proposed to reduce critical path. Secondly, a high-radix clock-saving dataflow is proposed to support high-radix operation and one clock cycle delay in dataflow. Finally, a hardware-reused architecture is proposed to reduce the hardware cost and a parallel radix-16 design of data path is proposed to accelerate the speed. By using HHNEC 0.25μm standard cell library, the implementation results show that the total cost of Montgomery multiplier is 130 KGates, the clock frequency is 180MHz and the throughput of 1024-bit RSA encryption is 352kbps. This design is suitable to be used in high speed RSA or ECC encryption/decryption. As a scalable design, it supports any key-length encryption/decryption up to the size of on-chip memory.

  6. When real life wind speed exceeds design wind assumptions

    Energy Technology Data Exchange (ETDEWEB)

    Winther-Jensen, M; Joergensen, E R [Risoe National Lab., Roskilde (Denmark)

    1999-03-01

    Most modern wind turbines are designed according to a standard or a set of standards to withstand the design loads with a defined survival probability. Mainly the loads are given by the wind conditions on the site defining the `design wind speeds`, normally including extreme wind speeds given as an average and a peak value. The extreme wind speeds are normally (e.g. in the upcoming IEC standard for wind turbine safety) defined as having a 50-year recurrence period. But what happens when the 100 or 10,000 year wind situation hits a wind turbine? Results on wind turbines of wind speeds higher than the extreme design wind speeds are presented based on experiences especially from the State of Gujarat in India. A description of the normal approach of designing wind turbines in accordance with the standards in briefly given in this paper with special focus on limitations and built-in safety levels. Based on that, other possibilities than just accepting damages on wind turbines exposed for higher than design wind speeds are mentioned and discussed. The presentation does not intend to give the final answer to this problem but is meant as an input to further investigations and discussions. (au)

  7. Analysis and topology optimization design of high-speed driving spindle

    Science.gov (United States)

    Wang, Zhilin; Yang, Hai

    2018-04-01

    The three-dimensional model of high-speed driving spindle is established by using SOLIDWORKS. The model is imported through the interface of ABAQUS, A finite element analysis model of high-speed driving spindle was established by using spring element to simulate bearing boundary condition. High-speed driving spindle for the static analysis, the spindle of the stress, strain and displacement nephogram, and on the basis of the results of the analysis on spindle for topology optimization, completed the lightweight design of high-speed driving spindle. The design scheme provides guidance for the design of axial parts of similar structures.

  8. Design of very high speed electric generators

    International Nuclear Information System (INIS)

    Labollita, Santiago

    2008-01-01

    This work approaches the design process of an electric generator suitable for running efficiently at high speed, driven by a turbo shaft.The axial flux concept was used.For the mechanical design of the prototype, cooling capacity and mounting method were considered, looking for simplicity of the parts evolved. Neodymium-iron-boron permanent magnets were used as magnetic source.For the electrical design, a calculation tool was developed in order to predict the prototype electrical parameters and optimize its geometry.The goal was to obtain 1 kW of electric power at a speed of 100,000 rpm.The efficiency and electrical behaviour of the prototype were characterized at speeds between 2,000 rpm and 30,000 rpm and then the behaviour at the design condition was predicted by obtaining an equivalent electric circuit.The estimated load voltage was 237 V as well as an electrical efficiency of 95%.Eddy current effects were not recognized. Increase of the internal resistance and decree of inductance were observed while raising the electric frequency.Finally, an electronic system was developed in order to use the prototype as a c.c. motor. Global performance was measured according to different supply characteristic. An optimum supply voltage was found.A maximum efficiency of 63% was reached. [es

  9. Design and applications of a pneumatic accelerator for high speed punching

    International Nuclear Information System (INIS)

    Yaldiz, Sueleyman; Saglam, Haci; Unsacar, Faruk; Isik, Hakan

    2007-01-01

    High speed forming is an important production method that requires specially designed HERF (high energy rate forming) machines. Most of the HERF machines are devices that consist of a system in which energy is stored and a differential piston mechanism is used to release the energy at high rate. In order to eliminate the usage of specially designed HERF machines and to obtain the high speed forming benefits, the accelerator which can be adapted easily onto conventional presses has been designed and manufactured in this study. The designed energy accelerator can be incorporated into mechanical press to convert the low speed operation into high-speed operation of a hammer. Expectations from this work are reduced distortion rates, increased surface quality and precise dimensions in metal forming operations. From the performance test, the accelerator is able to achieve high speed and energy which require for high speed blanking of thick sheet metals

  10. 76 FR 8917 - Special Conditions: Gulfstream Model GVI Airplane; Automatic Speed Protection for Design Dive Speed

    Science.gov (United States)

    2011-02-16

    ... high speed protection system. These proposed special conditions contain the additional safety standards... Design Features The GVI is equipped with a high speed protection system that limits nose down pilot... incorporation of a high speed protection system in the GVI flight control laws. The GVI is equipped with a high...

  11. ECONOMIC REASONING MAXIMUM SLOPE IN DESIGN HIGH-SPEED LINES

    Directory of Open Access Journals (Sweden)

    CHERNYSHOVA O. S.

    2016-04-01

    Full Text Available Raising of problem The worldwide design standards high-speed lines are somewhat different. This is due to several reasons: different levels of design speed, differences of characteristics of rolling stock and, in particular, the features of the design plan and longitudinal profile, that are associated primarily with the conditions of the relief. In the design of high-speed railways in Ukraine should take into account these features and determine what the maximum slope values can be used in difficult conditions, as well as how it will affect the operational and capital costs. Purpose. To determine the optimal design parameters of the longitudinal profile. Conclusion. The results are based not only on technical, but also economic indicators and allow the assessment of the necessary capital expenditures and expected cost of the railway in the future. Analytical dependences, to predict the expected operating costs of the railway, depending on the maximum slope, its length and the total length of the section.

  12. HIPPI-6400 -- Designing for speed

    Energy Technology Data Exchange (ETDEWEB)

    Tolmie, D.E.

    1998-03-01

    The emerging High Performance Parallel Interface 6400 Mbit/s interface (HIPPI-6400), is targeted as a local area network (LAN), or system area network (SAN), supporting data rates of 6400 Mbit/s (800 Mbyte/s). This is eight times the speed of Gigabit Ethernet. The features used, and the design choices made, for the data link and physical layers of HIPPI-6400, to achieve this unprecedented speed are the subject of this paper. HIPPI-6400 borrowed freely from other successful technologies such as ATM, Ethernet and the original HIPPI -- taking the best features of each and melding them with some new features. HIPPI-6400 is a cost effective reliable interconnect for distances up to 1 km; it intermixes large and small messages efficiently.

  13. Optimum Design of High Speed Prop-Rotors

    Science.gov (United States)

    Chattopadhyay, Aditi

    1992-01-01

    The objective of this research is to develop optimization procedures to provide design trends in high speed prop-rotors. The necessary disciplinary couplings are all considered within a closed loop optimization process. The procedures involve the consideration of blade aeroelastic, aerodynamic performance, structural and dynamic design requirements. Further, since the design involves consideration of several different objectives, multiobjective function formulation techniques are developed.

  14. The design of high-speed windmills suitable for driving electric generators

    Energy Technology Data Exchange (ETDEWEB)

    Klein, G J

    1975-01-01

    A simple windmill theory is presented for designing a small, horizontal axis windmill for powering an automobile-type generator used along with a storage battery. Windmills designed by the method presented in this paper have maximum efficiencies in the order of 0.40 to 0.45, which approaches 75% of the theoretical maximum. Wind tunnel tests were performed on a two-bladed unit designed for a tip speed/wind speed ratio of 7. Maximum efficiency was close to 0.45 at a tip speed/wind speed ratio of slightly over 6. This windmill, if fitted directly to a specially wound three-brush automobile type generator, wil begin to charge a 6-volt battery at a wind speed of 7.5-8 mph. 2 refs., 7 figs., 1 tab.

  15. Cadence® High High-Speed PCB Design Flow Workshop

    CERN Document Server

    2006-01-01

    Last release of Cadence High-Speed PCB Design methodology (PE142) based on Concept-HDL schematic editor, Constraint Manager, SPECCTRAQuest signal integrity analysis tool and ALLEGRO layout associated with SPECCTRA auto router tools, is now enough developed and stable to be taken into account for high-speed board designs at CERN. The implementation of this methodology, build around the new Constraint Manager program, is essential when you have to develop a board having a lot of high-speed design rules such as terminated lines, large bus structures, maximum length, timing, crosstalk etc.. that could not be under control by traditional method. On more conventional designs, formal aspect of the methodology could avoid misunderstanding between hardware and ALLEGRO layout designers, minimizing prototype iteration, development time and price. The capability to keep trace of the original digital designer intents in schematic or board layout, loading formal constraints in EDMS, could also be considered for LHC electro...

  16. Embedded systems design for high-speed data acquisition and control

    CERN Document Server

    Di Paolo Emilio, Maurizio

    2015-01-01

    This book serves as a practical guide for practicing engineers who need to design embedded systems for high-speed data acquisition and control systems. A minimum amount of theory is presented, along with a review of analog and digital electronics, followed by detailed explanations of essential topics in hardware design and software development. The discussion of hardware focuses on microcontroller design (ARM microcontrollers and FPGAs), techniques of embedded design, high speed data acquisition (DAQ) and control systems. Coverage of software development includes main programming techniques, culminating in the study of real-time operating systems. All concepts are introduced in a manner to be highly-accessible to practicing engineers and lead to the practical implementation of an embedded board that can be used in various industrial fields as a control system and high speed data acquisition system.   • Describes fundamentals of embedded systems design in an accessible manner; • Takes a problem-solving ...

  17. System Design of a Cheetah Robot Toward Ultra-high Speed

    OpenAIRE

    Mantian Li; Xin Wang; Wei Guo; Pengfei Wang; Lining Sun

    2014-01-01

    High-speed legged locomotion pushes the limits of the most challenging problems of design and development of the mechanism, also the control and the perception method. The cheetah is an existence proof of concept of what we imitate for high-speed running, and provides us lots of inspiration on design. In this paper, a new model of a cheetah-like robot is developed using anatomical analysis and design. Inspired by a biological neural mechanism, we propose a novel control method for controlling...

  18. Inlet design for high-speed propfans

    Science.gov (United States)

    Little, B. H., Jr.; Hinson, B. L.

    1982-01-01

    A two-part study was performed to design inlets for high-speed propfan installation. The first part was a parametric study to select promising inlet concepts. A wide range of inlet geometries was examined and evaluated - primarily on the basis of cruise thrust and fuel burn performance. Two inlet concepts were than chosen for more detailed design studies - one apropriate to offset engine/gearbox arrangements and the other to in-line arrangements. In the second part of this study, inlet design points were chosen to optimize the net installed thrust, and detailed design of the two inlet configurations was performed. An analytical methodology was developed to account for propfan slipstream effects, transonic flow efects, and three-dimensional geometry effects. Using this methodology, low drag cowls were designed for the two inlets.

  19. Effect of Tip-Speed Constraints on the Optimized Design of a Wind Turbine

    Energy Technology Data Exchange (ETDEWEB)

    Dykes, K.; Resor, B.; Platt, A.; Guo, Y.; Ning, A.; King, R.; Parsons, T.; Petch, D.; Veers, P.

    2014-10-01

    This study investigates the effect of tip-velocity constraints on system levelized cost of energy (LCOE). The results indicate that a change in maximum tip speed from 80 to 100~m/s could produce a 32% decrease in gearbox weight (a 33% reduction in cost) which would result in an overall reduction of 1%-9% in system LCOE depending on the design approach. Three 100~m/s design cases were considered including a low tip-speed ratio/high-solidity rotor design, a high tip-speed ratio/ low-solidity rotor design, and finally a flexible blade design in which a high tip-speed ratio was used along with removing the tip deflection constraint on the rotor design. In all three cases, the significant reduction in gearbox weight caused by the higher tip-speed and lower overall gear ratio was counterbalanced by increased weights for the rotor and/or other drivetrain components and the tower. As a result, the increased costs of either the rotor or drivetrain components offset the overall reduction in turbine costs from down-sizing the gearbox. Other system costs were not significantly affected, whereas energy production was slightly reduced in the 100~m/s case low tip-speed ratio case and increased in the high tip-speed ratio case. This resulted in system cost of energy reductions moving from the 80~m/s design to the 100~m/s designs of 1.2% for the low tip-speed ratio, 4.6% for the high tip-speed ratio, and 9.5% for the final flexible case (the latter result is optimistic because the impact of deflection of the flexible blade on power production was not modeled). Overall, the results demonstrate that there is a trade-off in system design between the maximum tip velocity and the overall wind plant cost of energy, and there are many trade-offs within the overall system in designing a turbine for a high maximum tip velocity.

  20. CMOS analog integrated circuits high-speed and power-efficient design

    CERN Document Server

    Ndjountche, Tertulien

    2011-01-01

    High-speed, power-efficient analog integrated circuits can be used as standalone devices or to interface modern digital signal processors and micro-controllers in various applications, including multimedia, communication, instrumentation, and control systems. New architectures and low device geometry of complementary metaloxidesemiconductor (CMOS) technologies have accelerated the movement toward system on a chip design, which merges analog circuits with digital, and radio-frequency components. CMOS: Analog Integrated Circuits: High-Speed and Power-Efficient Design describes the important tren

  1. An investigation of the speeding-related crash designation through crash narrative reviews sampled via logistic regression.

    Science.gov (United States)

    Fitzpatrick, Cole D; Rakasi, Saritha; Knodler, Michael A

    2017-01-01

    Speed is one of the most important factors in traffic safety as higher speeds are linked to increased crash risk and higher injury severities. Nearly a third of fatal crashes in the United States are designated as "speeding-related", which is defined as either "the driver behavior of exceeding the posted speed limit or driving too fast for conditions." While many studies have utilized the speeding-related designation in safety analyses, no studies have examined the underlying accuracy of this designation. Herein, we investigate the speeding-related crash designation through the development of a series of logistic regression models that were derived from the established speeding-related crash typologies and validated using a blind review, by multiple researchers, of 604 crash narratives. The developed logistic regression model accurately identified crashes which were not originally designated as speeding-related but had crash narratives that suggested speeding as a causative factor. Only 53.4% of crashes designated as speeding-related contained narratives which described speeding as a causative factor. Further investigation of these crashes revealed that the driver contributing code (DCC) of "driving too fast for conditions" was being used in three separate situations. Additionally, this DCC was also incorrectly used when "exceeding the posted speed limit" would likely have been a more appropriate designation. Finally, it was determined that the responding officer only utilized one DCC in 82% of crashes not designated as speeding-related but contained a narrative indicating speed as a contributing causal factor. The use of logistic regression models based upon speeding-related crash typologies offers a promising method by which all possible speeding-related crashes could be identified. Published by Elsevier Ltd.

  2. Design of high-speed ECT and ERT system

    International Nuclear Information System (INIS)

    Wang Baoliang; Huang Zhiyao; Li Haiqing

    2009-01-01

    Process tomography technique provides a novel method to investigate the multi-phase flow distribution inside pipe or vessel. Electrical resistance tomography (ERT) and electrical capacitance tomography (ECT) are extensively studied in recent years. As the capacitance to voltage and resistance to voltage converters run faster, the speeds of other circuits in the system, such as MCU, A/D, D/A etc, have become the bottlenecks of improving the speed. This paper describes a new dual-modal, ECT and ERT, data acquisition system. The system is controlled by a digital signal processor. Both the ERT and the ECT systems use one platform to simplify the system design and maintenance. The system can work at high speed which is only limited by the capacitance to voltage converter or resistance to voltage converter. Primary test results show the speed of the new system is 1400 frames/second for 16-electrode ERT and 2200 frames/second for 12-electrode ECT.

  3. Design of a high speed rotating mechanical shutter

    International Nuclear Information System (INIS)

    Stowers, I.F.; Merritt, B.T.; McFann, C.B.

    1979-01-01

    A high-speed rotating shutter was designed to operate in a 10 -6 Torr vacuum at the optical focus of a laser spatial filter. The shutter is basically a wheel, with a single 3 x 10-mm slot at the perimeter, which rotates with a peripheral speed of 1 km/s. The motor to drive the rotating wheel is magnetically suspended and synchronously wound. The wheel achieves a 4 μs opening time and a timing accuracy of better than 0.2 μs

  4. Modeling and Design Optimization of Variable-Speed Wind Turbine Systems

    Directory of Open Access Journals (Sweden)

    Ulas Eminoglu

    2014-01-01

    Full Text Available As a result of the increase in energy demand and government subsidies, the usage of wind turbine system (WTS has increased dramatically. Due to the higher energy production of a variable-speed WTS as compared to a fixed-speed WTS, the demand for this type of WTS has increased. In this study, a new method for the calculation of the power output of variable-speed WTSs is proposed. The proposed model is developed from the S-type curve used for population growth, and is only a function of the rated power and rated (nominal wind speed. It has the advantage of enabling the user to calculate power output without using the rotor power coefficient. Additionally, by using the developed model, a mathematical method to calculate the value of rated wind speed in terms of turbine capacity factor and the scale parameter of the Weibull distribution for a given wind site is also proposed. Design optimization studies are performed by using the particle swarm optimization (PSO and artificial bee colony (ABC algorithms, which are applied into this type of problem for the first time. Different sites such as Northern and Mediterranean sites of Europe have been studied. Analyses for various parameters are also presented in order to evaluate the effect of rated wind speed on the design parameters and produced energy cost. Results show that proposed models are reliable and very useful for modeling and optimization of WTSs design by taking into account the wind potential of the region. Results also show that the PSO algorithm has better performance than the ABC algorithm for this type of problem.

  5. An Airbreathing Launch Vehicle Design with Turbine-Based Low-Speed Propulsion and Dual Mode Scramjet High-Speed Propulsion

    Science.gov (United States)

    Moses, P. L.; Bouchard, K. A.; Vause, R. F.; Pinckney, S. Z.; Ferlemann, S. M.; Leonard, C. P.; Taylor, L. W., III; Robinson, J. S.; Martin, J. G.; Petley, D. H.

    1999-01-01

    Airbreathing launch vehicles continue to be a subject of great interest in the space access community. In particular, horizontal takeoff and horizontal landing vehicles are attractive with their airplane-like benefits and flexibility for future space launch requirements. The most promising of these concepts involve airframe integrated propulsion systems, in which the external undersurface of the vehicle forms part of the propulsion flowpath. Combining of airframe and engine functions in this manner involves all of the design disciplines interacting at once. Design and optimization of these configurations is a most difficult activity, requiring a multi-discipline process to analytically resolve the numerous interactions among the design variables. This paper describes the design and optimization of one configuration in this vehicle class, a lifting body with turbine-based low-speed propulsion. The integration of propulsion and airframe, both from an aero-propulsive and mechanical perspective are addressed. This paper primarily focuses on the design details of the preferred configuration and the analyses performed to assess its performance. The integration of both low-speed and high-speed propulsion is covered. Structural and mechanical designs are described along with materials and technologies used. Propellant and systems packaging are shown and the mission-sized vehicle weights are disclosed.

  6. Control design for a pitch-regulated, variable speed wind turbine

    DEFF Research Database (Denmark)

    Hansen, M.H.; Hansen, Anca Daniela; Larsen, Torben J.

    2005-01-01

    The three different controller designs presented herein are similar and all based on PI-regulation of rotor speed and power through the collective blade pitch angle and generator moment. The aeroelastic and electrical modelling used for the time-domainanalysis of these controllers are however...... different, which makes a directly quantitative comparison difficult. But there are some observations of similar behaviours should be mentioned: • Very similar step responses in rotor speed, pitch angle, and powerare seen for simulations with steps in wind speed. • All controllers show a peak in power...... for wind speed step-up over rated wind speed, which can be almost removed by changing the parameters of the frequency converter. • Responses of rotor speed, pitchangle, and power for different simulations with turbulent inflow are similar for all three controllers. Again, there seems to be an advantage...

  7. Computer–Aided Design of the Critical Speed of Shafts | Akpobi ...

    African Journals Online (AJOL)

    A computer aided design software for the analysis of the critical speed of shaft, is developed and presented in this work. The software was designed using the principles of object oriented programming, and implemented with the Microsoft Visual Basic Language. The package was tested on a number of benchmark design ...

  8. System Design of a Cheetah Robot Toward Ultra-high Speed

    Directory of Open Access Journals (Sweden)

    Mantian Li

    2014-05-01

    Full Text Available High-speed legged locomotion pushes the limits of the most challenging problems of design and development of the mechanism, also the control and the perception method. The cheetah is an existence proof of concept of what we imitate for high-speed running, and provides us lots of inspiration on design. In this paper, a new model of a cheetah-like robot is developed using anatomical analysis and design. Inspired by a biological neural mechanism, we propose a novel control method for controlling the muscles' flexion and extension, and simulations demonstrate good biological properties and leg's trajectory. Next, a cheetah robot prototype is designed and assembled with pneumatic muscles, a musculoskeletal structure, an antagonistic muscle arrangement and a J-type cushioning foot. Finally, experiments of the robot legs swing and kick ground tests demonstrate its natural manner and validate the design of the robot. In the future, we will test the bounding behaviour of a real legged system.

  9. Design and Construction of Variable Direct Current Speed Drive ...

    African Journals Online (AJOL)

    controlled rectifiers from the viewpoint of simplicity and cost effectiveness to act as power converter and controller. Design and construction of constituent circuits such as acceleration/deceleration, speed and current amplifier and the trigger ...

  10. design of a small scale wind generator for low wind speed areas

    African Journals Online (AJOL)

    USER

    Most small scale level wind turbine generators are directly driven system, variable speed, and partially ... the best solutions for small-scale wind power plants. Low-speed multi-pole PM generators ..... Designs of the Same Magnet Structure for.

  11. Design and Checkout of a High Speed Research Nozzle Evaluation Rig

    Science.gov (United States)

    Castner, Raymond S.; Wolter, John D.

    1997-01-01

    The High Flow Jet Exit Rig (HFJER) was designed to provide simulated mixed flow turbojet engine exhaust for one- seventh scale models of advanced High Speed Research test nozzles. The new rig was designed to be used at NASA Lewis Research Center in the Nozzle Acoustic Test Rig and the 8x6 Supersonic Wind Tunnel. Capabilities were also designed to collect nozzle thrust measurement, aerodynamic measurements, and acoustic measurements when installed at the Nozzle Acoustic Test Rig. Simulated engine exhaust can be supplied from a high pressure air source at 33 pounds of air per second at 530 degrees Rankine and nozzle pressure ratios of 4.0. In addition, a combustion unit was designed from a J-58 aircraft engine burner to provide 20 pounds of air per second at 2000 degrees Rankine, also at nozzle pressure ratios of 4.0. These airflow capacities were designed to test High Speed Research nozzles with exhaust areas from eighteen square inches to twenty-two square inches. Nozzle inlet flow measurement is available through pressure and temperature sensors installed in the rig. Research instrumentation on High Speed Research nozzles is available with a maximum of 200 individual pressure and 100 individual temperature measurements. Checkout testing was performed in May 1997 with a 22 square inch ASME long radius flow nozzle. Checkout test results will be summarized and compared to the stated design goals.

  12. Designing train-speed trajectory with energy efficiency and service quality

    Science.gov (United States)

    Jia, Jiannan; Yang, Kai; Yang, Lixing; Gao, Yuan; Li, Shukai

    2018-05-01

    With the development of automatic train operations, optimal trajectory design is significant to the performance of train operations in railway transportation systems. Considering energy efficiency and service quality, this article formulates a bi-objective train-speed trajectory optimization model to minimize simultaneously the energy consumption and travel time in an inter-station section. This article is distinct from previous studies in that more sophisticated train driving strategies characterized by the acceleration/deceleration gear, the cruising speed, and the speed-shift site are specifically considered. For obtaining an optimal train-speed trajectory which has equal satisfactory degree on both objectives, a fuzzy linear programming approach is applied to reformulate the objectives. In addition, a genetic algorithm is developed to solve the proposed train-speed trajectory optimization problem. Finally, a series of numerical experiments based on a real-world instance of Beijing-Tianjin Intercity Railway are implemented to illustrate the practicability of the proposed model as well as the effectiveness of the solution methodology.

  13. Tooling device design for vibration-assisted high speed shaping of PMMA

    International Nuclear Information System (INIS)

    Mostofa, Md. Golam; Noh, J. H.; Kim, H. Y.; Ahn, J. H.; Kang, D. B.

    2010-01-01

    PMMA optical components that are used as one of the most important parts of high precision equipment and machines are increasingly replacing the glass due to the various advantages of PMMA. Especially in Light Guide Panels, the PMMA sheet that is used in Liquid Crystal Displays plays an important role in scattering the incident light and requires very fine machining as the sheet is directly related to the optical characteristics of the panels. The High Speed End milling and High Speed Shaping processes that are widely adopted and applied to the precise machining of Light Incident Plane still have quality problems, such as cracks, breakages, poor waviness, and straightness. This paper presents the tooling device design for machining a Light Incident Plane through vibration-assisted High Speed Shaping for increasing the optical quality by minimizing the above-mentioned problems. The cutting tool and the tool post presented in this paper are designed by the authors to increase the magnitude of the cutting stroke by adopting the resonant frequency without weakening the stiffness and to reduce vibrations during even high speed feeding. The dynamic characteristics of the cutting tool and the tool post are evaluated through simulation and experiment as well. The results reveal very appropriate dynamic characteristics for vibration-assisted High Speed Shaping

  14. Design and application on experimental platform for high-speed bearing with grease lubrication

    Directory of Open Access Journals (Sweden)

    He Qiang

    2015-12-01

    Full Text Available The experimental platform for high-speed grease is an important tool for research and development of high-speed motorized spindle with grease lubrication. In this article, the experimental platform for high-speed grease is designed and manufactured which consists of the drive system, the test portion, the loading system, the lubrication system, the control system, and so on. In the meantime, the high-speed angular contact ceramic ball bearings B7005C/HQ1P4 as the research object are tested and contrasted in the grease lubrication and oil mist lubrication. The experimental platform performance is validated by contrast experiment, and the high-speed lubricated bearing performance is also studied especially in the relationship among the rotating speed,load and temperature rise. The results show that the experimental platform works steadily, accurate, and reliable in the experimental testing. And the grease lubrication ceramic ball bearings B7005C/HQ1P4 can be used in high-speed motorized spindle in the circular water cooling conditions when the rotating speed is lower than 40,000 r/min or the DN value (the value of the bearing diameter times the rotating speed is lower than the 1.44 × 106 mm r/min. Grease lubrication instead of oil mist lubrication under high-speed rotating will simplify the structure design of the high-speed motorized spindle and reduce the pollution to the environment.

  15. Design of a high-speed electrochemical scanning tunneling microscope.

    Science.gov (United States)

    Yanson, Y I; Schenkel, F; Rost, M J

    2013-02-01

    In this paper, we present a bottom-up approach to designing and constructing a high-speed electrochemical scanning tunneling microscope (EC-STM). Using finite element analysis (FEA) calculations of the frequency response of the whole mechanical loop of the STM, we analyzed several geometries to find the most stable one that could facilitate fast scanning. To test the FEA results, we conducted measurements of the vibration amplitudes using a prototype STM setup. Based on the FEA analysis and the measurement results, we identified the potentially most disturbing vibration modes that could impair fast scanning. By modifying the design of some parts of the EC-STM, we reduced the amplitudes as well as increased the resonance frequencies of these modes. Additionally, we designed and constructed an electrochemical flow-cell that allows STM imaging in a flowing electrolyte, and built a bi-potentiostat to achieve electrochemical potential control during the measurements. Finally, we present STM images acquired during high-speed imaging in air as well as in an electrochemical environment using our newly-developed EC-STM.

  16. Speed Control Design of Permanent Magnet Synchronous Motor using TakagiSugeno Fuzzy Logic Control

    Directory of Open Access Journals (Sweden)

    Ahmad Asri Abd Samat

    2017-12-01

    Full Text Available This paper proposes a speed control design of Permanent Magnet Synchronous Motor (PMSM using Field Oriented Control (FOC. The focus is to design a speed control using Takagi — Sugeno Fuzzy Logic Control (T-S FLS. These systems will replace the conventional method which is proportional-integral (PI. The objective of this paper is to study the T—S Fuzzy Inference System (FIS speed regulator and acceleration observer for PMSM. The scope of study basically is to design and analyse the Takagi Sugeno FLC and the PMSM. This paper also will describe the methodology and process of modelling the PMSM including data analysis. The simulation work is implemented in Matlab-Simulink to verify the control method. The effectiveness of this proposed control method was confirmed through various range of speed and torque variation.

  17. Recent Developments In High Speed Lens Design At The NPRL

    Science.gov (United States)

    Mcdowell, M. W.; Klee, H. W.

    1987-09-01

    Although the lens provides the link between the high speed camera and the outside world, there has over the years been little evidence of co-operation between the optical design and high speed photography communities. It is still only too common for a manufacturer to develop a camera of improved performance and resolution and then to combine this with a standard camera lens. These lenses were often designed for a completely different recording medium and, more often than not, their use results in avoidable degradation of the overall system performance. There is a tendency to assume that a specialized lens would be too expensive and that pushing the aperture automatically implies more complex optical systems. In the present paper some recent South African developments in the design of large aperture lenses are described. The application of a new design principle, based on the work earlier this century of Bernhard Schmidt, shows that ultra-fast lenses need not be overly complex and a basic four-element lens configuration can be adapted to a wide variety of applications.

  18. Invited review article: high-speed flexure-guided nanopositioning: mechanical design and control issues.

    Science.gov (United States)

    Yong, Y K; Moheimani, S O R; Kenton, B J; Leang, K K

    2012-12-01

    Recent interest in high-speed scanning probe microscopy for high-throughput applications including video-rate atomic force microscopy and probe-based nanofabrication has sparked attention on the development of high-bandwidth flexure-guided nanopositioning systems (nanopositioners). Such nanopositioners are designed to move samples with sub-nanometer resolution with positioning bandwidth in the kilohertz range. State-of-the-art designs incorporate uniquely designed flexure mechanisms driven by compact and stiff piezoelectric actuators. This paper surveys key advances in mechanical design and control of dynamic effects and nonlinearities, in the context of high-speed nanopositioning. Future challenges and research topics are also discussed.

  19. Holistic design in high-speed optical interconnects

    Science.gov (United States)

    Saeedi, Saman

    Integrated circuit scaling has enabled a huge growth in processing capability, which necessitates a corresponding increase in inter-chip communication bandwidth. As bandwidth requirements for chip-to-chip interconnection scale, deficiencies of electrical channels become more apparent. Optical links present a viable alternative due to their low frequency-dependent loss and higher bandwidth density in the form of wavelength division multiplexing. As integrated photonics and bonding technologies are maturing, commercialization of hybrid-integrated optical links are becoming a reality. Increasing silicon integration leads to better performance in optical links but necessitates a corresponding co-design strategy in both electronics and photonics. In this light, holistic design of high-speed optical links with an in-depth understanding of photonics and state-of-the-art electronics brings their performance to unprecedented levels. This thesis presents developments in high-speed optical links by co-designing and co-integrating the primary elements of an optical link: receiver, transmitter, and clocking. In the first part of this thesis a 3D-integrated CMOS/Silicon-photonic receiver will be presented. The electronic chip features a novel design that employs a low-bandwidth TIA front-end, double-sampling and equalization through dynamic offset modulation. Measured results show -14.9dBm of sensitivity and energy eciency of 170fJ/b at 25Gb/s. The same receiver front-end is also used to implement source-synchronous 4-channel WDM-based parallel optical receiver. Quadrature ILO-based clocking is employed for synchronization and a novel frequency-tracking method that exploits the dynamics of IL in a quadrature ring oscillator to increase the effective locking range. An adaptive body-biasing circuit is designed to maintain the per-bit-energy consumption constant across wide data-rates. The prototype measurements indicate a record-low power consumption of 153fJ/b at 32Gb/s. The

  20. Design Procedure for High-Speed PM Motors Aided by Optimization Algorithms

    Directory of Open Access Journals (Sweden)

    Francesco Cupertino

    2018-02-01

    Full Text Available This paper considers the electromagnetic and structural co-design of superficial permanent magnet synchronous machines for high-speed applications, with the aid of a Pareto optimization procedure. The aim of this work is to present a design procedure for the afore-mentioned machines that relies on the combined used of optimization algorithms and finite element analysis. The proposed approach allows easy analysis of the results and a lowering of the computational burden. The proposed design method is presented through a practical example starting from the specifications of an aeronautical actuator. The design procedure is based on static finite element simulations for electromagnetic analysis and on analytical formulas for structural design. The final results are validated through detailed transient finite element analysis to verify both electromagnetic and structural performance. The step-by-step presentation of the proposed design methodology allows the reader to easily adapt it to different specifications. Finally, a comparison between a distributed-winding (24 slots and a concentrated-winding (6 slots machine is presented demonstrating the advantages of the former winding arrangement for high-speed applications.

  1. Design of rotating mirror for ultra-high speed camera based on dynamic characteristic

    International Nuclear Information System (INIS)

    Li Chunbo; Chai Jinlong; Liang Yexing; Liu Chunping; Wang Hongzhi; Yu Chunhui; Li Jingzhen; Huang Hongbin

    2011-01-01

    A systematic design method has been proposed for studying the dynamic design of rotating mirror for ultra-high speed camera. With the finite element software, the numerical analyses of static, modal, harmonic responses and natural frequency sensitivity for the preliminary-designed rotating mirror were done based on the static and dynamic theories. Some experiments were done to verify the results. The physical dimensions of the rotating mirror were modified repeatedly according to the results for designing a new rotating mirror. Then simulation and experiments of fatigue life for the new rotating mirror under alternating force were done. The results show that the maximum static stress is less than the yield stress of the rotating mirror material, which proves the new rotating mirror will not be subjected to static strength failure. However, the results of modal and harmonic response analyses indicate that the dynamic characteristic of the new rotating mirror can not meet the design requirement for the first critical speed is less than the service speed. In all the physical dimensions of the rotating mirror, the circum radius of mirror body and natural frequency are negatively correlated and the degree of correlation is maximal. The first-order natural frequency in- creases from 459.4 Hz to 713.6 Hz, the rate of change is 55.3%, the first critical speed is up to 42 816 r/min, avoiding resonance successfully, and the fatigue strength of the new rotating mirror can meet the design requirement. (authors)

  2. Conceptual design of planetary gearbox system for constant generator speed in hydro power plant

    Directory of Open Access Journals (Sweden)

    Bhargav

    2018-01-01

    Full Text Available Micro Hydro Power Plant (MHPP is emerging as one of the most clean, renewable and reliable energy technology for harnessing power. In MHPP hydro governors are avoided, that results in turbine speed fluctuation. MHPP requires either speed or torque amplification of generator for constant power generation. To achieve this, planetary gear transmission system is explored for MHPP due to its higher efficiency and compact size. A conceptual planetary gearbox system is developed for MHPP to maintain constant generator speed. The conceptual gearbox is designed, modelled and analysed using ADAMS software. Simulation results are found to be in close agreement with analytical results. Hence, conceptual design of planetary gearbox can be used to govern constant generator speed. In this paper, a MHPP which generate constant power of 5 kW at constant generator speed of 1490 rpm is analysed and validated

  3. New geometric design consistency model based on operating speed profiles for road safety evaluation.

    Science.gov (United States)

    Camacho-Torregrosa, Francisco J; Pérez-Zuriaga, Ana M; Campoy-Ungría, J Manuel; García-García, Alfredo

    2013-12-01

    To assist in the on-going effort to reduce road fatalities as much as possible, this paper presents a new methodology to evaluate road safety in both the design and redesign stages of two-lane rural highways. This methodology is based on the analysis of road geometric design consistency, a value which will be a surrogate measure of the safety level of the two-lane rural road segment. The consistency model presented in this paper is based on the consideration of continuous operating speed profiles. The models used for their construction were obtained by using an innovative GPS-data collection method that is based on continuous operating speed profiles recorded from individual drivers. This new methodology allowed the researchers to observe the actual behavior of drivers and to develop more accurate operating speed models than was previously possible with spot-speed data collection, thereby enabling a more accurate approximation to the real phenomenon and thus a better consistency measurement. Operating speed profiles were built for 33 Spanish two-lane rural road segments, and several consistency measurements based on the global and local operating speed were checked. The final consistency model takes into account not only the global dispersion of the operating speed, but also some indexes that consider both local speed decelerations and speeds over posted speeds as well. For the development of the consistency model, the crash frequency for each study site was considered, which allowed estimating the number of crashes on a road segment by means of the calculation of its geometric design consistency. Consequently, the presented consistency evaluation method is a promising innovative tool that can be used as a surrogate measure to estimate the safety of a road segment. Copyright © 2012 Elsevier Ltd. All rights reserved.

  4. Material constraints on high-speed design

    Science.gov (United States)

    Bucur, Diana; Militaru, Nicolae

    2015-02-01

    Current high-speed circuit designs with signal rates up to 100Gbps and above are implying constraints for dielectric and conductive materials and their dependence of frequency, for component elements and for production processes. The purpose of this paper is to highlight through various simulation results the frequency dependence of specific parameters like insertion and return loss, eye diagrams, group delay that are part of signal integrity analyses type. In low-power environment designs become more complex as the operation frequency increases. The need for new materials with spatial uniformity for dielectric constant is a need for higher data rates circuits. The fiber weave effect (FWE) will be analyzed through the eye diagram results for various dielectric materials in a differential signaling scheme given the fact that the FWE is a phenomenon that affects randomly the performance of the circuit on balanced/differential transmission lines which are typically characterized through the above mentioned approaches. Crosstalk between traces is also of concern due to propagated signals that have tight rise and fall times or due to high density of the boards. Criteria should be considered to achieve maximum performance of the designed system requiring critical electronic properties.

  5. Practical speed meter designs for quantum nondemolition gravitational-wave interferometers

    International Nuclear Information System (INIS)

    Purdue, Patricia; Chen Yanbei

    2002-01-01

    In the quest to develop viable designs for third-generation optical interferometric gravitational-wave detectors (e.g., LIGO-III and EURO), one strategy is to monitor the relative momentum or speed of the test-mass mirrors, rather than monitoring their relative position. A previous paper analyzed a straightforward but impractical design for a speed-meter interferometer that accomplishes this. This paper describes some practical variants of speed-meter interferometers. Like the original interferometric speed meter, these designs in principle can beat the gravitational-wave standard quantum limit (SQL) by an arbitrarily large amount, over an arbitrarily wide range of frequencies. These variants essentially consist of a Michelson interferometer plus an extra 'sloshing' cavity that sends the signal back into the interferometer with opposite phase shift, thereby cancelling the position information and leaving a net phase shift proportional to the relative velocity. In practice, the sensitivity of these variants will be limited by the maximum light power W circ circulating in the arm cavities that the mirrors can support and by the leakage of vacuum into the optical train at dissipation points. In the absence of dissipation and with squeezed vacuum (power squeeze factor e -2R ≅0.1) inserted into the output port so as to keep the circulating power down, the SQL can be beat by h/h SQL ∼√(W circ SQL e -2R /W circ ) at all frequencies below some chosen f opt ≅100 Hz. Here W circ SQL ≅800 kW(f opt /100 Hz) 3 is the power required to reach the SQL in the absence of squeezing. (However, as the power increases in this expression, the speed meter becomes more narrow band; additional power and reoptimization of some parameters are required to maintain the wide band. See Sec. III B.) Estimates are given of the amount by which vacuum leakage at dissipation points will debilitate this sensitivity; these losses are 10% or less over most of the frequency range of interest (f

  6. The design of the Comet streamliner: An electric land speed record motorcycle

    Science.gov (United States)

    McMillan, Ethan Alexander

    The development of the land speed record electric motorcycle streamliner, the Comet, is discussed herein. Its design process includes a detailed literary review of past and current motorcycle streamliners in an effort to highlight the main components of such a vehicle's design, while providing baseline data for performance comparisons. A new approach to balancing a streamliner at low speeds is also addressed, a system henceforth referred to as landing gear, which has proven an effective means for allowing the driver to control the low speed instabilities of the vehicle with relative ease compared to tradition designs. This is accompanied by a dynamic stability analysis conducted on a test chassis that was developed for the primary purpose of understanding the handling dynamics of streamliners, while also providing a test bed for the implementation of the landing gear system and a means to familiarize the driver to the operation and handling of such a vehicle. Data gathered through the use of GPS based velocity tracking, accelerometers, and a linear potentiometer provided a means to validate a dynamic stability analysis of the weave and wobble modes of the vehicle through linearization of a streamliner model developed in the BikeSIM software suite. Results indicate agreement between the experimental data and the simulation, indicating that the conventional recumbent design of a streamliner chassis is in fact highly stable throughout the performance envelope beyond extremely low speeds. A computational fluid dynamics study was also performed, utilized in the development of the body of the Comet to which a series of tests were conducted in order to develop a shape that was both practical to transport and highly efficient. By creating a hybrid airfoil from a NACA 0018 and NACA 66-018, a drag coefficient of 0.1 and frontal area of 0.44 m2 has been found for the final design. Utilizing a performance model based on the proposed vehicle's motor, its rolling resistance, and

  7. Design of river height and speed monitoring system by using Arduino

    Science.gov (United States)

    Nasution, T. H.; Siagian, E. C.; Tanjung, K.; Soeharwinto

    2018-02-01

    River is one part of the hydrologic cycle. Water in rivers is generally collected from precipitation, such as rain, dew, springs, underground runoff, and in certain countries also comes from melt ice/snow. The height and speed of water in a river is always changing. Changes in altitude and speed of water can affect the surrounding environment. In this paper, we will design a system to measure the altitude and speed of the river. In this work we use Arduino Uno, ultrasonic sensors and flow rate sensors. Ultrasonic sensor HC-SR04 is used as a river height meter. Based on the test results, this sensor has an accuracy of 96.6%.

  8. Control design for a pitch-regulated, variable speed wind turbine

    Energy Technology Data Exchange (ETDEWEB)

    Hansen, M.H.; Hansen, A.; Larsen, T.J.; Oeye, S.; Soerensen, P.; Fuglsang, P.

    2005-01-01

    The three different controller designs presented herein are similar and all based on PI-regulation of rotor speed and power through the collective blade pitch angle and generator moment. The aeroelastic and electrical modelling used for the time-domain analysis of these controllers are however different, which makes a directly quantitative comparison difficult. But there are some observations of similar behaviours should be mentioned: 1) Very similar step responses in rotor speed, pitch angle, and power are seen for simulations with steps in wind speed. 2) All controllers show a peak in power for wind speed step-up over rated wind speed, which can be almost removed by changing the parameters of the frequency converter. 3) Responses of rotor speed, pitch angle, and power for different simulations with turbulent inflow are similar for all three controllers. Again, there seems to be an advantage of tuning the parameters of the frequency converter to obtain a more constant power output. The dynamic modelling of the power controller is an important result for the inclusion of generator dynamics in the aeroelastic modelling of wind turbines. A reduced dynamic model of the relation between generator torque and generator speed variations is presented; where the integral term of the inner PI-regulator of rotor current is removed be-cause the time constant is very small compared to the important aeroelastic frequencies. It is shown how the parameters of the transfer function for the remaining control system with the outer PI-regulator of power can be derived from the generator data sheet. The main results of the numerical optimisation of the control parameters in the pitch PI-regulator performed in Chapter 6 are the following: 1) Numerical optimization can be used to tune controller parameters, especially when the optimization is used as refinement of a qualified initial guess. 2) The design model used to calculate the initial value parameters, as described in Chapter 3

  9. Experiences with the hydraulic design of the high specific speed Francis turbine

    International Nuclear Information System (INIS)

    Obrovsky, J; Zouhar, J

    2014-01-01

    The high specific speed Francis turbine is still suitable alternative for refurbishment of older hydro power plants with lower heads and worse cavitation conditions. In the paper the design process of such kind of turbine together with the results comparison of homological model tests performed in hydraulic laboratory of ČKD Blansko Engineering is introduced. The turbine runner was designed using the optimization algorithm and considering the high specific speed hydraulic profile. It means that hydraulic profiles of the spiral case, the distributor and the draft tube were used from a Kaplan turbine. The optimization was done as the automatic cycle and was based on a simplex optimization method as well as on a genetic algorithm. The number of blades is shown as the parameter which changes the resulting specific speed of the turbine between n s =425 to 455 together with the cavitation characteristics. Minimizing of cavitation on the blade surface as well as on the inlet edge of the runner blade was taken into account during the design process. The results of CFD analyses as well as the model tests are mentioned in the paper

  10. Experiences with the hydraulic design of the high specific speed Francis turbine

    Science.gov (United States)

    Obrovsky, J.; Zouhar, J.

    2014-03-01

    The high specific speed Francis turbine is still suitable alternative for refurbishment of older hydro power plants with lower heads and worse cavitation conditions. In the paper the design process of such kind of turbine together with the results comparison of homological model tests performed in hydraulic laboratory of ČKD Blansko Engineering is introduced. The turbine runner was designed using the optimization algorithm and considering the high specific speed hydraulic profile. It means that hydraulic profiles of the spiral case, the distributor and the draft tube were used from a Kaplan turbine. The optimization was done as the automatic cycle and was based on a simplex optimization method as well as on a genetic algorithm. The number of blades is shown as the parameter which changes the resulting specific speed of the turbine between ns=425 to 455 together with the cavitation characteristics. Minimizing of cavitation on the blade surface as well as on the inlet edge of the runner blade was taken into account during the design process. The results of CFD analyses as well as the model tests are mentioned in the paper.

  11. Design and control of multi-actuated atomic force microscope for large-range and high-speed imaging

    Energy Technology Data Exchange (ETDEWEB)

    Soltani Bozchalooi, I.; Careaga Houck, A. [Department of Mechanical Engineering, Massachusetts Institute of Technology, 77 Massachusetts Avenue, Cambridge, MA 02139 (United States); AlGhamdi, J. [Department of Mechanical Engineering, Massachusetts Institute of Technology, 77 Massachusetts Avenue, Cambridge, MA 02139 (United States); Department of Chemistry, College of Science, University of Dammam, Dammam (Saudi Arabia); Youcef-Toumi, K. [Department of Mechanical Engineering, Massachusetts Institute of Technology, 77 Massachusetts Avenue, Cambridge, MA 02139 (United States)

    2016-01-15

    This paper presents the design and control of a high-speed and large-range atomic force microscopy (AFM). A multi-actuation scheme is proposed where several nano-positioners cooperate to achieve the range and speed requirements. A simple data-based control design methodology is presented to effectively operate the AFM scanner components. The proposed controllers compensate for the coupled dynamics and divide the positioning responsibilities between the scanner components. As a result, the multi-actuated scanner behavior is equivalent to that of a single X–Y–Z positioner with large range and high speed. The scanner of the designed AFM is composed of five nano-positioners, features 6 μm out-of-plane and 120 μm lateral ranges and is capable of high-speed operation. The presented AFM has a modular design with laser spot size of 3.5 μm suitable for small cantilever, an optical view of the sample and probe, a conveniently large waterproof sample stage and a 20 MHz data throughput for high resolution image acquisition at high imaging speeds. This AFM is used to visualize etching of calcite in a solution of sulfuric acid. Layer-by-layer dissolution and pit formation along the crystalline lines in a low pH environment is observed in real time. - Highlights: • High-speed AFM imaging is extended to large lateral and vertical scan ranges. • A general multi-actuation approach to atomic force microscopy is presented. • A high-speed AFM is designed and implemented based on the proposed method. • Multi-actuator control is designed auxiliary to a PID unit to maintain flexibility. • Influence of calcite crystal structure on dissolution is visualized in video form.

  12. Limits, modeling and design of high-speed permanent magnet machines

    NARCIS (Netherlands)

    Borisavljevic, A.

    2011-01-01

    There is a growing number of applications that require fast-rotating machines; motivation for this thesis comes from a project in which downsized spindles for micro-machining have been researched (TU Delft Microfactory project). The thesis focuses on analysis and design of high-speed PM machines and

  13. High-Speed Research: 1994 Sonic Boom Workshop. Configuration, Design, Analysis and Testing

    Science.gov (United States)

    McCurdy, David A. (Editor)

    1999-01-01

    The third High-Speed Research Sonic Boom Workshop was held at NASA Langley Research Center on June 1-3, 1994. The purpose of this workshop was to provide a forum for Government, industry, and university participants to present and discuss progress in their research. The workshop was organized into sessions dealing with atmospheric propagation; acceptability studies; and configuration design, and testing. Attendance at the workshop was by invitation only. The workshop proceedings include papers on design, analysis, and testing of low-boom high-speed civil transport configurations and experimental techniques for measuring sonic booms. Significant progress is noted in these areas in the time since the previous workshop a year earlier. The papers include preliminary results of sonic boom wind tunnel tests conducted during 1993 and 1994 on several low-boom designs. Results of a mission performance analysis of all low-boom designs are also included. Two experimental methods for measuring near-field signatures of airplanes in flight are reported.

  14. Smart Materials Technology for High Speed Adaptive Inlet/Nozzle Design, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Enabling a new generation of high speed civil aircraft will require breakthrough developments in propulsion design, including novel techniques to optimize inlet...

  15. Modern trends in designing high-speed trains

    Directory of Open Access Journals (Sweden)

    Golubović Snežana D.

    2015-01-01

    Full Text Available Increased advantages of railway transportation systems over other types of transportation systems in the past sixty years have been a result of an intensive development of the new generations of high-speed trains. Not only do these types of trains comply with the need for increased speed of transportation and make the duration of the journey shorter, but they also meet the demands for increased reliability, safety and direct application of energy efficiency to the transportation system itself. Along with increased train speed, the motion resistance is increased as well, whereby at speeds over 200 km/h the proportion of air resistance becomes the most dominant member. One of the most efficient measures for reducing air resistance, as well as other negative consequences of high-speed motion, is the development of the aerodynamic shape of the train. This paper presents some construction solutions that affect the aerodynamic properties of high-speed trains, first and foremost, the nose shape, as well as the similarities and differences of individual subsystems necessary for the functioning of modern high-speed rail systems. We analysed two approaches to solving the problem of the aerodynamic shape of the train and the appropriate infrastructure using the examples of Japan and France. Two models of high-speed trains, Shinkansen (Japan and TGV, i.e. AGV (France, have been discussed.

  16. Design of Stirrer Impeller with Variable Operational Speed for a Food Waste Homogenizer

    Directory of Open Access Journals (Sweden)

    Idris A. Kayode

    2016-05-01

    Full Text Available A conceptualized impeller called KIA is designed for impact agitation of food waste in a homogenizer. A comparative analysis of the performance of KIA is made with three conventional impeller types, Rushton, Anchor, and Pitched Blade. Solid–liquid mixing of a moisture-rich food waste is simulated under various operational speeds, in order to compare the dispersions and thermal distributions at homogenous slurry conditions. Using SolidWorks, the design of the impellers employs an Application Programming Interface (API which acts as the canvas for creating a graphical user interface (GUI for automation of its assembly. A parametric analysis of the homogenizer, at varying operational speeds, enables the estimation of the critical speed of the mixing shaft diameter and the deflection under numerous mixing conditions and impeller configurations. The numerical simulation of the moisture-rich food waste (approximated as a Newtonian carrot–orange soup is performed with ANSYS CFX v.15.0. The velocity and temperature field distribution of the homogenizer for various impeller rotational speeds are analyzed. It is anticipated that the developed model will help in the selection of a suitable impeller for efficient mixing of food waste in the homogenizer.

  17. Antisideslip and Antirollover Safety Speed Controller Design for Vehicle on Curved Road

    Directory of Open Access Journals (Sweden)

    Guo Lie

    2014-01-01

    Full Text Available When the drivers cannot be aware of the existing of forthcoming curved roads and fail to regulate their safety speeds accordingly, sideslip or rollover may occur with high probability. The antisideslip and antirollover control of vehicle on curved road in automatic highway systems is studied. The safety speed warning system is set before entering the curved road firstly. The speed adhesion control is adopted to shorten the braking distance while decelerating and to guarantee the safety speed. The velocity controller when decelerating on the straight path and the posture controller when driving on curved road are designed, respectively, utilizing integral backstepping technology. Simulation results demonstrate that this control system is characterized by quick and precise tracking and global stability. Consequently, it is able to avoid the dangerous operating conditions, such as sideslip and rollover, and guarantee the safety and directional stability when driving on curved road.

  18. 1995 NASA High-Speed Research Program Sonic Boom Workshop. Volume 2; Configuration Design, Analysis, and Testing

    Science.gov (United States)

    Baize, Daniel G. (Editor)

    1999-01-01

    The High-Speed Research Program and NASA Langley Research Center sponsored the NASA High-Speed Research Program Sonic Boom Workshop on September 12-13, 1995. The workshop was designed to bring together NASAs scientists and engineers and their counterparts in industry, other Government agencies, and academia working together in the sonic boom element of NASAs High-Speed Research Program. Specific objectives of this workshop were to: (1) report the progress and status of research in sonic boom propagation, acceptability, and design; (2) promote and disseminate this technology within the appropriate technical communities; (3) help promote synergy among the scientists working in the Program; and (4) identify technology pacing, the development C, of viable reduced-boom High-Speed Civil Transport concepts. The Workshop was organized in four sessions: Sessions 1 Sonic Boom Propagation (Theoretical); Session 2 Sonic Boom Propagation (Experimental); Session 3 Acceptability Studies-Human and Animal; and Session 4 - Configuration Design, Analysis, and Testing.

  19. System design of the traction power supply for the high-speed line Beijing - Tianjin

    Energy Technology Data Exchange (ETDEWEB)

    Altmann, Martin; Fischer, Andreas; Tornow, Torsten [Siemens AG, Erlangen (Germany)

    2011-11-15

    The high-speed line Beijing - Tianjin connecting the Capital Beijing with the harbour city Tianjin is the first high-speed line in China dedicated only to passenger traffic and is operated at 300 km/h. The commercial service started in due time for the Olympic games in 2008. For the system design and a reliable traction power supply the simulation software Sitras {sup registered} Sidytrac was used. The program incorporates all modules necessary for the overall system design and relating detailed investigations. Measurements during system integration test validated the simulation results. (orig.)

  20. Ultra high tip speed (670.6 m/sec) fan stage with composite rotor: Aerodynamic and mechanical design

    Science.gov (United States)

    Halle, J. E.; Burger, G. D.; Dundas, R. E.

    1977-01-01

    A highly loaded, single-stage compressor having a tip speed of 670.6 m/sec was designed for the purpose of investigating very high tip speeds and high aerodynamic loadings to obtain high stage pressure ratios at acceptable levels of efficiency. The design pressure ratio is 2.8 at an adiabatic efficiency of 84.4%. Corrected design flow is 83.4 kg/sec; corrected design speed is 15,200 rpm; and rotor inlet tip diameter is 0.853 m. The rotor uses multiple-circular-arc airfoils from 0 to 15% span, precompression airfoils assuming single, strong oblique shocks from 21 to 43% span, and precompression airfoils assuming multiple oblique shocks from 52% span to the tip. Because of the high tip speeds, the rotor blades are designed to be fabricated of composite materials. Two composite materials were investigated: Courtaulds HTS graphite fiber in a Kerimid 601 polyimide matrix and the same fibers in a PMR polyimide matrix. In addition to providing a description of the aerodynamic and mechanical design of the 670.0 m/sec fan, discussion is presented of the results of structural tests of blades fabricated with both types of matrices.

  1. A study on high speed coupling design for wind turbine using a finite element analysis

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Hyoung Woo; Kang, Jong Hun [Dept. of Mechatronics Engineering, Jungwon University, Geosan (Korea, Republic of); Han, Jeong Young [Pusan Educational Center for Computer Aided Machine Design, Pusan University, Busan (Korea, Republic of)

    2016-08-15

    The purpose of this study is to design a high speed coupling for 3 MW wind turbines and evaluate its structural stability. A basic analysis was performed to assess the structural stability of two materials, SPS6 steel plate and a composite material (Glass7628, Glass/Epoxy), in relation to misalignment in the axial and radial directions. The entire model was analyzed for a high speed coupling based on the SPS6 steel plate, which was found to have higher stability among the two materials, and safety factors were estimated for various levels of power delivery. To test the proposed high speed coupling design, a performance test was carried out to verify the stability of the final product.

  2. A study on high speed coupling design for wind turbine using a finite element analysis

    International Nuclear Information System (INIS)

    Lee, Hyoung Woo; Kang, Jong Hun; Han, Jeong Young

    2016-01-01

    The purpose of this study is to design a high speed coupling for 3 MW wind turbines and evaluate its structural stability. A basic analysis was performed to assess the structural stability of two materials, SPS6 steel plate and a composite material (Glass7628, Glass/Epoxy), in relation to misalignment in the axial and radial directions. The entire model was analyzed for a high speed coupling based on the SPS6 steel plate, which was found to have higher stability among the two materials, and safety factors were estimated for various levels of power delivery. To test the proposed high speed coupling design, a performance test was carried out to verify the stability of the final product

  3. Modeling and simulation of soft sensor design for real-time speed and position estimation of PMSM.

    Science.gov (United States)

    Omrane, Ines; Etien, Erik; Dib, Wissam; Bachelier, Olivier

    2015-07-01

    This paper deals with the design of a speed soft sensor for permanent magnet synchronous motor. At high speed, model-based soft sensor is used and it gives excellent results. However, it fails to deliver satisfactory performance at zero or very low speed. High-frequency soft sensor is used at low speed. We suggest to use a model-based soft sensor together with the high-frequency soft sensor to overcome the limitations of the first one at low speed range. Copyright © 2015 ISA. Published by Elsevier Ltd. All rights reserved.

  4. Dual stator winding variable speed asynchronous generator: optimal design and experiments

    International Nuclear Information System (INIS)

    Tutelea, L N; Deaconu, S I; Popa, G N

    2015-01-01

    In the present paper is carried out a theoretical and experimental study of dual stator winding squirrel cage asynchronous generator (DSWA) behavior in the presence of saturation regime (non-sinusoidal) due to the variable speed operation. The main aims are the determination of the relations of calculating the equivalent parameters of the machine windings to optimal design using a Matlab code. Issue is limited to three phase range of double stator winding cage-induction generator of small sized powers, the most currently used in the small adjustable speed wind or hydro power plants. The tests were carried out using three-phase asynchronous generator having rated power of 6 [kVA]. (paper)

  5. Observer Based Traction/Braking Control Design for High Speed Trains Considering Adhesion Nonlinearity

    OpenAIRE

    Cai, Wenchuan; Liao, Wenhao; Li, Danyong; Song, Yongduan

    2014-01-01

    Train traction/braking control, one of the key enabling technologies for automatic train operation, literally takes its action through adhesion force. However, adhesion coefficient of high speed train (HST) is uncertain in general because it varies with wheel-rail surface condition and running speed; thus, it is extremely difficult to be measured, which makes traction/braking control design and implementation of HSTs greatly challenging. In this work, force observers are applied to estimate t...

  6. Integrated design and manufacturing for the high speed civil transport

    Science.gov (United States)

    1993-01-01

    In June 1992, Georgia Tech's School of Aerospace Engineering was awarded a NASA University Space Research Association (USRA) Advanced Design Program (ADP) to address 'Integrated Design and Manufacturing for the High Speed Civil Transport (HSCT)' in its graduate aerospace systems design courses. This report summarizes the results of the five courses incorporated into the Georgia Tech's USRA ADP program. It covers AE8113: Introduction to Concurrent Engineering, AE4360: Introduction to CAE/CAD, AE4353: Design for Life Cycle Cost, AE6351: Aerospace Systems Design One, and AE6352: Aerospace Systems Design Two. AE8113: Introduction to Concurrent Engineering was an introductory course addressing the basic principles of concurrent engineering (CE) or integrated product development (IPD). The design of a total system was not the objective of this course. The goal was to understand and define the 'up-front' customer requirements, their decomposition, and determine the value objectives for a complex product, such as the high speed civil transport (HSCT). A generic CE methodology developed at Georgia Tech was used for this purpose. AE4353: Design for Life Cycle Cost addressed the basic economic issues for an HSCT using a robust design technique, Taguchi's parameter design optimization method (PDOM). An HSCT economic sensitivity assessment was conducted using a Taguchi PDOM approach to address the robustness of the basic HSCT design. AE4360: Introduction to CAE/CAD permitted students to develop and utilize CAE/CAD/CAM knowledge and skills using CATIA and CADAM as the basic geometric tools. AE6351: Aerospace Systems Design One focused on the conceptual design refinement of a baseline HSCT configuration as defined by Boeing, Douglas, and NASA in their system studies. It required the use of NASA's synthesis codes FLOPS and ACSYNT. A criterion called the productivity index (P.I.) was used to evaluate disciplinary sensitivities and provide refinements of the baseline HSCT

  7. Effectiveness of Motorcycle speed controlled by speed hump

    Directory of Open Access Journals (Sweden)

    Pornsiri Urapa

    2014-09-01

    Full Text Available Speed humps are one of the traffic calming measures widely accepted to control vehicle speed in the local road. Humps standards from the western countries are designed mainly for the passenger car. This study, therefore, aims to reveal the effectiveness of speed hump to control the motorcycle speed. This study observes the free-flow speed of the riders at the total of 20 speed bumps and humps. They are 0.3-14.8 meter in width and 5-18 centimeter in height. The results reveal that the 85th percentile speeds reduce 15-65 percent when crossing the speed bumps and speed humps. Besides, this study develops the speed model to predict the motorcycle mean speed and 85th percentile speed. It is found that speed humps follow the ITE standard can control motorcycle crossing speeds to be 25-30 Kph which are suitable to travel on the local road.

  8. Design And Implementation Of Pc Based Over Speed Violation Management For Vehicles On Highway

    Directory of Open Access Journals (Sweden)

    Ni Ni Hlaing

    2015-07-01

    Full Text Available Abstract In the present day scenario traffic rules are frequently violated by the drivers and over speeding occur due to bad driving behavior. So a driver assistance system is provided to prevent over speeding violation of road rules also to display alert messages and gives alerts like road works steep slope school zone in the form of acoustical messages and also in LCD. The proposed system has a reporting displaying and database systemfor over speed violation management. This designed system has the ability to detect the speed of the vehicle in the roads and the main highways and the places where the drivers can use of more speed while driving. The laser transmitter senses the load entered by the vehicle and then the receiver unit sends to the microcontroller. The receiver unit is used by Light Dependent Resistor LDR. If the speed of vehicle exceeds the permissible speed for the highway this information will sent to PC which starts the camera to capture the vehicle. And all the information of vehicle are sent to database system. Then also shows the speed information on LCD.

  9. An integrated optimum design approach for high speed prop-rotors including acoustic constraints

    Science.gov (United States)

    Chattopadhyay, Aditi; Wells, Valana; Mccarthy, Thomas; Han, Arris

    1993-01-01

    The objective of this research is to develop optimization procedures to provide design trends in high speed prop-rotors. The necessary disciplinary couplings are all considered within a closed loop multilevel decomposition optimization process. The procedures involve the consideration of blade-aeroelastic aerodynamic performance, structural-dynamic design requirements, and acoustics. Further, since the design involves consideration of several different objective functions, multiobjective function formulation techniques are developed.

  10. Computer modeling design of a frame pier for a high-speed railway project

    Science.gov (United States)

    Shi, Jing-xian; Fan, Jiang

    2018-03-01

    In this paper, a double line pier on a high-speed railway in China is taken as an example. the size of each location is drawn up firstly. The design of pre-stressed steel beam for its crossbeam is carried out, and the configuration of ordinary reinforcement is carried out for concrete piers. Combined with bridge structure analysis software Midas Civil and BSAS, the frame pier is modeled and calculated. The results show that the beam and pier column section size reasonable design of pre-stressed steel beam with 17-7V5 high strength low relaxation steel strand, can meet the requirements of high speed railway carrying capacity; the main reinforcement of pier shaft with HRB400 diameter is 28mm, ring arranged around the pier, can satisfy the eccentric compression strength, stiffness and stability requirements, also meet the requirements of seismic design.

  11. The Design of a High Speed Low Power Phase Locked Loop

    CERN Document Server

    Liu, Tiankuan; Hou, Suen; Liang, Zhihua; Liu, Chonghan; Su, Da-Shung; Teng, Ping-Kun; Xiang, Annie C; Ye, Jingbo

    2009-01-01

    The upgrade of the ATLAS Liquid Argon Calorimeter readout system calls for the development of radiation tolerant, high speed and low power serializer ASIC. We have designed a phase locked loop using a commercial 0.25-μm Silicon-on- Sapphire (SoS) CMOS technology. Post-layout simulation indicates that tuning range is 3.79 – 5.01 GHz and power consumption is 104 mW. The PLL has been submitted for fabrication. The design and simulation results are presented.

  12. Design of light-small high-speed image data processing system

    Science.gov (United States)

    Yang, Jinbao; Feng, Xue; Li, Fei

    2015-10-01

    A light-small high speed image data processing system was designed in order to meet the request of image data processing in aerospace. System was constructed of FPGA, DSP and MCU (Micro-controller), implementing a video compress of 3 million pixels@15frames and real-time return of compressed image to the upper system. Programmable characteristic of FPGA, high performance image compress IC and configurable MCU were made best use to improve integration. Besides, hard-soft board design was introduced and PCB layout was optimized. At last, system achieved miniaturization, light-weight and fast heat dispersion. Experiments show that, system's multifunction was designed correctly and worked stably. In conclusion, system can be widely used in the area of light-small imaging.

  13. Compensator design for improved counterbalancing in high speed atomic force microscopy

    Science.gov (United States)

    Bozchalooi, I. S.; Youcef-Toumi, K.; Burns, D. J.; Fantner, G. E.

    2011-11-01

    High speed atomic force microscopy can provide the possibility of many new scientific observations and applications ranging from nano-manufacturing to the study of biological processes. However, the limited imaging speed has been an imperative drawback of the atomic force microscopes. One of the main reasons behind this limitation is the excitation of the AFM dynamics at high scan speeds, severely undermining the reliability of the acquired images. In this research, we propose a piezo based, feedforward controlled, counter actuation mechanism to compensate for the excited out-of-plane scanner dynamics. For this purpose, the AFM controller output is properly filtered via a linear compensator and then applied to a counter actuating piezo. An effective algorithm for estimating the compensator parameters is developed. The information required for compensator design is extracted from the cantilever deflection signal, hence eliminating the need for any additional sensors. The proposed approach is implemented and experimentally evaluated on the dynamic response of a custom made AFM. It is further assessed by comparing the imaging performance of the AFM with and without the application of the proposed technique and in comparison with the conventional counterbalancing methodology. The experimental results substantiate the effectiveness of the method in significantly improving the imaging performance of AFM at high scan speeds.

  14. A design of a high speed dual spectrometer by single line scan camera

    Science.gov (United States)

    Palawong, Kunakorn; Meemon, Panomsak

    2018-03-01

    A spectrometer that can capture two orthogonal polarization components of s light beam is demanded for polarization sensitive imaging system. Here, we describe the design and implementation of a high speed spectrometer for simultaneous capturing of two orthogonal polarization components, i.e. vertical and horizontal components, of light beam. The design consists of a polarization beam splitter, two polarization-maintain optical fibers, two collimators, a single line-scan camera, a focusing lens, and a reflection blaze grating. The alignment of two beam paths was designed to be symmetrically incident on the blaze side and reverse blaze side of reflection grating, respectively. The two diffracted beams were passed through the same focusing lens and focused on the single line-scan sensors of a CMOS camera. The two spectra of orthogonal polarization were imaged on 1000 pixels per spectrum. With the proposed setup, the amplitude and shape of the two detected spectra can be controlled by rotating the collimators. The technique for optical alignment of spectrometer will be presented and discussed. The two orthogonal polarization spectra can be simultaneously captured at a speed of 70,000 spectra per second. The high speed dual spectrometer can simultaneously detected two orthogonal polarizations, which is an important component for the development of polarization-sensitive optical coherence tomography. The performance of the spectrometer have been measured and analyzed.

  15. 77 FR 64029 - Special Conditions: Airbus Model A318, A319, A320, and A321 Series Airplanes; Design Dive Speed

    Science.gov (United States)

    2012-10-18

    ... after operation of high-speed warning system by application of a load of 1.5g (0.5 acceleration... Series Airplanes; Design Dive Speed AGENCY: Federal Aviation Administration (FAA), DOT. ACTION: Final... airplanes. These design features include a high-speed protection system. The applicable airworthiness...

  16. Design, development and testing of a high speed door for a blast containment fixture

    International Nuclear Information System (INIS)

    Shapiro, C.

    1991-01-01

    This paper reports that the concept of a large door able to close over a three foot diameter hole in less than 50 milliseconds evolved during the design of a test containment fixture at the Idaho National Engineering laboratory (INEL). This facility was designed for use at the Aberdeen Proving Ground (APG) in Aberdeen, Maryland. EPA regulations required new technologies for blast containment at APG, which culminated in the design of the blast chamber with a high speed door at its entrance. The main requirement of the fixture is to contain large explosion pressure pulses and explosive by-products during a variety of test scenarios. The door was designed to allow entrance of test projectiles and then to close over the entrance hole to contain explosive by-products inside the fixture. The speed of the projectile and the resultant blast pressure pulse required door closure within 56 msec. Analytical modelling of the door closure indicated velocities of up to 150 ft/sec before impact, for closure within the required time. Lightweight materials were used for the moving parts to minimize this impact force, including aluminum honeycomb composite panels and energy absorbers. Actuation was accomplished with a standard explosive bolt. High pressure nitrogen accelerated the door during closure. Time measurement for the door closer were obtained using high speed video equipment

  17. Performance Optimization Design for a High-Speed Weak FBG Interrogation System Based on DFB Laser

    Directory of Open Access Journals (Sweden)

    Yiqiang Yao

    2017-06-01

    Full Text Available A performance optimization design for a high-speed fiber Bragg grating (FBG interrogation system based on a high-speed distributed feedback (DFB swept laser is proposed. A time-division-multiplexing sensor network with identical weak FBGs is constituted to realize high-capacity sensing. In order to further improve the multiplexing capacity, a waveform repairing algorithm is designed to extend the dynamic demodulation range of FBG sensors. It is based on the fact that the spectrum of an FBG keeps stable over a long period of time. Compared with the pre-collected spectra, the distorted spectra waveform are identified and repaired. Experimental results show that all the identical weak FBGs are distinguished and demodulated at the speed of 100 kHz with a linearity of above 0.99, and the range of dynamic demodulation is extended by 40%.

  18. Performance Optimization Design for a High-Speed Weak FBG Interrogation System Based on DFB Laser.

    Science.gov (United States)

    Yao, Yiqiang; Li, Zhengying; Wang, Yiming; Liu, Siqi; Dai, Yutang; Gong, Jianmin; Wang, Lixin

    2017-06-22

    A performance optimization design for a high-speed fiber Bragg grating (FBG) interrogation system based on a high-speed distributed feedback (DFB) swept laser is proposed. A time-division-multiplexing sensor network with identical weak FBGs is constituted to realize high-capacity sensing. In order to further improve the multiplexing capacity, a waveform repairing algorithm is designed to extend the dynamic demodulation range of FBG sensors. It is based on the fact that the spectrum of an FBG keeps stable over a long period of time. Compared with the pre-collected spectra, the distorted spectra waveform are identified and repaired. Experimental results show that all the identical weak FBGs are distinguished and demodulated at the speed of 100 kHz with a linearity of above 0.99, and the range of dynamic demodulation is extended by 40%.

  19. The choice of design speed for PWR turbines for 50 Hz generating systems

    International Nuclear Information System (INIS)

    Harris, F.R.; Kalderon, D.

    1983-01-01

    Turbines for use with water-cooled reactors, by virtue of their large output, coupled to their larger steam flow per unit output than for fossil-fuelled units, require large total blade exhaust areas; this has led to the adoption of 1800 rpm as the design speed where generation is at 60 Hz, but for generation at 50 Hz both 1500 rpm and 3000 rpm turbines can be deployed over a wide range of outputs. The paper points out why half-speed units, universal for generation at 60 Hz, are often uneconomic for 50 Hz generation. Full-speed and half-speed machines are compared in size, weight, constructional features, reliability, and efficiency. Taking into account economic practice in selection of condenser pressures, the available cooling water temperatures, and also the current and foreseeable turbine blade annulus areas, combinations of outputs and cooling water temperatures where each type of turbine is likely to be economically preferable are identified. (author)

  20. A study on the design of a low-friction, high-speed pneumatic cylinder

    International Nuclear Information System (INIS)

    Kim, Do Tae; Kim, Dong Soo; Ju, Min Jin

    2008-01-01

    Of all of pneumatic components utilized in the make up of pneumatic circuits on either automatic assembly machine or industrial equipment, the pneumatic cylinder is more oriented toward being a structural as well as a pneumatic member. The structural design must be based to a large degree on the end of application of the cylinder on the equipment it is operating. In this paper, design studies of a double-acting pneumatic cushion type cylinder with low-friction and high-speed driving have been developed. Of interest here is to investigate the structural analysis of cylinder tube, piston rod, end cover, and to analyze the buckling of piston rod. Also, a relief valve type cushion mechanism is considered. This cushion mechanism is found to be adequate under a high-speed driving of pneumatic cylinders

  1. Accuracy optimization of high-speed AFM measurements using Design of Experiments

    DEFF Research Database (Denmark)

    Tosello, Guido; Marinello, F.; Hansen, Hans Nørgaard

    2010-01-01

    Atomic Force Microscopy (AFM) is being increasingly employed in industrial micro/nano manufacturing applications and integrated into production lines. In order to achieve reliable process and product control at high measuring speed, instrument optimization is needed. Quantitative AFM measurement...... results are influenced by a number of scan settings parameters, defining topography sampling and measurement time: resolution (number of profiles and points per profile), scan range and direction, scanning force and speed. Such parameters are influencing lateral and vertical accuracy and, eventually......, the estimated dimensions of measured features. The definition of scan settings is based on a comprehensive optimization that targets maximization of information from collected data and minimization of measurement uncertainty and scan time. The Design of Experiments (DOE) technique is proposed and applied...

  2. Design and implementation of interface units for high speed fiber optics local area networks and broadband integrated services digital networks

    Science.gov (United States)

    Tobagi, Fouad A.; Dalgic, Ismail; Pang, Joseph

    1990-01-01

    The design and implementation of interface units for high speed Fiber Optic Local Area Networks and Broadband Integrated Services Digital Networks are discussed. During the last years, a number of network adapters that are designed to support high speed communications have emerged. This approach to the design of a high speed network interface unit was to implement package processing functions in hardware, using VLSI technology. The VLSI hardware implementation of a buffer management unit, which is required in such architectures, is described.

  3. Design of an Electro-Optic Modulator for High Speed Communications

    Science.gov (United States)

    Espinoza, David

    The telecommunications and computer technology industries have been requiring higher communications speeds at all levels for devices, components and interconnected systems. Optical devices and optical interconnections are a viable alternative over other traditional technologies such as copper-based interconnections. Latency reductions can be achieved through the use of optical interconnections. Currently, a particular architecture for optical interconnections is being studied at the University of Colorado at Boulder in the EMT/NANO project, called Broadcast Optical Interconnects for Global Communication in Many-Core Chip Multiprocessor. As with most types of networks, including optical networks, one of the most important components are modulators. Therefore adequate design and fabrication techniques for modulators contribute to higher modulation rates which lead to improve the efficiency and reductions in the latency of the optical network. Electro-optical modulators are presented in this study as an alternative to achieve this end. In recent years, nonlinear optical (NLO) materials have been used for the fabrication of high-speed electro-optical modulators. Polymers doped with chromophores are an alternative among NLO materials because they can develop large electro-optic coefficients and low dielectric constants. These two factors are critical for achieving high-speed modulation rates. These polymer-based electro-optical modulators can be fabricated using standard laboratory techniques, such as polymer spin-coating onto substrates, UV bleaching to achieve a refractive index variation and poling techniques to align the chromophores in cured polymers. The design of the electro-optic modulators require the use of the optical parameters of the materials to be used. Therefore the characterization of these materials is a required previous step. This characterization is performed by the fabrication of chromophores-doped polymer samples and conducting transmission and

  4. Gear Design Effects on the Performance of High Speed Helical Gear Trains as Used in Aerospace Drive Systems

    Science.gov (United States)

    Handschuh, R.; Kilmain, C.; Ehinger, R.; Sinusas, E.

    2013-01-01

    The performance of high-speed helical gear trains is of particular importance for tiltrotor aircraft drive systems. These drive systems are used to provide speed reduction / torque multiplication from the gas turbine output shaft and provide the necessary offset between these parallel shafts in the aircraft. Four different design configurations have been tested in the NASA Glenn Research Center, High Speed Helical Gear Train Test Facility. The design configurations included the current aircraft design, current design with isotropic superfinished gear surfaces, double helical design (inward and outward pumping), increased pitch (finer teeth), and an increased helix angle. All designs were tested at multiple input shaft speeds (up to 15,000 rpm) and applied power (up to 5,000 hp). Also two lubrication, system-related, variables were tested: oil inlet temperature (160 to 250 degF) and lubricating jet pressure (60 to 80 psig). Experimental data recorded from these tests included power loss of the helical system under study, the temperature increase of the lubricant from inlet to outlet of the drive system and fling off temperatures (radially and axially). Also, all gear systems were tested with and without shrouds around the gears. The empirical data resulting from this study will be useful to the design of future helical gear train systems anticipated for next generation rotorcraft drive systems.

  5. Rating of Dynamic Coefficient for Simple Beam Bridge Design on High-Speed Railways

    Science.gov (United States)

    Diachenko, Leonid; Benin, Andrey; Smirnov, Vladimir; Diachenko, Anastasia

    2018-06-01

    The aim of the work is to improve the methodology for the dynamic computation of simple beam spans during the impact of high-speed trains. Mathematical simulation utilizing numerical and analytical methods of structural mechanics is used in the research. The article analyses parameters of the effect of high-speed trains on simple beam spanning bridge structures and suggests a technique of determining of the dynamic index to the live load. Reliability of the proposed methodology is confirmed by results of numerical simulation of high-speed train passage over spans with different speeds. The proposed algorithm of dynamic computation is based on a connection between maximum acceleration of the span in the resonance mode of vibrations and the main factors of stress-strain state. The methodology allows determining maximum and also minimum values of the main efforts in the construction that makes possible to perform endurance tests. It is noted that dynamic additions for the components of the stress-strain state (bending moments, transverse force and vertical deflections) are different. This condition determines the necessity for differentiated approach to evaluation of dynamic coefficients performing design verification of I and II groups of limiting state. The practical importance: the methodology of determining the dynamic coefficients allows making dynamic calculation and determining the main efforts in split beam spans without numerical simulation and direct dynamic analysis that significantly reduces the labour costs for design.

  6. Compensator design for improved counterbalancing in high speed atomic force microscopy.

    Science.gov (United States)

    Bozchalooi, I S; Youcef-Toumi, K; Burns, D J; Fantner, G E

    2011-11-01

    High speed atomic force microscopy can provide the possibility of many new scientific observations and applications ranging from nano-manufacturing to the study of biological processes. However, the limited imaging speed has been an imperative drawback of the atomic force microscopes. One of the main reasons behind this limitation is the excitation of the AFM dynamics at high scan speeds, severely undermining the reliability of the acquired images. In this research, we propose a piezo based, feedforward controlled, counter actuation mechanism to compensate for the excited out-of-plane scanner dynamics. For this purpose, the AFM controller output is properly filtered via a linear compensator and then applied to a counter actuating piezo. An effective algorithm for estimating the compensator parameters is developed. The information required for compensator design is extracted from the cantilever deflection signal, hence eliminating the need for any additional sensors. The proposed approach is implemented and experimentally evaluated on the dynamic response of a custom made AFM. It is further assessed by comparing the imaging performance of the AFM with and without the application of the proposed technique and in comparison with the conventional counterbalancing methodology. The experimental results substantiate the effectiveness of the method in significantly improving the imaging performance of AFM at high scan speeds. © 2011 American Institute of Physics

  7. A pro-forma design for car-carriers: Low-speed performance-based standards

    CSIR Research Space (South Africa)

    Benade R, Berman R

    2015-07-01

    Full Text Available the constraints of the pro-forma design met the Level 1 requirements of the low-speed PBS. Future work will ensure compliance with the full set of twelve performance standards. It is estimated that the pro-forma approach as compared to doing full assessments would...

  8. The design of a turboshaft speed governor using modern control techniques

    Science.gov (United States)

    Delosreyes, G.; Gouchoe, D. R.

    1986-01-01

    The objectives of this program were: to verify the model of off schedule compressor variable geometry in the T700 turboshaft engine nonlinear model; to evaluate the use of the pseudo-random binary noise (PRBN) technique for obtaining engine frequency response data; and to design a high performance power turbine speed governor using modern control methods. Reduction of T700 engine test data generated at NASA-Lewis indicated that the off schedule variable geometry effects were accurate as modeled. Analysis also showed that the PRBN technique combined with the maximum likelihood model identification method produced a Bode frequency response that was as accurate as the response obtained from standard sinewave testing methods. The frequency response verified the accuracy of linear models consisting of engine partial derivatives and used for design. A power turbine governor was designed using the Linear Quadratic Regulator (LQR) method of full state feedback control. A Kalman filter observer was used to estimate helicopter main rotor blade velocity. Compared to the baseline T700 power turbine speed governor, the LQR governor reduced droop up to 25 percent for a 490 shaft horsepower transient in 0.1 sec simulating a wind gust, and up to 85 percent for a 700 shaft horsepower transient in 0.5 sec simulating a large collective pitch angle transient.

  9. Design and Operating Characteristics of High-Speed, Small-Bore, Angular-Contact Ball Bearings

    Science.gov (United States)

    Pinel, Stanley I.; Signer, Hans R.; Zaretsky, Erwin V.

    1998-01-01

    The computer program SHABERTH was used to analyze 35-mm-bore, angular-contact ball bearings designed and manufactured for high-speed turbomachinery applications. Parametric tests of the bearings were conducted on a high-speed, high-temperature bearing tester and were compared with the computer predictions. Four bearing and cage designs were studied. The bearings were lubricated either by jet lubrication or through the split inner ring with and without outer-ring cooling. The predicted bearing life decreased with increasing speed because of increased operating contact stresses caused by changes in contact angle and centrifugal load. For thrust loads only, the difference in calculated life for the 24 deg. and 30 deg. contact-angle bearings was insignificant. However, for combined loading, the 24 deg. contact-angle bearing gave longer life. For split-inner-ring bearings, optimal operating conditions were obtained with a 24 deg. contact angle and an inner-ring, land-guided cage, using outer-ring cooling in conjunction with low lubricant flow rates. Lower temperature and power losses were obtained with a single-outer-ring, land-guided cage for the 24 deg. contact-angle bearing having a relieved inner ring and partially relieved outer ring. Inner-ring temperatures were independent of lubrication mode and cage design. In comparison with measured values, reasonably good engineering correlation was obtained using the computer program SHABERTH for predicted bearing power loss and for inner- and outer-ring temperatures. The Parker formula for XCAV (used in SHABERTH, a measure of oil volume in the bearing cavity) may need to be refined to reflect bearing lubrication mode, cage design, and location of cage-controlling land.

  10. Hanford Site peak gust wind speeds

    International Nuclear Information System (INIS)

    Ramsdell, J.V.

    1998-01-01

    Peak gust wind data collected at the Hanford Site since 1945 are analyzed to estimate maximum wind speeds for use in structural design. The results are compared with design wind speeds proposed for the Hanford Site. These comparisons indicate that design wind speeds contained in a January 1998 advisory changing DOE-STD-1020-94 are excessive for the Hanford Site and that the design wind speeds in effect prior to the changes are still appropriate for the Hanford Site

  11. An innovative medium speed wind turbine rotor blade design for low wind regime (electrical power generation)

    International Nuclear Information System (INIS)

    Abas Abd Wahab; Chong Wen Tong

    2001-01-01

    This paper describes the preliminary study of a small-scale wind turbine rotor blade (a low wind speed region turbine). A new wind turbine rotor blade (AE2 blade) for stand alone system has been conceptualized, designed, constructed and tested. The system is a reduced size prototype (half-scaled) to develop an efficient (adapted to Malaysian wind conditions)and cost effective wind energy conversion system (WECS) with local design and production technique. The blades were constructed from aluminium sheet with metal blending technique. The layout and design of rotor blade, its innovative features and test results are presented. Results from indoor test showed that the advantages of AE2 blade in low speed, with the potential of further improvements. The best rotor efficiency, C P attained with simple AE2 blades rotor (number of blade = 3) was 37.3% (Betz efficiency = 63%) at tip speed ratio (TSR) = 3.6. From the fabrication works and indoor testing, the AE2 blade rotor has demonstrated its structural integrity (ease of assembly and transportation), simplicity, acceptable performance and low noise level. (Author)

  12. Concepts for Multi-Speed Rotorcraft Drive System - Status of Design and Testing at NASA GRC

    Science.gov (United States)

    Stevens, Mark A.; Lewicki, David G.; Handschuh, Robert F.

    2015-01-01

    In several studies and on-going developments for advanced rotorcraft, the need for variable/multi-speed capable rotors has been raised. Speed changes of up to 50 percent have been proposed for future rotorcraft to improve vehicle performance. A rotor speed change during operation not only requires a rotor that can perform effectively over the operating speed/load range, but also requires a propulsion system possessing these same capabilities. A study was completed investigating possible drive system arrangements that can accommodate up to a 50 percent speed change. Key drivers were identified from which simplicity and weight were judged as central. This paper presents the current status of two gear train concepts coupled with the first of two clutch types developed and tested thus far with focus on design lessons learned and areas requiring development. Also, a third concept is presented, a dual input planetary differential as leveraged from a simple planetary with fixed carrier.

  13. Design and Analysis of a Novel Speed-Changing Wheel Hub with an Integrated Electric Motor for Electric Bicycles

    Directory of Open Access Journals (Sweden)

    Yi-Chang Wu

    2013-01-01

    Full Text Available The aim of this paper is to present an innovative electromechanical device which integrates a brushless DC (BLDC hub motor with a speed-changing wheel hub stored on the rear wheel of an electric bicycle. It combines a power source and a speed-changing mechanism to simultaneously provide functions of power generation and transmission for electric bicycles. As part of the proposed integrated device, the wheel hub consists of a basic planetary gear train providing three forward speeds including a low-speed gear, a direct drive, and a high-speed gear. Each gear is manually controlled by the shift control sleeve to selectively engage or disengage four pawl-and-ratchet clutches based on its clutching sequence table. The number of gear teeth of each gear element of the wheel hub is synthesized. The BLDC hub motor is an exterior-rotor-type permanent-magnet synchronous motor. Two-dimensional finite-element analysis (FEA software is employed to facilitate the motor design and performance analysis. An analysis of the power transmission path at each gear is provided to verify the validity of the proposed design. The results of this work are beneficial to the embodiment, design, and development of novel electromechanical devices for the power and transmission systems of electric bicycles.

  14. Sensorless Speed Control including zero speed of Non Salient PM Synchronous Drives

    DEFF Research Database (Denmark)

    Rasmussen, Henrik

    This paper presents a position sensorless drive of non salient pole PM synchronous motors for all speeds including zero speed. Using adaptive Lyapunov design a new approach for the design of an observer is developed. The resulting scheme leads to a nonlinear full order observer for the motor states...

  15. Sensorless Speed Control including zero speed of Non Salient PM Synchronous Drives

    DEFF Research Database (Denmark)

    Rasmussen, Henrik

    2005-01-01

    This paper presents a position sensorless drive of non salient pole PM synchronous motors for all speeds including zero speed. Using adaptive Lyapunov design a new approach for the design of an observer is developed. The resulting scheme leads to a nonlinear full order observer for the motor states...

  16. Sensorless speed Control including Zero Speed on Non Salient PM Synchronous Drives

    DEFF Research Database (Denmark)

    Rasmussen, Henrik

    2006-01-01

    This paper presents a position sensorless drive of non salient pole PM synchronous motors for all speeds including zero speed. Using adaptive Lyapunov design a new approach for the design of an observer is developed. The resulting scheme leads to a nonlinear full order observer for the motor states...

  17. Designing a robust high-speed CMOS-MEMS capacitive humidity sensor

    International Nuclear Information System (INIS)

    Lazarus, N; Fedder, G K

    2012-01-01

    In our previous work (Lazarus and Fedder 2011 J. Micromech. Microeng. 21 0650281), we demonstrated a CMOS-MEMS capacitive humidity sensor with a 72% improvement in sensitivity over the highest previously integrated on a CMOS die. This paper explores a series of methods for creating a faster and more manufacturable high-sensitivity capacitive humidity sensor. These techniques include adding oxide pillars to hold the plates apart, spin coating polymer to allow sensors to be fabricated more cheaply, adding a polysilicon heater and etching away excess polymer in the release holes. In most cases a tradeoff was found between sensitivity and other factors such as response time or robustness. A robust high-speed sensor was designed with a sensitivity of 0.21% change in capacitance per per cent relative humidity, while dropping the response time constant from 70 to 4s. Although less sensitive than our design, the sensor remains 17% more sensitive than the most sensitive interdigitated designs successfully integrated with CMOS. (paper)

  18. Designing the optimal bit: balancing energetic cost, speed and reliability.

    Science.gov (United States)

    Deshpande, Abhishek; Gopalkrishnan, Manoj; Ouldridge, Thomas E; Jones, Nick S

    2017-08-01

    We consider the challenge of operating a reliable bit that can be rapidly erased. We find that both erasing and reliability times are non-monotonic in the underlying friction, leading to a trade-off between erasing speed and bit reliability. Fast erasure is possible at the expense of low reliability at moderate friction, and high reliability comes at the expense of slow erasure in the underdamped and overdamped limits. Within a given class of bit parameters and control strategies, we define 'optimal' designs of bits that meet the desired reliability and erasing time requirements with the lowest operational work cost. We find that optimal designs always saturate the bound on the erasing time requirement, but can exceed the required reliability time if critically damped. The non-trivial geometry of the reliability and erasing time scales allows us to exclude large regions of parameter space as suboptimal. We find that optimal designs are either critically damped or close to critical damping under the erasing procedure.

  19. Design for manufacturing and assembly key performance indicators to support high-speed product development

    DEFF Research Database (Denmark)

    Thompson, Mary Kathryn; Juel Jespersen, Ida Kirstine; Kjærgaard, Thomas

    2018-01-01

    Design for Manufacturing and Assembly (DfMA) has great potential for minimizing late engineering changes (ECs) that impede high-speed product development and delay time-to-profit. However, our understanding of DfMA and its implementation in industry is still incomplete. This paper presents...... an industrial case study on late ECs in high-speed product development and compares the results to other examples from the literature. It then proposes a framework with sets of key performance indicators (KPIs) to measure and improve producability and product quality throughout the product development process....

  20. High speed fiber optics local area networks: Design and implementation

    Science.gov (United States)

    Tobagi, Fouad A.

    1988-01-01

    The design of high speed local area networks (HSLAN) for communication among distributed devices requires solving problems in three areas: (1) the network medium and its topology; (2) the medium access control; and (3) the network interface. Considerable progress has been made in all areas. Accomplishments are divided into two groups according to their theoretical or experimental nature. A brief summary is given in Section 2, including references to papers which appeared in the literature, as well as to Ph.D. dissertations and technical reports published at Stanford University.

  1. Design of Stirrer Impeller with Variable Operational Speed for a Food Waste Homogenizer

    OpenAIRE

    Idris A. Kayode; Emmanuel O. B. Ogedengbe; Marc A. Rosen

    2016-01-01

    A conceptualized impeller called KIA is designed for impact agitation of food waste in a homogenizer. A comparative analysis of the performance of KIA is made with three conventional impeller types, Rushton, Anchor, and Pitched Blade. Solid–liquid mixing of a moisture-rich food waste is simulated under various operational speeds, in order to compare the dispersions and thermal distributions at homogenous slurry conditions. Using SolidWorks, the design of the impellers employs an Application P...

  2. Design of high-speed planing hulls for the improvement of resistance and seakeeping performance

    Directory of Open Access Journals (Sweden)

    Dong Jin Kim

    2013-03-01

    Full Text Available High-speed vessels require good resistance and seakeeping performance for safe operations in rough seas. The resistance and seakeeping performance of high-speed vessels varies significantly depending on their hull forms. In this study, three planing hulls that have almost the same displacement and principal dimension are designed and the hydrodynamic characteristics of those hulls are estimated by high-speed model tests. All model ships are deep-V type planing hulls. The bows of no.2 and no.3 model ships are designed to be advantageous for wave-piercing in rough water. No. 2 and no. 3 model ships have concave and straight forebody cross-sections, respectively. And length-to-beam ratios of no.2 and no.3 models are larger than that of no.1 model. In calm water tests, running attitude and resistance of model ships are measured at various speeds. And motion tests in regular waves are performed to measure the heave and pitch motion responses of the model ships. The required power of no.1 (VPS model is smallest, but its vertical motion amplitudes in waves are the largest. No.2 (VWC model shows the smallest motion amplitudes in waves, but needs the greatest power at high speed. The resistance and seakeeping performance of no.3 (VWS model ship are the middle of three model ships, respectively. And in regular waves, no.1 model ship experiences ‘fly over’ phenomena around its resonant frequency. Vertical accelerations at specific locations such as F.P., center of gravity of model ships are measured at their resonant frequency. It is necessary to measure accelerations by accelerometers or other devices in model tests for the accurate prediction of vertical accelerations in real ships.

  3. Rotor-dynamic design aspects for a variable frequency drive based high speed cryogenic centrifugal pump in fusion devices

    International Nuclear Information System (INIS)

    Das, Jotirmoy; Vaghela, Hitensinh; Bhattacharya, Ritendra; Patel, Pratik; Shukla, Vinit; Shah, Nitin; Sarkar, Biswanath

    2015-01-01

    Superconducting magnets of large size are inevitable for fusion devices due to high magnetic field requirements. Forced flow cooling of the superconducting magnets with high mass flowrate of the order ∼3 kg/s is required to keep superconducting magnets within its safe operational boundaries during various plasma scenarios. This important requirement can be efficiently fulfilled by employing high capacity and high efficiency cryogenic centrifugal pumps. The efficiency > 70% will ensure overall lower heat load to the cryoplant. Thermo-hydraulic design of cryogenic centrifugal pump revealed that to achieve the operational regime with high efficiency, the speed should be ∼ 10,000 revolutions per minute. In this regard, the rotor-dynamic design aspect is quite critical from the operational stability point of view. The rotor shaft design of the cryogenic pump is primarily an outcome of optimization between thermal heat-in leak at cryogenic temperature level from ambient, cryogenic fluid impedance and designed rotation speed of the impeller wheel. The paper describes the basic design related to critical speed of the rotor shaft, rotor whirl and system instability prediction to explore the ideal operational range of the pump from the system stability point of view. In the rotor-dynamic analysis, the paper also describes the Campbell plots to ensure that the pump is not disturbed by any of the critical speeds, especially while operating near the nominal and enhanced operating modes. (author)

  4. High-speed flow visualization in a pump-turbine under off-design operating conditions

    International Nuclear Information System (INIS)

    Hasmatuchi, V; Roth, S; Botero, F; Avellan, F; Farhat, M

    2010-01-01

    The flow hydrodynamics in a low specific speed radial pump-turbine reduced scale model is experimentally investigated under off-design operating conditions in generating mode. Wall pressure measurements, in the stator, synchronized with high-speed flow visualizations in the vaneless space between the impeller and the guide vanes using air bubbles injection are performed. When starting from the best efficiency point and increasing the runner speed, a significant increase of the pressure fluctuations is observed mainly in channels between wicket gates. The spectral analysis shows a rise of one stall cell, rotating with about 70% of the impeller frequency, at runaway, which further increases as the zero discharge condition is approached. Then a specific image processing technique is detailed and applied to create a synthetic instantaneous view of the flow pattern on the entire guide vanes circumference for an operating point in turbine-brake mode, where backflow and vortices accompany the stall passage.

  5. The Speeding Car Design Challenge

    Science.gov (United States)

    Roman, Harry T.

    2009-01-01

    All too often, one reads about high-speed police chases in pursuit of stolen cars that result in death and injury to people and innocent bystanders. Isn't there another way to accomplish the apprehension of the thieves that does not put people at such great risk? This article presents a classroom challenge to use technology to remotely shutdown…

  6. Parameter design and performance analysis of shift actuator for a two-speed automatic mechanical transmission for pure electric vehicles

    Directory of Open Access Journals (Sweden)

    Jianjun Hu

    2016-08-01

    Full Text Available Recent developments of pure electric vehicles have shown that pure electric vehicles equipped with two-speed or multi-speed gearbox possess higher energy efficiency by ensuring the drive motor operates at its peak performance range. This article presents the design, analysis, and control of a two-speed automatic mechanical transmission for pure electric vehicles. The shift actuator is based on a motor-controlled camshaft where a special geometric groove is machined, and the camshaft realizes the axial positions of the synchronizer sleeve for gear engaging, disengaging, and speed control of the drive motor. Based on the force analysis of shift process, the parameters of shift actuator and shift motor are designed. The drive motor’s torque control strategy before shifting, speed governing control strategy before engaging, shift actuator’s control strategy during gear engaging, and drive motor’s torque recovery strategy after shift process are proposed and implemented with a prototype. To validate the performance of the two-speed gearbox, a test bed was developed based on dSPACE that emulates various operation conditions. The experimental results indicate that the shift process with the proposed shift actuator and control strategy could be accomplished within 1 s under various operation conditions, with shift smoothness up to passenger car standard.

  7. Design optimization under uncertainty and speed variability for a piezoelectric energy harvester powering a tire pressure monitoring sensor

    Science.gov (United States)

    Toghi Eshghi, Amin; Lee, Soobum; Kazem Sadoughi, Mohammad; Hu, Chao; Kim, Young-Cheol; Seo, Jong-Ho

    2017-10-01

    Energy harvesting (EH) technologies to power small sized electronic devices are attracting great attention. Wasted energy in a vehicle’s rotating tire has a great potential to enable self-powered tire pressure monitoring sensors (TPMS). Piezoelectric type energy harvesters can be used to collect vibrational energy and power such systems. Due to the presence of harsh acceleration in a rotating tire, a design tradeoff needs to be studied to prolong the harvester’s fatigue life as well as to ensure sufficient power generation. However, the design by traditional deterministic design optimization (DDO) does not show reliable performance due to the lack of consideration of various uncertainty factors (e.g., manufacturing tolerances, material properties, and loading conditions). In this study, we address a new EH design formulation that considers the uncertainty in car speed, dimensional tolerances and material properties, and solve this design problem using reliability-based design optimization (RBDO). The RBDO problem is formulated to maximize compactness and minimize weight of a TPMS harvester while satisfying power and durability requirements. A transient analysis has been done to measure the time varying response of EH such as power generation, dynamic strain, and stress. A conservative design formulation is proposed to consider the expected power from varied speed and stress at higher speed. When compared to the DDO, the RBDO results show that the reliability of EH is increased significantly by scarifying the objective function. Finally, experimental test has been conducted to demonstrate the merits of RBDO design over DDO.

  8. Speed Sensorless Field Oriented Control of an Induction Motor at zero speed with identification of inverter parameters

    DEFF Research Database (Denmark)

    Rasmussen, Henrik; Vadstrup, P.; Børsting, H.

    2002-01-01

    Using adaptive Lyapunov design a new approach for the design of an observer for speed sensorless control is developed. The resulting scheme leads to a nonlinear full order observer for the motor states and for the motor and inverter parameters including the rotor speed. Assuming motor parameters ...... known the design achieves stability with guaranteed region of attraction. Experiments demonstrate high dynamic performance even at zero rotor speed based only on the slip frequency caused by the load torque....

  9. Speed, speed variation and crash relationships for urban arterials.

    Science.gov (United States)

    Wang, Xuesong; Zhou, Qingya; Quddus, Mohammed; Fan, Tianxiang; Fang, Shou'en

    2018-04-01

    Speed and speed variation are closely associated with traffic safety. There is, however, a dearth of research on this subject for the case of urban arterials in general, and in the context of developing nations. In downtown Shanghai, the traffic conditions in each direction are very different by time of day, and speed characteristics during peak hours are also greatly different from those during off-peak hours. Considering that traffic demand changes with time and in different directions, arterials in this study were divided into one-way segments by the direction of flow, and time of day was differentiated and controlled for. In terms of data collection, traditional fixed-based methods have been widely used in previous studies, but they fail to capture the spatio-temporal distributions of speed along a road. A new approach is introduced to estimate speed variation by integrating spatio-temporal speed fluctuation of a single vehicle with speed differences between vehicles using taxi-based high frequency GPS data. With this approach, this paper aims to comprehensively establish a relationship between mean speed, speed variation and traffic crashes for the purpose of formulating effective speed management measures, specifically using an urban dataset. From a total of 234 one-way road segments from eight arterials in Shanghai, mean speed, speed variation, geometric design features, traffic volume, and crash data were collected. Because the safety effects of mean speed and speed variation may vary at different segment lengths, arterials with similar signal spacing density were grouped together. To account for potential correlations among these segments, a hierarchical Poisson log-normal model with random effects was developed. Results show that a 1% increase in mean speed on urban arterials was associated with a 0.7% increase in total crashes, and larger speed variation was also associated with increased crash frequency. Copyright © 2018 Elsevier Ltd. All rights

  10. Effect of Exercise Program Speed, Agility, and Quickness (SAQ) in Improving Speed, Agility, and Acceleration

    Science.gov (United States)

    Azmi, K.; Kusnanik, N. W.

    2018-01-01

    This study aimed to analyze the effect of speed, agility and quickness training program to increase in speed, agility and acceleration. This study was conducted at 26 soccer players and divided into 2 groups with 13 players each group. Group 1 was given SAQ training program, and Group 2 conventional training program for 8 weeks. This study used a quantitative approach with quasi-experimental method. The design of this study used a matching-only design. Data was collected by testing 30-meter sprint (speed), agility t-test (agility), and run 10 meters (acceleration) during the pretest and posttest. Furthermore, the data was analyzed using paired sample t-test and independent t-test. The results showed: that there was a significant effect of speed, agility and quickness training program in improving in speed, agility and acceleration. In summary, it can be concluded that the speed, agility and quickness training program can improve the speed, agility and acceleration of the soccer players.

  11. Design, Fabrication, and Performance Test of a 100-W Helical-Blade Vertical-Axis Wind Turbine at Low Tip-Speed Ratio

    Directory of Open Access Journals (Sweden)

    Dowon Han

    2018-06-01

    Full Text Available A 100-W helical-blade vertical-axis wind turbine was designed, manufactured, and tested in a wind tunnel. A relatively low tip-speed ratio of 1.1 was targeted for usage in an urban environment at a rated wind speed of 9 m/s and a rotational speed of 170 rpm. The basic dimensions were determined through a momentum-based design method according to the IEC 61400-2 protocol. The power output was estimated by a mathematical model that takes into account the aerodynamic performance of the NACA0018 blade shape. The lift and drag of the blade with respect to the angle of attack during rotation were calculated using 2D computational fluid dynamics (CFD simulation to take into account stall region. The average power output calculated by the model was 108.34 W, which satisfies the target output of 100 W. The manufactured wind turbine was tested in a large closed-circuit wind tunnel, and the power outputs were measured for given wind speeds. At the design condition, the measured power output was 114.7 W, which is 5.9% higher than that of the mathematical model. This result validates the proposed design method and power estimation by the mathematical model.

  12. Active inductor shunt peaking in high-speed VCSEL driver design

    CERN Document Server

    Liang, Futian; Hou, Suen; Liu, Chonghan; Liu, Tiankuan; Su, Da-Shung; Teng, Ping-Kun; Xiang, Annie; Ye, Jingbo; Jin, Ge

    2013-01-01

    An all transistor active inductor shunt peaking structure has been used in a prototype of 8-Gbps high-speed VCSEL driver which is designed for the optical link in ATLAS liquid Argon calorimeter upgrade. The VCSEL driver is fabricated in a commercial 0.25-um Silicon-on-Sapphire (SoS) CMOS process for radiation tolerant purpose. The all transistor active inductor shunt peaking is used to overcome the bandwidth limitation from the CMOS process. The peaking structure has the same peaking effect as the passive one, but takes a small area, does not need linear resistors and can overcome the process variation by adjust the peaking strength via an external control. The design has been tapped out, and the prototype has been proofed by the preliminary electrical test results and bit error ratio test results. The driver achieves 8-Gbps data rate as simulated with the peaking. We present the all transistor active inductor shunt peaking structure, simulation and test results in this paper.

  13. Observer Based Traction/Braking Control Design for High Speed Trains Considering Adhesion Nonlinearity

    Directory of Open Access Journals (Sweden)

    Wenchuan Cai

    2014-01-01

    Full Text Available Train traction/braking control, one of the key enabling technologies for automatic train operation, literally takes its action through adhesion force. However, adhesion coefficient of high speed train (HST is uncertain in general because it varies with wheel-rail surface condition and running speed; thus, it is extremely difficult to be measured, which makes traction/braking control design and implementation of HSTs greatly challenging. In this work, force observers are applied to estimate the adhesion force or/and the resistance, based on which simple traction/braking control schemes are established under the consideration of actual wheel-rail adhesion condition. It is shown that the proposed controllers have simple structure and can be easily implemented from real applications. Numerical simulation also validates the effectiveness of the proposed control scheme.

  14. Tip Speed Ratio Based Maximum Power Tracking Control of Variable Speed Wind Turbines; A Comprehensive Design

    Directory of Open Access Journals (Sweden)

    Murat Karabacak

    2017-08-01

    Full Text Available The most primitive control method of wind turbines used to generate electric energy from wind is the fixed speed control method. With this method, it is not possible that turbine input power is transferred to grid at maximum rate. For this reason, Maximum Power Tracking (MPT schemes are proposed. In order to implement MPT, the propeller has to rotate at a different speed for every different wind speed. This situation has led MPT based systems to be called Variable Speed Wind Turbine (VSWT systems. In VSWT systems, turbine input power can be transferred to grid at rates close to maximum power. When MPT based control of VSWT systems is the case, two important processes come into prominence. These are instantaneously determination and tracking of MPT point. In this study, using a Maximum Power Point Tracking (MPPT method based on tip speed ratio, power available in wind is transferred into grid over a back to back converter at maximum rate via a VSWT system with permanent magnet synchronous generator (PMSG. Besides a physical wind turbine simulator is modelled and simulated. Results show that a time varying MPPT point is tracked with a high performance.

  15. Innovation Analysis Approach to Design Parameters of High Speed Train Carriage and Their Intrinsic Complexity Relationships

    Science.gov (United States)

    Xiao, Shou-Ne; Wang, Ming-Meng; Hu, Guang-Zhong; Yang, Guang-Wu

    2017-09-01

    In view of the problem that it's difficult to accurately grasp the influence range and transmission path of the vehicle top design requirements on the underlying design parameters. Applying directed-weighted complex network to product parameter model is an important method that can clarify the relationships between product parameters and establish the top-down design of a product. The relationships of the product parameters of each node are calculated via a simple path searching algorithm, and the main design parameters are extracted by analysis and comparison. A uniform definition of the index formula for out-in degree can be provided based on the analysis of out-in-degree width and depth and control strength of train carriage body parameters. Vehicle gauge, axle load, crosswind and other parameters with higher values of the out-degree index are the most important boundary conditions; the most considerable performance indices are the parameters that have higher values of the out-in-degree index including torsional stiffness, maximum testing speed, service life of the vehicle, and so on; the main design parameters contain train carriage body weight, train weight per extended metre, train height and other parameters with higher values of the in-degree index. The network not only provides theoretical guidance for exploring the relationship of design parameters, but also further enriches the application of forward design method to high-speed trains.

  16. Improving the speed of AFM by mechatronic design and modern control methods

    International Nuclear Information System (INIS)

    Schitter, Georg

    2009-01-01

    In Atomic Force Microscopy (AFM) high-performance and high-precision control of the AFM scanner and of the imaging forces is crucial. Particularly at high imaging speeds the dynamic behaviour of the scanner may cause imaging artifacts and limit the maximum imaging rate. This contribution discusses and presents recent improvements in AFM instrumentation for faster imaging by means of mechatronic design and utilizing modern control engineering methods. Combining these improvements enables AFM imaging at more than two orders of magnitudes faster than conventional AFMs. (orig.)

  17. Optimal design of high-speed loading spindle based on ABAQUS

    Science.gov (United States)

    Yang, Xudong; Dong, Yu; Ge, Qingkuan; Yang, Hai

    2017-12-01

    The three-dimensional model of high-speed loading spindle is established by using ABAQUS’s modeling module. A finite element analysis model of high-speed loading spindle was established by using spring element to simulate bearing boundary condition. The static and dynamic performance of the spindle structure with different specifications of the rectangular spline and the different diameter neck of axle are studied in depth, and the influence of different spindle span on the static and dynamic performance of the high-speed loading spindle is studied. Finally, the optimal structure of the high-speed loading spindle is obtained. The results provide a theoretical basis for improving the overall performance of the test-bed

  18. Speed Variance and Its Influence on Accidents.

    Science.gov (United States)

    Garber, Nicholas J.; Gadirau, Ravi

    A study was conducted to investigate the traffic engineering factors that influence speed variance and to determine to what extent speed variance affects accident rates. Detailed analyses were carried out to relate speed variance with posted speed limit, design speeds, and other traffic variables. The major factor identified was the difference…

  19. Analysis and design of a speed and position system for maglev vehicles.

    Science.gov (United States)

    Dai, Chunhui; Dou, Fengshan; Song, Xianglei; Long, Zhiqiang

    2012-01-01

    This paper mainly researches one method of speed and location detection for maglev vehicles. As the maglev train doesn't have any physical contact with the rails, it has to use non-contact measuring methods. The technology based on the inductive loop-cable could fulfill the requirement by using an on-board antenna which could detect the alternating magnetic field produced by the loop-cable on rails. This paper introduces the structure of a speed and position system, and analyses the electromagnetic field produced by the loop-cable. The equivalent model of the loop-cable is given and the most suitable component of the magnetic flux density is selected. Then the paper also compares the alternating current (AC) resistance and the quality factor between two kinds of coils which the antenna is composed of. The effect of the rails to the signal receiving is also researched and then the structure of the coils is improved. Finally, considering the common-mode interference, 8-word coils are designed and analyzed.

  20. Analysis and Design of a Speed and Position System for Maglev Vehicles

    Directory of Open Access Journals (Sweden)

    Zhiqiang Long

    2012-06-01

    Full Text Available This paper mainly researches one method of speed and location detection for maglev vehicles. As the maglev train doesn’t have any physical contact with the rails, it has to use non-contact measuring methods. The technology based on the inductive loop-cable could fulfill the requirement by using an on-board antenna which could detect the alternating magnetic field produced by the loop-cable on rails. This paper introduces the structure of a speed and position system, and analyses the electromagnetic field produced by the loop-cable. The equivalent model of the loop-cable is given and the most suitable component of the magnetic flux density is selected. Then the paper also compares the alternating current (AC resistance and the quality factor between two kinds of coils which the antenna is composed of. The effect of the rails to the signal receiving is also researched and then the structure of the coils is improved. Finally, considering the common-mode interference, 8-word coils are designed and analyzed.

  1. Analysis of a quantum nondemolition speed-meter interferometer

    International Nuclear Information System (INIS)

    Purdue, Patricia

    2002-01-01

    In the quest to develop viable designs for third-generation optical interferometric gravitational-wave detectors (e.g. LIGO-III and EURO), one strategy is to monitor the relative momentum or speed of the test-mass mirrors rather than monitoring their relative position. This paper describes and analyzes the most straightforward design for a speed meter interferometer that accomplishes this--a design (due to Braginsky, Gorodetsky, Khalili and Thorne) that is analogous to a microwave-cavity speed meter conceived by Braginsky and Khalili. A mathematical mapping between the microwave speed meter and the optical interferometric speed meter is developed and is used to show [in accord with the speed being a quantum nondemolition observable] that in principle the interferometric speed meter can beat the gravitational-wave standard quantum limit (SQL) by an arbitrarily large amount, over an arbitrarily wide range of frequencies, and can do so without the use of squeezed vacuum or any auxiliary filter cavities at the interferometer's input or output. However, in practice, to reach or beat the SQL, this specific speed meter requires exorbitantly high input light power. The physical reason for this is explored, along with other issues such as constraints on performance due to optical dissipation. This analysis forms a foundation for ongoing attempts to develop a more practical variant of an interferometric speed meter and to combine the speed meter concept with other ideas to yield a promising LIGO-III/EURO interferometer design that entails low laser power

  2. Optimal application of climate data to the development of design wind speeds

    DEFF Research Database (Denmark)

    Kruger, Andries C.; Retief, Johan V.; Goliger, Adam M.

    2014-01-01

    Africa (WASA project) focuses, amongst others, on the development of a Regional Extreme Wind Climate (REWC) for South Africa. Wind farms are planned for areas with relatively strong and sustained winds, with wind turbines classed according to their suitability for different wind conditions. The REWC...... statistics are used during the construction and design phase to make assumptions about the local strong wind climate that the wind turbines will be exposed to, with the local environment and topography as additional input. The simultaneous development of the REWC and revision of the extreme wind statistics...... of South Africa created an opportunity to bring together a range of expertise that could contribute to the optimal development of design wind speed information. These include the knowledge of the statistical extraction of extreme wind observations from reanalysis and model data, the quality control...

  3. Design of high-speed turnouts and crossings

    Science.gov (United States)

    Raif, Lukáš; Puda, Bohuslav; Havlík, Jiří; Smolka, Marek

    2017-09-01

    Recently, the new ways to improve the railway switches and crossings have been sought, as the railway transport increases its operating speed. The expectation of these adjustments is to decrease the dynamic load, which usually increases together with velocity, and this influences the comfort of the vehicle passage, the wear of the structural parts and the cost of maintenance. These adjustments are primarily the turnout elements such as the optimized geometry of the turnout branch line by means of transition curves application, which minimizes the lateral acceleration during the vehicle passage through the track curve. The rail inclination is solved either by means of inclination in fastening system, or by machining of the rail head shape, because this ways of adjustment retain the wheel-rail interaction characteristics along the whole length of the turnout. Secondly, it is the crossing with movable part, which excludes the interruption of the running surface and optimization of the railway stiffness throughout the whole turnout length as well. We can see that the different stiffness along the turnout influences the dynamic load and it is necessary to optimize the discontinuities in the stiffness along the whole length of the turnout. For this purpose, the numeric modeling is carried out to seek the areas with the highest stiffness and subsequently, the system of stiffness optimization will be designed.

  4. DESIGN ASPECTS REGARDING THE RELATIONSHIPS BETWEEN THE FUNCTION, THE FORM AND THE USE OF HIGH SPEED TRAINS

    Directory of Open Access Journals (Sweden)

    CARP Bogdan

    2013-06-01

    Full Text Available This paper proposes to present a comparative study between three Electric-Diesel High Speed Train Power car bodies; the study is oriented on design issues and is concentrated especially on the aesthetical elements and the functional role. This comparison also involves the aero dynamical properties of the shapes used in the design of the Power cars. The 3D modeling of the three car bodies is made in CATIA V5, one of the powerful tools for computer aided design.

  5. Inverse airfoil design method for low-speed straight-bladed Darrieus-type VAWT applications

    Energy Technology Data Exchange (ETDEWEB)

    Saeed, F. [King Fahd Univ. of Petroleum and Minerals, Dhahran (Saudi Arabia); Paraschivoiu, I.; Trifu, O. [Ecole Polytechnique, Montreal, PQ (Canada); Hess, M.; Gabrys, C. [Mariah Power Inc., Reno, NV (United States)

    2008-07-01

    Inverse airfoil design of a low-speed straight-bladed Darrieus-type vertical axis wind turbine (VAWT) can help improve aerodynamic performance and power output by eliminating undesirable flow field characteristics at very low Reynolds number. This study used an interactive inverse airfoil design method (PROFOIL) that allows specification of velocity and boundary-layer characteristics over different segments of the airfoil subject to constraints on the geometry (closure) and the flow field (far field boundary). Additional constraints were also considered to address pitching moment coefficient, thickness and the power output for a given tip-speed ratio. Performance analyses of the airfoil and the VAWT were carried out using state-of-the-art analyses codes XFOIL and CARDAAV, respectively. XFOIL is a panel method with a coupled boundary-layer scheme and is used to obtain the aerodynamic characteristics of resulting airfoil shapes. The final airfoil geometry is obtained through a multi-dimensional Newton iteration. The study showed that the strength of the method lies in the inverse design methodology whereas its weaknesses is in reliably predicting aerodynamic characteristics of airfoils at low Reynolds numbers and high angles of attack. A 10-15 per cent increase in the relative performance of the VAWT was achieved with this method. Although the results of the study showed that the method has great application potential for VAWTs in general, there is much room for improvement in flow analysis capabilities for low Re flows in reliably predicting post-stall aerodynamic characteristics. In the absence of such analysis capabilities, the authors suggested that the results should be viewed qualitatively and not quantitatively. 36 refs., 1 tab., 4 figs.

  6. Winding Design for Minimum Power Loss and Low-Cost Manufacture in Application to Fixed-Speed PM Generator

    OpenAIRE

    Wrobel, Rafal; Staton, David; Lock, Richard J; Booker, Julian D; Drury, David

    2015-01-01

    This paper presents the results from a coupled thermal and power loss analysis of an open-slot permanent-magnet (PM) generator. The research focus has been placed on the winding design providing minimum power loss at an ac operation, together with low-cost manufacture. The analyzed PM generator is intended to operate at a fixed speed, allowing for the winding design to be finely tuned for a single operating point. Such a design approach has not been widely reported in literature, and the exis...

  7. Yonjung High-Speed Railway Bridge Assessment Using Output-Only Structural Health Monitoring Measurements under Train Speed Changing

    Directory of Open Access Journals (Sweden)

    Mosbeh R. Kaloop

    2016-01-01

    Full Text Available Yonjung Bridge is a hybrid multispan bridge that is designed to transport high-speed trains (HEMU-430X with maximum operating speed of 430 km/h. The bridge consists of simply supported prestressed concrete (PSC and composite steel girders to carry double railway tracks. The structural health monitoring system (SHM is designed and installed to investigate and assess the performance of the bridge in terms of acceleration and deformation measurements under different speeds of the passing train. The SHM measurements are investigated in both time and frequency domains; in addition, several identification models are examined to assess the performance of the bridge. The drawn conclusions show that the maximum deflection and acceleration of the bridge are within the design limits that are specified by the Korean and European codes. The parameters evaluation of the model identification depicts the quasistatic and dynamic deformations of PSC and steel girders to be different and less correlated when higher speeds of the passing trains are considered. Finally, the variation of the frequency content of the dynamic deformations of the girders is negligible when high speeds are considered.

  8. Multidisciplinary Aerodynamic Design of a Rotor Blade for an Optimum Rotor Speed Helicopter

    Directory of Open Access Journals (Sweden)

    Jiayi Xie

    2017-06-01

    Full Text Available The aerodynamic design of rotor blades is challenging, and is crucial for the development of helicopter technology. Previous aerodynamic optimizations that focused only on limited design points find it difficult to balance flight performance across the entire flight envelope. This study develops a global optimum envelope (GOE method for determining blade parameters—blade twist, taper ratio, tip sweep—for optimum rotor speed helicopters (ORS-helicopters, balancing performance improvements in hover and various freestream velocities. The GOE method implements aerodynamic blade design by a bi-level optimization, composed of a global optimization step and a secondary optimization step. Power loss as a measure of rotor performance is chosen as the objective function, referred to as direct power loss (DPL in this study. A rotorcraft comprehensive code for trim simulation with a prescribed wake method is developed. With the application of the GOE method, a DPL reduction of as high as 16.7% can be achieved in hover, and 24% at high freestream velocity.

  9. Reading Speed of Contracted French Braille

    Science.gov (United States)

    Laroche, Louise; Boule, Jacinthe; Wittich, Walter

    2012-01-01

    This study was designed to address three hypotheses: (1) The reading speed of both readers of French braille and readers of French print will be faster in the silent condition; however, this gain in speed will be larger for print readers; (2) Individuals who acquired braille before age 10 will display faster reading speeds at lower error rates…

  10. Using variable speed drives technology to reap rewards of efficient HVAC design

    International Nuclear Information System (INIS)

    Anon.

    1999-01-01

    Electric motors are continuously running at full speed with vanes and throttles used to modulate the output, in most HVAC applications. This results in an excessive wastage of electrical energy, and the solution is the variable speed drive, which can save vast amounts of energy in fans, pumps and compressors across the HVAC system. Users of traditional control methods will not benefit from the energy savings that are possible through variable speed drives because the motor speed remains the same, with the result that some, and in some cases most, of the energy drawn will be wasted. Variable speed drives are more efficient because they control output by regulating the motor speed, rather than run the motor at full speed and use restrictions to reduce the flow. Recently, small so-called micro-drives have been launched, cutting the cost for most variable speed operation. Variable speed motors can also introduce new features to the HVAC system. An example of how drives can save money and improve the indoor climate is cited for Heathrow airport. There, the gateroom was earlier controlled by modulating valves in both heater and cooler coils, with two fans that operated continuously at rated speed. This system was very inefficient because the occupancy of the gateroom varied between zero and maximum several times daily. A new system was installed using two AC drives, in which one drive controls the supply air fan and the other the return air fan. The energy savings amounted to 89% during two tests and 77% in a third. A pump installation in the district heating system of Strasbourg, Germany, showed the savings that are possible in pump applications

  11. "Defining Computer 'Speed': An Unsolved Challenge"

    CERN Multimedia

    CERN. Geneva

    2012-01-01

    Abstract: The reason we use computers is their speed, and the reason we use parallel computers is that they're faster than single-processor computers. Yet, after 70 years of electronic digital computing, we still do not have a solid definition of what computer 'speed' means, or even what it means to be 'faster'. Unlike measures in physics, where the definition of speed is rigorous and unequivocal, in computing there is no definition of speed that is universally accepted. As a result, computer customers have made purchases misguided by dubious information, computer designers have optimized their designs for the wrong goals, and computer programmers have chosen methods that optimize the wrong things. This talk describes why some of the obvious and historical ways of defining 'speed' haven't served us well, and the things we've learned in the struggle to find a definition that works. Biography: Dr. John Gustafson is a Director ...

  12. Modeling and simulation of soft sensor design for real-time speed estimation, measurement and control of induction motor.

    Science.gov (United States)

    Etien, Erik

    2013-05-01

    This paper deals with the design of a speed soft sensor for induction motor. The sensor is based on the physical model of the motor. Because the validation step highlight the fact that the sensor cannot be validated for all the operating points, the model is modified in order to obtain a fully validated sensor in the whole speed range. An original feature of the proposed approach is that the modified model is derived from stability analysis using automatic control theory. Copyright © 2012 ISA. Published by Elsevier Ltd. All rights reserved.

  13. Compensator design for improved counterbalancing in high speed atomic force microscopy

    OpenAIRE

    Bozchalooi, I. S.; Youcef-Toumi, K.; Burns, D. J.; Fantner, G. E.

    2011-01-01

    High speed atomic force microscopy can provide the possibility of many new scientific observations and applications ranging from nano-manufacturing to the study of biological processes. However, the limited imaging speed has been an imperative drawback of the atomic force microscopes. One of the main reasons behind this limitation is the excitation of the AFM dynamics at high scan speeds, severely undermining the reliability of the acquired images. In this research, we propose a piezo based, ...

  14. design and implementation of conveyor line speed synchroniser

    African Journals Online (AJOL)

    user

    2016-07-03

    Jul 3, 2016 ... This paper presents the mathematical modeling of a conveyor ... speed of action of other process machines results in ..... 3.1 Simulation Results of Dc Motor and Belt Conveyor .... Using MATLAB, SIMULINK, and Control.

  15. PERSONALITY AND SPEEDING

    Directory of Open Access Journals (Sweden)

    Richard TAY

    2003-01-01

    Full Text Available While there has been extensive research on the effect of sensation seeking on risky driving, relatively little research has been conducted on Type-A personality. The motivations for speeding are likely to be different for each group and these differences have important implications for the design, implementation and expected efficacy of road safety countermeasures. This paper examines the influence of sensation seeking and Type-A behavior pattern on speeding behaviour. A sample of 139 staff and students in an Australian university were surveyed in July 2001 to gather information on their gender, age, personality and self-reported speeding behaviour. The data were analysed using correlations and analysis of variance procedures. Finally, some implications for road safety are discussed.

  16. Self-Tuning Speed Regulator for CVC Induction Motor Drive

    DEFF Research Database (Denmark)

    Bidstrup, N.; Rasmussen, Henrik; Knudsen, Torben

    1994-01-01

    A self-tuning speed regulator for a current vector controlled induction motor drive has been designed.......A self-tuning speed regulator for a current vector controlled induction motor drive has been designed....

  17. The impact of vessel speed reduction on port accidents.

    Science.gov (United States)

    Chang, Young-Tae; Park, Hyosoo

    2016-03-19

    Reduced-speed zones (RSZs) have been designated across the world to control emissions from ships and prevent mammal strikes. While some studies have examined the effectiveness of speed reduction on emissions and mammal preservation, few have analyzed the effects of reduced ship speed on vessel safety. Those few studies have not yet measured the relationship between vessel speed and accidents by using real accident data. To fill this gap in the literature, this study estimates the impact of vessel speed reduction on vessel damages, casualties and frequency of vessel accidents. Accidents in RSZ ports were compared to non-RSZ ports by using U.S. Coast Guard data to capture the speed reduction effects. The results show that speed reduction influenced accident frequency as a result of two factors, the fuel price and the RSZ designation. Every $10 increase in the fuel price led to a 10.3% decrease in the number of accidents, and the RSZ designation reduced vessel accidents by 47.9%. However, the results do not clarify the exact impact of speed reduction on accident casualty. Copyright © 2016 Elsevier Ltd. All rights reserved.

  18. Structure Design and Performance Analysis of High-Speed Miniature Ball Bearing

    Science.gov (United States)

    Li, Songsheng; Zhang, Guoye; Chen, Bin; Shen, Yuan

    2017-07-01

    The working performances of miniature ball bearings are obviously affected by its’ geometric structure parameters. In this paper, quasi-static analysis theory is applied in the design of miniature ball bearings. Firstly, it is studied the influence of geometry structure, preload and rotating speed on the dynamic performance of bearing. Secondly, bearing dynamic characteristics are analyzed which include the bearing stiffness and Spin to roll Ratio. Lastly, the contact stress and bearing life are calculated. The results indicate that structure parameters play an importance role in bearing’s dynamic performances. Miniature ball bearings which have lager ball number, bigger ball diameter and smaller inner race groove radius can get better performances while velocity and preload have great impact on the bearing life. So that parameters of miniature bearing should be chosen cautiously.

  19. Speed regulating Effects of Incentive-based Intelligent Speed Adaptation in the short and medium term

    DEFF Research Database (Denmark)

    Agerholm, Niels

    Speed regulating Effects of Incentive-based Intelligent Speed Adaptation in the short and medium term Despite massive improvements in vehicles’ safety equipment, more information and safer road network, inappropriate road safety is still causing that more than 250 people are killed and several...... thousands injured each year in Denmark. Until a few years ago the number of fatalities in most countries had decreased while the amount of traffic increased. However, this trend has been replaced by a more uncertain development towards a constant or even somewhat increasing risk. Inappropriate speeding...... is a central cause for the high number of fatalities on the roads. Despite speed limits, speed limit violating driving behaviour is still widespread in Denmark. Traditional solutions to prevent speed violation have been enforcement, information, and enhanced road design. It seems, however, hard to achieve...

  20. The design and realization of general high-speed RAIN100B DAQ module based on powerPC MPC5200B processor

    International Nuclear Information System (INIS)

    Xue Tao; Gong Guanghua; Shao Beibei

    2010-01-01

    In order to deal with the DAQ function of nuclear electronics, department of engineering physics of Tsinghua University design and realize a general, high-speed RAIN100B DAQ module based on Freescale's PowerPC MPC5200B processor.And the RAIN100B was used on GEM detector DAQ, it can reach up to 90Mbps data speed. The result is also presented and discussed. (authors)

  1. Work zone variable speed limit systems: Effectiveness and system design issues.

    Science.gov (United States)

    2010-03-01

    Variable speed limit (VSL) systems have been used in a number of countries, particularly in Europe, as a method to improve flow and increase safety. VSLs use detectors to collect data on current traffic and/or weather conditions. Posted speed limits ...

  2. Design of a speed meter interferometer proof-of-principle experiment

    International Nuclear Information System (INIS)

    Gräf, C; Barr, B W; Bell, A S; Campbell, F; Cumming, A V; Gordon, N A; Hammond, G D; Hennig, J; Houston, E A; Huttner, S H; Jones, R A; Leavey, S S; Macarthur, J; Marwick, M; Rigby, S; Sorazu, B; Spencer, A; Danilishin, S L; Lück, H; Schilling, R

    2014-01-01

    The second generation of large scale interferometric gravitational wave (GW) detectors will be limited by quantum noise over a wide frequency range in their detection band. Further sensitivity improvements for future upgrades or new detectors beyond the second generation motivate the development of measurement schemes to mitigate the impact of quantum noise in these instruments. Two strands of development are being pursued to reach this goal, focusing both on modifications of the well-established Michelson detector configuration and development of different detector topologies. In this paper, we present the design of the world's first Sagnac speed meter (SSM) interferometer, which is currently being constructed at the University of Glasgow. With this proof-of-principle experiment we aim to demonstrate the theoretically predicted lower quantum noise in a Sagnac interferometer compared to an equivalent Michelson interferometer, to qualify SSM for further research towards an implementation in a future generation large scale GW detector, such as the planned Einstein telescope observatory. (paper)

  3. Design of two-terminal PNPN diode for high-density and high-speed memory applications

    International Nuclear Information System (INIS)

    Tong Xiaodong; Wu Hao; Liang Qingqing; Zhong Huicai; Zhu Huilong; Zhao Chao; Ye Tianchun

    2014-01-01

    A vertical two-terminal silicon PNPN diode is presented for use in a high-density memory cell. The device design for high-speed operations was studied with experiments and calibrated simulations, which proves that the proposed memory cell can be operated at nanosecond range. The static and dynamic power dissipations were also studied, which indicated the availability of the proposed memory cell for VLSI applications. Moreover, the memory cell is compatible with CMOS process, has little impact from process variation, and has good reliability. (semiconductor devices)

  4. Intrinsic Hardware Evolution for the Design and Reconfiguration of Analog Speed Controllers for a DC Motor

    Science.gov (United States)

    Gwaltney, David A.; Ferguson, Michael I.

    2003-01-01

    Evolvable hardware provides the capability to evolve analog circuits to produce amplifier and filter functions. Conventional analog controller designs employ these same functions. Analog controllers for the control of the shaft speed of a DC motor are evolved on an evolvable hardware platform utilizing a second generation Field Programmable Transistor Array (FPTA2). The performance of an evolved controller is compared to that of a conventional proportional-integral (PI) controller. It is shown that hardware evolution is able to create a compact design that provides good performance, while using considerably less functional electronic components than the conventional design. Additionally, the use of hardware evolution to provide fault tolerance by reconfiguring the design is explored. Experimental results are presented showing that significant recovery of capability can be made in the face of damaging induced faults.

  5. Control model design to limit DC-link voltage during grid fault in a dfig variable speed wind turbine

    Science.gov (United States)

    Nwosu, Cajethan M.; Ogbuka, Cosmas U.; Oti, Stephen E.

    2017-08-01

    This paper presents a control model design capable of inhibiting the phenomenal rise in the DC-link voltage during grid- fault condition in a variable speed wind turbine. Against the use of power circuit protection strategies with inherent limitations in fault ride-through capability, a control circuit algorithm capable of limiting the DC-link voltage rise which in turn bears dynamics that has direct influence on the characteristics of the rotor voltage especially during grid faults is here proposed. The model results so obtained compare favorably with the simulation results as obtained in a MATLAB/SIMULINK environment. The generated model may therefore be used to predict near accurately the nature of DC-link voltage variations during fault given some factors which include speed and speed mode of operation, the value of damping resistor relative to half the product of inner loop current control bandwidth and the filter inductance.

  6. A design of speed reducer with trapezoidal tooth profile for robot manipulator

    Energy Technology Data Exchange (ETDEWEB)

    Nam, Won Ki; Oh, Se Hoon [Chung-Ang University, Seoul (Korea, Republic of)

    2011-01-15

    Robots are increasingly performing human work as manufacturing is automated. Accordingly, the use of precision speed reducers has become essential for achieving precise control of the robot arm position. Curved tooth profiles, such as cycloid or involute tooth profiles, are generally used in precision speed reducers. Speed reducers with cycloid tooth profiles, which enable high precision control, are widely used to manipulate robot systems. This study proposes a speed reducer that has a trapezoidal tooth profile with straight lines. In this work, we mechanically analyzed trapezoidal tooth profiles, and then measured performance was by various tests using a prototype manufactured specifically for this study.

  7. A design of speed reducer with trapezoidal tooth profile for robot manipulator

    International Nuclear Information System (INIS)

    Nam, Won Ki; Oh, Se Hoon

    2011-01-01

    Robots are increasingly performing human work as manufacturing is automated. Accordingly, the use of precision speed reducers has become essential for achieving precise control of the robot arm position. Curved tooth profiles, such as cycloid or involute tooth profiles, are generally used in precision speed reducers. Speed reducers with cycloid tooth profiles, which enable high precision control, are widely used to manipulate robot systems. This study proposes a speed reducer that has a trapezoidal tooth profile with straight lines. In this work, we mechanically analyzed trapezoidal tooth profiles, and then measured performance was by various tests using a prototype manufactured specifically for this study

  8. Simulation and design of omni-directional high speed multibeam transmitter system

    Science.gov (United States)

    Tang, Jaw-Luen; Jui, Ping-Chang; Wang, Sun-Chen

    2006-09-01

    For future high speed indoor wireless communication, diffuse wireless optical communications offer more robust optical links against shadowing than line-of-sight links. However, their performance may be degraded by multipath dispersion resulting from surface reflections. We have developed a multipath diffusive propagation model capable of providing channel impulse responses data. It is aimed to design and simulate any multi-beam transmitter under a variety of indoor environments. In this paper, a multi-beam transmitter system with semi-sphere structure is proposed to combat the diverse effects of multipath distortion albeit, at the cost of increased laser power and cost. Simulation results of multiple impulse responses showed that this type of multi-beam transmitter can significantly improve the performance of BER suitable for high bit rate application. We present the performance and simulation results for both line-of-sight and diffuse link configurations.

  9. Design, construction and testing of annular diffusers for high speed civil transportation combustor applications

    Science.gov (United States)

    Okhio, Cyril B.

    1995-01-01

    A theoretical and an experimental design study of subsonic flow through curved-wall annular diffusers is being carried out in order to establish the most pertinent design parameters for such devices and the implications of their application in the design of engine components in the aerospace industries. This investigation consists of solving numerically the full Navier Stokes and Continuity equations for the time-mean flow. Various models of turbulence are being evaluated for adoption throughout the study and comparisons would be made with experimental data where they exist. Assessment of diffuser performance based on the dissipated mechanical energy would also be made. The experimental work involves the application of Computer Aided Design software tool to the development of a suitable annular diffuser geometry and the subsequent downloading of such data to a CNC machine at Central State University. The results of the investigations are expected to indicate that more cost effective component design of such devices as effective component design of such devices as diffusers which normally contain complex flows can still be achieved. In this regard a review paper was accepted and presented at the First International Conference on High Speed Civil Transportation Research held at North Carolina A&T in December of 1994.

  10. Design of an Omnidirectional Multibeam Transmitter for High-Speed Indoor Wireless Communications

    Directory of Open Access Journals (Sweden)

    Tang Jaw-Luen

    2010-01-01

    Full Text Available For future high speed indoor wireless communication, diffuse wireless optical communications offer more robust optical links against shadowing than line-of-sight links. However, their performance may be degraded by multipath dispersion arising from surface reflections. We have developed a multipath diffusive propagation model capable of providing channel impulse responses data. It is aimed to design and simulate any multibeam transmitter under a variety of indoor environments. In this paper, a multi-beam transmitter system associated with hemisphere structure is proposed to fight against the diverse effects of multipath distortion albeit, at the cost of increased laser power and cost. Simulation results of multiple impulse responses showed that this type of multi-beam transmitter can significantly improve the performance of BER suitable for high bit rate application. We present the performance and simulation results for both line-of-sight and diffuse link configurations. We propose a design of power radiation pattern for a transmitter in achieving uniform and full coverage of power distributions for diffuse indoor optical wireless systems.

  11. Conceptual design of a high-speed electromagnetic switch for a modified flux-coupling-type SFCL and its application in renewable energy system.

    Science.gov (United States)

    Chen, Lei; Chen, Hongkun; Yang, Jun; Shu, Zhengyu; He, Huiwen; Shu, Xin

    2016-01-01

    The modified flux-coupling-type superconducting fault current (SFCL) is a high-efficient electrical auxiliary device, whose basic function is to suppress the short-circuit current by controlling the magnetic path through a high-speed switch. In this paper, the high-speed switch is based on electromagnetic repulsion mechanism, and its conceptual design is carried out to promote the application of the modified SFCL. Regarding that the switch which is consisting of a mobile copper disc, two fixed opening and closing coils, the computational method for the electromagnetic force is discussed, and also the dynamic mathematical model including circuit equation, magnetic field equation as well as mechanical motion equation is theoretically deduced. According to the mathematical modeling and calculation of characteristic parameters, a feasible design scheme is presented, and the high-speed switch's response time can be less than 0.5 ms. For that the modified SFCL is equipped with this high-speed switch, the SFCL's application in a 10 kV micro-grid system with multiple renewable energy sources are assessed in the MATLAB software. The simulations are well able to affirm the SFCL's performance behaviors.

  12. Hydraulic performance numerical simulation of high specific speed mixed-flow pump based on quasi three-dimensional hydraulic design method

    International Nuclear Information System (INIS)

    Zhang, Y X; Su, M; Hou, H C; Song, P F

    2013-01-01

    This research adopts the quasi three-dimensional hydraulic design method for the impeller of high specific speed mixed-flow pump to achieve the purpose of verifying the hydraulic design method and improving hydraulic performance. Based on the two families of stream surface theory, the direct problem is completed when the meridional flow field of impeller is obtained by employing iterative calculation to settle the continuity and momentum equation of fluid. The inverse problem is completed by using the meridional flow field calculated in the direct problem. After several iterations of the direct and inverse problem, the shape of impeller and flow field information can be obtained finally when the result of iteration satisfies the convergent criteria. Subsequently the internal flow field of the designed pump are simulated by using RANS equations with RNG k-ε two-equation turbulence model. The static pressure and streamline distributions at the symmetrical cross-section, the vector velocity distribution around blades and the reflux phenomenon are analyzed. The numerical results show that the quasi three-dimensional hydraulic design method for high specific speed mixed-flow pump improves the hydraulic performance and reveal main characteristics of the internal flow of mixed-flow pump as well as provide basis for judging the rationality of the hydraulic design, improvement and optimization of hydraulic model

  13. Design and characterization of high-speed CMOS pseudo-LVDS transceivers

    International Nuclear Information System (INIS)

    Kondratenko, S V

    2016-01-01

    High-speed transceiver for on-board systems of data collection and processing need to meet additional requirements, such as low power consumption and increased radiation hardness. It is therefore necessary to compare and search for alternative variants of transceivers on the physical layer, where high transfer speed is not achieved at the cost of a significant increase in power consumption or a limitation of transmission distance by the size of a printed circuit board. For on-board applications, it is also necessary to solve the problem of increasing the radiation hardness without going to expensive types of technology. In this paper, we studied some variants of implementation of pseudo-LVDS transceivers and analyzed their achievable quantitative characteristics. According to the results of calculations and analysis of the literature, specialized transceivers of this type, intended for the manufacture or manufactured according to the bulk CMOS technology processes in the range of 250-80 nm, can provide data speeds up to 6 Gbps at a specific power consumption of less than 4 mW/Gbps. (paper)

  14. Design and characterization of high-speed CMOS pseudo-LVDS transceivers

    Science.gov (United States)

    Kondratenko, S. V.

    2016-02-01

    High-speed transceiver for on-board systems of data collection and processing need to meet additional requirements, such as low power consumption and increased radiation hardness. It is therefore necessary to compare and search for alternative variants of transceivers on the physical layer, where high transfer speed is not achieved at the cost of a significant increase in power consumption or a limitation of transmission distance by the size of a printed circuit board. For on-board applications, it is also necessary to solve the problem of increasing the radiation hardness without going to expensive types of technology. In this paper, we studied some variants of implementation of pseudo-LVDS transceivers and analyzed their achievable quantitative characteristics. According to the results of calculations and analysis of the literature, specialized transceivers of this type, intended for the manufacture or manufactured according to the bulk CMOS technology processes in the range of 250-80 nm, can provide data speeds up to 6 Gbps at a specific power consumption of less than 4 mW/Gbps.

  15. Self streamlining wind tunnel: Further low speed testing and final design studies for the transonic facility

    Science.gov (United States)

    Wolf, S. W. D.

    1978-01-01

    Work was continued with the low speed self streamlining wind tunnel (SSWT) using the NACA 0012-64 airfoil in an effort to explain the discrepancies between the NASA Langley low turbulence pressure tunnel (LTPT) and SSWT results obtained with the airfoil stalled. Conventional wind tunnel corrections were applied to straight wall SSWT airfoil data, to illustrate the inadequacy of standard correction techniques in circumstances of high blockage. Also one SSWT test was re-run at different air speeds to investigate the effects of such changes (perhaps through changes in Reynold's number and freestream turbulence levels) on airfoil data and wall contours. Mechanical design analyses for the transonic self-streamlining wind tunnel (TSWT) were completed by the application of theoretical airfoil flow field data to the elastic beam and streamline analysis. The control system for the transonic facility, which will eventually allow on-line computer operation of the wind tunnel, was outlined.

  16. Overview of Variable-Speed Power-Turbine Research

    Science.gov (United States)

    Welch, Gerard E.

    2011-01-01

    The vertical take-off and landing (VTOL) and high-speed cruise capability of the NASA Large Civil Tilt-Rotor (LCTR) notional vehicle is envisaged to enable increased throughput in the national airspace. A key challenge of the LCTR is the requirement to vary the main rotor speeds from 100% at take-off to near 50% at cruise as required to minimize mission fuel burn. The variable-speed power-turbine (VSPT), driving a fixed gear-ratio transmission, provides one approach for effecting this wide speed variation. The key aerodynamic and rotordynamic challenges of the VSPT were described in the FAP Conference presentation. The challenges include maintaining high turbine efficiency at high work factor, wide (60 deg.) of incidence variation in all blade rows due to the speed variation, and operation at low Reynolds numbers (with transitional flow). The PT -shaft of the VSPT must be designed for safe operation in the wide speed range required, and therefore poses challenges associated with rotordynamics. The technical challenges drive research activities underway at NASA. An overview of the NASA SRW VSPT research activities was provided. These activities included conceptual and preliminary aero and mechanical (rotordynamics) design of the VSPT for the LCTR application, experimental and computational research supporting the development of incidence tolerant blading, and steps toward component-level testing of a variable-speed power-turbine of relevance to the LCTR application.

  17. Design of a Fatigue Detection System for High-Speed Trains Based on Driver Vigilance Using a Wireless Wearable EEG

    Directory of Open Access Journals (Sweden)

    Xiaoliang Zhang

    2017-03-01

    Full Text Available The vigilance of the driver is important for railway safety, despite not being included in the safety management system (SMS for high-speed train safety. In this paper, a novel fatigue detection system for high-speed train safety based on monitoring train driver vigilance using a wireless wearable electroencephalograph (EEG is presented. This system is designed to detect whether the driver is drowsiness. The proposed system consists of three main parts: (1 a wireless wearable EEG collection; (2 train driver vigilance detection; and (3 early warning device for train driver. In the first part, an 8-channel wireless wearable brain-computer interface (BCI device acquires the locomotive driver’s brain EEG signal comfortably under high-speed train-driving conditions. The recorded data are transmitted to a personal computer (PC via Bluetooth. In the second step, a support vector machine (SVM classification algorithm is implemented to determine the vigilance level using the Fast Fourier transform (FFT to extract the EEG power spectrum density (PSD. In addition, an early warning device begins to work if fatigue is detected. The simulation and test results demonstrate the feasibility of the proposed fatigue detection system for high-speed train safety.

  18. Design study and performance analysis of a high-speed multistage variable-geometry fan for a variable cycle engine

    Science.gov (United States)

    Sullivan, T. J.; Parker, D. E.

    1979-01-01

    A design technology study was performed to identify a high speed, multistage, variable geometry fan configuration capable of achieving wide flow modulation with near optimum efficiency at the important operating condition. A parametric screening study of the front and rear block fans was conducted in which the influence of major fan design features on weight and efficiency was determined. Key design parameters were varied systematically to determine the fan configuration most suited for a double bypass, variable cycle engine. Two and three stage fans were considered for the front block. A single stage, core driven fan was studied for the rear block. Variable geometry concepts were evaluated to provide near optimum off design performance. A detailed aerodynamic design and a preliminary mechanical design were carried out for the selected fan configuration. Performance predictions were made for the front and rear block fans.

  19. Design of analog-type high-speed SerDes using digital components for optical chip-to-chip link

    Science.gov (United States)

    Sangirov, Jamshid; Nguyen, Nga T. H.; Ngo, Trong-Hieu; Im, Dong-min; Ukaegbu, Augustine I.; Lee, Tae-Woo; Cho, Mu Hee; Park, Hyo-Hoon

    2010-02-01

    An analog-type high-speed serializer/deserializer (SerDes) has been designed for optical links especially between CPU and memory. The circuit uses a system clock and its phases to multiplex data to the serial link which avoids the need for a PLL-based high frequency clock generation used in serializing parallel data as in conventional SerDes design. The multiplexed link combined with the de-serializing clock is used as a reference signal for de-serialization. The SerDes is being designed in a 0.13 μm Si-CMOS technology. The fabricated serializer has a core chip size of 360 x750 μm2. Power dissipation for the SerDes is 71.4 mW operating up to 6.5 Gbps.

  20. Cadence® High-Speed PCB Layout Flow Workshop

    CERN Document Server

    2003-01-01

    Last release of Cadence High-Speed PCB Design methodology (PE142) based on Concept-HDL schematic editor, Constraint Manager, SPECCTRAQuest signal integrity analysis tool and ALLEGRO layout associated with SPECCTRA auto router tools, is now enough developed and stable to be taken into account for high-speed board designs at CERN. The implementation of this methodology, build around the new Constraint Manager program, is essential when you have to develop a board having a lot of high-speed design rules such as terminated lines, large bus structures, maximum length, timing, crosstalk etc.. that could not be under control by traditional method. On more conventional designs, formal aspect of the methodology could avoid misunderstanding between hardware and ALLEGRO layout designers, minimizing prototype iteration, development time and price. The capability to keep trace of the original digital designer intents in schematic or board layout, loading formal constraints in EDMS, could also be considered for LHC electro...

  1. Control design for axial flux permanent magnet synchronous motor which operates above the nominal speed

    Directory of Open Access Journals (Sweden)

    Xuan Minh Tran

    2017-04-01

    Full Text Available The axial flux permanent magnet synchronous motor (AFPM motor using magnet bearings instead of ball-bearings at both two shaft ends could allow rotational speed of shaft much greater than nominal speed. One of the solutions to increase motor speed higher than its nameplate speed is reducing rotor’s pole magnetic flux of rotor (Yp. This paper proposes a method to boost the speed of AFPM motor above nominal speed by adding a reversed current isd of (Yp.

  2. Design And Analysis Of Doppler Radar-Based Vehicle Speed Detection

    Directory of Open Access Journals (Sweden)

    Su Myat Paing

    2015-08-01

    Full Text Available The most unwanted thing to happen to a road user is road accident. Most of the fatal accidents occur due to over speeding. Faster vehicles are more prone to accident than the slower one. Among the various methods for detecting speed of the vehicle object detection systems based on Radar have been replaced for about a century for various purposes like detection of aircrafts spacecraft ships navigation reading weather formations and terrain mapping. The essential feature in adaptive vehicle activated sign systems is the accurate measurement of a vehicles velocity. The velocities of the vehicles are acquired from a continuous wave Doppler radar. A very low amount of power is consumed in this system and only batteries can use to operate. The system works on the principle of Doppler Effect by detecting the Doppler shift in microwaves reflected from a moving object. Since the output of the sensor is sinusoidal wave with very small amplitude and needs to be amplified with the help of the amplifier before further processing. The purpose to calculate and display the speed on LCD is performed by the microcontroller.

  3. Optimized design on condensing tubes high-speed TIG welding technology magnetic control based on genetic algorithm

    Science.gov (United States)

    Lu, Lin; Chang, Yunlong; Li, Yingmin; Lu, Ming

    2013-05-01

    An orthogonal experiment was conducted by the means of multivariate nonlinear regression equation to adjust the influence of external transverse magnetic field and Ar flow rate on welding quality in the process of welding condenser pipe by high-speed argon tungsten-arc welding (TIG for short). The magnetic induction and flow rate of Ar gas were used as optimum variables, and tensile strength of weld was set to objective function on the base of genetic algorithm theory, and then an optimal design was conducted. According to the request of physical production, the optimum variables were restrained. The genetic algorithm in the MATLAB was used for computing. A comparison between optimum results and experiment parameters was made. The results showed that the optimum technologic parameters could be chosen by the means of genetic algorithm with the conditions of excessive optimum variables in the process of high-speed welding. And optimum technologic parameters of welding coincided with experiment results.

  4. Cavitation performance improvement of high specific speed mixed-flow pump

    International Nuclear Information System (INIS)

    Chen, T; Sun, Y B; Wu, D Z; Wang, L Q

    2012-01-01

    Cavitation performance improvement of large hydraulic machinery such as pump and turbine has been a hot topic for decades. During the design process of the pumps, in order to minimize size, weight and cost centrifugal and mixed-flow pump impellers are required to operate at the highest possible rotational speed. The rotational speed is limited by the phenomenon of cavitation. The hydraulic model of high-speed mixed-flow pump with large flow rate and high pumping head, which was designed based on the traditional method, always involves poor cavitation performance. In this paper, on the basis of the same hydraulic design parameters, two hydraulic models of high-speed mixed-flow pump were designed by using different methods, in order to investigate the cavitation and hydraulic performance of the two models, the method of computational fluid dynamics (CFD) was adopted for internal flow simulation of the high specific speed mixed-flow pump. Based on the results of numerical simulation, the influences of impeller parameters and three-dimensional configuration on pressure distribution of the blades' suction surfaces were analyzed. The numerical simulation results shows a better pressure distribution and lower pressure drop around the leading edge of the improved model. The research results could provide references to the design and optimization of the anti-cavitation blade.

  5. Low-Speed Stability-and-Control and Ground-Effects Measurements on the Industry Reference High Speed Civil Transport

    Science.gov (United States)

    Kemmerly, Guy T.; Campbell, Bryan A.; Banks, Daniel W.; Yaros, Steven F.

    1999-01-01

    As a part of a national effort to develop an economically feasible High Speed Civil Transport (HSCT), a single configuration has been accepted as the testing baseline by the organizations working in the High Speed Research (HSR) program. The configuration is based on a design developed by the Boeing Company and is referred to as the Reference H (Ref H). The data contained in this report are low-speed stability-and-control and ground-effect measurements obtained on a 0.06 scale model of the Ref H in a subsonic tunnel.

  6. Results of an Advanced Fan Stage Operating Over a Wide Range of Speed and Bypass Ratio. Part 1; Fan Stage Design and Experimental Results

    Science.gov (United States)

    Suder, Kenneth L.; Prahst, Patricia S.; Thorp, Scott A.

    2011-01-01

    NASA s Fundamental Aeronautics Program is investigating turbine-based combined cycle (TBCC) propulsion systems for access to space because it provides the potential for aircraft-like, space-launch operations that may significantly reduce launch costs and improve safety. To this end, National Aeronautics and Space Administration (NASA) and General Electric (GE) teamed to design a Mach 4 variable cycle turbofan/ramjet engine for access to space. To enable the wide operating range of a Mach 4+ variable cycle turbofan ramjet required the development of a unique fan stage design capable of multi-point operation to accommodate variations in bypass ratio (10 ), fan speed (7 ), inlet mass flow (3.5 ), inlet pressure (8 ), and inlet temperature (3 ). In this paper, NASA has set out to characterize a TBCC engine fan stage aerodynamic performance and stability limits over a wide operating range including power-on and hypersonic-unique "windmill" operation. Herein, we will present the fan stage design, and the experimental test results of the fan stage operating from 15 to 100 percent corrected design speed. Whereas, in the companion paper, we will provide an assessment of NASA s APNASA code s ability to predict the fan stage performance and operability over a wide range of speed and bypass ratio.

  7. Modelling and Design of a 3 kW Permanent Magnet Synchronous Generator suitable for Variable Speed Small Wind Turbines

    Directory of Open Access Journals (Sweden)

    Acharya Parash

    2016-01-01

    Full Text Available This paper presents the modeling and design of a 3 kW Permanent Magnet Synchronous Generator (PMSG used for a variable speed wind turbine. Initially, the PMSG is modeled in the d-q reference frame. Different optimized parameters of the generator are extracted from the design and used in simulation of the PMSG. The generator output power is matched with the power of the turbine such that the generator is not either over-sized or under-sized.

  8. Analytical study of interior noise control by fuselage design techniques on high-speed, propeller-driven aircraft

    Science.gov (United States)

    Revell, J. D.; Balena, F. J.; Koval, L. R.

    1980-01-01

    The acoustical treatment mass penalties required to achieve an interior noise level of 80 dBA for high speed, fuel efficient propfan-powered aircraft are determined. The prediction method used is based on theory developed for the outer shell dynamics, and a modified approach for add-on noise control element performance. The present synthesis of these methods is supported by experimental data. Three different sized aircraft are studied, including a widebody, a narrowbody and a business sized aircraft. Noise control penalties are calculated for each aircraft for two kinds of noise control designs: add-on designs, where the outer wall structure cannot be changed, and advanced designs where the outer wall stiffness level and the materials usage can be altered. For the add-on designs, the mass penalties range from 1.7 to 2.4 percent of the takeoff gross weight (TOGW) of the various aircraft, similar to preliminary estimates. Results for advanced designs show significant reductions of the mass penalties. For the advanced aluminum designs the penalties are 1.5% of TOGW, and for an all composite aircraft the penalties range from 0.74 to 1.4% of TOGW.

  9. Design, Construction and Evaluation of an Interchangeable Digital System to Measure Slip and Ground Speed of Existing 2WD Tractors in Iran

    Directory of Open Access Journals (Sweden)

    M Khosravi

    2012-05-01

    Full Text Available The majority of existing tractors in Iran are not equipped with any tools to measure and display slip and ground speed. This is mainly due to the lack of national standards for measuring tools and instruments of tractors. In current research, an interchangeable system for two wheel drive tractors has been designed. Furthermore, it has been assessed after construction. To measure actual and theoretical ground speed, four rotary encoders for sensing the rotation of front and rear wheels have been utilized. Slip and ground speed were measured by means of software which has been developed in an ATmega16PU microprocessor. The measured slip and speed are digitally displayed on tractor dashboard. To evaluate the performance of the system, the measured values of ground speed and slip were compared with their calculated values obtained from conventional method. The Micro-controller has been programmed in such a way that the effect of front wheel sliding on slip is eliminated. In all evaluation conditions (in field and on asphalt, the maximum difference between system measurements for slip and speed and calculated slip and speed via conventional method was 2.4% and 0.2 km h-1, respectively. With slight alteration this system can be fitted on any kind of exiting two wheel drive tractors in the country.

  10. SPEED COMPLIANCE IN FREEWAY VARIABLE SPEED LIMIT SYSTEM – CASE STUDY OF THE PRAGUE CITY RING

    Directory of Open Access Journals (Sweden)

    Michał MATOWICKI

    2016-03-01

    Full Text Available Many previous studies have confirmed the strong relationship between speed compliance and the frequency and severity of traffic accidents. Variable speed limit (VSL system as a measure to improve traffic safety enables the freeway system to change its posted speed limit based on various traffic and environmental conditions. Such system helps drivers to recognize the upcoming events, to adjust their driving style and in such way to address speed variation of the traffic flow. This is called speed harmonization. Although many studies researching the effect of VSL system on the traffic stream can be found, there are only few addressing its influence on the drivers behavior, particularly focusing on their tolerance limit and compliance, which has crucial meaning for future design of controlling algorithms. This study was prepared to inspect this grey area by studying the data from the VSL system at Prague city ring, describing the influence of the highway management system and its influence on drivers.

  11. Environmentally friendly drive for gas compression applications: enhanced design of high-speed induction motors

    Energy Technology Data Exchange (ETDEWEB)

    Rodrigues, Karina Velloso; Pradurat, Jean Francois; Mercier, Jean Charles [Institut National Polytechncique, Lorrain (France). Converteam Motors Div.; Truchot, Patrick [Nancy Universite (France). Equipe de Recherche sur les Processus Innovatifs (ERPI)

    2008-07-01

    Taking into account the key issues faced by gas compressors users, this paper aims to help optimize the choice of the drive equipment as well as the driven equipment, in function of the cost of the whole installation life cycle. The design of the enhanced high-speed induction motor (MGV-Moteuer a Grande Vitesse) represents a technological breakthrough for the industry, it allows the direct coupling to the compressor, without using a gearbox making the system more efficient and reliable. From both micro and macro-economic viewpoints, the high-speed electric driver becomes a more efficient use of natural gas energy resources. This new technology associated with the electric option offers challenging and rewarding work to those responsible for the operation and maintenance of the compressor station. The electric option is not only conceptually viable but has a proven track record that justifies serious consideration as an alternative for reliably powering. Once an operator becomes comfortable with the prospects of motor-driven compression, the analysis of machine options requires only a few new approaches to fairly evaluate the alternatives. The application of this reasoning in projects using compression units is especially opportune, in view of the great variations of operational conditions and environmental issues. (author)

  12. Structural integrity of power generating speed bumps made of concrete foam composite

    Science.gov (United States)

    Syam, B.; Muttaqin, M.; Hastrino, D.; Sebayang, A.; Basuki, W. S.; Sabri, M.; Abda, S.

    2018-02-01

    In this paper concrete foam composite speed bumps were designed to generate electrical power by utilizing the movements of commuting vehicles on highways, streets, parking gates, and drive-thru station of fast food restaurants. The speed bumps were subjected to loadings generated by vehicles pass over the power generating mechanical system. In this paper, we mainly focus our discussion on the structural integrity of the speed bumps and discuss the electrical power generating speed bumps in another paper. One aspect of structural integrity is its ability to support designed loads without breaking and includes the study of past structural failures in order to prevent failures in future designs. The concrete foam composites were used for the speed bumps; the reinforcement materials are selected from empty fruit bunch of oil palm. In this study, the speed bump materials and structure were subjected to various tests to obtain its physical and mechanical properties. To analyze the structure stability of the speed bumps some models were produced and tested in our speed bump test station. We also conduct a FEM-based computer simulation to analyze stress responses of the speed bump structures. It was found that speed bump type 1 significantly reduced the radial voltage. In addition, the speed bump is equipped with a steel casing is also suitable for use as a component component in generating electrical energy.

  13. Highball: A high speed, reserved-access, wide area network

    Science.gov (United States)

    Mills, David L.; Boncelet, Charles G.; Elias, John G.; Schragger, Paul A.; Jackson, Alden W.

    1990-01-01

    A network architecture called Highball and a preliminary design for a prototype, wide-area data network designed to operate at speeds of 1 Gbps and beyond are described. It is intended for applications requiring high speed burst transmissions where some latency between requesting a transmission and granting the request can be anticipated and tolerated. Examples include real-time video and disk-disk transfers, national filestore access, remote sensing, and similar applications. The network nodes include an intelligent crossbar switch, but have no buffering capabilities; thus, data must be queued at the end nodes. There are no restrictions on the network topology, link speeds, or end-end protocols. The end system, nodes, and links can operate at any speed up to the limits imposed by the physical facilities. An overview of an initial design approach is presented and is intended as a benchmark upon which a detailed design can be developed. It describes the network architecture and proposed access protocols, as well as functional descriptions of the hardware and software components that could be used in a prototype implementation. It concludes with a discussion of additional issues to be resolved in continuing stages of this project.

  14. Design and FPGA-implementation of an improved adaptive fuzzy logic controller for DC motor speed control

    Directory of Open Access Journals (Sweden)

    E.A. Ramadan

    2014-09-01

    Full Text Available This paper presents an improved adaptive fuzzy logic speed controller for a DC motor, based on field programmable gate array (FPGA hardware implementation. The developed controller includes an adaptive fuzzy logic control (AFLC algorithm, which is designed and verified with a nonlinear model of DC motor. Then, it has been synthesised, functionally verified and implemented using Xilinx Integrated Software Environment (ISE and Spartan-3E FPGA. The performance of this controller has been successfully validated with good tracking results under different operating conditions.

  15. Experimental analysis of flow structure in contra-rotating axial flow pump designed with different rotational speed concept

    Science.gov (United States)

    Cao, Linlin; Watanabe, Satoshi; Imanishi, Toshiki; Yoshimura, Hiroaki; Furukawa, Akinori

    2013-08-01

    As a high specific speed pump, the contra-rotating axial flow pump distinguishes itself in a rear rotor rotating in the opposite direction of the front rotor, which remarkably contributes to the energy conversion, the reduction of the pump size, better hydraulic and cavitation performances. However, with two rotors rotating reversely, the significant interaction between blade rows was observed in our prototype contra-rotating rotors, which highly affected the pump performance compared with the conventional axial flow pumps. Consequently, a new type of rear rotor was designed by the rotational speed optimization methodology with some additional considerations, aiming at better cavitation performance, the reduction of blade rows interaction and the secondary flow suppression. The new rear rotor showed a satisfactory performance at the design flow rate but an unfavorable positive slope of the head — flow rate curve in the partial flow rate range less than 40% of the design flow rate, which should be avoided for the reliability of pump-pipe systems. In the present research, to understand the internal flow field of new rear rotor and its relation to the performances at the partial flow rates, the velocity distributions at the inlets and outlets of the rotors are firstly investigated. Then, the boundary layer flows on rotor surfaces, which clearly reflect the secondary flow inside the rotors, are analyzed through the limiting streamline observations using the multi-color oil-film method. Finally, the unsteady numerical simulations are carried out to understand the complicated internal flow structures in the rotors.

  16. Optimization of powered Stirling heat engine with finite speed thermodynamics

    International Nuclear Information System (INIS)

    Ahmadi, Mohammad H.; Ahmadi, Mohammad Ali; Pourfayaz, Fathollah; Bidi, Mokhtar; Hosseinzade, Hadi; Feidt, Michel

    2016-01-01

    Highlights: • Based on finite speed method and direct method, the optimal performance is investigated. • The effects of major parameters on the optimal performance are investigated. • The accuracy of the results was compared with previous works. - Abstract: Popular thermodynamic analyses including finite time thermodynamic analysis was lately developed based upon external irreversibilities while internal irreversibilities such as friction, pressure drop and entropy generation were not considered. The aforementioned disadvantage reduces the reliability of the finite time thermodynamic analysis in the design of an accurate Stirling engine model. Consequently, the finite time thermodynamic analysis could not sufficiently satisfy researchers for implementing in design and optimization issues. In this study, finite speed thermodynamic analysis was employed instead of finite time thermodynamic analysis for studying Stirling heat engine. The finite speed thermodynamic analysis approach is based on the first law of thermodynamics for a closed system with finite speed and the direct method. The effects of heat source temperature, regenerating effectiveness, volumetric ratio, piston stroke as well as rotational speed are included in the analysis. Moreover, maximum output power in optimal rotational speed was calculated while pressure losses in the Stirling engine were systematically considered. The result reveals the accuracy and the reliability of the finite speed thermodynamic method in thermodynamic analysis of Stirling heat engine. The outcomes can help researchers in the design of an appropriate and efficient Stirling engine.

  17. Pitch Motion Stabilization by Propeller Speed Control Using Statistical Controller Design

    DEFF Research Database (Denmark)

    Nakatani, Toshihiko; Blanke, Mogens; Galeazzi, Roberto

    2006-01-01

    This paper describes dynamics analysis of a small training boat and a possibility of ship pitch stabilization by control of propeller speed. After upgrading the navigational system of an actual small training boat, in order to identify the model of the ship, the real data collected by sea trials...... were used for statistical analysis and system identification. This analysis shows that the pitching motion is indeed influenced by engine speed and it is suggested that there exists a possibility of reducing the pitching motion by properly controlling the engine throttle. Based on this observation...

  18. Some design aspects of high-speed vertical-axis wind turbines

    National Research Council Canada - National Science Library

    Templin, R. J; South, P

    1977-01-01

    ... (rotor height to diameter ratio, solidity, number of blades, etc.) for high-speed vertical-axis wind turbines from kilowatt to megawatt sizes and shows that very large turbines are theoretically feasible...

  19. Conceptual design of high speed supersonic aircraft: A brief review on SR-71 (Blackbird) aircraft

    Science.gov (United States)

    Xue, Hui; Khawaja, H.; Moatamedi, M.

    2014-12-01

    The paper presents the conceptual design of high-speed supersonic aircraft. The study focuses on SR-71 (Blackbird) aircraft. The input to the conceptual design is a mission profile. Mission profile is a flight profile of the aircraft defined by the customer. This paper gives the SR-71 aircraft mission profile specified by US air force. Mission profile helps in defining the attributes the aircraft such as wing profile, vertical tail configuration, propulsion system, etc. Wing profile and vertical tail configurations have direct impact on lift, drag, stability, performance and maneuverability of the aircraft. A propulsion system directly influences the performance of the aircraft. By combining the wing profile and the propulsion system, two important parameters, known as wing loading and thrust to weight ratio can be calculated. In this work, conceptual design procedure given by D. P. Raymer (AIAA Educational Series) is applied to calculate wing loading and thrust to weight ratio. The calculated values are compared against the actual values of the SR-71 aircraft. Results indicates that the values are in agreement with the trend of developments in aviation.

  20. Drivers' perceptions regarding speeding and driving on urban residential streets with a 30 km/h speed limit

    Directory of Open Access Journals (Sweden)

    Do Duy Dinh

    2013-07-01

    Full Text Available Previous studies have shown very little information regarding drivers' opinions, attitudes and behaviours with respect to speeding and driving on urban residential streets with a 30 km/h speed limit. The present research aims to address this issue by conducting a questionnaire study with a sample of 367 Japanese drivers. The results showed that drivers tended to have positive beliefs about complying with the 30 km/h speed limit and understand the negative consequences of speeding; however, a majority of the drivers considered breaking the speed limit as a way to reduce their travel time. While the extent of speeding was found to be very serious, a number of drivers still supported the use of a 30 km/h speed limit on residential streets and favoured protecting the right of vulnerable street users. The logistic regression models developed in this study identified that the drivers who did not support the 30 km/h speed limit were associated with those who had committed traffic-law violations, who had negative beliefs about complying with the speed limit, who did not consider residents' opinions, who believed it is acceptable for them to drive at a high speed, and who felt it difficult to refrain from speeding. With regard to anti-speeding countermeasures, under drivers' point of view, streets should be designed to make the 30 km/h speed limit more credible, although this study also showed evidence supporting the application of public awareness programmes and social campaigns as speeding interventions. In addition, this research investigated drivers' speed choices in various specific driving circumstances, and six underlying factors affecting drivers' speed choices were determined. On the basic of the findings, the implications and suggestions for speeding interventions were also discussed.

  1. Time response analysis in suspension system design of a high-speed car

    Science.gov (United States)

    Pagwiwoko, Cosmas Pandit

    2010-03-01

    A land speed record vehicle is designed to run on a flat surface like salt lake where the wheels are normally made from solid metal with a special suspension system. The suspension is designed to provide a stable platform to keep the wheel treads on tract, to insulate the car and the driver from the surface irregularities and to take part of good handling properties. The surface condition of the lake beds is basically flat without undulations but with inconsistent surface textures and ridges. Spring with nonlinear rate is used with the reason that the resistance builds up roughly proportional to the aerodynamic download for keeping the height more nearly constant. The objective of the work is to produce an efficient method for assisting the design of suspension system. At the initial step, the stiffness and the damping constants are determined based on RMS optimization by following the optimization strategy i.e. to minimize the absolute acceleration respect to the relative displacement of the suspension. Power bond graph technique is then used to model the nonlinearity of the components i.e. spring and dashpot of the suspension system. This technique also enables to incorporate the interactions of dynamic response of the vehicle's body with aerodynamic flow as a result of the base excitation of the ground to the wheels. The simulation is conducted on the platform of Simulink-MATLAB and the interactions amongst the components within the system are observed in time domain to evaluate the effectiveness of the suspension.

  2. 76 FR 31454 - Special Conditions: Gulfstream Model GVI Airplane; Automatic Speed Protection for Design Dive Speed

    Science.gov (United States)

    2011-06-01

    ... high level of reliability. Discussion of Comments Notice of proposed special conditions No. 25-11-04-SC.... Twenty seconds after initiating the upset, manual recovery is made at a load factor of 1.5 g (0.5... flight manual instructions must be provided to reduce the maximum operating speeds, V MO /M MO . The...

  3. Report on dynamic speed harmonization and queue warning algorithm design.

    Science.gov (United States)

    2014-02-01

    This report provides a detailed description of the algorithms that will be used to generate harmonized recommended speeds : and queue warning information in the proposed Intelligent Network Flow Optimization (INFLO) prototype. This document : describ...

  4. Implementation of FPGA based PID Controller for DC Motor Speed Control System

    Directory of Open Access Journals (Sweden)

    Savita SONOLI

    2010-03-01

    Full Text Available In this paper, the implementation of software module using ‘VHDL’ for Xilinx FPGA (XC3S400 based PID controller for DC motor speed control system is presented. The tools used for building and testing the software modules are Xilinx ISE 9.2i and ModelSim XE III 6.3c. Before verifying the design on FPGA the complete design is simulated using Modelsim Simulation tool. A test bench is written where the set speed can be changed for the motor. It is observed that the motor speed gradually changes to the set speed and locks to the set speed.

  5. Ultrahigh-speed X-ray imaging of hypervelocity projectiles

    Science.gov (United States)

    Miller, Stuart; Singh, Bipin; Cool, Steven; Entine, Gerald; Campbell, Larry; Bishel, Ron; Rushing, Rick; Nagarkar, Vivek V.

    2011-08-01

    High-speed X-ray imaging is an extremely important modality for healthcare, industrial, military and research applications such as medical computed tomography, non-destructive testing, imaging in-flight projectiles, characterizing exploding ordnance, and analyzing ballistic impacts. We report on the development of a modular, ultrahigh-speed, high-resolution digital X-ray imaging system with large active imaging area and microsecond time resolution, capable of acquiring at a rate of up to 150,000 frames per second. The system is based on a high-resolution, high-efficiency, and fast-decay scintillator screen optically coupled to an ultra-fast image-intensified CCD camera designed for ballistic impact studies and hypervelocity projectile imaging. A specially designed multi-anode, high-fluence X-ray source with 50 ns pulse duration provides a sequence of blur-free images of hypervelocity projectiles traveling at speeds exceeding 8 km/s (18,000 miles/h). This paper will discuss the design, performance, and high frame rate imaging capability of the system.

  6. High-Speed Non-Volatile Optical Memory: Achievements and Challenges

    Directory of Open Access Journals (Sweden)

    Vadym Zayets

    2017-01-01

    Full Text Available We have proposed, fabricated, and studied a new design of a high-speed optical non-volatile memory. The recoding mechanism of the proposed memory utilizes a magnetization reversal of a nanomagnet by a spin-polarized photocurrent. It was shown experimentally that the operational speed of this memory may be extremely fast above 1 TBit/s. The challenges to realize both a high-speed recording and a high-speed reading are discussed. The memory is compact, integratable, and compatible with present semiconductor technology. If realized, it will advance data processing and computing technology towards a faster operation speed.

  7. Applicability of linearized-theory attached-flow methods to design and analysis of flap systems at low speeds for thin swept wings with sharp leading edges

    Science.gov (United States)

    Carlson, Harry W.; Darden, Christine M.

    1987-01-01

    Low-speed experimental force and data on a series of thin swept wings with sharp leading edges and leading and trailing-edge flaps are compared with predictions made using a linearized-theory method which includes estimates of vortex forces. These comparisons were made to assess the effectiveness of linearized-theory methods for use in the design and analysis of flap systems in subsonic flow. Results demonstrate that linearized-theory, attached-flow methods (with approximate representation of vortex forces) can form the basis of a rational system for flap design and analysis. Even attached-flow methods that do not take vortex forces into account can be used for the selection of optimized flap-system geometry, but design-point performance levels tend to be underestimated unless vortex forces are included. Illustrative examples of the use of these methods in the design of efficient low-speed flap systems are included.

  8. Wireless Interconnect in Multilayer Chip-Area-Networks for Future Multimaterial High-Speed Systems Design

    Directory of Open Access Journals (Sweden)

    Oluwole John Famoriji

    2017-01-01

    Full Text Available Wireless chip area network which enables wireless communication among chips fosters development in wireless communication and it is envisioned that future hardware system and developmental functionality will require multimaterial. However, the traditional system architecture is limited by channel bandwidth-limited interfaces, throughput, delay, and power consumption and as a result limits the efficiency and system performance. Wireless interconnect has been proposed to overcome scalability and performance limitations of multihop wired architectures. Characterization and modeling of channel become more important for specification of choice of modulation or demodulation techniques, channel bandwidths, and other mitigation techniques for channel distortion and interference such as equalization. This paper presents an analytical channel model for characterization, modeling, and analysis of wireless chip-to-chip or interchip interconnects in wireless chip area network with a particular focus on large-scale analysis. The proposed model accounts for both static and dynamic channel losses/attenuation in high-speed systems. Simulation and evaluation of the model with experimental data conducted in a computer desktop casing depict that proposed model matched measurement data very closely. The transmission of EM waves via a medium introduces molecular absorption due to various molecules within the material substance. This model is a representative of channel loss profile in wireless chip-area-network communication and good for future electronic circuits and high-speed systems design.

  9. DAC with LQR Control Design for Pitch Regulated Variable Speed Wind Turbine

    DEFF Research Database (Denmark)

    Imran, Raja Muhammad; Hussain, Dil Muhammad Akbar; Soltani, Mohsen

    2014-01-01

    Disturbance Accommodation Control (DAC) is used to model and simulate a system with known disturbance waveform. This paper presents a control scheme to mitigate the effect of disturbances by using collective pitch control for the aboverated wind speed (Region III) for a variable speed wind turbine....... We have used Linear Quadratic Regulator (LQR) to obtain full state feedback gain, disturbance feedback gain is calculated independently and then estimator gain is achieved by poleplacement technique in the DAC augmented plant model. The reduced order model (two-mass model) of wind turbine is used...... and 5MW National Renewable Energy Laboratory (NREL) wind turbine is used in this research. We have shown comparison of results relating to pitch angle, drive train torsion and generator speed obtained by a PID controller and DAC. Simulations are performed in MATLAB/Simulink. The results are compared...

  10. Neuro-Fuzzy DC Motor Speed Control Using Particle Swarm Optimization

    Directory of Open Access Journals (Sweden)

    Boumediene ALLAOUA

    2009-12-01

    Full Text Available This paper presents an application of Adaptive Neuro-Fuzzy Inference System (ANFIS control for DC motor speed optimized with swarm collective intelligence. First, the controller is designed according to Fuzzy rules such that the systems are fundamentally robust. Secondly, an adaptive Neuro-Fuzzy controller of the DC motor speed is then designed and simulated; the ANFIS has the advantage of expert knowledge of the Fuzzy inference system and the learning capability of neural networks. Finally, the ANFIS is optimized by Swarm Intelligence. Digital simulation results demonstrate that the deigned ANFIS-Swarm speed controller realize a good dynamic behavior of the DC motor, a perfect speed tracking with no overshoot, give better performance and high robustness than those obtained by the ANFIS alone.

  11. A simple low cost speed log interface for oceanographic data acquisition system

    Digital Repository Service at National Institute of Oceanography (India)

    Khedekar, V.D.; Phadte, G.M.

    A speed log interface is designed with parallel Binary Coded Decimal output. This design was mainly required for the oceanographic data acquisition system as an interface between the speed log and the computer. However, this can also be used as a...

  12. Design of very high speed electric generators;Diseno de generadores electricos de muy alta velocidad de giro

    Energy Technology Data Exchange (ETDEWEB)

    Labollita, Santiago [Universidad Nacional de Cuyo, Instituto Balseiro, Centro Atomico Bariloche (Argentina)

    2008-07-01

    This work approaches the design process of an electric generator suitable for running efficiently at high speed, driven by a turbo shaft.The axial flux concept was used.For the mechanical design of the prototype, cooling capacity and mounting method were considered, looking for simplicity of the parts evolved. Neodymium-iron-boron permanent magnets were used as magnetic source.For the electrical design, a calculation tool was developed in order to predict the prototype electrical parameters and optimize its geometry.The goal was to obtain 1 kW of electric power at a speed of 100,000 rpm.The efficiency and electrical behaviour of the prototype were characterized at speeds between 2,000 rpm and 30,000 rpm and then the behaviour at the design condition was predicted by obtaining an equivalent electric circuit.The estimated load voltage was 237 V as well as an electrical efficiency of 95%.Eddy current effects were not recognized. Increase of the internal resistance and decree of inductance were observed while raising the electric frequency.Finally, an electronic system was developed in order to use the prototype as a c.c. motor. Global performance was measured according to different supply characteristic. An optimum supply voltage was found.A maximum efficiency of 63% was reached.;El presente trabajo aborda el proceso de diseno de un generador electrico apto para funcionar eficientemente a alta velocidad, impulsado por un turboeje portatil. Se utilizo el concepto de flujo axial.En el diseno mecanico del prototipo se considero la capacidad de refrigeracion y la forma de montaje particular del conjunto, buscando la simplicidad de sus partes.Se utilizaron imanes permanentes de Neodimio-Hierro-Boro como fuente magnetica. Para el diseno electrico se genero una herramienta de calculo que permitio estimar los parametros electricos del prototipo y optimizar su geometria. Se busco obtener 1kW de potencia electrica a una velocidad de 100.000rpm.Se caracterizo el rendimiento y el

  13. Evaluating the impacts of grades on vehicular speeds on interstate highways.

    Directory of Open Access Journals (Sweden)

    Xinqiang Chen

    Full Text Available Grade variation on interstate highways affects the roadway geometric design, vehicle performance and driver behavior, thus possibly exerting an unexpected effect on vehicular speed. Hence, determining the internal relationship between grade and speed is important and useful for drivers, traffic regulators and other traffic participants. However, the problem with performing this research is the lack of large-scale gradient and speed data. Google Earth (GE provides an application programming interface for extracting elevation data worldwide. The elevation dataset from GE can be easily converted to grade data. In addition, our team has collected and stored speed series data for different freeways over several years. Based on the above obtainable grade and speed datasets, we conducted research on the effect of grades on free flow speeds from two perspectives. First, the influence of grades on speed was analyzed from both quantitative and qualitative aspects. The analysis of the distributions of four typical types of speeds demonstrated a decreasing tendency as the speed increased. Steeper grades generated a more intense speed fluctuation in terms of the four types of speeds. Second, a model based on the Student's t-test was developed to evaluate the level of significant difference among speed series under neighboring grades. The Student's t-test demonstrated that adjacent grades do not significantly influence the speeds. In summary, speeds under different grades showed obviously different tendencies. The findings of this study can help transport authorities set more reasonable speed limits and improve the geometric design of interstates with grade variation constraints.

  14. Superconducting magnet suspensions in high speed ground transport

    Energy Technology Data Exchange (ETDEWEB)

    Alston, I A

    1973-08-01

    A technical and economic definition of high speed ground transport systems using magnetic suspensions is given. The full range of common superconducting suspensions and of propulsions are covered with designs produced for speeds ranging from 100 m/s (225 miles/hr) to 250 m/s (560 mile/hr). Technical descriptions of the vehicles, their suspensions, propulsions and tracks are given in some detail and operating costs are presented for all the systems together with details of the breakdown of costs and the capital costs involved. The design assumptions, the costing procedure and a cost sensitivity study are presented. It is concluded that the systems are technically feasible; that they are suited to existing duorail track for low speed running and that, in these circumstances, they would be economically viable over many routes.

  15. Design and Construction of a High-speed Network Connecting All the Protein Crystallography Beamlines at the Photon Factory

    International Nuclear Information System (INIS)

    Matsugaki, Naohiro; Yamada, Yusuke; Igarashi, Noriyuki; Wakatsuki, Soichi

    2007-01-01

    A private network, physically separated from the facility network, was designed and constructed which covered all the four protein crystallography beamlines at the Photon Factory (PF) and Structural Biology Research Center (SBRC). Connecting all the beamlines in the same network allows for simple authentication and a common working environment for a user who uses multiple beamlines. Giga-bit Ethernet wire-speed was achieved for the communication among the beamlines and SBRC buildings

  16. Slow drilling speeds for single-drill implant bed preparation. Experimental in vitro study.

    Science.gov (United States)

    Delgado-Ruiz, R A; Velasco Ortega, E; Romanos, G E; Gerhke, S; Newen, I; Calvo-Guirado, J L

    2018-01-01

    To evaluate the real-time bone temperature changes during the preparation of the implant bed with a single-drill protocol with different drill designs and different slow drilling speeds in artificial type IV bone. For this experimental in vitro study, 600 implant bed preparations were performed in 10 bovine bone disks using three test slow drilling speeds (50/150/300 rpm) and a control drilling speed (1200 rpm). The temperature at crestal and apical areas and time variations produced during drilling with three different drill designs with similar diameter and length but different geometry were recorded with real-life thermographic analysis. Statistical analysis was performed by two-way analysis of variance. Multiple comparisons of temperatures and time with the different drill designs and speeds were performed with the Tukey's test. T Max values for the control drilling speed with all the drill designs (D1 + 1200; D2 + 1200; D3 + 1200) were higher compared to those for the controls for 11 ± 1.32 °C (p drilling at 50 rpm resulted in the lowest temperature increment (22.11 ± 0.8 °C) compared to the other slow drilling speeds of 150 (24.752 ± 1.1 °C) and 300 rpm (25.977 ± 1.2 °C) (p drilling speeds compared to that for the control drilling speed. Slow drilling speeds required significantly more time to finish the preparation of the implant bed shown as follows: 50 rpm > 150 rpm > 300 rpm > control (p drill protocol with slow drilling speeds (50, 150, and 300 rpm) without irrigation in type IV bone increases the temperature at the coronal and apical levels but is below the critical threshold of 47 °C. The drill design in single-drill protocols using slow speeds (50, 150, and 300 rpm) does not have an influence on the thermal variations. The time to accomplish the implant bed preparation with a single-drill protocol in type IV bone is influenced by the drilling speed and not by the drill design. As the speed decreases, then

  17. High speed VLSI neural network for high energy physics

    NARCIS (Netherlands)

    Masa, P.; Masa, P.; Hoen, K.; Hoen, Klaas; Wallinga, Hans

    1994-01-01

    A CMOS neural network IC is discussed which was designed for very high speed applications. The parallel architecture, analog computing and digital weight storage provides unprecedented computing speed combined with ease of use. The circuit classifies up to 70 dimensional vectors within 20

  18. Safe Speeds and Credible Speed Limits (SaCredSpeed): New Vision for Decision Making on Speed Management.

    NARCIS (Netherlands)

    Aarts, L. Nes, N. van Wegman, F.C.M. Schagen, I.N.L.G. van & Louwerse, R.

    2009-01-01

    Speed is an inherent characteristic of mobility and a hazard to safety. Several approaches exist of how to manage speed. In the Netherlands, the emphasis has mainly been on harm minimisation during the last decades, due to the implementation of the Sustainable Safety vision. Speed management remains

  19. Loss reduction in axial-flow compressors through low-speed model testing

    Science.gov (United States)

    Wisler, D. C.

    1984-01-01

    A systematic procedure for reducing losses in axial-flow compressors is presented. In this procedure, a large, low-speed, aerodynamic model of a high-speed core compressor is designed and fabricated based on aerodynamic similarity principles. This model is then tested at low speed where high-loss regions associated with three-dimensional endwall boundary layers flow separation, leakage, and secondary flows can be located, detailed measurements made, and loss mechanisms determined with much greater accuracy and much lower cost and risk than is possible in small, high-speed compressors. Design modifications are made by using custom-tailored airfoils and vector diagrams, airfoil endbends, and modified wall geometries in the high-loss regions. The design improvements resulting in reduced loss or increased stall margin are then scaled to high speed. This paper describes the procedure and presents experimental results to show that in some cases endwall loss has been reduced by as much as 10 percent, flow separation has been reduced or eliminated, and stall margin has been substantially improved by using these techniques.

  20. Design optimization of high speed gamma-ray tomography

    International Nuclear Information System (INIS)

    Maad, Rachid

    2009-01-01

    This thesis concerns research and development of efficient gamma-ray systems for high speed tomographic imaging of hydrocarbon flow dynamics with a particular focus on gas liquid imaging. The Bergen HSGT (High Speed Gamma-ray Tomograph) based on instant imaging with a fixed source-detector geometry setup, has been thoroughly characterized with a variety of image reconstruction algorithms and flow conditions. Experiments in flow loops have been carried out for reliable characterization and error analysis, static flow phantoms have been applied for the majority of experiments to provide accurate imaging references. A semi-empirical model has been developed for estimation of the contribution of scattered radiation to each HSGT detector and further for correction of this contribution prior to data reconstruction. The Bergen FGGT (Flexible Geometry Gamma-ray Tomograph) has been further developed, particularly on the software side. The system emulates any fan beam tomography. Based on user input of geometry and other conditions, the new software perform scanning, data acquisition and storage, and also weight matrix calculation and image reconstruction with the desired method. The FGGT has been used for experiments supporting those carried out with the HSGT, and in addition for research on other fan beam geometries suitable for hydrocarbon flow imaging applications. An instant no-scanning tomograph like the HSGT has no flexibility with respect to change of geometry, which usually is necessary when applying the tomograph for a new application. A computer controlled FGGT has been designed and built at the UoB. The software developed for the FGGT controls the scanning procedure, the data acquisition, calculates the weight matrix necessary for the image reconstruction, reconstructs the image using standard reconstruction algorithms, and calculates the error of the reconstructed image. The performance of the geometry has been investigated using a 100 mCi 241 Am disk source, a

  1. Assessment of Japanese variable speed heat pump technology

    Energy Technology Data Exchange (ETDEWEB)

    Ushimaru, Kenji

    1988-01-01

    An analysis of critical component technologies and design methodologies for Japanese variable speed heat pumps are presented. The market for variable speed heat pumps in Japan is predominantly residential split-type, between the fractional to 2.5 ton capacity range. Approximately 1.1 million residential inverter-driven heat pumps were sold in 1987. Based on the market trends, component technology and several advanced features are described. Similarities and differences between Japanese and US system design methodologies are discussed. Finally, the outlook for future technology trends is briefly described. 8 refs., 6 figs., 1 tab.

  2. A new method for speed control of a DC motor using magnetorheological clutch

    Science.gov (United States)

    Nguyen, Quoc Hung; Choi, Seung-Bok

    2014-03-01

    In this research, a new method to control speed of DC motor using magnetorheological (MR) clutch is proposed and realized. Firstly, the strategy of a DC motor speed control using MR clutch is proposed. The MR clutch configuration is then proposed and analyzed based on Bingham-plastic rheological model of MR fluid. An optimal designed of the MR clutch is then studied to find out the optimal geometric dimensions of the clutch that can transform a required torque with minimum mass. A prototype of the optimized MR clutch is then manufactured and its performance characteristics are experimentally investigated. A DC motor speed control system featuring the optimized MR clutch is designed and manufactured. A PID controller is then designed to control the output speed of the system. In order to evaluate the effectiveness of the proposed DC motor speed control system, experimental results of the system such as speed tracking performance are obtained and presented with discussions.

  3. Design of a compact high-speed optical modulator based on a hybrid plasmonic nanobeam cavity

    Science.gov (United States)

    Javid, Mohammad Reza; Miri, Mehdi; Zarifkar, Abbas

    2018-03-01

    A hybrid plasmonic electro-optic modulator based on a polymer-filled one dimensional photonic crystal nanobeam (1D PhCNB) cavity is proposed here. In the proposed structure the optical intensity modulation is realized by shifting the resonant wavelength of the cavity through electrically tuning the refractive index of the electro-optic polymer in the hybrid plasmonic waveguide. As a result of the subwavelength light confinement in the hybrid plasmonic waveguide and the compact footprint of the 1D PhCNB cavity, the designed modulator has the small overall footprint of 3 . 6 μm2 and the required wavelength shift can be achieved by applying very small actuating power. Three dimensional finite-difference time-domain (3D-FDTD) simulations show that the modulation depth of 10.9 dB, and insertion loss of 1.14 dB, along with very high modulation speed of 224 GHz can be achieved in the proposed modulator with very low modulation energy of 0.75 fJ/bit. A comparison between the performance parameters of the proposed modulator and those of previously reported PhCNB based modulators reveals the superior performance of the proposed structure in terms of modulation speed, energy consumption and overall footprint.

  4. Intelligent Electronic Speed Controller, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — This project intends to design and develop an Intelligent Electronic Speed Controller (IESC) for use on Unmanned Aerial Vehicles (UAVs). The IESC will advance the...

  5. Pumping speed of parallel-louvre-blind-type cryopumps

    International Nuclear Information System (INIS)

    Saho, Norihide; Ogata, Hisanao; Kunugi, Yosifumi; Uede, Taisei; Yamasita, Yasuo; Kawakami, Hiroyuki.

    1993-01-01

    As a new type of actual-sized cryopump with high pumping speed, a parallel-louvre-blind type cryopump of a rectangular cross section with opening distance B, width C and depth D, is proposed and is produced as a trial pump unit designed as actual size. The influence of pump depth size ratio R d (=D/B) and number of secondary cryopanels on the transmission probability P bc of the pump unit is calculated by Monte Carlo simulation, and the hydrogen pumping speed is measured by experiments. The following results are obtained. (1) The transmission probability of a cryopump designed with dimensional ratio of R d = 1.3 is calculated to be 0.66 at ratios of R c (=B/C) = 0.88, which means that hydrogen pumping speed of cryopumps of this size can attain 294 m 3 · s -1 /m 2 per opening area. (2) The real hydrogen pumping speed of the trial pump unit with 30 secondary cryopanels is measured as 295 m 3 · s -1 /m 2 . (author)

  6. Assertion based verification methodology for HDL designs of primary sodium pump speed and eddy current flow measurement systems of PFBR

    International Nuclear Information System (INIS)

    Misra, M.K.; Menon, Saritha P.; Thirugnana Murthy, D.

    2013-01-01

    With the growing complexity and size of digital designs, functional verification has become a huge challenge. The validation and testing process accounts for a significant percentage of the overall development effort and cost for electronic systems. Many studies have shown that up to 70% of the design development time and resources are spent on functional verification. Functional errors manifest themselves very early in the design flow, and unless they are detected upfront, they can result in severe consequences - both financially and from a safety viewpoint. This paper covers the various types of verification methodologies and focuses on Assertion Based Verification Methodology for HDL designs, taking as case studies, the Primary Sodium Pump Speed and Eddy Current Flow Measurement Systems of PFBR. (author)

  7. Improving speed behaviour : the potential of in-car speed assistance and speed limit credibility.

    NARCIS (Netherlands)

    Nes, C.N. van Houtenbos, M. & Schagen, I.N.L.G. van

    2009-01-01

    Speeding is still a common practice on many roads and it contributes to a significant number of crashes. Two new approaches to solve speeding issues are focused on: intelligent speed assistance systems (ISA) and speed limit credibility. Research has indicated that ISA is promising with respect to

  8. High-Speed Rapid-Single-Flux-Quantum Multiplexer and Demultiplexer Design and Testing

    Science.gov (United States)

    2007-08-22

    Herr, N. Vukovic , C. A. Mancini, M. F. Bocko, and M. J . Feldman, "High speed testing of a four-bit RSFQ decimation digital filter," IEEE Trans. Appl...61] A. M. Herr, C. A. Mancini, N. Vukovic , M. F. Bocko, and M. J . Feldman, "High-speed operation of a 64-bit circular shift register," IEEE Trans...10-19 J . A rich library of basic cells such as flip-flops, buffers, adders, multipliers, clock generator circuits, and phase-locking circuits have been

  9. LQG Controller Design for Pitch Regulated Variable Speed Wind Turbine

    DEFF Research Database (Denmark)

    Imran, Raja Muhammed; Hussain, Dil Muhammad Akbar; Chen, Zhe

    2014-01-01

    Variable speed wind turbine is a complex and nonlinear system, a sophisticated control is required to meet the challenges posed by these systems. This paper is presenting a pitch regulation strategy based on LQG (Linear Quadratic Gaussian) to regulate turbine at its rated power and to reject...

  10. High-speed rail turnout literature review : final report.

    Science.gov (United States)

    2016-08-01

    High-speed rail (HSR) turnout design criteria generally address unbalanced lateral acceleration or cant deficiency (CD), cant deficiency change rate (CDCR), and entry and exit jerk. Various countries have adopted different design values for their HSR...

  11. High speed electro optic polymer micro-ringresonator

    NARCIS (Netherlands)

    Leinse, Arne; Diemeer, Mart; Driessen, A.

    2004-01-01

    An electro-optic polymer micro-ring resonator for high speed modulation was designed, realized and characterized. The design of layer-stack and electrodes was done such that modulation frequencies up till 1 GHz should be possible. The device consists of a ridge waveguide, defined in a negative

  12. Quiet High Speed Fan II (QHSF II): Final Report

    Science.gov (United States)

    Kontos, Karen; Weir, Don; Ross, Dave

    2012-01-01

    This report details the aerodynamic, mechanical, structural design and fabrication of a Honey Engines Quiet High Speed Fan II (lower hub/tip ratio and higher specific flow than the Baseline I fan). This fan/nacelle system incorporates features such as advanced forward sweep and an advanced integrated fan/fan exit guide vane design that provides for the following characteristics: (1) Reduced noise at supersonic tip speeds, in comparison to current state-of-the-art fan technology; (2) Improved aeroelastic stability within the anticipated operating envelope; and (3) Aerodynamic performance consistent with current state-of-the-art fan technology. This fan was fabricated by Honeywell and tested in the NASA Glenn 9- by 15-Ft Low Speed Wind Tunnel for aerodynamic, aeromechanical, and acoustic performance.

  13. Speed

    Indian Academy of Sciences (India)

    First page Back Continue Last page Overview Graphics. Speed. The rate of information transferred per second is the speed of the information. Measured in bits per second. Need for speed on the net: You-Tube phenomenon; IPTV; 3D Video telephony. Online gaming; HDTV.

  14. Preliminary tests of a high speed vertical axis windmill model

    Energy Technology Data Exchange (ETDEWEB)

    South, P; Rangi, R S

    1971-01-01

    This report discusses a fixed-pitch vertical axis windmill that combines the inherent simplicity of this type of machine with a high aerodynamic efficiency and a high relative velocity. A three-bladed rotor was selected as the basic design, having constant chord symmetric airfoil blades configured in a catenary curve such that the rotor diameter is equal to the rotor height. In wind tunnel tests using a 30 inch scale model, it was found that once this rotor was given a very low rotational speed, it picked up speed and ran at a rotor tip velocity/wind speed ratio greater than 1. The number of blades was varied in the testing. A maximum power coefficient of 0.67 was achieved at 17 ft/s wind speed at a tip speed/wind speed ratio of 7.25 for a 2-bladed rotor. Increasing the number of blades above 3 did not result in higher power. The rotor could operate in gusts which double the mean wind velocity. Examination of Reynolds number effects, and taking into account the scale of the model, it was concluded that a full-scale windmill could run at lower velocity ratios than those predicted by the model tests, and that it could self-start under no-load conditions if the cut-in rpm are at least half the rpm for maximum power at the prevailing wind speed. Preliminary estimates show that a 15 ft diameter windmill of this design, designed to operate with a safety factor of 2.5 up to a maximum wind speed of 60 ft/s, would weigh ca 150 lb and could be marketed for ca $60.00, excluding the driven unit, if sufficient quantities were produced to make tooling costs negligible. Similarly, a 30 ft windmill would weigh ca 1000 lb and cost ca $400.00. 2 refs., 6 figs.

  15. Speed control at low wind speeds for a variable speed fixed pitch wind turbine

    Energy Technology Data Exchange (ETDEWEB)

    Rosmin, N.; Watson, S.J.; Tompson, M. [Loughborough Univ., Loughborough, Leicestershire (United Kingdom)

    2010-03-09

    The maximum power regulation below rated wind speed is regulated by changing the rotor/generator speed at large frequency range in a fixed pitch, variable speed, stall-regulated wind turbine. In order to capture the power at a maximum value the power coefficient is kept at maximum peak point by maintaining the tip speed ratio at its optimum value. The wind industry is moving from stall regulated fixed speed wind turbines to newer improved innovative versions with better reliability. While a stall regulated fixed pitch wind turbine is among the most cost-effective wind turbine on the market, its problems include noise, severe vibrations, high thrust loads and low power efficiency. Therefore, in order to improve such drawbacks, the rotation of the generator speed is made flexible where the rotation can be controlled in variable speed. This paper discussed the development of a simulation model which represented the behaviour of a stall regulated variable speed wind turbine at low wind speed control region by using the closed loop scalar control with adjustable speed drive. The paper provided a description of each sub-model in the wind turbine system and described the scalar control of the induction machine. It was concluded that by using a constant voltage/frequency ratio of the generator's stator side control, the generator speed could be regulated and the generator torque could be controlled to ensure the power coefficient could be maintained close to its maximum value. 38 refs., 1 tab., 10 figs.

  16. Capacity-speed relationships in prefrontal cortex.

    Directory of Open Access Journals (Sweden)

    Vivek Prabhakaran

    Full Text Available Working memory (WM capacity and WM processing speed are simple cognitive measures that underlie human performance in complex processes such as reasoning and language comprehension. These cognitive measures have shown to be interrelated in behavioral studies, yet the neural mechanism behind this interdependence has not been elucidated. We have carried out two functional MRI studies to separately identify brain regions involved in capacity and speed. Experiment 1, using a block-design WM verbal task, identified increased WM capacity with increased activity in right prefrontal regions, and Experiment 2, using a single-trial WM verbal task, identified increased WM processing speed with increased activity in similar regions. Our results suggest that right prefrontal areas may be a common region interlinking these two cognitive measures. Moreover, an overlap analysis with regions associated with binding or chunking suggest that this strategic memory consolidation process may be the mechanism interlinking WM capacity and WM speed.

  17. Level of recall, retrieval speed, and variability on the Cued-Recall Retrieval Speed Task (CRRST) in individuals with amnestic mild cognitive impairment.

    Science.gov (United States)

    Ramratan, Wendy S; Rabin, Laura A; Wang, Cuiling; Zimmerman, Molly E; Katz, Mindy J; Lipton, Richard B; Buschke, Herman

    2012-03-01

    Individuals with amnestic mild cognitive impairment (aMCI) show deficits on traditional episodic memory tasks and reductions in speed of performance on reaction time tasks. We present results on a novel task, the Cued-Recall Retrieval Speed Task (CRRST), designed to simultaneously measure level and speed of retrieval. A total of 390 older adults (mean age, 80.2 years), learned 16 words based on corresponding categorical cues. In the retrieval phase, we measured accuracy (% correct) and retrieval speed/reaction time (RT; time from cue presentation to voice onset of a correct response) across 6 trials. Compared to healthy elderly adults (HEA, n = 303), those with aMCI (n = 87) exhibited poorer performance in retrieval speed (difference = -0.13; p cued-learning and processing speed variability may facilitate early detection of dementia in at-risk older adults.

  18. Variable-Speed Power-Turbine for the Large Civil Tilt Rotor

    Science.gov (United States)

    Suchezky, Mark; Cruzen, G. Scott

    2012-01-01

    Turbine design concepts were studied for application to a large civil tiltrotor transport aircraft. The concepts addressed the need for high turbine efficiency across the broad 2:1 turbine operating speed range representative of the notional mission for the aircraft. The study focused on tailoring basic turbine aerodynamic design design parameters to avoid the need for complex, heavy, and expensive variable geometry features. The results of the study showed that good turbine performance can be achieved across the design speed range if the design focuses on tailoring the aerodynamics for good tolerance to large swings in incidence, as opposed to optimizing for best performance at the long range cruise design point. A rig design configuration and program plan are suggested for a dedicated experiment to validate the proposed approach.

  19. Design and Simulation of PID parameters self-tuning based on DC speed regulating system

    Directory of Open Access Journals (Sweden)

    Feng Wei Jie

    2016-01-01

    Full Text Available The DC speed regulating system has many difficult issues such as system parameters and PID control parameters are difficult to determine. On the basis of model for a single closed-loop DC speed regulating system, this paper puts forward a method of PID parameters self-tuning based on the step response detection and reduced order equivalent. First, detect system step response and get response parameters. Then equal it to a second order system model, and achieve optimal PID control parameters based on optimal second order system to realize of PID parameters self-tuning. The PID parameters self-tuning process of DC speed regulating system is simulated with the help of MATLAB/Simulink. The simulation results show that the method is simple and effective. The system can obtain good dynamic and static performance when the PID parameters are applied to DC speed regulating system.

  20. Research on H2 speed governor for diesel engine of marine power station

    Science.gov (United States)

    Huang, Man-Lei

    2007-09-01

    The frequency stability of a marine power system is determined by the dynamic characteristic of the diesel engine speed regulation system in a marine power station. In order to reduce the effect of load disturbances and improve the dynamic precision of a diesel engine speed governor, a controller was designed for a diesel engine speed regulation system using H2 control theory. This transforms the specifications of the system into a standard H2 control problem. Firstly, the mathematical model of a diesel engine speed regulation system using an H2 speed governor is presented. To counter external disturbances and model uncertainty, the design of an H2 speed governor rests on the problem of mixed sensitivity. Computer simulation verified that the H2 speed governor improves the dynamic precision of a system and the ability to adapt to load disturbances, thus enhancing the frequency stability of marine power systems.

  1. Incorporating YBCO Coated Conductors in High-speed Superconducting Generators

    Science.gov (United States)

    2008-07-01

    4.0 kW/lb (8.82 kW/kg). The machine configuration chosen by GE for design was a homopolar inductor alternator (HIA) which locates the...extremely severe ac loss environment. Even if this is ultimately impossible for high speed generators, it may not preclude lower speed motors and

  2. Design of Low-Complexity and High-Speed Coplanar Four-Bit Ripple Carry Adder in QCA Technology

    Science.gov (United States)

    Balali, Moslem; Rezai, Abdalhossein

    2018-03-01

    Quantum-dot Cellular Automata (QCA) technology is a suitable technology to replace CMOS technology due to low-power consumption, high-speed and high-density devices. Full adder has an important role in the digital circuit design. This paper presents and evaluates a novel single-layer four-bit QCA Ripple Carry Adder (RCA) circuit. The developed four-bit QCA RCA circuit is based on novel QCA full adder circuit. The developed circuits are simulated using QCADesigner tool version 2.0.3. The simulation results show that the developed circuits have advantages in comparison with existing single-layer and multilayer circuits in terms of cell count, area occupation and circuit latency.

  3. Evidence that attitude accessibility augments the relationship between speeding attitudes and speeding behavior: a test of the MODE model in the context of driving.

    Science.gov (United States)

    Elliott, Mark A; Lee, Emme; Robertson, Jamie S; Innes, Rhona

    2015-01-01

    According to the MODE model of attitude-to-behavior processes, attitude accessibility augments attitude-behavior correspondence, reflecting an automatic influence of attitudes on behavior. We therefore tested whether attitude accessibility moderates the attitude-behavior relationship in a context that is governed by characteristically automatic behavior, namely driving. In study 1 (correlational design), participants (N=130) completed online questionnaire measures of the valences and accessibilities of their attitudes towards speeding. Two weeks later, online questionnaire measures of subsequent speeding behavior were obtained. Attitude valence was a significantly better predictor of behavior at high (mean+1SD) versus low (mean-1SD) levels of attitude accessibility. In study 2 (experimental design), attitude accessibility was manipulated with a repeated attitude expression task. Immediately after the manipulation, participants (N=122) completed online questionnaire measures of attitude valence and accessibility, and two weeks later, subsequent speeding behavior. Increased attitude accessibility in the experimental (versus control) condition generated an increase in attitude-behavior correspondence. The findings are consistent with the MODE model's proposition that attitudes can exert an automatic influence on behavior. Interventions to reduce speeding could usefully increase the accessibility of anti-speeding attitudes and reduce the accessibility of pro-speeding attitudes. Copyright © 2014 Elsevier Ltd. All rights reserved.

  4. Modelling and design optimization of low speed fuel cell - battery hybrid electric vehicles. Paper no. IGEC-1-125

    International Nuclear Information System (INIS)

    Guenther, M.; Dong, Z.

    2005-01-01

    A push for electric vehicles has occurred in the past several decades due to various concerns about air pollution and the contribution of emissions to global climate change. Although electric cars and buses have been the focus of much of electric vehicle development, smaller vehicles are used extensively for transportation and utility purposes in many countries. In order to explore the viability of fuel cell - battery hybrid electric vehicles, empirical fuel cell system data has been incorporated into the NREL's vehicle design and simulation tool, ADVISOR (ADvanced Vehicle SimulatOR), to predict the performance of a low-speed, fuel cell - battery electric vehicle through MATLAB Simulink. The modelling and simulation provide valuable feedback to the design optimization of the fuel cell power system. A sampling based optimization algorithm was used to explore the viability and options of a low cost design for urban use. (author)

  5. Analysis, control and design of speed control of electric vehicles delayed model

    DEFF Research Database (Denmark)

    Khooban, Mohammad-Hassan; ShaSadeghi, Mokhtar; Niknam, Taher

    2017-01-01

    The purpose of this study is to suggest an optimal multi-objective fuzzy fractional-order PIλDμPIλDμ controller (MOFFOPID) for the speed control of EV systems with time-delay. It is presumed that while the EV is in movement, the armature winding resistance of the direct current (DC) motor varies...... controller. Finally, the experimental results based on a TMS320F28335 DSP are implemented on a DC motor to verify the effectiveness of the proposed MOFFOPID controller in controlling the speed of the DC motor which has non-linear features. The results of the simulation confirm the desirable performance...

  6. Embodying complexity through movement sonification : case study on empowering the speed-skater

    NARCIS (Netherlands)

    Stienstra, J.T.; Overbeeke, C.J.; Wensveen, S.A.G.

    2011-01-01

    In this paper, we describe the Augmented Speed-skate Experience (ASE), a case of movement sonification in professional speed-skating. We designed and developed a system that provides feedback on technique to a professional speed-skater through an extra sense-modality, i.e. sound. Complexity is

  7. Motor Integrated Permanent Magnet Gear with a Wide Torque-Speed Range

    DEFF Research Database (Denmark)

    Rasmussen, Peter Omand; Matzen, Torben N.; Jahns, T. M.

    2009-01-01

    This paper present a new motor integrated permanent magnet gear with a wide torque-speed range. In the paper a 35 kW permanent magnet motor with a base speed of 4000 rpm and a top speed of 14000 rpm is integrated into a permanent magnetic gear with a gearing ratio of 8.67. The design process...

  8. A miniaturized piezoelectric turbine with self-regulation for increased air speed range

    Energy Technology Data Exchange (ETDEWEB)

    Fu, Hailing, E-mail: h.fu14@imperial.ac.uk; Yeatman, Eric M. [Department of Electrical and Electronic Engineering, Imperial College London, London SW7 2AZ (United Kingdom)

    2015-12-14

    This paper presents the design and demonstration of a piezoelectric turbine with self-regulation for increased air speed range. The turbine's transduction is achieved by magnetic “plucking” of a piezoelectric beam by the passing rotor. The increased speed range is achieved by the self-regulating mechanism which can dynamically adjust the magnetic coupling between the magnets on the turbine rotor and the piezoelectric beam using a micro-spring. The spring is controlled passively by the centrifugal force of the magnet on the rotor. This mechanism automatically changes the relative position of the magnets at different rotational speeds, making the coupling weak at low airflow speeds and strong at high speeds. Hence, the device can start up with a low airflow speed, and the output power can be ensured when the airflow speed is high. A theoretical model was established to analyse the turbine's performance, advantages, and to optimize its design parameters. A prototype was fabricated and tested in a wind tunnel. The start-up airflow speed was 2.34 m/s, showing a 30% improvement against a harvester without the mechanism.

  9. A miniaturized piezoelectric turbine with self-regulation for increased air speed range

    International Nuclear Information System (INIS)

    Fu, Hailing; Yeatman, Eric M.

    2015-01-01

    This paper presents the design and demonstration of a piezoelectric turbine with self-regulation for increased air speed range. The turbine's transduction is achieved by magnetic “plucking” of a piezoelectric beam by the passing rotor. The increased speed range is achieved by the self-regulating mechanism which can dynamically adjust the magnetic coupling between the magnets on the turbine rotor and the piezoelectric beam using a micro-spring. The spring is controlled passively by the centrifugal force of the magnet on the rotor. This mechanism automatically changes the relative position of the magnets at different rotational speeds, making the coupling weak at low airflow speeds and strong at high speeds. Hence, the device can start up with a low airflow speed, and the output power can be ensured when the airflow speed is high. A theoretical model was established to analyse the turbine's performance, advantages, and to optimize its design parameters. A prototype was fabricated and tested in a wind tunnel. The start-up airflow speed was 2.34 m/s, showing a 30% improvement against a harvester without the mechanism

  10. High-speed photography of light beams transmitted through pinhole targets

    International Nuclear Information System (INIS)

    Yaonan, D.; Haien, He.; Lian, C.; Huifang, Z.; Zhijian, Z.

    1988-01-01

    A method of high speed photography is presented. It was designed and performed in order to study temporal behaviors of plasma closure effects of pinhole targets in laser plasma experiments. A series of high speed photographs were taken for the laser beam transmitted through the pinhole targets. Spatially resolved and integrated temporal histories of closure effects were observed, respectively. Some physical information about closure effect and closure speed have been studied

  11. Vision based speed breaker detection for autonomous vehicle

    Science.gov (United States)

    C. S., Arvind; Mishra, Ritesh; Vishal, Kumar; Gundimeda, Venugopal

    2018-04-01

    In this paper, we are presenting a robust and real-time, vision-based approach to detect speed breaker in urban environments for autonomous vehicle. Our method is designed to detect the speed breaker using visual inputs obtained from a camera mounted on top of a vehicle. The method performs inverse perspective mapping to generate top view of the road and segment out region of interest based on difference of Gaussian and median filter images. Furthermore, the algorithm performs RANSAC line fitting to identify the possible speed breaker candidate region. This initial guessed region via RANSAC, is validated using support vector machine. Our algorithm can detect different categories of speed breakers on cement, asphalt and interlock roads at various conditions and have achieved a recall of 0.98.

  12. Magneto-optical system for high speed real time imaging

    Science.gov (United States)

    Baziljevich, M.; Barness, D.; Sinvani, M.; Perel, E.; Shaulov, A.; Yeshurun, Y.

    2012-08-01

    A new magneto-optical system has been developed to expand the range of high speed real time magneto-optical imaging. A special source for the external magnetic field has also been designed, using a pump solenoid to rapidly excite the field coil. Together with careful modifications of the cryostat, to reduce eddy currents, ramping rates reaching 3000 T/s have been achieved. Using a powerful laser as the light source, a custom designed optical assembly, and a high speed digital camera, real time imaging rates up to 30 000 frames per seconds have been demonstrated.

  13. A New Vibration Absorber Design for Under-Chassis Device of a High-Speed Train

    Directory of Open Access Journals (Sweden)

    Yu Sun

    2017-01-01

    Full Text Available To realize the separation of vertical and lateral stiffness of the under-chassis device, a new type of vibration absorber is designed by using the negative stiffness of the disc spring in parallel with the rubber component. To solve its transmission characteristics, harmonic transfer method was used. A rigid-flexible coupling multibody dynamic model of a high-speed train with an elastic car body is established, and the vertical and lateral optimal stiffness of the under-chassis device are calculated. The Sperling index and acceleration PSD of the vehicle with the new vibration absorber and the vehicle with traditional rubber absorber are compared and analyzed. The results show that, with the new vibration absorber, vehicle’s running stability and vibration of the car body are more effective than the vehicle with the traditional rubber absorber.

  14. Speed-calming measures and their Effect on driving speed

    DEFF Research Database (Denmark)

    Agerholm, Niels; Knudsen, Daniel; Variyeswaran, Kajan

    2017-01-01

    Highlights •Speed humps and chicanes are widespread speed-calming measures. •Humps and chicanes have virtually the same reducing effect on mean speed. •Chicanes reduce speed variation less than humps and might therefore be a less safe measure....

  15. Using endemic road features to create self-explaining roads and reduce vehicle speeds.

    Science.gov (United States)

    Charlton, Samuel G; Mackie, Hamish W; Baas, Peter H; Hay, Karen; Menezes, Miguel; Dixon, Claire

    2010-11-01

    This paper describes a project undertaken to establish a self-explaining roads (SER) design programme on existing streets in an urban area. The methodology focussed on developing a process to identify functional road categories and designs based on endemic road characteristics taken from functional exemplars in the study area. The study area was divided into two sections, one to receive SER treatments designed to maximise visual differences between road categories, and a matched control area to remain untreated for purposes of comparison. The SER design for local roads included increased landscaping and community islands to limit forward visibility, and removal of road markings to create a visually distinct road environment. In comparison, roads categorised as collectors received increased delineation, addition of cycle lanes, and improved amenity for pedestrians. Speed data collected 3 months after implementation showed a significant reduction in vehicle speeds on local roads and increased homogeneity of speeds on both local and collector roads. The objective speed data, combined with residents' speed choice ratings, indicated that the project was successful in creating two discriminably different road categories. 2010 Elsevier Ltd. All rights reserved.

  16. Pitch Angle Control for Variable Speed Wind Turbines

    DEFF Research Database (Denmark)

    Chen, Zhe; Zhang, Jianzhong; Cheng, M

    2008-01-01

    Pitch angle control is the most common means for adjusting the aerodynamic torque of the wind turbine when wind speed is above rated speed and various controlling variables may be chosen, such as wind speed, generator speed and generator power. As conventional pitch control usually use PI...... controller, the mathematical model of the system should be known well. A fuzzy logic pitch angle controller is developed in this paper, in which it does not need well known about the system and the mean wind speed is used to compensate the non-linear sensitivity. The fuzzy logic control strategy may have...... the potential when the system contains strong non-linearity, such as wind turbulence is strong, or the control objectives include fatigue loads. The design of the fuzzy logic controller and the comparisons with conversional pitch angle control strategies with various controlling variables are carried out...

  17. Processing Determinants of Reading Speed.

    Science.gov (United States)

    Jackson, Mark D.; McClelland, James L.

    1979-01-01

    Two groups of undergraduates differing in reading ability were tested on a number of reaction-time tasks designed to determine the speed of encoding visual information at several different levels, tests of sensory functions, verbal and quantitative reasoning ability, short-term auditory memory span, and ability to comprehend spoken text.…

  18. Systemic design of synchronous traction drives for large speed-range electric vehicle; Conception systemique de chaines de traction synchrones pour vehicule electrique a large gamme de vitesse

    Energy Technology Data Exchange (ETDEWEB)

    Randi, S.A.

    2003-04-15

    The presented study deals with a systemic approach based design of permanent magnet brush-less actuators. The considered system is the electric vehicle motorized with this actuator on a large speed range. First, the review of vehicles architectures and general specifications put emphasis on the design problem complexity and the interest of a simultaneous design taking account of the whole traction chain driving cycle. Then the IPM plane and lumped parameter models are used to bring out the variables which characterizes the work beyond the base speed and the ability in flux weakening operation. The properties of machines with two rotor parts in such operation are studied. The new degrees of freedom available with these structures bring new solutions for drives with larger speed range. Then a model taking account each subsystem is presented and implemented in a global SABER simulator, involving sizing models of components. This tool enables to study the work of the traction chain over significant driving cycles and the performances evaluation. A last, this simulator is brought into work so as to perform a simultaneous design of the traction chain components as battery, inverter, machine, gear, thanks to an optimisation procedure based on genetic algorithm able to process continuous sizes variations and structure modifications, considering performance criteria on losses and cost. (author)

  19. Material requirements for the High Speed Civil Transport

    Science.gov (United States)

    Stephens, Joseph R.; Hecht, Ralph J.; Johnson, Andrew M.

    1993-01-01

    Under NASA-sponsored High Speed Research (HSR) programs, the materials and processing requirements have been identified for overcoming the environmental and economic barriers of the next generation High Speed Civil Transport (HSCT) propulsion system. The long (2 to 5 hours) supersonic cruise portion of the HSCT cycle will place additional durability requirements on all hot section engine components. Low emissions combustor designs will require high temperature ceramic matrix composite liners to meet an emission goal of less than 5g NO(x) per Kg fuel burned. Large axisymmetric and two-dimensional exhaust nozzle designs are now under development to meet or exceed FAR 36 Stage III noise requirements, and will require lightweight, high temperature metallic, intermetallic, and ceramic matrix composites to reduce nozzle weight and meet structural and acoustic component performance goals. This paper describes and discusses the turbomachinery, combustor, and exhaust nozzle requirements of the High Speed Civil Transport propulsion system.

  20. The role of visual processing speed in reading speed development.

    Science.gov (United States)

    Lobier, Muriel; Dubois, Matthieu; Valdois, Sylviane

    2013-01-01

    A steady increase in reading speed is the hallmark of normal reading acquisition. However, little is known of the influence of visual attention capacity on children's reading speed. The number of distinct visual elements that can be simultaneously processed at a glance (dubbed the visual attention span), predicts single-word reading speed in both normal reading and dyslexic children. However, the exact processes that account for the relationship between the visual attention span and reading speed remain to be specified. We used the Theory of Visual Attention to estimate visual processing speed and visual short-term memory capacity from a multiple letter report task in eight and nine year old children. The visual attention span and text reading speed were also assessed. Results showed that visual processing speed and visual short term memory capacity predicted the visual attention span. Furthermore, visual processing speed predicted reading speed, but visual short term memory capacity did not. Finally, the visual attention span mediated the effect of visual processing speed on reading speed. These results suggest that visual attention capacity could constrain reading speed in elementary school children.

  1. The role of visual processing speed in reading speed development.

    Directory of Open Access Journals (Sweden)

    Muriel Lobier

    Full Text Available A steady increase in reading speed is the hallmark of normal reading acquisition. However, little is known of the influence of visual attention capacity on children's reading speed. The number of distinct visual elements that can be simultaneously processed at a glance (dubbed the visual attention span, predicts single-word reading speed in both normal reading and dyslexic children. However, the exact processes that account for the relationship between the visual attention span and reading speed remain to be specified. We used the Theory of Visual Attention to estimate visual processing speed and visual short-term memory capacity from a multiple letter report task in eight and nine year old children. The visual attention span and text reading speed were also assessed. Results showed that visual processing speed and visual short term memory capacity predicted the visual attention span. Furthermore, visual processing speed predicted reading speed, but visual short term memory capacity did not. Finally, the visual attention span mediated the effect of visual processing speed on reading speed. These results suggest that visual attention capacity could constrain reading speed in elementary school children.

  2. High-speed elevators controlled by inverters

    Energy Technology Data Exchange (ETDEWEB)

    Sakai, Yoshio; Takahashi, Hideaki; Nakamura, Kiyoshi; Kinoshita, Hiroshi

    1988-10-25

    The super-high-speed elevator with superiority to 300m/min of speed, requires both the large capacity power and wide range speed controls. Therefore, in order to materialize the smooth and quiet operation characteristics, by applying the inverter control, the low torque ripple control in the low frequency range and high frequency large capacity inverting for lowering the motor in noise are necessary with their being assured of reliability. To satisfy the above necessary items, together with the development of a sine wave pulse width and frequency modulation (PWM/PFM) control system, to more precisely enable the sine wave electric current control, and 3kHz switching power converter, using a 800A power transistor module, a supervoltage control circuit under the extraordinary condition was designed. As a result of commercializing a 360m/min super-high speed inverter elevator, the power source unit, due to the effect of high power factor, could be reduced by 30% in capacity and also the higher harmonic wave including ratio could be considerably lowered to the inferiority to 5%. 2 references, 7 figures, 1 table.

  3. Using road markings as a continuous cue for speed choice.

    Science.gov (United States)

    Charlton, Samuel G; Starkey, Nicola J; Malhotra, Neha

    2018-08-01

    The potential for using road markings to indicate speed limits was investigated in a driving simulator over the course of two sessions. Two types of experimental road markings, an "Attentional" set designed to provide visually distinct cues to indicate speed limits of 60, 80 and 100 km/h, and a "Perceptual" set designed to also affect drivers' perception of speed, were compared to a standard undifferentiated set of markings. Participants (n = 20 per group) were assigned to one of four experimental groups (Attentional-Explicit, Attentional-Implicit, Perceptual-Explicit, Perceptual-Implicit) or a Control group (n = 22; standard road markings). The Explicit groups were instructed about the meaning of the road markings while those in the Implicit and Control groups did not receive any explanation. Participants drove five 10 km simulated roads containing three speed zones (60, 80 and 100 km/h) during the first session. The participants returned to the laboratory approximately 3 days later to drive five more trials including roads they had not seen before, a trial that included a secondary task, and a trial where speed signs were removed and only markings were present. The findings indicated that both types of road markings improved drivers' compliance with speed limits compared to the control group, but that explicit instruction as to the meaning of the markings was needed to realise their full benefit. Although previous research has indicated the benefit of road markings used as warnings to indicate speed reductions in advance of horizontal or vertical curves, the findings of the present experiment also suggest that systematically associating road markings with specific speed limits may be a useful way to improve speed limit compliance and increase speed homogeneity. Copyright © 2018 The Authors. Published by Elsevier Ltd.. All rights reserved.

  4. Survey of variable speed operation of wind turbines

    Energy Technology Data Exchange (ETDEWEB)

    Carlson, Ola; Hylander, J.; Thorborg, K. [Chalmers Univ. of Technology, Goeteborg (Sweden). Dept. of Electric Power Engineering

    1996-12-01

    During the last five years the production and operation of variable-speed wind turbines have advanced from a few experimental machines to a serial production of at least 10 MW of installed capacity of variable speed machines per week. The rated power of serial wind turbines is today around 600 kW and for the prototypes up to 3000 kW. Variable speed operation of wind turbines can be obtained with several different types of electrical generating systems, such as synchronous generators with diode rectifiers and thyristor inverters or induction generators with IGBT-converters, for the wide speed range. For the narrow speed range the wound motor induction generator with a rotor cascade or a controlled rotor resistance is preferable. The development of permanent magnetic material and the reduction of costs of the power electronic components have opened a possibility of designing cost-effective wind turbines with a directly driven generator. Pitch control together with variable speed will make it possible to limit the power variation within a few percent, 2 to 5 %, of the rated power. 7 refs, 4 figs, 2 tabs

  5. Feasibility of combining linear theory and impact theory methods for the analysis and design of high speed configurations

    Science.gov (United States)

    Brooke, D.; Vondrasek, D. V.

    1978-01-01

    The aerodynamic influence coefficients calculated using an existing linear theory program were used to modify the pressures calculated using impact theory. Application of the combined approach to several wing-alone configurations shows that the combined approach gives improved predictions of the local pressure and loadings over either linear theory alone or impact theory alone. The approach not only removes most of the short-comings of the individual methods, as applied in the Mach 4 to 8 range, but also provides the basis for an inverse design procedure applicable to high speed configurations.

  6. Two Capacitive Micro-Machined Ultrasonic Transducers for Wind Speed Measurement.

    Science.gov (United States)

    Bui, Gia Thinh; Jiang, Yu-Tsung; Pang, Da-Chen

    2016-06-02

    This paper presents a new wind speed measurement method using a single capacitive micro-machined ultrasonic transducer (CMUT). The CMUT was arranged perpendicular to the direction of the wind flow, and a reflector was set up a short distance away, facing the CMUT. To reduce the size, weight, cost, and power consumption of conventional ultrasonic anemometers this study proposes two CMUT designs for the measurement of wind speed using either the amplitude of the signal or the time of flight (TOF). Each CMUT with a double array element design can transmit and receive signals in five different operation modes. Experiments showed that the two CMUT designs utilizing the TOF were better than those utilizing the amplitude of the signal for wind speed measurements ranging from 1 m/s to 10 m/s, providing a measurement error of less than 0.2 m/s. These results indicate that the sensitivity of the TOF is independent of the five operation modes.

  7. High performance multi-channel high-speed I/O circuits

    CERN Document Server

    Oh, Taehyoun

    2013-01-01

    This book describes design techniques that can be used to mitigate crosstalk in high-speed I/O circuits. The focus of the book is in developing compact and low power integrated circuits for crosstalk cancellation, inter-symbol interference (ISI) mitigation and improved bit error rates (BER) at higher speeds. This book is one of the first to discuss in detail the problem of crosstalk and ISI mitigation encountered as data rates have continued beyond 10Gb/s. Readers will learn to avoid the data performance cliff, with circuits and design techniques described for novel, low power crosstalk cancel

  8. Planning of designing and installation of mechanical elements at the gear speed reducer on the basis of the parameter technology

    Directory of Open Access Journals (Sweden)

    D. Letić

    2013-01-01

    Full Text Available The development and implementation of the computer methods at project managing in the part of the planning of designing and installation of mechanical elements with the fit (assembly block of the gear speed reducer is significant and at present irreplaceable engineering task if it has been realized by the modern parameter technology. There are multifunction uses of this organized group of activities, beginning from the quick changeability of elements still in the phase of designing and constructing, thanks to the characteristics of their associativity, still to the wide basis of standard elements that are incorporated in the very program package. Meanwhile, these activities are not simple, so their realization has to be planned from the stand - point of time, resource and cost of realization. For the very designing and constructing was used AutoCAD Mechanical, and for the design managing Microsoft Project.

  9. Smart Materials Technology for High Speed Adaptive Inlet/Nozzle Design, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — Enabling a new generation of high-speed civil aircraft will require breakthrough developments in propulsion systems, including novel techniques to optimize inlet...

  10. Sensorless Speed/Torque Control of DC Machine Using Artificial Neural Network Technique

    Directory of Open Access Journals (Sweden)

    Rakan Kh. Antar

    2017-12-01

    Full Text Available In this paper, Artificial Neural Network (ANN technique is implemented to improve speed and torque control of a separately excited DC machine drive. The speed and torque sensorless scheme based on ANN is estimated adaptively. The proposed controller is designed to estimate rotor speed and mechanical load torque as a Model Reference Adaptive System (MRAS method for DC machine. The DC drive system consists of four quadrant DC/DC chopper with MOSFET transistors, ANN, logic gates and routing circuits. The DC drive circuit is designed, evaluated and modeled by Matlab/Simulink in the forward and reverse operation modes as a motor and generator, respectively. The DC drive system is simulated at different speed values (±1200 rpm and mechanical torque (±7 N.m in steady state and dynamic conditions. The simulation results illustratethe effectiveness of the proposed controller without speed or torque sensors.

  11. INTEGRATED SPEED ESTIMATION MODEL FOR MULTILANE EXPREESSWAYS

    Science.gov (United States)

    Hong, Sungjoon; Oguchi, Takashi

    In this paper, an integrated speed-estimation model is developed based on empirical analyses for the basic sections of intercity multilane expressway un der the uncongested condition. This model enables a speed estimation for each lane at any site under arb itrary highway-alignment, traffic (traffic flow and truck percentage), and rainfall conditions. By combin ing this model and a lane-use model which estimates traffic distribution on the lanes by each vehicle type, it is also possible to es timate an average speed across all the lanes of one direction from a traffic demand by vehicle type under specific highway-alignment and rainfall conditions. This model is exp ected to be a tool for the evaluation of traffic performance for expressways when the performance me asure is travel speed, which is necessary for Performance-Oriented Highway Planning and Design. Regarding the highway-alignment condition, two new estimators, called effective horizo ntal curvature and effective vertical grade, are proposed in this paper which take into account the influence of upstream and downstream alignment conditions. They are applied to the speed-estimation model, and it shows increased accuracy of the estimation.

  12. Balancing High-Speed Rotors at Low Speed

    Science.gov (United States)

    Giordano, J.; Zorzi, E.

    1986-01-01

    Flexible balancing reduces vibrations at operating speeds. Highspeed rotors in turbomachines dynamically balanced at fraction of operating rotor speed. New method takes into account rotor flexible rather than rigid.

  13. Full-frame, high-speed 3D shape and deformation measurements using stereo-digital image correlation and a single color high-speed camera

    Science.gov (United States)

    Yu, Liping; Pan, Bing

    2017-08-01

    Full-frame, high-speed 3D shape and deformation measurement using stereo-digital image correlation (stereo-DIC) technique and a single high-speed color camera is proposed. With the aid of a skillfully designed pseudo stereo-imaging apparatus, color images of a test object surface, composed of blue and red channel images from two different optical paths, are recorded by a high-speed color CMOS camera. The recorded color images can be separated into red and blue channel sub-images using a simple but effective color crosstalk correction method. These separated blue and red channel sub-images are processed by regular stereo-DIC method to retrieve full-field 3D shape and deformation on the test object surface. Compared with existing two-camera high-speed stereo-DIC or four-mirror-adapter-assisted singe-camera high-speed stereo-DIC, the proposed single-camera high-speed stereo-DIC technique offers prominent advantages of full-frame measurements using a single high-speed camera but without sacrificing its spatial resolution. Two real experiments, including shape measurement of a curved surface and vibration measurement of a Chinese double-side drum, demonstrated the effectiveness and accuracy of the proposed technique.

  14. Raising the speed limit from 75 to 80mph on Utah rural interstates: Effects on vehicle speeds and speed variance.

    Science.gov (United States)

    Hu, Wen

    2017-06-01

    In November 2010 and October 2013, Utah increased speed limits on sections of rural interstates from 75 to 80mph. Effects on vehicle speeds and speed variance were examined. Speeds were measured in May 2010 and May 2014 within the new 80mph zones, and at a nearby spillover site and at more distant control sites where speed limits remained 75mph. Log-linear regression models estimated percentage changes in speed variance and mean speeds for passenger vehicles and large trucks associated with the speed limit increase. Logistic regression models estimated effects on the probability of passenger vehicles exceeding 80, 85, or 90mph and large trucks exceeding 80mph. Within the 80mph zones and at the spillover location in 2014, mean passenger vehicle speeds were significantly higher (4.1% and 3.5%, respectively), as were the probabilities that passenger vehicles exceeded 80mph (122.3% and 88.5%, respectively), than would have been expected without the speed limit increase. Probabilities that passenger vehicles exceeded 85 and 90mph were non-significantly higher than expected within the 80mph zones. For large trucks, the mean speed and probability of exceeding 80mph were higher than expected within the 80mph zones. Only the increase in mean speed was significant. Raising the speed limit was associated with non-significant increases in speed variance. The study adds to the wealth of evidence that increasing speed limits leads to higher travel speeds and an increased probability of exceeding the new speed limit. Results moreover contradict the claim that increasing speed limits reduces speed variance. Although the estimated increases in mean vehicle speeds may appear modest, prior research suggests such increases would be associated with substantial increases in fatal or injury crashes. This should be considered by lawmakers considering increasing speed limits. Copyright © 2017 Elsevier Ltd and National Safety Council. All rights reserved.

  15. CERNET - A high-speed packet-switching network

    International Nuclear Information System (INIS)

    Gerard, J.M.

    1981-01-01

    A general mesh-structured high-speed computer network has been designed and built. This network provides communication between any pair of connected user computers over distances of upto 6 km and at line speeds of 1 to 5 Mbit/second. The network is composed of a communication subnet providing a datagram service, complemented by tasks in the connected machines to implement an end-to-end logical link protocol. Details are given of the overall structure as well as the specific modules of which the system is composed. (orig.)

  16. Design of high-speed data transmission system for Lanzhou heavy ion therapy accelerator

    International Nuclear Information System (INIS)

    Mao Wenyu; Qiao Weimin; Jing Lan; Li Guihua

    2012-01-01

    In order to satisfy the transmission requirements of partial synchronization data and process data for the heavy ion therapy accelerator, a high-speed, error-correction, long-distance, and real-time data transmission system was proposed and achieved. It can improve the efficiency and reliability of the accelerator control and synchronization. The system optimizes the hardware configuration and layout of the traditional system. FPGA, gigabit fiber module, PXI and SDRAM are the main parts of the system. It replaces the low-speed, short-distance, and poor anti-interference of the traditional data path and the data processing chips. Through the programming in the two FPGA chips, the PXI and DMA transmission mode was used to exchange data with the server of the accelerator. The front-end of the system achieves a real-time, long-distance, and high-speed serial frame transmission with 800 MHz carrier and 100 MHz base band signal. The real-time -data like synchronous event signal, power waveform data of the heavy ion therapy accelerator can be transmitted efficiently between the server and the remote controller through the system. (authors)

  17. Design and reliability analysis of high-speed and continuous data recording system based on disk array

    Science.gov (United States)

    Jiang, Changlong; Ma, Cheng; He, Ning; Zhang, Xugang; Wang, Chongyang; Jia, Huibo

    2002-12-01

    In many real-time fields the sustained high-speed data recording system is required. This paper proposes a high-speed and sustained data recording system based on the complex-RAID 3+0. The system consists of Array Controller Module (ACM), String Controller Module (SCM) and Main Controller Module (MCM). ACM implemented by an FPGA chip is used to split the high-speed incoming data stream into several lower-speed streams and generate one parity code stream synchronously. It also can inversely recover the original data stream while reading. SCMs record lower-speed streams from the ACM into the SCSI disk drivers. In the SCM, the dual-page buffer technology is adopted to implement speed-matching function and satisfy the need of sustainable recording. MCM monitors the whole system, controls ACM and SCMs to realize the data stripping, reconstruction, and recovery functions. The method of how to determine the system scale is presented. At the end, two new ways Floating Parity Group (FPG) and full 2D-Parity Group (full 2D-PG) are proposed to improve the system reliability and compared with the Traditional Parity Group (TPG). This recording system can be used conveniently in many areas of data recording, storing, playback and remote backup with its high-reliability.

  18. The new AGV high-speed train; Der neue Hochgeschwindigkeitszug AGV

    Energy Technology Data Exchange (ETDEWEB)

    Mueller, C.

    2008-07-01

    On 5th February 2008, Alstom lifted the veil on its new AGV high speed-train. With articulated carriages like the TGV, the AGV has a distributed drive system. Designed for a commercial speed of 360 km/h, the modular architecture of the AGV enables it to be built in a variety of configurations. (orig.)

  19. High-speed Integrated Circuits for electrical/Optical Interfaces

    DEFF Research Database (Denmark)

    Jespersen, Christoffer Felix

    2008-01-01

    This thesis is a continuation of the effort to increase the bandwidth of communicationnetworks. The thesis presents the results of the design of several high-speed electrical ircuits for an electrical/optical interface. These circuits have been a contribution to the ESTA project in collaboration...... circuits at the receiver interface, though VCOs are also found in the transmitter where a multitude of independent sources have to be mutually synchronized before multiplexing. The circuits are based on an InP DHBT process (VIP-2) supplied by Vitesse and made publicly available as MPW. The VIP-2 process...... represents the avant-garde of InP technology, with ft and fmax well above 300 GHz. Principles of high speed design are presented and described as a useful background before proceeding to circuits. A static divider is used as an example to illustrate many of the design principles. Theory and fundamentals...

  20. A Rotating Speed Controller Design Method for Power Levelling by Means of Inertia Energy in Wind Power Systems

    DEFF Research Database (Denmark)

    Qin, Zian; Blaabjerg, Frede; Loh, Poh Chiang

    2015-01-01

    Power fluctuation caused by wind speed variations may be harmful for the stability of the power system as well as the reliability of the wind power converter, since it may induce thermal excursions in the solder joints of the power modules. Using the wind turbine rotor inertia energy for power...... in the frequency domain for power leveling. Moreover, the impact of other parameters on power leveling, including the time constant of maximum power point tracking (MPPT) and the rotor inertia, are also studied. With the proposed optimal design, the power fluctuations are mitigated as much as possible, while...

  1. Design elements and quantitative results of synchronous longstator linear motors for high-speed magnetic trains taking the TRANSRAPID test facility in Emsland as an example

    Energy Technology Data Exchange (ETDEWEB)

    Fuerst, R [Industrieanlagen-Betriebsgesellschaft mbH, Magnetbahn-Versuchsanlage, Lathen/Ems (Germany)

    1996-12-31

    In German high-speed magnetic train technology, iron-clad synchronous long-stator linear motors of levitation stator design are used to propel and brake the vehicles. This paper uses the propulsion design of the Transrapid test facility in Emsland (TVE) to illustrate in practical terms the dimensioning parameters for thrust calculations and their interdependencies. The paper is based on description conventions common for rotating electrical machines and rail technology. (orig.)

  2. L1 Adaptive Manoeuvring Control of Unmanned High-speed Water Craft

    DEFF Research Database (Denmark)

    Svendsen, Casper H.; Holck, Niels Ole; Galeazzi, Roberto

    2012-01-01

    This work addresses the issue of designing an adaptive robust control system to govern the steering of a high speed unmanned personal watercraft (PWC) maintaining equal performance across the craft’s envelope of operation. The maneuvering dynamics of a high speed PWC is presented and a strong var......-of-freedom surge-sway-yaw-roll model. An L1 adaptive autopilot is then designed, which allows to achieve fast adaption to system parameters’ changes and robustness of the closed loop system....

  3. Direct Torque Control of a Small Wind Turbine with a Sliding-Mode Speed Controller

    Science.gov (United States)

    Sri Lal Senanayaka, Jagath; Karimi, Hamid Reza; Robbersmyr, Kjell G.

    2016-09-01

    In this paper. the method of direct torque control in the presence of a sliding-mode speed controller is proposed for a small wind turbine being used in water heating applications. This concept and control system design can be expanded to grid connected or off-grid applications. Direct torque control of electrical machines has shown several advantages including very fast dynamics torque control over field-oriented control. Moreover. the torque and flux controllers in the direct torque control algorithms are based on hvsteretic controllers which are nonlinear. In the presence of a sliding-mode speed control. a nonlinear control system can be constructed which is matched for AC/DC conversion of the converter that gives fast responses with low overshoots. The main control objectives of the proposed small wind turbine can be maximum power point tracking and soft-stall power control. This small wind turbine consists of permanent magnet synchronous generator and external wind speed. and rotor speed measurements are not required for the system. However. a sensor is needed to detect the rated wind speed overpass events to activate proper speed references for the wind turbine. Based on the low-cost design requirement of small wind turbines. an available wind speed sensor can be modified. or a new sensor can be designed to get the required measurement. The simulation results will be provided to illustrate the excellent performance of the closed-loop control system in entire wind speed range (4-25 m/s).

  4. Using neural networks to speed up optimization algorithms

    CERN Document Server

    Bazan, M

    2000-01-01

    The paper presents the application of radial-basis-function (RBF) neural networks to speed up deterministic search algorithms used for the design and optimization of superconducting LHC magnets. The optimization of the iron yoke of the main dipoles requires a number of numerical field computations per trial solution as the field quality depends on the excitation of the magnets. This results in computation times of about 30 minutes for each objective function evaluation (on a DEC-Alpha 600/333) and only the most robust (deterministic) optimization algorithms can be applied. Using a RBF function approximator, the achieved speed-up of the search algorithm is in the order of 25% for problems with two parameters and about 18% for problems with three and five design variables. (13 refs).

  5. Hull Surface Information Retrieval and Optimization of High Speed Planing Craft

    International Nuclear Information System (INIS)

    Ayob, A F; Wan Nik, W B; Ray, T; Smith, W F

    2012-01-01

    Traditional approach on ship design involve the use of a method which takes a form that was earlier called the 'general design diagram' and is now known as the 'design spiral' – an iterative ship design process that allows for an increase in complexity and precision across the design cycle. Several advancements have been made towards the design spiral, however inefficient for handling complex simultaneous design changes, especially when later variable changes affect the ship's performance characteristics evaluated in earlier stages. Reviewed in this paper are several advancements in high speed planing craft design in preliminary design stage. An optimization framework for high speed planing craft is discussed which consist of surface information retrieval module, a suite of state-of-the-art optimization algorithms and standard naval architectural performance estimation tools. A summary of the implementation of the proposed hull surface information retrieval and several case studies are presented to demonstrate the capabilities of the framework.

  6. An air bearing system for small high speed gas turbines

    Science.gov (United States)

    Turner, A. B.; Davies, S. J.; Nimir, Y. L.

    1994-03-01

    This paper describes the second phase of an experimental program concerning the application of air bearings to small turbomachinery test rigs and small gas turbines. The first phase examined externally pressurized (EP) journal bearings, with a novel EP thrust bearing, for application to 'warm air' test rigs, and was entirely successful at rotational speeds in excess of 100,000 rpm. This second phase examined several designs of tilting pad-spiring journal bearings, one with a novel form of externally pressurized pad, but all using the original EP thrust bearing. The designs tested are described, including some oscillogram traces, for tests up to a maximum of 70,000 rpm; the most successful using a carbon pad-titanium beam spring arrangement. The thrust bearing which gave trouble-free operation throughout, is also described. The results of an original experiment to measure the 'runway speed' of a radial inflow turbine are also presented, which show that overspeeds of 58 percent above the design speed can result from free-power turbine coupling failure.

  7. Finding the optimal shape of the leading-and-trailing car of a high-speed train using design-by-morphing

    Science.gov (United States)

    Oh, Sahuck; Jiang, Chung-Hsiang; Jiang, Chiyu; Marcus, Philip S.

    2017-10-01

    We present a new, general design method, called design-by-morphing for an object whose performance is determined by its shape due to hydrodynamic, aerodynamic, structural, or thermal requirements. To illustrate the method, we design a new leading-and-trailing car of a train by morphing existing, baseline leading-and-trailing cars to minimize the drag. In design-by-morphing, the morphing is done by representing the shapes with polygonal meshes and spectrally with a truncated series of spherical harmonics. The optimal design is found by computing the optimal weights of each of the baseline shapes so that the morphed shape has minimum drag. As a result of optimization, we found that with only two baseline trains that mimic current high-speed trains with low drag that the drag of the optimal train is reduced by 8.04% with respect to the baseline train with the smaller drag. When we repeat the optimization by adding a third baseline train that under-performs compared to the other baseline train, the drag of the new optimal train is reduced by 13.46% . This finding shows that bad examples of design are as useful as good examples in determining an optimal design. We show that design-by-morphing can be extended to many engineering problems in which the performance of an object depends on its shape.

  8. Optimal design of the absolute positioning sensor for a high-speed maglev train and research on its fault diagnosis.

    Science.gov (United States)

    Zhang, Dapeng; Long, Zhiqiang; Xue, Song; Zhang, Junge

    2012-01-01

    This paper studies an absolute positioning sensor for a high-speed maglev train and its fault diagnosis method. The absolute positioning sensor is an important sensor for the high-speed maglev train to accomplish its synchronous traction. It is used to calibrate the error of the relative positioning sensor which is used to provide the magnetic phase signal. On the basis of the analysis for the principle of the absolute positioning sensor, the paper describes the design of the sending and receiving coils and realizes the hardware and the software for the sensor. In order to enhance the reliability of the sensor, a support vector machine is used to recognize the fault characters, and the signal flow method is used to locate the faulty parts. The diagnosis information not only can be sent to an upper center control computer to evaluate the reliability of the sensors, but also can realize on-line diagnosis for debugging and the quick detection when the maglev train is off-line. The absolute positioning sensor we study has been used in the actual project.

  9. Optimal Design of the Absolute Positioning Sensor for a High-Speed Maglev Train and Research on Its Fault Diagnosis

    Directory of Open Access Journals (Sweden)

    Junge Zhang

    2012-08-01

    Full Text Available This paper studies an absolute positioning sensor for a high-speed maglev train and its fault diagnosis method. The absolute positioning sensor is an important sensor for the high-speed maglev train to accomplish its synchronous traction. It is used to calibrate the error of the relative positioning sensor which is used to provide the magnetic phase signal. On the basis of the analysis for the principle of the absolute positioning sensor, the paper describes the design of the sending and receiving coils and realizes the hardware and the software for the sensor. In order to enhance the reliability of the sensor, a support vector machine is used to recognize the fault characters, and the signal flow method is used to locate the faulty parts. The diagnosis information not only can be sent to an upper center control computer to evaluate the reliability of the sensors, but also can realize on-line diagnosis for debugging and the quick detection when the maglev train is off-line. The absolute positioning sensor we study has been used in the actual project.

  10. Adaptive Torque Control of Variable Speed Wind Turbines

    Energy Technology Data Exchange (ETDEWEB)

    Johnson, K. E.

    2004-08-01

    The primary focus of this work is a new adaptive controller that is designed to resemble the standard non-adaptive controller used by the wind industry for variable speed wind turbines below rated power. This adaptive controller uses a simple, highly intuitive gain adaptation law designed to seek out the optimal gain for maximizing the turbine's energy capture. It is designed to work even in real, time-varying winds.

  11. Improved flare tip design

    Energy Technology Data Exchange (ETDEWEB)

    Gogolek, P. [Natural Resources Canada, Ottawa, ON (Canada). CANMET Energy Technology Centre

    2004-07-01

    This paper discusses the testing procedures and development of an improved flare tip design. Design objectives included performance equal to or better than utility flares at low wind speed; conversion efficiency; fuel slip; smoking; significant improvement at high wind speed; and no increase in trace emissions. A description of the testing facility of the flare tip was provided, with reference to the fact that the facility allowed for realistic near full scale gas flares in a single-pass flare test facility. Other details of the facility included: an adjustable ceiling; high capacity variable speed fan; sampling ports along working section in stack; windows along working section; and air cooled walls, floor, and ceiling. The fuels used in the flare tip included natural gas, propane, gasoline and inert gases. Details of wind speed, appurtenances and turbulence generating grids were presented, with reference to continuous gas emission measurements. A list of design constraints was provided. Flare performance included wind speed, turbulence and fuel composition. A chart of conversion inefficiencies with a correlation of wind speed and turbulence, fuel flow and pipe size was also presented. Several new tip designs were fabricated for testing, with screening tests for comparison to basic pipe and ranking designs. Significant improvements were found in one of the new designs, including results with 30 per cent propane in fuel. Emissions reduction from 10 to 35 per cent were noted. It was concluded that future work should focus on evaluating improved tip for stability at low wind speeds. Fuel slips are the primary source of emissions, and it was recommended that further research is necessary to improve existing flare tips. tabs, figs.

  12. Advanced Ultra-High Speed Motor for Drilling

    Energy Technology Data Exchange (ETDEWEB)

    Impact Technologies LLC; University of Texas at Arlington

    2007-03-31

    Three (3) designs have been made for two sizes, 6.91 cm (2.72 inch) and 4.29 cm (1.69 inch) outer diameters, of a patented inverted configured Permanent Magnet Synchronous Machines (PMSM) electric motor specifically for drilling at ultra-high rotational speeds (10,000 rpm) and that can utilize advanced drilling methods. Benefits of these motors are stackable power sections, full control (speed and direction) of downhole motors, flow hydraulics independent of motor operation, application of advanced drilling methods (water jetting and abrasive slurry jetting), and the ability of signal/power electric wires through motor(s). Key features of the final designed motors are: fixed non-rotating shaft with stator coils attached; rotating housing with permanent magnet (PM) rotor attached; bit attached to rotating housing; internal channel(s) in a nonrotating shaft; electric components that are hydrostatically isolated from high internal pressure circulating fluids ('muds') by static metal to metal seals; liquid filled motor with smoothed features for minimized turbulence in the motor during operation; and new inverted coated metal-metal hydrodynamic bearings and seals. PMSM, Induction and Switched Reluctance Machines (SRM), all pulse modulated, were considered, but PMSM were determined to provide the highest power density for the shortest motors. Both radial and axial electric PMSM driven motors were designed with axial designs deemed more rugged for ultra-high speed, drilling applications. The 6.91 cm (2.72 inch) OD axial inverted motor can generate 4.18KW (5.61 Hp) power at 10,000 rpm with a 4 Nm (2.95 ft-lbs) of torque for every 30.48 cm (12 inches) of power section. The 6.91 cm (2.72 inch) OD radial inverted motor can generate 5.03 KW (6.74 Hp) with 4.8 Nm (3.54 ft-lb) torque at 10,000 rpm for every 30.48 cm (12 inches) of power section. The 4.29 cm (1.69 inch) OD radial inverted motor can generate 2.56 KW (3.43 Hp) power with 2.44 Nm (1.8 ft-lb) torque at

  13. Monitoring speed before and during a speed publicity campaign.

    NARCIS (Netherlands)

    Schagen, I.N.L.G. van Commandeur, J.J.F. Goldenbeld, C. & Stipdonk, H.

    2016-01-01

    Driving speeds were monitored during a period of 16 weeks encompassing different stages of an anti-speeding campaign in the Netherlands. This campaign targeted speed limit violations in built-up areas. The observation periods differed in terms of intensity and media used for the campaign. Small

  14. EFFICIENCY OF LINEAR PULSE ELECTROMECHANICAL CONVERTERS DESIGNED TO CREATE IMPACT LOADS AND HIGH SPEEDS

    Directory of Open Access Journals (Sweden)

    V.F. Bolyukh

    2015-06-01

    Full Text Available Considered linear impulse electromechanical converters (LIEC are used to create a significant impact and high-acceleration actuators on a short active site. The most effective types of LIEC are induction-dynamic (IDC, electro-dynamic (EDC and electro-magnetic (EMC converters. In all these types of short-term excitement LIEC carried briefly of the inductor from a pulsed source. This occurs when the magnetic field of the inductor causes the electro-dynamic or electromagnetic forces, leading to a linear movement of the armature. However, the issue at evaluating the effects of IDC, EDC and EMC, for creating a shock simultaneously with high speed to the specified criteria in the presence of ferromagnetic core virtually unexplored. The paper presents the simulated computer-WIDE 2D model of LIEC of coaxial configuration with ferromagnetic core by using software package COMSOL Multiphysics 4.4, taking into account the related electro-magnetic, thermal, and magnetic fields. In addition a synthesis of high-performance IDC, EDC and EMC to ensure maximum impact and speed of the operating element, whereby the comparative analysis of the effectiveness of the IDC, EDC and EMC via an integral index, taking into account the maximum value and momentum of electro-dynamic or electromagnetic force acting on the armature, maximum and average speed armature, efficiency, mass and dimensions performance transducer stray field, the maximum current density in the inductor is carried out. On the basis of the eight selection policies set the most efficient types of power and speed LIEC. It is shown that any one of the strategies IDC selection is not the best. To ensure maximum impact force is the most effective EMC and to ensure the greatest speed – EDC.

  15. Pay as You Speed, ISA with incentives for not speeding

    DEFF Research Database (Denmark)

    Lahrmann, Harry Spaabæk; Agerholm, Niels; Tradisauskas, Nerius

    2012-01-01

    The Intelligent Speed Adaptation (ISA) project we describe in this article is based on Pay as You Drive principles. These principles assume that the ISA equipment informs a driver of the speed limit, warns the driver when speeding and calculates penalty points. Each penalty point entails the redu......The Intelligent Speed Adaptation (ISA) project we describe in this article is based on Pay as You Drive principles. These principles assume that the ISA equipment informs a driver of the speed limit, warns the driver when speeding and calculates penalty points. Each penalty point entails...... the reduction of a 30% discount on the driver's car insurance premium, which therefore produced the name, Pay as You Speed. The ISA equipment consists of a GPS-based On Board Unit with a mobile phone connection to a web server. The project was planned for a three-year test period with 300 young car drivers...

  16. A high speed dual-gain preamplifier system with multiple channels

    International Nuclear Information System (INIS)

    Zhao Lei; Liu Shubin; Xian Ze; An Qi

    2008-01-01

    In this paper, a multiple-channel high speed preamplifier module with dual-gain is presented, together with its design principle, test methods and performance parameter. By proper choice of the chips and careful circuit design, the preamplifier accomplishes a fine performance in high speed analog signal processing. The 3 dB bandwidth is above 440 MHz for gain factor of 2 and 280 MHz for gain factor of 8, with the leading edge time of less than 2 ns. The preamplifier module has been used in the research project of β-delayed neutron emission of radionuclides in neutron-rich region. (authors)

  17. Coherent dual-frequency lidar system design for distance and speed measurements

    Science.gov (United States)

    Zheng, Xingyuan; Zhao, Changming; Zhang, Haiyang; Zheng, Zheng; Yang, Hongzhi

    2018-01-01

    Lidars have a wide range of applications in military detection and civilian remote sensing. Coherent Dual-Frequency Lidar (CDFL) is a new concept of laser radar that is using electrical coherence instead of optical coherence. It uses laser with two coherent frequency components as transmitting wave. The method is based on the use of an optically-carried radio frequency (RF) signal, which is the frequency difference between the two components, which is specially designed for distance and speed measurements. It not only ensures the system has the characteristics of high spatial resolution, high ranging and velocity precision of laser radar, but also can use mature signal processing technology of microwave radar, and it is a research direction that attracts more concern in recent years. A CDFL detection system is constructed and field experiment is carried out. In the system, a narrow linewidth fiber laser with a wavelength of 1064nm is adopted. The dual-frequency laser with frequency difference of 200MHz and 200.6MHz is obtained by acousto-optic frequency shift and recombination. The maximum output power of dual frequency laser is 200mW. The receiver consists of all-fiber balanced InGaAs photo-detector and homemade analog signal processing board. The experimental results show that the distance resolution and velocity resolution of the system are 0.1m and 0.1m/s separately when the working distance is greater than 200m, and the spatial resolution is 0.5mrad.

  18. High-speed parallel counter

    International Nuclear Information System (INIS)

    Gus'kov, B.N.; Kalinnikov, V.A.; Krastev, V.R.; Maksimov, A.N.; Nikityuk, N.M.

    1985-01-01

    This paper describes a high-speed parallel counter that contains 31 inputs and 15 outputs and is implemented by integrated circuits of series 500. The counter is designed for fast sampling of events according to the number of particles that pass simultaneously through the hodoscopic plane of the detector. The minimum delay of the output signals relative to the input is 43 nsec. The duration of the output signals can be varied from 75 to 120 nsec

  19. Modifying behaviour to reduce over-speeding in work-related drivers: an objective approach.

    Science.gov (United States)

    Newnam, Sharon; Lewis, Ioni; Warmerdam, Amanda

    2014-03-01

    The goal of this study was to utilise an objective measurement tool, via an on-board Diagnostic tool (OBDII), to explore the effectiveness of a behaviour modification intervention designed to reduce over-speed violations in a group of work-related drivers. It was predicted that over-speed violations would be decreased following participation in a behaviour modification intervention where drivers received weekly feedback on their speeding performance and goal setting exercises. The final analysis included the on-road behaviour of 16 drivers, all of whom completed each stage of the intervention programme. As predicted, over-speed violations significantly decreased from pre-test to post-test, after controlling for kilometres driven. These findings offer practical guidance for industry in developing interventions designed to improve work-related driving behaviour. Copyright © 2013 Elsevier Ltd. All rights reserved.

  20. A data-acquisition system for high speed linear CCD

    International Nuclear Information System (INIS)

    Liu Zhiyan; Chen Xiangcai; Jiang Xiaoshan; Zhang Hongyu; Liang Zhongwang; Xiang Haisheng; Hu Jun

    2010-01-01

    A data-acquisition system for high speed linear CCD (Charge Coupled device) is mainly introduced. The optical fiber transmission technology is used. The data is sent to PC through USB or PCI interface. The construction of the system, the design of the PCI interface hardware, software design and the design of the control program running on host computer are also introduced. (authors)

  1. Active surge control for variable speed axial compressors.

    Science.gov (United States)

    Lin, Shu; Yang, Chunjie; Wu, Ping; Song, Zhihuan

    2014-09-01

    This paper discusses active surge control in variable speed axial compressors. A compression system equipped with a variable area throttle is investigated. Based on a given compressor model, a fuzzy logic controller is designed for surge control and a proportional speed controller is used for speed control. The fuzzy controller uses measurements of the change of pressure rise as well as the change of mass flow to determine the throttle opening. The presented approach does not require the knowledge of system equilibrium or the surge line. Numerical simulations show promising results. The proposed fuzzy logic controller performs better than a backstepping controller and is capable to suppress surge at different operating points. Copyright © 2014 ISA. Published by Elsevier Ltd. All rights reserved.

  2. Thermomechanical simulations and experimental validation for high speed incremental forming

    Science.gov (United States)

    Ambrogio, Giuseppina; Gagliardi, Francesco; Filice, Luigino; Romero, Natalia

    2016-10-01

    Incremental sheet forming (ISF) consists in deforming only a small region of the workspace through a punch driven by a NC machine. The drawback of this process is its slowness. In this study, a high speed variant has been investigated from both numerical and experimental points of view. The aim has been the design of a FEM model able to perform the material behavior during the high speed process by defining a thermomechanical model. An experimental campaign has been performed by a CNC lathe with high speed to test process feasibility. The first results have shown how the material presents the same performance than in conventional speed ISF and, in some cases, better material behavior due to the temperature increment. An accurate numerical simulation has been performed to investigate the material behavior during the high speed process confirming substantially experimental evidence.

  3. Design Of Single-Axis And Dual-Axis Solar Tracking Systems Protected Against High Wind Speeds

    Directory of Open Access Journals (Sweden)

    Mai Salaheldin Elsherbiny

    2017-09-01

    Full Text Available Solar energy is rapidly gaining ground as an important mean of expanding renewable energy use. Solar tracking is employed in order to maximize collected solar radiation by a photovoltaic panel. In this paper we present a prototype for Automatic solar tracker that is designed using Arduino UNO with Wind sensor to Cease Wind effect on panels if wind speed exceeds certain threshold. The Proposed solar tracker tracks the location of the sun anywhere in any time by calculating the position of the sun. For producing the maximum amount of solar energy a solar panel must always be perpendicular to the source of light. Because the sun motion plane varies daily and during the day it moves from east to west one needs two axis tracking to follow the suns position. Maximum possible power is collected when two axis tracking is done. However two axis tracking is relatively costly and complex. A compromise between maximum power collection and system simplicity is obtained by single axis tracking where the plane North south axis is fixed while the east west motion is accomplished. This work deals with the design of both single and two axis tracking systems. Automatic trackers is also compared to Fixed one in terms of Energy generated Efficiency Cost and System reliability.

  4. High-speed nonvolatile CMOS/MNOS RAM

    International Nuclear Information System (INIS)

    Derbenwick, G.F.; Dodson, W.D.; Sokel, R.J.

    1979-01-01

    A bulk silicon technology for a high-speed static CMOS/MNOS RAM has been developed. Radiation-hardened, high voltage CMOS circuits have been fabricated for the memory array driving circuits and the enhancement-mode p-channel MNOS memory transistors have been fabricated using a native tunneling oxide with a 45 nm CVD Si 3 N 4 insulator deposited at 750 0 C. Read cycle times less than 350 ns and write cycle times of 1 μs are projected for the final 1Kx1 design. The CMOS circuits provide adequate speed for the write and read cycles and minimize the standby power dissipation. Retention times well in excess of 30 min are projected

  5. Evaluation of train-speed control algorithms

    Energy Technology Data Exchange (ETDEWEB)

    Slavik, M.M. [BKS Advantech (Pty.) Ltd., Pretoria (South Africa)

    2000-07-01

    A relatively simple and fast simulator has been developed and used for the preliminary testing of train cruise-control algorithms. The simulation is done in software on a PC. The simulator is used to gauge the consequences and feasibility of a cruise-control strategy prior to more elaborate testing and evaluation. The tool was used to design and pre-test a train-cruise control algorithm called NSS, which does not require knowledge of exact train mass, vertical alignment, or actual braking force. Only continuous measurements on the speed of the train and electrical current are required. With this modest input, the NSS algorithm effected speed changes smoothly and efficiently for a wide range of operating conditions. (orig.)

  6. Cellular Automata Models of Traffic Behavior in Presence of Speed Breaking Structures

    International Nuclear Information System (INIS)

    Ramachandran, Parthasarathy

    2009-01-01

    In this article, we study traffic flow in the presence of speed breaking structures. The speed breakers are typically used to reduce the local speed of vehicles near certain institutions such as schools and hospitals. Through a cellular automata model we study the impact of such structures on global traffic characteristics. The simulation results indicate that the presence of speed breakers could reduce the global flow under moderate global densities. However, under low and high global density traffic regime the presence of speed breakers does not have an impact on the global flow. Further the speed limit enforced by the speed breaker creates a phase distinction. For a given global density and slowdown probability, as the speed limit enforced by the speed breaker increases, the traffic moves from the reduced flow phase to maximum flow phase. This underlines the importance of proper design of these structures to avoid undesired flow restrictions. (general)

  7. The development of high-speed 100 fps CCD camera

    International Nuclear Information System (INIS)

    Hoffberg, M.; Laird, R.; Lenkzsus, F.; Liu, C.; Rodricks, B.

    1997-01-01

    This paper describes the development of a high-speed CCD digital camera system. The system has been designed to use CCDs from various manufacturers with minimal modifications. The first camera built on this design utilizes a Thomson 512 x 512 pixel CCD as its sensor, which is read out from two parallel outputs at a speed of 15 MHz/pixel/output. The data undergo correlated double sampling after which it is digitized into 12 bits. The throughput of the system translates into 60 MB/second, which is either stored directly in a PC or transferred to a custom-designed VXI module. The PC data acquisition version of the camera can collect sustained data in real time that is limited to the memory installed in the PC. The VXI version of the camera, also controlled by a PC, stores 512 MB of real-time data before it must be read out to the PC disk storage. The uncooled CCD can be used either with lenses for visible light imaging or with a phosphor screen for X-ray imaging. This camera has been tested with a phosphor screen coupled to a fiber-optic face plate for high-resolution, high-speed X-ray imaging. The camera is controlled through a custom event-driven user-friendly Windows package. The pixel clock speed can be changed from 1 to 15 MHz. The noise was measured to be 1.05 bits at a 13.3 MHz pixel clock. This paper will describe the electronics, software, and characterizations that have been performed using both visible and X-ray photons. (orig.)

  8. Speed in Acquisitions

    DEFF Research Database (Denmark)

    Meglio, Olimpia; King, David R.; Risberg, Annette

    2017-01-01

    The advantage of speed is often invoked by academics and practitioners as an essential condition during post-acquisition integration, frequently without consideration of the impact earlier decisions have on acquisition speed. In this article, we examine the role speed plays in acquisitions across...... the acquisition process using research organized around characteristics that display complexity with respect to acquisition speed. We incorporate existing research with a process perspective of acquisitions in order to present trade-offs, and consider the influence of both stakeholders and the pre......-deal-completion context on acquisition speed, as well as the organization’s capabilities to facilitating that speed. Observed trade-offs suggest both that acquisition speed often requires longer planning time before an acquisition and that associated decisions require managerial judgement. A framework for improving...

  9. High-speed readout of high-Z pixel detectors with the LAMBDA detector

    International Nuclear Information System (INIS)

    Pennicard, D.; Smoljanin, S.; Sheviakov, I.; Xia, Q.; Rothkirch, A.; Yu, Y.; Struth, B.; Hirsemann, H.; Graafsma, H.

    2014-01-01

    High-frame-rate X-ray pixel detectors make it possible to perform time-resolved experiments at synchrotron beamlines, and to make better use of these sources by shortening experiment times. LAMBDA is a photon-counting hybrid pixel detector based on the Medipix3 chip, designed to combine a small pixel size of 55 μm, a large tileable module design, high speed, and compatibility with ''high-Z'' sensors for hard X-ray detection. This technical paper focuses on LAMBDA's high-speed-readout functionality, which allows a frame rate of 2000 frames per second with no deadtime between successive images. This takes advantage of the Medipix3 chip's ''continuous read-write'' function and highly parallelised readout. The readout electronics serialise this data and send it back to a server PC over two 10 Gigabit Ethernet links. The server PC controls the detector and receives, processes and stores the data using software designed for the Tango control system. As a demonstration of high-speed readout of a high-Z sensor, a GaAs LAMBDA detector was used to make a high-speed X-ray video of a computer fan

  10. Insights into targeting young male drivers with anti-speeding advertising: An application of the Step approach to Message Design and Testing (SatMDT).

    Science.gov (United States)

    Lewis, I; White, K M; Ho, B; Elliott, B; Watson, B

    2017-06-01

    In Australia, young drivers aged 17-25 years comprise 13% of the population yet account for 22% of all road deaths with young males over-represented in such trauma. Speeding represents a major contributing factor and advertising campaigns have long focused on promoting anti-speeding messages in the effort to reduce drivers' speeds. Positioned within a larger program of research aimed at developing, piloting, and evaluating a range of theoretically-informed anti-speeding messages, the current study reports results relating to the final phase of the research, the evaluation. Six messages were devised in accordance with the guiding framework, the Step approach to Message Design and Testing ([SatMDT]; Lewis et al., 2016) and based on the findings emerging from earlier qualitative and quantitative studies within the program of research. N=938 licensed drivers (n=455 males, 48%) aged 17-62 years completed an online survey. To ensure a controlled test of the persuasiveness of the message content, the messages were presented in an audio-based format and thus were devoid of potential confounds, such as images. The messages sought to address a particular belief (i.e., behavioural, normative, control) and to focus either on emphasising the positive aspects which make speeding less likely or challenging the negative aspects which make speeding more likely. Thus, key to this evaluation was to test the persuasiveness of the message content in terms of the particular belief and focus it was addressing. Participants were randomly assigned to either the Control condition (i.e., no exposure to a message) or the Intervention condition (i.e., exposed to one of the six messages presented as an audio-recorded message within the survey). Persuasiveness was assessed via a range of outcome measures including both direct (i.e., third-person perceptions, message rejection) as well as indirect measures (i.e., intentions, willingness to speed). Age, gender, and message type were independent

  11. Speed and stamina trade-off in lacertid lizards.

    Science.gov (United States)

    Vanhooydonck, B; Van Damme, R; Aerts, P

    2001-05-01

    Morphological and physiological considerations suggest that sprinting ability and endurance capacity put conflicting demands on the design of an animal's locomotor apparatus and therefore cannot be maximized simultaneously. To test this hypothesis, we correlated size-corrected maximal sprint speed and stamina of 12 species of lacertid lizards. Phylogenetically independent contrasts of sprint speed and stamina showed a significant negative relationship, giving support to the idea of an evolutionary trade-off between the two performance measures. To test the hypothesis that the trade-off is mediated by a conflict in morphological requirements, we correlated both performance traits with snout-vent length, size-corrected estimates of body mass and limb length, and relative hindlimb length (the residuals of the relationship between hind- and forelimb length). Fast-running species had hindlimbs that were long compared to their forelimbs. None of the other size or shape variables showed a significant relationship with speed or endurance. We conclude that the evolution of sprint capacity may be constrained by the need for endurance capacity and vice versa, but the design conflict underlying this trade-off has yet to be identified.

  12. Perils of using speed zone data to assess real-world compliance to speed limits.

    Science.gov (United States)

    Chevalier, Anna; Clarke, Elizabeth; Chevalier, Aran John; Brown, Julie; Coxon, Kristy; Ivers, Rebecca; Keay, Lisa

    2017-11-17

    Real-world driving studies, including those involving speeding alert devices and autonomous vehicles, can gauge an individual vehicle's speeding behavior by comparing measured speed with mapped speed zone data. However, there are complexities with developing and maintaining a database of mapped speed zones over a large geographic area that may lead to inaccuracies within the data set. When this approach is applied to large-scale real-world driving data or speeding alert device data to determine speeding behavior, these inaccuracies may result in invalid identification of speeding. We investigated speeding events based on service provider speed zone data. We compared service provider speed zone data (Speed Alert by Smart Car Technologies Pty Ltd., Ultimo, NSW, Australia) against a second set of speed zone data (Google Maps Application Programming Interface [API] mapped speed zones). We found a systematic error in the zones where speed limits of 50-60 km/h, typical of local roads, were allocated to high-speed motorways, which produced false speed limits in the speed zone database. The result was detection of false-positive high-range speeding. Through comparison of the service provider speed zone data against a second set of speed zone data, we were able to identify and eliminate data most affected by this systematic error, thereby establishing a data set of speeding events with a high level of sensitivity (a true positive rate of 92% or 6,412/6,960). Mapped speed zones can be a source of error in real-world driving when examining vehicle speed. We explored the types of inaccuracies found within speed zone data and recommend that a second set of speed zone data be utilized when investigating speeding behavior or developing mapped speed zone data to minimize inaccuracy in estimates of speeding.

  13. Efficiency of particle swarm optimization applied on fuzzy logic DC motor speed control

    Directory of Open Access Journals (Sweden)

    Allaoua Boumediene

    2008-01-01

    Full Text Available This paper presents the application of Fuzzy Logic for DC motor speed control using Particle Swarm Optimization (PSO. Firstly, the controller designed according to Fuzzy Logic rules is such that the systems are fundamentally robust. Secondly, the Fuzzy Logic controller (FLC used earlier was optimized with PSO so as to obtain optimal adjustment of the membership functions only. Finally, the FLC is completely optimized by Swarm Intelligence Algorithms. Digital simulation results demonstrate that in comparison with the FLC the designed FLC-PSO speed controller obtains better dynamic behavior and superior performance of the DC motor, as well as perfect speed tracking with no overshoot.

  14. The Effect of Concurrent Visual Feedback on Controlling Swimming Speed

    Directory of Open Access Journals (Sweden)

    Szczepan Stefan

    2016-03-01

    Full Text Available Introduction. Developing the ability to control the speed of swimming is an important part of swimming training. Maintaining a defined constant speed makes it possible for the athlete to swim economically at a low physiological cost. The aim of this study was to determine the effect of concurrent visual feedback transmitted by the Leader device on the control of swimming speed in a single exercise test. Material and methods. The study involved a group of expert swimmers (n = 20. Prior to the experiment, the race time for the 100 m distance was determined for each of the participants. In the experiment, the participants swam the distance of 100 m without feedback and with visual feedback. In both variants, the task of the participants was to swim the test distance in a time as close as possible to the time designated prior to the experiment. In the first version of the experiment (without feedback, the participants swam the test distance without receiving real-time feedback on their swimming speed. In the second version (with visual feedback, the participants followed a beam of light moving across the bottom of the swimming pool, generated by the Leader device. Results. During swimming with visual feedback, the 100 m race time was significantly closer to the time designated. The difference between the pre-determined time and the time obtained was significantly statistically lower during swimming with visual feedback (p = 0.00002. Conclusions. Concurrently transmitting visual feedback to athletes improves their control of swimming speed. The Leader device has proven useful in controlling swimming speed.

  15. Intraindividual variability in executive functions but not speed of processing or conflict resolution predicts performance differences in gait speed in older adults.

    Science.gov (United States)

    Holtzer, Roee; Mahoney, Jeannette; Verghese, Joe

    2014-08-01

    The relationship between executive functions (EF) and gait speed is well established. However, with the exception of dual tasking, the key components of EF that predict differences in gait performance have not been determined. Therefore, the current study was designed to determine whether processing speed, conflict resolution, and intraindividual variability in EF predicted variance in gait performance in single- and dual-task conditions. Participants were 234 nondemented older adults (mean age 76.48 years; 55% women) enrolled in a community-based cohort study. Gait speed was assessed using an instrumented walkway during single- and dual-task conditions. The flanker task was used to assess EF. Results from the linear mixed effects model showed that (a) dual-task interference caused a significant dual-task cost in gait speed (estimate = 35.99; 95% CI = 33.19-38.80) and (b) of the cognitive predictors, only intraindividual variability was associated with gait speed (estimate = -.606; 95% CI = -1.11 to -.10). In unadjusted analyses, the three EF measures were related to gait speed in single- and dual-task conditions. However, in fully adjusted linear regression analysis, only intraindividual variability predicted performance differences in gait speed during dual tasking (B = -.901; 95% CI = -1.557 to -.245). Among the three EF measures assessed, intraindividual variability but not speed of processing or conflict resolution predicted performance differences in gait speed. © The Author 2013. Published by Oxford University Press on behalf of The Gerontological Society of America. All rights reserved. For permissions, please e-mail: journals.permissions@oup.com.

  16. Simulation of load traffic and steeped speed control of conveyor

    Science.gov (United States)

    Reutov, A. A.

    2017-10-01

    The article examines the possibilities of the step control simulation of conveyor speed within Mathcad, Simulink, Stateflow software. To check the efficiency of the control algorithms and to more accurately determine the characteristics of the control system, it is necessary to simulate the process of speed control with real values of traffic for a work shift or for a day. For evaluating the belt workload and absence of spillage it is necessary to use empirical values of load flow in a shorter period of time. The analytical formulas for optimal speed step values were received using empirical values of load. The simulation checks acceptability of an algorithm, determines optimal parameters of regulation corresponding to load flow characteristics. The average speed and the number of speed switching during simulation are admitted as criteria of regulation efficiency. The simulation example within Mathcad software is implemented. The average conveyor speed decreases essentially by two-step and three-step control. A further increase in the number of regulatory steps decreases average speed insignificantly but considerably increases the intensity of the speed switching. Incremental algorithm of speed regulation uses different number of stages for growing and reducing load traffic. This algorithm allows smooth control of the conveyor speed changes with monotonic variation of the load flow. The load flow oscillation leads to an unjustified increase or decrease of speed. Work results can be applied at the design of belt conveyors with adjustable drives.

  17. Operation Design of Wind Turbines in Strong Wind Conditions

    DEFF Research Database (Denmark)

    Shen, Wen Zhong; Montes, Melissa Barroso; Odgaard, Peter Fogh

    2012-01-01

    and variable speed pitch regulated wind turbines. The variable speed design is more suitable for wind turbines to run at very high wind speeds which can help the turbine braking system to stop the turbine at the new "cut-out" wind speed. Reference power, rotational speed and pitch angle have been designed...... optimally. In order to reduce the possible increased loading, fatigue due to the wind gusts, control strategies have been considered for both constant sped and variable speed pitch regulated wind turbines. The control study shows that the designed controllers can reduce the standard deviations efficiently......In order to reduce the impact on the electrical grid from the shutdown of MW wind turbines at wind speeds higher than the cut-out wind speed of 25 m/s, we propose in this paper to run the turbines at high wind speeds up to 40 m/s. Two different operation designs are made for both constant speed...

  18. Rotation speed measurement for turbine governor: torsion filtering by using Kalman filter

    International Nuclear Information System (INIS)

    Houry, M.P.; Bourles, H.

    1996-01-01

    The rotation speed of a turbogenerator is disturbed by its shaft torsion. Obtaining a filtered measure of this speed is a problem of a great practical importance for turbine governor. A good filtering of this speed must meet two requirements: it must cut frequencies of the shaft torsion oscillation and it must not reduce or delay the signal in the pass-band, i.e. at lower frequencies. At Electricite de France, the speed measure is used to set in motion the fast valving system as quickly as possible, after a short circuit close to the unit or rather an islanding. It is difficult to satisfy these two requirements by using conventional filtering methods. The standard solution consists in a first order filter: at Electricite de France, its time constant is equal to 80 ms. We have decided to improve this filtering by designing a new filter which cuts the frequencies of the shaft torsion oscillation without reducing the bandwidth to the speed measure. If one uses conventional methods to obtain a band stop filter, it is easy to obtain the desired magnitude but not a phase near zero in the whole pass-band. Therefore, we have chosen to design the filter by using Kalman'a theory. The measurement noise is modeled as a colored one, generated by a very lightly damped system driven by a while noise. The resulting Kalman filter is an effective band stop filter, whose phase nicely remains near zero in the whole pass-band. The digital simulations we made and the tests we carried out with the Electricite de France Micro Network laboratory show the advantages of the rotation speed filter we designed using Kalman's theory. With the proposed filter, the speed measure filtering is better in terms of reduction and phase shift. the result is that there are less untimely solicitations of the fast valving system. Consequently, this device improves the power systems stability by minimizing the risks of deep perturbations due to a temporary lack of generation and the risks of under-speed loss

  19. Multiroller Traction Drive Speed Reducer. Evaluation for Automotive Gas Turbine Engine

    Science.gov (United States)

    1982-06-01

    Speed is deLermined by a magnetic pickup on a toothed wheel . Gas turbine engine instrumunelLtiouu i -designed 1f0r measurement of specific fuel...buffer seal and the fluid--film bearing measured a maximum total runout of 0.038 mm (0.0015 in.) at low speed. At higher speeds, above 8000 rpm, the...maximum was 0.025 mm (0.001 in.) except near 10 000 rpm, where the oscilloscope indicated an excursion of 0.045 mm (0.0018 in.). This runout was within

  20. Functional integration of vertical flight path and speed control using energy principles

    Science.gov (United States)

    Lambregts, A. A.

    1984-01-01

    A generalized automatic flight control system was developed which integrates all longitudinal flight path and speed control functions previously provided by a pitch autopilot and autothrottle. In this design, a net thrust command is computed based on total energy demand arising from both flight path and speed targets. The elevator command is computed based on the energy distribution error between flight path and speed. The engine control is configured to produce the commanded net thrust. The design incorporates control strategies and hierarchy to deal systematically and effectively with all aircraft operational requirements, control nonlinearities, and performance limits. Consistent decoupled maneuver control is achieved for all modes and flight conditions without outer loop gain schedules, control law submodes, or control function duplication.

  1. Nonlinear Output Feedback Control of Underwater Vehicle Propellers using Advance Speed Feedback

    DEFF Research Database (Denmark)

    Fossen, T.I.; Blanke, M.

    1999-01-01

    More accurate propeller shaft speed controllers can be designed by using nonlinear control theory. In this paper, an output feedback controller reconstructing the advance speed (speed of water going into the propeller) from vehicle speed measurements is derived. For this purpose a three-state model...... minimizes thruster losses due to variations in propeller axial inlet flow which is a major problem when applying conventional vehicle-propeller control systems. The proposed controller is simulated for an underwater vehicle equipped with a single propeller. From the simulations it can be concluded...... of propeller shaft speed, forward (surge) speed of the vehicle and axial inlet flow of the propeller is applied. A nonlinear observer in combination with an output feedback integral controller are derived by applying Lyapunov stability theory and exponential stability is proven. The output feedback controller...

  2. High-speed architecture for the decoding of trellis-coded modulation

    Science.gov (United States)

    Osborne, William P.

    1992-01-01

    Since 1971, when the Viterbi Algorithm was introduced as the optimal method of decoding convolutional codes, improvements in circuit technology, especially VLSI, have steadily increased its speed and practicality. Trellis-Coded Modulation (TCM) combines convolutional coding with higher level modulation (non-binary source alphabet) to provide forward error correction and spectral efficiency. For binary codes, the current stare-of-the-art is a 64-state Viterbi decoder on a single CMOS chip, operating at a data rate of 25 Mbps. Recently, there has been an interest in increasing the speed of the Viterbi Algorithm by improving the decoder architecture, or by reducing the algorithm itself. Designs employing new architectural techniques are now in existence, however these techniques are currently applied to simpler binary codes, not to TCM. The purpose of this report is to discuss TCM architectural considerations in general, and to present the design, at the logic gate level, or a specific TCM decoder which applies these considerations to achieve high-speed decoding.

  3. Development and performance evaluation of high speed cryogenic turboexpanders at BARC, India

    Science.gov (United States)

    Chakravarty, A.; Menon, R. S.; Goyal, M.; Ahmed, N.; Jadhav, M.; Rane, T.; Nair, S. R.; Kumar, J.; Kumar, N.; Bharti, S. K.; Jain, A.; Joemon, V.

    2017-12-01

    Turboexpanders are a key focus area for Bhabha Atomic Research Centre (BARC), Mumbai, India in the program for development of helium refrigerators and liquefiers for intra departmental requirements. To start with, a turbine impeller with major diameter 16 mm and design speed of 264,000 RPM, suited for use in the 1st stage of a modified Claude cycle/reverse Brayton cycle based standard helium liquefier/refrigerator, is developed. Later on, a second series of turboexpander with the same major diameter (16 mm) and design speed of 260,000 RPM is developed with “splitter” blades at the major diameter end. Yet another turboexpander series, size 16.5 mm and design speed 168,000 RPM, is also developed suited for use in the 2nd stage of a standard helium liquefier/refrigerator. The present article describes these turboexpander development efforts at BARC, including results obtained during field trials with the BARC helium refrigerator and liquefier.

  4. Speed and income

    DEFF Research Database (Denmark)

    Fosgerau, Mogens

    2005-01-01

    The relationship between speed and income is established in a microeconomic model focusing on the trade-off between travel time and the risk of receiving a penalty for exceeding the speed limit. This is used to determine when a rational driver will choose to exceed the speed limit. The relationship...... between speed and income is found again in the empirical analysis of a cross-sectional dataset comprising 60,000 observations of car trips. This is used to perform regressions of speed on income, distance travelled, and a number of controls. The results are clearly statistically significant and indicate...... an average income elasticity of speed of 0.02; it is smaller at short distances and about twice as large at the longest distance investigated of 200 km....

  5. Polarization speed meter for gravitational-wave detection

    Science.gov (United States)

    Wade, Andrew R.; McKenzie, Kirk; Chen, Yanbei; Shaddock, Daniel A.; Chow, Jong H.; McClelland, David E.

    2012-09-01

    We propose a modified configuration of an advanced gravitational-wave detector that is a speed-meter-type interferometer with improved sensitivity with respect to quantum noise. With the addition of polarization-controlling components to the output of an arm cavity Michelson interferometer, an orthogonal polarization state of the interferometer can be used to store signal, returning it later with opposite phase to cancel position information below the storage bandwidth of the opposite mode. This modification provides an alternative to an external kilometer-scale Fabry-Pérot cavity, as presented in earlier work of Purdue and Chen [Phys. Rev. D 66, 122004 (2002)]. The new configuration requires significantly less physical infrastructure to achieve speed meter operation. The quantity of length and alignment degrees of freedom is also reduced. We present theoretical calculations to show that such a speed meter detector is capable of beating the strain sensitivity imposed by the standard quantum limit over a broad range of frequencies for Advanced Laser Interferometer Gravitational-wave Observatory-like parameters. The benefits and possible difficulties of implementing such a scheme are outlined. We also present results for tuning of the speed meter by adjusting the degree of polarization coupling, a novel possibility that does not exist in previously proposed designs, showing that there is a smooth transition from speed meter operation to that of a signal-recycling Michelson behavior.

  6. Improved Algorithms Speed It Up for Codes

    International Nuclear Information System (INIS)

    Hazi, A

    2005-01-01

    Huge computers, huge codes, complex problems to solve. The longer it takes to run a code, the more it costs. One way to speed things up and save time and money is through hardware improvements--faster processors, different system designs, bigger computers. But another side of supercomputing can reap savings in time and speed: software improvements to make codes--particularly the mathematical algorithms that form them--run faster and more efficiently. Speed up math? Is that really possible? According to Livermore physicist Eugene Brooks, the answer is a resounding yes. ''Sure, you get great speed-ups by improving hardware,'' says Brooks, the deputy leader for Computational Physics in N Division, which is part of Livermore's Physics and Advanced Technologies (PAT) Directorate. ''But the real bonus comes on the software side, where improvements in software can lead to orders of magnitude improvement in run times.'' Brooks knows whereof he speaks. Working with Laboratory physicist Abraham Szoeke and others, he has been instrumental in devising ways to shrink the running time of what has, historically, been a tough computational nut to crack: radiation transport codes based on the statistical or Monte Carlo method of calculation. And Brooks is not the only one. Others around the Laboratory, including physicists Andrew Williamson, Randolph Hood, and Jeff Grossman, have come up with innovative ways to speed up Monte Carlo calculations using pure mathematics

  7. INFLUENCE OF SOWING SPEED ON SOYBEAN CULTIVATION

    Directory of Open Access Journals (Sweden)

    C. M. A. Tiesen

    2016-11-01

    Full Text Available Mato Grosso state is the largest producer of soybeans in the country, therefore is the focus of several studies and research in order to improve and increase the production. For an activity that reduces the movements on the field, the no-tillage is a great activity when it done properly. This study aimed to evaluate the influence of speed of sowing on soybean crop, with pneumatic system type for seed metering. The experiment was conducted at São Luiz Farm, in Sinop city, in the northern of Mato Grosso state. The experimental adopted was the randomized blocks design with four treatments and four replications. The seeding speeds studied were 3; 5; 7 and 9 km h-1. The variables evaluated were: initial plant population, longitudinal distribution and yield components. The data were submitted to variance and regression analysis. The speed of 3 km h-1 show the best performance for acceptable spacing, flawed, plant stand on the pre-established area, number of pods per plant, thousand grain mass and yield. The speeds rate used for sowing did not influence the establishment of soybean crop, but affected the beans productivity.

  8. New Intelligent Transmission Concept for Hybrid Mobile Robot Speed Control

    Directory of Open Access Journals (Sweden)

    Nazim Mir-Nasiri

    2008-11-01

    Full Text Available This paper presents a new concept of a mobile robot speed control by using two degree of freedom gear transmission. The developed intelligent speed controller utilizes a gear box which comprises of epicyclic gear train with two inputs, one coupled with the engine shaft and another with the shaft of a variable speed dc motor. The net output speed is a combination of the two input speeds and is governed by the transmission ratio of the planetary gear train. This new approach eliminates the use of a torque converter which is otherwise an indispensable part of all available automatic transmissions, thereby reducing the power loss that occurs in the box during the fluid coupling. By gradually varying the speed of the dc motor a stepless transmission has been achieved. The other advantages of the developed controller are pulling over and reversing the vehicle, implemented by intelligent mixing of the dc motor and engine speeds. This approach eliminates traditional braking system in entire vehicle design. The use of two power sources, IC engine and battery driven DC motor, utilizes the modern idea of hybrid vehicles. The new mobile robot speed controller is capable of driving the vehicle even in extreme case of IC engine failure, for example, due to gas depletion..

  9. New Intelligent Transmission Concept for Hybrid Mobile Robot Speed Control

    Directory of Open Access Journals (Sweden)

    Nazim Mir-Nasiri

    2005-09-01

    Full Text Available This paper presents a new concept of a mobile robot speed control by using two degree of freedom gear transmission. The developed intelligent speed controller utilizes a gear box which comprises of epicyclic gear train with two inputs, one coupled with the engine shaft and another with the shaft of a variable speed dc motor. The net output speed is a combination of the two input speeds and is governed by the transmission ratio of the planetary gear train. This new approach eliminates the use of a torque converter which is otherwise an indispensable part of all available automatic transmissions, thereby reducing the power loss that occurs in the box during the fluid coupling. By gradually varying the speed of the dc motor a stepless transmission has been achieved. The other advantages of the developed controller are pulling over and reversing the vehicle, implemented by intelligent mixing of the dc motor and engine speeds. This approach eliminates traditional braking system in entire vehicle design. The use of two power sources, IC engine and battery driven DC motor, utilizes the modern idea of hybrid vehicles. The new mobile robot speed controller is capable of driving the vehicle even in extreme case of IC engine failure, for example, due to gas depletion.

  10. Dynamic Speed Adaptation for Path Tracking Based on Curvature Information and Speed Limits.

    Science.gov (United States)

    Gámez Serna, Citlalli; Ruichek, Yassine

    2017-06-14

    A critical concern of autonomous vehicles is safety. Different approaches have tried to enhance driving safety to reduce the number of fatal crashes and severe injuries. As an example, Intelligent Speed Adaptation (ISA) systems warn the driver when the vehicle exceeds the recommended speed limit. However, these systems only take into account fixed speed limits without considering factors like road geometry. In this paper, we consider road curvature with speed limits to automatically adjust vehicle's speed with the ideal one through our proposed Dynamic Speed Adaptation (DSA) method. Furthermore, 'curve analysis extraction' and 'speed limits database creation' are also part of our contribution. An algorithm that analyzes GPS information off-line identifies high curvature segments and estimates the speed for each curve. The speed limit database contains information about the different speed limit zones for each traveled path. Our DSA senses speed limits and curves of the road using GPS information and ensures smooth speed transitions between current and ideal speeds. Through experimental simulations with different control algorithms on real and simulated datasets, we prove that our method is able to significantly reduce lateral errors on sharp curves, to respect speed limits and consequently increase safety and comfort for the passenger.

  11. The high speed interconnect system architecture and operation

    Science.gov (United States)

    Anderson, Steven C.

    The design and operation of a fiber-optic high-speed interconnect system (HSIS) being developed to meet the requirements of future avionics and flight-control hardware with distributed-system architectures are discussed. The HSIS is intended for 100-Mb/s operation of a local-area network with up to 256 stations. It comprises a bus transmission system (passive star couplers and linear media linked by active elements) and network interface units (NIUs). Each NIU is designed to perform the physical, data link, network, and transport functions defined by the ISO OSI Basic Reference Model (1982 and 1983) and incorporates a fiber-optic transceiver, a high-speed protocol based on the SAE AE-9B linear token-passing data bus (1986), and a specialized application interface unit. The operating modes and capabilities of HSIS are described in detail and illustrated with diagrams.

  12. MAINTAINING VEHICLE SPEED USING A MECHANICAL CRUISE CONTROL

    Directory of Open Access Journals (Sweden)

    Peter GIROVSKÝ

    2017-06-01

    Full Text Available In this article we would like to present cruise control realization. This cruise control is presented as mechanical device for vehicle speed maintenance and has been proposed as a low cost solution. Principle of function in mechanical cruise control is based on a position control of throttle. For the right action of mechanical cruise control it was need to solve some particular tasks related with speed sensing, construct of device for control of throttle position and design of control system of whole mechanical cruise control. Information about car velocity we have gained using Hall sensor attached on a magnetic ring of car tachometer. For control of the throttle was used a small servo drive and as the control unit was used Arduino. The designed solution of mechanical cruise control have been realized for car Škoda Felicia.

  13. High speed operation of permanent magnet machines

    Science.gov (United States)

    El-Refaie, Ayman M.

    This work proposes methods to extend the high-speed operating capabilities of both the interior PM (IPM) and surface PM (SPM) machines. For interior PM machines, this research has developed and presented the first thorough analysis of how a new bi-state magnetic material can be usefully applied to the design of IPM machines. Key elements of this contribution include identifying how the unique properties of the bi-state magnetic material can be applied most effectively in the rotor design of an IPM machine by "unmagnetizing" the magnet cavity center posts rather than the outer bridges. The importance of elevated rotor speed in making the best use of the bi-state magnetic material while recognizing its limitations has been identified. For surface PM machines, this research has provided, for the first time, a clear explanation of how fractional-slot concentrated windings can be applied to SPM machines in order to achieve the necessary conditions for optimal flux weakening. A closed-form analytical procedure for analyzing SPM machines designed with concentrated windings has been developed. Guidelines for designing SPM machines using concentrated windings in order to achieve optimum flux weakening are provided. Analytical and numerical finite element analysis (FEA) results have provided promising evidence of the scalability of the concentrated winding technique with respect to the number of poles, machine aspect ratio, and output power rating. Useful comparisons between the predicted performance characteristics of SPM machines equipped with concentrated windings and both SPM and IPM machines designed with distributed windings are included. Analytical techniques have been used to evaluate the impact of the high pole number on various converter performance metrics. Both analytical techniques and FEA have been used for evaluating the eddy-current losses in the surface magnets due to the stator winding subharmonics. Techniques for reducing these losses have been

  14. Pay as You Speed, ISA with incentive for not speeding

    DEFF Research Database (Denmark)

    Lahrmann, Harry Spaabæk; Agerholm, Niels; Tradisauskas, Nerius

    2012-01-01

    To simulate a market introduction of Intelligent Speed Adaptation (ISA) and to study the effect of a Pay as You Speed (PAYS) concept, a field trial with 153 drivers was conducted during 2007–2009. The participants drove under PAYS conditions for a shorter or a longer period. The PAYS concept......, with and without incentive crossed with informative ISA present or absent. The results showed that ISA is an efficient tool for reducing speeding particularly on rural roads. The analysis of speed data demonstrated that the proportion of distance driven above the speed where the ISA equipment responded (PDA...... level. Both informative ISA and incentive ISA reduced the PDA, but there was no statistically significant interaction. Informative reduced it more than the incentive....

  15. Artificial intelligence-based speed control of DTC induction motor drives - A comparative study

    Energy Technology Data Exchange (ETDEWEB)

    Gadoue, S.M.; Giaouris, D.; Finch, J.W. [School of Electrical, Electronic and Computer Engineering, Newcastle University, Newcastle upon Tyne NE1 7RU (United Kingdom)

    2009-01-15

    The design of the speed controller greatly affects the performance of an electric drive. A common strategy to control an induction machine is to use direct torque control combined with a PI speed controller. These schemes require proper and continuous tuning and therefore adaptive controllers are proposed to replace conventional PI controllers to improve the drive's performance. This paper presents a comparison between four different speed controller design strategies based on artificial intelligence techniques; two are based on tuning of conventional PI controllers, the third makes use of a fuzzy logic controller and the last is based on hybrid fuzzy sliding mode control theory. To provide a numerical comparison between different controllers, a performance index based on speed error is assigned. All methods are applied to the direct torque control scheme and each control strategy has been tested for its robustness and disturbance rejection ability. (author)

  16. Research on fuzzy PID control to electronic speed regulator

    Science.gov (United States)

    Xu, Xiao-gang; Chen, Xue-hui; Zheng, Sheng-guo

    2007-12-01

    As an important part of diesel engine, the speed regulator plays an important role in stabilizing speed and improving engine's performance. Because there are so many model parameters of diesel-engine considered in traditional PID control and these parameters present non-linear characteristic.The method to adjust engine speed using traditional PID is not considered as a best way. Especially for the diesel-engine generator set. In this paper, the Fuzzy PID control strategy is proposed. Some problems about its utilization in electronic speed regulator are discussed. A mathematical model of electric control system for diesel-engine generator set is established and the way of the PID parameters in the model to affect the function of system is analyzed. And then it is proposed the differential coefficient must be applied in control design for reducing dynamic deviation of system and adjusting time. Based on the control theory, a study combined control with PID calculation together for turning fuzzy PID parameter is implemented. And also a simulation experiment about electronic speed regulator system was conducted using Matlab/Simulink and the Fuzzy-Toolbox. Compared with the traditional PID Algorithm, the simulated results presented obvious improvements in the instantaneous speed governing rate and steady state speed governing rate of diesel-engine generator set when the fuzzy logic control strategy used.

  17. Incipient Fault Detection for Rolling Element Bearings under Varying Speed Conditions.

    Science.gov (United States)

    Xue, Lang; Li, Naipeng; Lei, Yaguo; Li, Ningbo

    2017-06-20

    Varying speed conditions bring a huge challenge to incipient fault detection of rolling element bearings because both the change of speed and faults could lead to the amplitude fluctuation of vibration signals. Effective detection methods need to be developed to eliminate the influence of speed variation. This paper proposes an incipient fault detection method for bearings under varying speed conditions. Firstly, relative residual (RR) features are extracted, which are insensitive to the varying speed conditions and are able to reflect the degradation trend of bearings. Then, a health indicator named selected negative log-likelihood probability (SNLLP) is constructed to fuse a feature set including RR features and non-dimensional features. Finally, based on the constructed SNLLP health indicator, a novel alarm trigger mechanism is designed to detect the incipient fault. The proposed method is demonstrated using vibration signals from bearing tests and industrial wind turbines. The results verify the effectiveness of the proposed method for incipient fault detection of rolling element bearings under varying speed conditions.

  18. Effects of Door Width and Human Body Size on Walking Speed

    Directory of Open Access Journals (Sweden)

    Jetthumrong Siwalee

    2016-01-01

    Full Text Available Door width is one of the important factors to concern in layout or facilities design because it affects directly to traffic speed and overall traffic time simultaneously. Nowadays, common assessment method is computer simulation which is still not realistic due to the unchanged speed of model while walking through a door. This research aims to study an effect of door width to individual walking speed. Sixty subjects participated in the experiment and performed task by walking through the door that is set the width as 40, 50, 60, 70, 80, 90 and 100 centimetres. The optical motion capture system was used to determine walking speed. The results showed that Fitts’ law was applied to the participants with high weight. Door width below 70 centimetres significantly affected to changing speed at 0-0.5 m. before the door. Additionally, human size also affected changing speed. The factors include shoulder breadth, weight and interaction between shoulder breadth and weight were found to be significant. These factors explained 54.2% of changing speed.

  19. Proactive condition monitoring of low-speed machines

    CERN Document Server

    Stamboliska, Zhaklina; Moczko, Przemyslaw

    2015-01-01

    This book broadens readers’ understanding of proactive condition monitoring of low-speed machines in heavy industries. It focuses on why low-speed machines are different than others and how maintenance of these machines should be implemented with particular attention. The authors explain the best available monitoring techniques for various equipment and the principle of how to get proactive information from each technique. They further put forward possible strategies for application of FEM for detection of faults and technical assessment of machinery. Implementation phases are described and industrial case-studies of proactive condition monitoring are included. Proactive Condition Monitoring of Low-Speed Machines is an essential resource for engineers and technical managers across a range of industries as well as design engineers working in industrial product development. This book also: ·         Explains the practice of proactive condition monitoring and illustrates implementation phases ·   ...

  20. Vehicle speed control using road bumps

    Directory of Open Access Journals (Sweden)

    T. A. O. Salau

    2004-06-01

    Full Text Available Road bumps play a crucial role in enforcing speed limits, thereby preventing overspeeding of vehicles. It significantly contributes to the overall road safety objective through the prevention of accidents that lead to deaths of pedestrians and damage of vehicles. Despite the importance of road bumps, very little research has been done to investigate into their design. While documentation exists on quantitative descriptions of road bumps, they offer little guidance to decision making. This work presents a unique approach to solving road bumps design problems. The results of our study reveal three important road bumps variables that influence the control of vehicle speeds. The key variables are bump height, bump width, and effective distance between two consecutive road bumps. Since vehicle speed control is the ultimate aim of this study the relationship between vehicle speed and other variables earlier mentioned is established. Vehicle speed is defined as the product of frequency at which a vehicle is moving over road bumps and the sum of effective distance between two consecutive road bumps. In the determination of bump height we assume a conical shaped curve for analysis as a matter of research strategy. Based on this, two stages of motion were analysed. The first concerns the motion over the bump itself while the second relates to the motion between two consecutive road bumps. Fourier series was then used to formulate a holistic equation that combines these two stages. We used trigonometric functions to model the behaviour of the first stage while with the second stage giving a functional value of zero since no changes in height are observed. We carried out vibration analysis to determine the effect of road bumps on a vehicular system. Arising from this a model component is referred to as an isolation factor. This offers guidance to the safe frequency at which vehicles could travel over road bumps. The work appears to contribute to knowledge

  1. Comparison of performances of full-speed turbine and half-speed turbine for nuclear power plants

    International Nuclear Information System (INIS)

    Wang Hu; Zhang Weihong; Zhang Qiang; Li Shaohua

    2010-01-01

    The steam turbines of nuclear power plants can be divided into the full-speed turbine and half-speed turbine. Different speed leads to differences in many aspects. Therefore, the rational speed is the key point in the selection of steam turbines. This paper contrasts the economy between the half-speed turbine and full-speed turbine, by calculating the relative internal efficiency of half-speed and full-speed steam turbines with the typical level of 1000 megawatt. At the same time, this paper also calculate the relative speed of high speed water drops in the last stage blade of half-speed turbine and full-speed turbine, to contrast the water erosion between the half-speed turbine and full-speed turbine. The results show that the relative internal efficiency of half-speed turbine is higher than that of the full-speed turbine, and that the security especially the ability of preventing water erosion of half-speed turbine is better than that of the full-speed turbine. (authors)

  2. Electric vehicle speed control

    Energy Technology Data Exchange (ETDEWEB)

    Krueger, W.R.; Mc Auliffe, G.N.; Schlageter, G.A.

    1987-06-23

    This patent describes an electric vehicle driven by a DC motor. The vehicle has a field winding, an electric resistance element in circuit with the field winding, a switch in the circuit operative when closed to place. The element in parallel with the field winding weakens the field and increases potential motor speed. Also are relay means for operating the switch, means to determine motor speed, computer means for determining whether the motor speed is increasing or decreasing, and means for operating the relay means to close the switch at a first speed. If the motor speed is increased, it actuates the switch at a second speed lower than the first speed but only if switch has been closed previously and motor speed is decreasing.

  3. Variable-Speed Power-Turbine Research at Glenn Research Center

    Science.gov (United States)

    Welch, Gerard E.; McVetta, Ashlie B.; Stevens, Mark A.; Howard, Samuel A.; Giel, Paul W.; Ameri, Ali, A.; To, Waiming; Skoch, Gary J.; Thurman, Douglas R.

    2012-01-01

    The main rotors of the NASA Large Civil Tilt-Rotor (LCTR) notional vehicle operate over a wide speed-range, from 100 percent at takeoff to 54 percent at cruise. The variable-speed power turbine (VSPT) offers one approach by which to effect this speed variation. VSPT aerodynamics challenges include high work factors at cruise, wide (40 to 60 ) incidence-angle variations in blade and vane rows over the speed range, and operation at low Reynolds numbers. Rotordynamics challenges include potential responsiveness to shaft modes within the 50 percent VSPT speed-range. A research effort underway at NASA Glenn Research Center, intended to address these key aerodynamic and rotordynamic challenges, is described. Conceptual design and 3-D multistage RANS and URANS analyses, conducted internally and under contract, provide expected VSPT sizing, stage-count, performance and operability information, and maps for system studies. Initial steps toward experimental testing of incidence-tolerant blading in a transonic linear cascade are described, and progress toward development/improvement of a simulation capability for multistage turbines with low Reynolds number transitional flow is summarized. Preliminary rotordynamics analyses indicate that viable concept engines with 50 percent VSPT shaft-speed range. Assessments of potential paths toward VSPT component-level testing are summarized.

  4. High-speed optical feeder-link system using adaptive optics

    Science.gov (United States)

    Arimoto, Yoshinori; Hayano, Yutaka; Klaus, Werner

    1997-05-01

    We propose a satellite laser communication system between a ground station and a geostationary satellite, named high- speed optical feeder link system. It is based on the application of (a) high-speed optical devices, which have been developed for ground-based high-speed fiber-optic communications, and (b) the adaptive optics which compensates wavefront distortions due to atmospheric turbulences using a real time feedback control. A link budget study shows that a system with 10-Gbps bit-rate are available assuming the state-of-the-art device performance of the Er-doped fiber amplifier. We further discuss preliminary measurement results of the atmospheric turbulence at the telescope site in Tokyo, and present current study on the design of the key components for the feeder-link laser transceiver.

  5. A high-speed interconnect network using ternary logic

    DEFF Research Database (Denmark)

    Madsen, Jens Kargaard; Long, S. I.

    1995-01-01

    This paper describes the design and implementation of a high-speed interconnect network (ICN) for a multiprocessor system using ternary logic. By using ternary logic and a fast point-to-point communication technique called STARI (Self-Timed At Receiver's Input), the communication between...

  6. Critical Speed Control for a Fixed Blade Variable Speed Wind Turbine

    Directory of Open Access Journals (Sweden)

    Morgan Rossander

    2017-10-01

    Full Text Available A critical speed controller for avoiding a certain rotational speed is presented. The controller is useful for variable speed wind turbines with a natural frequency in the operating range. The controller has been simulated, implemented and tested on an open site 12 kW vertical axis wind turbine prototype. The controller is based on an adaptation of the optimum torque control. Two lookup tables and a simple state machine provide the control logic of the controller. The controller requires low computational resources, and no wind speed measurement is needed. The results suggest that the controller is a feasible method for critical speed control. The skipping behavior can be adjusted using only two parameters. While tested on a vertical axis wind turbine, it may be used on any variable speed turbine with the control of generator power.

  7. New design for photonic temporal integration with combined high processing speed and long operation time window.

    Science.gov (United States)

    Asghari, Mohammad H; Park, Yongwoo; Azaña, José

    2011-01-17

    We propose and experimentally prove a novel design for implementing photonic temporal integrators simultaneously offering a high processing bandwidth and a long operation time window, namely a large time-bandwidth product. The proposed scheme is based on concatenating in series a time-limited ultrafast photonic temporal integrator, e.g. implemented using a fiber Bragg grating (FBG), with a discrete-time (bandwidth limited) optical integrator, e.g. implemented using an optical resonant cavity. This design combines the advantages of these two previously demonstrated photonic integrator solutions, providing a processing speed as high as that of the time-limited ultrafast integrator and an operation time window fixed by the discrete-time integrator. Proof-of-concept experiments are reported using a uniform fiber Bragg grating (as the original time-limited integrator) connected in series with a bulk-optics coherent interferometers' system (as a passive 4-points discrete-time photonic temporal integrator). Using this setup, we demonstrate accurate temporal integration of complex-field optical signals with time-features as fast as ~6 ps, only limited by the processing bandwidth of the FBG integrator, over time durations as long as ~200 ps, which represents a 4-fold improvement over the operation time window (~50 ps) of the original FBG integrator.

  8. A study of energy dissipation and critical speed of granular flow in a rotating cylinder

    Science.gov (United States)

    Dragomir, Sergiu C.; Sinnott, Mathew D.; Semercigil, S. Eren; Turan, Özden F.

    2014-12-01

    Tuned vibration absorbers may improve the safety of flexible structures which are prone to excessive oscillation magnitudes under dynamic loads. A novel absorber design proposes sloshing of granular material in a rotating cylinder where the granular material is the energy dissipating agent. As the conventional dissipative elements require maintenance due to the nature of their function, the new design may represent a virtually maintenance free alternative. The angular speed of the cylinder containing particles has a critical centrifuging speed, after which particles remain permanently in contact with the walls and there can be no further dissipation. Until the critical speed, however, dissipation increases proportionally with the angular speed. It is then vital to know the value of the critical speed as the limit of dissipation. The focus of the present study is on determination of the critical centrifuge speed. This critical speed is also of practical importance in bulk-material handling rotary mills, such as dryers and crushers. Experiments and numerical simulations, using Discrete Element Method, are used to determine the critical centrifuging speed. In addition, predictions are given and guidelines are offered for the choice of material properties to maximize the energy dissipation. As a result of a parametric study, the coefficient of friction is found to have the greatest significance on the centrifuging speed.

  9. Walking speed and subclinical atherosclerosis in healthy older adults: the Whitehall II study

    OpenAIRE

    Hamer, M.; Kivimaki, M.; Lahiri, A.; Yerramasu, A.; Deanfield, J. E.; Marmot, M. G.; Steptoe, A.

    2010-01-01

    Objective Extended walking speed is a predictor of incident cardiovascular disease (CVD) in older individuals, but the ability of an objective short-distance walking speed test to stratify the severity of preclinical conditions remains unclear. This study examined whether performance in an 8-ft walking speed test is associated with metabolic risk factors and subclinical atherosclerosis.Design Cross-sectional.Setting Epidemiological cohort.Participants 530 adults (aged 63 +/- 6 years, 50.3% ma...

  10. Walking speed and subclinical atherosclerosis in healthy older adults: the Whitehall II study

    OpenAIRE

    Hamer, Mark; Kivimaki, Mika; Lahiri, Avijit; Yerramasu, Ajay; Deanfield, John E; Marmot, Michael G; Steptoe, Andrew

    2010-01-01

    Objective Extended walking speed is a predictor of incident cardiovascular disease (CVD) in older individuals, but the ability of an objective short-distance walking speed test to stratify the severity of preclinical conditions remains unclear. This study examined whether performance in an 8-ft walking speed test is associated with metabolic risk factors and subclinical atherosclerosis. Design Cross-sectional. Setting Epidemiological cohort. Participants 530 adults (aged 63?6?years, 50.3% mal...

  11. Measurement of instantaneous rotational speed using double-sine-varying-density fringe pattern

    Science.gov (United States)

    Zhong, Jianfeng; Zhong, Shuncong; Zhang, Qiukun; Peng, Zhike

    2018-03-01

    Fast and accurate rotational speed measurement is required both for condition monitoring and faults diagnose of rotating machineries. A vision- and fringe pattern-based rotational speed measurement system was proposed to measure the instantaneous rotational speed (IRS) with high accuracy and reliability. A special double-sine-varying-density fringe pattern (DSVD-FP) was designed and pasted around the shaft surface completely and worked as primary angular sensor. The rotational angle could be correctly obtained from the left and right fringe period densities (FPDs) of the DSVD-FP image sequence recorded by a high-speed camera. The instantaneous angular speed (IAS) between two adjacent frames could be calculated from the real-time rotational angle curves, thus, the IRS also could be obtained accurately and efficiently. Both the measurement principle and system design of the novel method have been presented. The influence factors on the sensing characteristics and measurement accuracy of the novel system, including the spectral centrobaric correction method (SCCM) on the FPD calculation, the noise sources introduce by the image sensor, the exposure time and the vibration of the shaft, were investigated through simulations and experiments. The sampling rate of the high speed camera could be up to 5000 Hz, thus, the measurement becomes very fast and the change in rotational speed was sensed within 0.2 ms. The experimental results for different IRS measurements and characterization of the response property of a servo motor demonstrated the high accuracy and fast measurement of the proposed technique, making it attractive for condition monitoring and faults diagnosis of rotating machineries.

  12. Assessment of C-Type Darrieus Wind Turbine Under Low Wind Speed Condition

    Science.gov (United States)

    Misaran, M. S.; Rahman, Md. M.; Muzammil, W. K.; Ismail, M. A.

    2017-07-01

    Harvesting wind energy in in a low wind speed region is deem un-economical if not daunting task. Study shows that a minimum cut in speed of 3.5 m/s is required to extract a meaningful wind energy for electricity while a mean speed of 6 m/s is preferred. However, in Malaysia the mean speed is at 2 m/s with certain potential areas having 3 m/s mean speed. Thus, this work aims to develop a wind turbine that able to operate at lower cut-in speed and produce meaningful power for electricity generation. A C-type Darrieus blade is selected as it shows good potential to operate in arbitrary wind speed condition. The wind turbine is designed and fabricated in UMS labs while the performance of the wind turbine is evaluated in a simulated wind condition. Test result shows that the wind turbine started to rotate at 1 m/s compared to a NACA 0012 Darrieus turbine that started to rotate at 3 m/s. The performance of the turbine shows that it have good potential to be used in an intermittent arbitrary wind speed condition as well as low mean wind speed condition.

  13. Regulation of the Dynamic Live Load Factor for Calculation of Bridge Structures on High-Speed Railway Mainlines

    Science.gov (United States)

    Dyachenko, Leonid K.; Benin, Andrey V.

    2017-06-01

    When the high-speed railway traffic is being organized, it becomes necessary to elaborate bridge design standards for high-speed railways (HSR). Methodology of studying the issues of HSR bridge design is based on the comprehensive analysis of domestic research as well as international experience in design, construction and operation of high-speed railways. Serious requirements are imposed on the HSR artificial structures, which raise a number of scientific tasks associated mainly with the issues of the dynamic interaction of the rolling stock and the bridge elements. To ensure safety of traffic and reliability of bridges during the whole period of operation one needs to resolve the dynamic problems of various types of high-speed trains moving along the structures. The article analyses dependences of the magnitude of inertial response on the external stress parameters and proposes a simplified method of determination of the dynamic live load factor caused by the passage of high-speed trains. The usefulness of the given research arises from the reduction of complexity of the complicated dynamic calculations needed to describe a high-speed train travelling along the artificial structures.

  14. Regulation of the Dynamic Live Load Factor for Calculation of Bridge Structures on High-Speed Railway Mainlines

    Directory of Open Access Journals (Sweden)

    Dyachenko Leonid K.

    2017-06-01

    Full Text Available When the high-speed railway traffic is being organized, it becomes necessary to elaborate bridge design standards for high-speed railways (HSR. Methodology of studying the issues of HSR bridge design is based on the comprehensive analysis of domestic research as well as international experience in design, construction and operation of high-speed railways. Serious requirements are imposed on the HSR artificial structures, which raise a number of scientific tasks associated mainly with the issues of the dynamic interaction of the rolling stock and the bridge elements. To ensure safety of traffic and reliability of bridges during the whole period of operation one needs to resolve the dynamic problems of various types of high-speed trains moving along the structures. The article analyses dependences of the magnitude of inertial response on the external stress parameters and proposes a simplified method of determination of the dynamic live load factor caused by the passage of high-speed trains. The usefulness of the given research arises from the reduction of complexity of the complicated dynamic calculations needed to describe a high-speed train travelling along the artificial structures.

  15. CMOS continuous-time adaptive equalizers for high-speed serial links

    CERN Document Server

    Gimeno Gasca, Cecilia; Aldea Chagoyen, Concepción

    2015-01-01

    This book introduces readers to the design of adaptive equalization solutions integrated in standard CMOS technology for high-speed serial links. Since continuous-time equalizers offer various advantages as an alternative to discrete-time equalizers at multi-gigabit rates, this book provides a detailed description of continuous-time adaptive equalizers design - both at transistor and system levels-, their main characteristics and performances. The authors begin with a complete review and analysis of the state of the art of equalizers for wireline applications, describing why they are necessary, their types, and their main applications. Next, theoretical fundamentals of continuous-time adaptive equalizers are explored. Then, new structures are proposed to implement the different building blocks of the adaptive equalizer: line equalizer, loop-filters, power comparator, etc.  The authors demonstrate the design of a complete low-power, low-voltage, high-speed, continuous-time adaptive equalizer. Finally, a cost-...

  16. Design and implementation of speed humps: supplement to national guidelines for traffic calming

    CSIR Research Space (South Africa)

    Emslie, I

    1997-03-01

    Full Text Available This report presents guidelines to assist local authorities and traffic engineers with a uniform approach to the implementation of speed humps. It is recommended that these guidelines be read in conjunction with The National Guidelines for Traffic...

  17. Optimal design of a for middle-low-speed maglev trains

    Science.gov (United States)

    Xiao, Song; Zhang, Kunlun; Liu, Guoqing; Jing, Yongzhi; Sykulski, Jan K.

    2018-04-01

    A middle-low-speed maglev train is supported by an electromagnetic force between the suspension electromagnet (EM) and the steel rail and is driven by a linear induction motor. The capability of the suspension system has a direct bearing on safety and the technical and economic performance of the train. This paper focuses on the dependence of the electromagnetic force on the structural configuration of the EM with the purpose of improving performance of a conventional EM. Finally, a novel configuration is proposed of a hybrid suspension magnet, which combines permanent magnets and coils, in order to increase the suspension force while reducing the suspension power loss.

  18. Optimal design of a for middle-low-speed maglev trains

    Directory of Open Access Journals (Sweden)

    Xiao Song

    2018-04-01

    Full Text Available A middle-low-speed maglev train is supported by an electromagnetic force between the suspension electromagnet (EM and the steel rail and is driven by a linear induction motor. The capability of the suspension system has a direct bearing on safety and the technical and economic performance of the train. This paper focuses on the dependence of the electromagnetic force on the structural configuration of the EM with the purpose of improving performance of a conventional EM. Finally, a novel configuration is proposed of a hybrid suspension magnet, which combines permanent magnets and coils, in order to increase the suspension force while reducing the suspension power loss.

  19. High-Speed RaPToRS

    Science.gov (United States)

    Henchen, Robert; Esham, Benjamin; Becker, William; Pogozelski, Edward; Padalino, Stephen; Sangster, Thomas; Glebov, Vladimir

    2008-11-01

    The High-Speed Rapid Pneumatic Transport of Radioactive Samples (HS-RaPToRS) system, designed to quickly and safely move radioactive materials, was assembled and tested at the Mercury facility of the Naval Research Laboratory (NRL) in Washington D.C. A sample, which is placed inside a four-inch-diameter carrier, is activated before being transported through a PVC tube via airflow. The carrier travels from the reaction chamber to the end station where it pneumatically brakes prior to the gate. A magnetic latch releases the gate when the carrier arrives and comes to rest. The airflow, optical carrier-monitoring devices, and end gate are controlled manually or automatically with LabView software. The installation and testing of the RaPToRS system at NRL was successfully completed with transport times of less than 3 seconds. The speed of the carrier averaged 16 m/s. Prospective facilities for similar systems include the Laboratory for Laser Energetics and the National Ignition Facility.

  20. Development of high-speed video cameras

    Science.gov (United States)

    Etoh, Takeharu G.; Takehara, Kohsei; Okinaka, Tomoo; Takano, Yasuhide; Ruckelshausen, Arno; Poggemann, Dirk

    2001-04-01

    Presented in this paper is an outline of the R and D activities on high-speed video cameras, which have been done in Kinki University since more than ten years ago, and are currently proceeded as an international cooperative project with University of Applied Sciences Osnabruck and other organizations. Extensive marketing researches have been done, (1) on user's requirements on high-speed multi-framing and video cameras by questionnaires and hearings, and (2) on current availability of the cameras of this sort by search of journals and websites. Both of them support necessity of development of a high-speed video camera of more than 1 million fps. A video camera of 4,500 fps with parallel readout was developed in 1991. A video camera with triple sensors was developed in 1996. The sensor is the same one as developed for the previous camera. The frame rate is 50 million fps for triple-framing and 4,500 fps for triple-light-wave framing, including color image capturing. Idea on a video camera of 1 million fps with an ISIS, In-situ Storage Image Sensor, was proposed in 1993 at first, and has been continuously improved. A test sensor was developed in early 2000, and successfully captured images at 62,500 fps. Currently, design of a prototype ISIS is going on, and, hopefully, will be fabricated in near future. Epoch-making cameras in history of development of high-speed video cameras by other persons are also briefly reviewed.

  1. Multi-Speed Transmission For Commercial Delivery Medium Duty PEDVs

    Energy Technology Data Exchange (ETDEWEB)

    Chavdar, Bulent [Eaton Corp., Menomonee Falls, WI (United States)

    2017-12-31

    Successful completion of the proposed project will set a course for improving quality of life by overcoming key challenges in the gearbox for commercial-delivery, medium-duty, plug-in electric drive vehicles: It will reduce US dependency on foreign oil through the use of electric driven propulsion instead of fuel driven. It will reduce health risks by replacing tailpipe emissions in densely populated city centers. Finally, it will improve the performance-cost basis to meet or exceed the expectations of the targeted medium duty vehicle fleet owners and the independent customers. The proposed multi-speed transmission will narrow motor operation to the peak efficiency region, thereby increasing the electric powertrain efficiency to help close the range gap. Further, it will enhance customer satisfaction by improving vehicle acceleration, top speed and gradeability over the baseline. The project was conducted in three budget periods: In BP1: Technology Development, High-level vehicle powertrain models were used to optimize candidate transmission architectures and ratios along with a variety of traction motor characteristics for concept selection. The detailed driveline designs and component dynamics were investigated to meet medium-duty EV requirements; In BP2: Technology Development and Prototype Demonstration, The modeling and simulations with multi-speed transmissions were extended to other MD and HD EV platforms. Clean sheet design of a compact, lightweight, flexible, and modular, four-speed transmission was completed. Development of novel shifting and controls strategies were started and procurement of the prototype transmission and the controller hardware was begun; In BP3: Technology Integration, Testing, and Demonstration, Prototyping the four-speed automated mechanical transmission was completed. The transmission controls system and software development and preliminary gearbox dyno tests were done at Eaton. ORNL conducted integrated powertrain HIL tests. One

  2. Desktop Publishing: The Effects of Computerized Formats on Reading Speed and Comprehension.

    Science.gov (United States)

    Knupfer, Nancy Nelson; McIsaac, Marina Stock

    1989-01-01

    Describes study that was conducted to determine the effects of two electronic text variables used in desktop publishing on undergraduate students' reading speed and comprehension. Research on text variables, graphic design, instructional text design, and computer screen design is discussed, and further studies are suggested. (22 references) (LRW)

  3. Incipient Fault Detection for Rolling Element Bearings under Varying Speed Conditions

    Directory of Open Access Journals (Sweden)

    Lang Xue

    2017-06-01

    Full Text Available Varying speed conditions bring a huge challenge to incipient fault detection of rolling element bearings because both the change of speed and faults could lead to the amplitude fluctuation of vibration signals. Effective detection methods need to be developed to eliminate the influence of speed variation. This paper proposes an incipient fault detection method for bearings under varying speed conditions. Firstly, relative residual (RR features are extracted, which are insensitive to the varying speed conditions and are able to reflect the degradation trend of bearings. Then, a health indicator named selected negative log-likelihood probability (SNLLP is constructed to fuse a feature set including RR features and non-dimensional features. Finally, based on the constructed SNLLP health indicator, a novel alarm trigger mechanism is designed to detect the incipient fault. The proposed method is demonstrated using vibration signals from bearing tests and industrial wind turbines. The results verify the effectiveness of the proposed method for incipient fault detection of rolling element bearings under varying speed conditions.

  4. Measures for speed management.

    NARCIS (Netherlands)

    2009-01-01

    Measures for speed management are essential for limiting the negative effects of driving too fast and at inappropriate speeds. To begin with, safe and credible speed limits need to be determined. Dynamic and variable speed limits that take into account the current circumstances, such as weather

  5. AT89S52 Microcontroller Based A Speed Restraint Equipment for Motorcycle

    Directory of Open Access Journals (Sweden)

    Ikhsan hidayat

    2009-08-01

    Full Text Available Security riding is very important in the aspects of traffic. One of the main factors of traffic accidents is high speed. Therefore, it is required a speed restraint equipment for pressing the number of accidents. The car speed restraint system is available in the market, but on a motorcycle is not available yet. This paper presents a design of speed restraint equipment for motorcycle that safe for users, and is expected to reduce traffic accident. The Main idea this speed restriction is cutting off the flow of electrical signals from the pulser (the time ignition trigger sensor to the CDI for 1 second. The cutting Signal with mechanical relays controlled by microcontroller AT89S52 using the on-off algorithm. Speed sensor use optocoupler is used to detect the number of rounds wheel motorcycle. If a result of measurement is more than the speed setpoint, microcontroler make a decission to cut motorcycle ignition signal. Results of this research is a prototype speed restraint shown that it able to process data from the measurement of the speed limit on the speed of a motorcycle. This prototype safe for all users and accordance with the set value and the level of success is above 90% for speed of 10 km/hr to 40 km/hr.

  6. High speed subfractional HP-motor with permanent magnets

    International Nuclear Information System (INIS)

    Hanitsch, R.; Frenzel, B.

    1998-01-01

    During the last years an increasing demand for small permanent magnet motors can be detected, especially in the fields of medical applications. For heart assist devices there is the request to have small high speed devices operating at low voltage supply with almost no overtemperature. The design of a special hollow shaft motor for the speed range of 15000..25000 rpm and a torque of 4 to 8 mNm will be outlined. The low noise requirements and the high efficiency request lead to a design with an airgap winding. A thermal analysis is also done in order to meet the conditions given by the medical specialists. The features of the prototype will be presented and also the sensorless control strategy will be outlined. Measured and calculated data show good agreement. Focus will be on the magnetic circuit and the thermal behaviour and not on the control aspects of the motor. Specific parameters demonstrate the good quality of the drive system. (orig.)

  7. Virtual testing of speed reduction schemes on urban collector roads.

    Science.gov (United States)

    Domenichini, Lorenzo; Branzi, Valentina; Meocci, Monica

    2018-01-01

    Urban collector roads are complex driving environments often encompassing both the mobility and the access road functions. In these conditions motorized traffic and vulnerable road users compete continually. Speed reduction measures may play a relevant role in these contexts, provided that such measures are also designed in compliance with the driver's capabilities and expectations. The paper describes a test procedure using driving simulation experiments, designed to evaluate the reconfiguration project of Via Pistoiese, an urban road collector located in Florence (Italy). The road improvement design consisted of several engineering treatments aimed to reduce and homogenize the driving speed, as well as to manage the co-existence of the different road users and mainly to protect pedestrians. The main focus of the research was to understand if the drivers' behaviour was according to the design hypothesis before the safety treatments are implemented in the real world. Due to the multiple engineering treatments included in the reconfiguration project, the evaluation of the overall safety effectiveness of the project rather than the single treatment safety impact was the main concern of the research study. In addition, the study aimed to assess the usefulness of the considered testing method to understand how to integrate road design with drivers' performances, especially in heterogeneous traffic environments where drivers' behaviour plays a decisive role in the success of the proposed design solutions. Fifty-eight participants drove through two immersive virtual environments, reproducing the existing configuration and the project reconfiguration, while data relating to different driving aspects were collected. Two analyses were performed. The first was focused on the analysis of the mean speed profiles and revealed that the considered engineering treatments are able to control the speeding behaviour without providing a too high discomfort to the drivers. The second

  8. Progress in the development of niobium alloyed high speed steel

    International Nuclear Information System (INIS)

    Guimaraes, J.R.C.

    1987-01-01

    The development of economy-grades of niobium alloyed high speed steel is described. Both the metallurgical concepts behind the steel design and the results of performance tests are presented. (Author) [pt

  9. Artist rendering of dust grains colliding at low speeds

    Science.gov (United States)

    2003-01-01

    Clues to the formation of planets and planetary rings -- like Saturn's dazzling ring system -- may be found by studying how dust grains interact as they collide at low speeds. To study the question of low-speed dust collisions, NASA sponsored the COLLisions Into Dust Experiment (COLLIDE) at the University of Colorado. It was designed to spring-launch marble-size projectiles into trays of powder similar to space or lunar dust. COLLIDE-1 (1998) discovered that collisions below a certain energy threshold eject no material. COLLIDE-2 was designed to identify where the threshold is. In COLLIDE-2, scientists nudged small projectiles into dust beds and recorded how the dust splashed outward (video frame at top; artist's rendering at bottom). The slowest impactor ejected no material and stuck in the target. The faster impactors produced ejecta; some rebounded while others stuck in the target.

  10. A new circuit for at-speed scan SoC testing

    International Nuclear Information System (INIS)

    Lin Wei; Shi Wenlong

    2013-01-01

    It is very important to detect transition-delay faults and stuck-at faults in system on chip (SoC) under 90 nm processing technology, and the transition-delay faults can only be detected by using an at-speed testing method. In this paper, an on-chip clock (OCC) controller with a bypass function based on an internal phase-locked loop is designed to test faults in SoC. Furthermore, a clock chain logic which can eliminate the metastable state is realized to generate an enable signal for the OCC controller, and then, the test pattern is generated by automatic test pattern generation (ATPG) tools. Next, the scan test pattern is simulated by using the Synopsys tool and the correctness of the design is verified. The result shows that the design of an at-speed scan test in this paper is highly efficient for detecting timing-related defects. Finally, the 89.29% transition-delay fault coverage and the 94.50% stuck-at fault coverage are achieved, and it is successfully applied to an integrated circuit design. (semiconductor integrated circuits)

  11. Matching optical flow to motor speed in virtual reality while running on a treadmill

    Science.gov (United States)

    Lafortuna, Claudio L.; Mugellini, Elena; Abou Khaled, Omar

    2018-01-01

    We investigated how visual and kinaesthetic/efferent information is integrated for speed perception in running. Twelve moderately trained to trained subjects ran on a treadmill at three different speeds (8, 10, 12 km/h) in front of a moving virtual scene. They were asked to match the visual speed of the scene to their running speed–i.e., treadmill’s speed. For each trial, participants indicated whether the scene was moving slower or faster than they were running. Visual speed was adjusted according to their response using a staircase until the Point of Subjective Equality (PSE) was reached, i.e., until visual and running speed were perceived as equivalent. For all three running speeds, participants systematically underestimated the visual speed relative to their actual running speed. Indeed, the speed of the visual scene had to exceed the actual running speed in order to be perceived as equivalent to the treadmill speed. The underestimation of visual speed was speed-dependent, and percentage of underestimation relative to running speed ranged from 15% at 8km/h to 31% at 12km/h. We suggest that this fact should be taken into consideration to improve the design of attractive treadmill-mediated virtual environments enhancing engagement into physical activity for healthier lifestyles and disease prevention and care. PMID:29641564

  12. Preliminary A ampersand PCT multiple detector design

    International Nuclear Information System (INIS)

    Roberson, G.P.; Martz, H.E.; Camp, D.C.; Decman, D.J.; Johansson, E.M.

    1997-01-01

    The next generation, multi-detector active and passive computed tomography (A ampersand PCT) scanner will be optimized for speed and accuracy. At the Lawrence Livermore National Lab (LLNL) we have demonstrated the trade-offs between different A ampersand PCT design parameters that affect the speed and quality of the assay results. These fundamental parameters govern the optimum system design. Although the multi-detector scanner design has priority put on speed to increase waste drum throughput, higher speed should not compromise assay accuracy. One way to increase the speed of the A ampersand PCT technology is to use multiple detectors. This yields a linear speedup by a factor approximately equal to the number of detectors used without a compromise in system accuracy. There are many different design scenarios that can be developed using multiple detectors. Here we describe four different scenarios and discuss the trade-offs between them. Also, some considerations are given in this design description for the implementation of a multiple detector technology in a field- deployable mobile trailer system

  13. Conceptual design and kinematic analysis of a novel parallel robot for high-speed pick-and-place operations

    Science.gov (United States)

    Meng, Qizhi; Xie, Fugui; Liu, Xin-Jun

    2018-06-01

    This paper deals with the conceptual design, kinematic analysis and workspace identification of a novel four degrees-of-freedom (DOFs) high-speed spatial parallel robot for pick-and-place operations. The proposed spatial parallel robot consists of a base, four arms and a 1½ mobile platform. The mobile platform is a major innovation that avoids output singularity and offers the advantages of both single and double platforms. To investigate the characteristics of the robot's DOFs, a line graph method based on Grassmann line geometry is adopted in mobility analysis. In addition, the inverse kinematics is derived, and the constraint conditions to identify the correct solution are also provided. On the basis of the proposed concept, the workspace of the robot is identified using a set of presupposed parameters by taking input and output transmission index as the performance evaluation criteria.

  14. Design and control of the precise tracking bed based on complex electromechanical design theory

    Science.gov (United States)

    Ren, Changzhi; Liu, Zhao; Wu, Liao; Chen, Ken

    2010-05-01

    The precise tracking technology is wide used in astronomical instruments, satellite tracking and aeronautic test bed. However, the precise ultra low speed tracking drive system is one high integrated electromechanical system, which one complexly electromechanical design method is adopted to improve the efficiency, reliability and quality of the system during the design and manufacture circle. The precise Tracking Bed is one ultra-exact, ultra-low speed, high precision and huge inertial instrument, which some kind of mechanism and environment of the ultra low speed is different from general technology. This paper explores the design process based on complex electromechanical optimizing design theory, one non-PID with a CMAC forward feedback control method is used in the servo system of the precise tracking bed and some simulation results are discussed.

  15. Maximum safe speed estimation using planar quintic Bezier curve with C2 continuity

    Science.gov (United States)

    Ibrahim, Mohamad Fakharuddin; Misro, Md Yushalify; Ramli, Ahmad; Ali, Jamaludin Md

    2017-08-01

    This paper describes an alternative way in estimating design speed or the maximum speed allowed for a vehicle to drive safely on a road using curvature information from Bezier curve fitting on a map. We had tested on some route in Tun Sardon Road, Balik Pulau, Penang, Malaysia. We had proposed to use piecewise planar quintic Bezier curve while satisfying the curvature continuity between joined curves in the process of mapping the road. By finding the derivatives of quintic Bezier curve, the value of curvature was calculated and design speed was derived. In this paper, a higher order of Bezier Curve had been used. A higher degree of curve will give more freedom for users to control the shape of the curve compared to curve in lower degree.

  16. Changes in speed distribution: Applying aggregated safety effect models to individual vehicle speeds.

    Science.gov (United States)

    Vadeby, Anna; Forsman, Åsa

    2017-06-01

    This study investigated the effect of applying two aggregated models (the Power model and the Exponential model) to individual vehicle speeds instead of mean speeds. This is of particular interest when the measure introduced affects different parts of the speed distribution differently. The aim was to examine how the estimated overall risk was affected when assuming the models are valid on an individual vehicle level. Speed data from two applications of speed measurements were used in the study: an evaluation of movable speed cameras and a national evaluation of new speed limits in Sweden. The results showed that when applied on individual vehicle speed level compared with aggregated level, there was essentially no difference between these for the Power model in the case of injury accidents. However, for fatalities the difference was greater, especially for roads with new cameras where those driving fastest reduced their speed the most. For the case with new speed limits, the individual approach estimated a somewhat smaller effect, reflecting that changes in the 15th percentile (P15) were somewhat larger than changes in P85 in this case. For the Exponential model there was also a clear, although small, difference between applying the model to mean speed changes and individual vehicle speed changes when speed cameras were used. This applied both for injury accidents and fatalities. There were also larger effects for the Exponential model than for the Power model, especially for injury accidents. In conclusion, applying the Power or Exponential model to individual vehicle speeds is an alternative that provides reasonable results in relation to the original Power and Exponential models, but more research is needed to clarify the shape of the individual risk curve. It is not surprising that the impact on severe traffic crashes was larger in situations where those driving fastest reduced their speed the most. Further investigations on use of the Power and/or the

  17. Induction motor IFOC based speed-controlled drive with asymptotic disturbance compensation

    Directory of Open Access Journals (Sweden)

    Stojić Đorđe M.

    2012-01-01

    Full Text Available This paper presents the design of digitally controlled speed electrical drive, with the asymptotic compensation of external disturbances, implemented by using the IFOC (Indirect Field Oriented Control torque controlled induction motor. The asymptotic disturbance compensation is achieved by using the DOB (Disturbance Observer with the IMP (Internal Model Principle. When compared to the existing IMP-based DOB solutions, in this paper the robust stability and disturbance compensation are improved by implementing the minimal order DOB filter. Also, the IMP-based DOB design is improved by employing the asymptotic compensation of all elemental or more complex external disturbances. The dynamic model of the IFOC torque electrical drive is, also, included in the speed-controller and DOB section design. The simulation and experimental measurements presented in the paper illustrate the effectiveness and robustness of the proposed control scheme.

  18. An ASIC memory buffer controller for a high speed disk system

    Science.gov (United States)

    Hodson, Robert F.; Campbell, Steve

    1993-01-01

    The need for large capacity, high speed mass memory storage devices has become increasingly evident at NASA during the past decade. High performance mass storage systems are crucial to present and future NASA systems. Spaceborne data storage system requirements have grown in response to the increasing amounts of data generated and processed by orbiting scientific experiments. Predictions indicate increases in the volume of data by orders of magnitude during the next decade. Current predictions are for storage capacities on the order of terabits (Tb), with data rates exceeding one gigabit per second (Gbps). As part of the design effort for a state of the art mass storage system, NASA Langley has designed a 144 CMOS ASIC to support high speed data transfers. This paper discusses the system architecture, ASIC design and some of the lessons learned in the development process.

  19. Variable speed wind turbine control by discrete-time sliding mode approach.

    Science.gov (United States)

    Torchani, Borhen; Sellami, Anis; Garcia, Germain

    2016-05-01

    The aim of this paper is to propose a new design variable speed wind turbine control by discrete-time sliding mode approach. This methodology is designed for linear saturated system. The saturation constraint is reported on inputs vector. To this end, the back stepping design procedure is followed to construct a suitable sliding manifold that guarantees the attainment of a stabilization control objective. It is well known that the mechanisms are investigated in term of the most proposed assumptions to deal with the damping, shaft stiffness and inertia effect of the gear. The objectives are to synthesize robust controllers that maximize the energy extracted from wind, while reducing mechanical loads and rotor speed tracking combined with an electromagnetic torque. Simulation results of the proposed scheme are presented. Copyright © 2016 ISA. Published by Elsevier Ltd. All rights reserved.

  20. Sampling optimization for high-speed weigh-in-motion measurements using in-pavement strain-based sensors

    International Nuclear Information System (INIS)

    Zhang, Zhiming; Huang, Ying; Bridgelall, Raj; Palek, Leonard; Strommen, Robert

    2015-01-01

    Weigh-in-motion (WIM) measurement has been widely used for weight enforcement, pavement design, freight management, and intelligent transportation systems to monitor traffic in real-time. However, to use such sensors effectively, vehicles must exit the traffic stream and slow down to match their current capabilities. Hence, agencies need devices with higher vehicle passing speed capabilities to enable continuous weight measurements at mainline speeds. The current practices for data acquisition at such high speeds are fragmented. Deployment configurations and settings depend mainly on the experiences of operation engineers. To assure adequate data, most practitioners use very high frequency measurements that result in redundant samples, thereby diminishing the potential for real-time processing. The larger data memory requirements from higher sample rates also increase storage and processing costs. The field lacks a sampling design or standard to guide appropriate data acquisition of high-speed WIM measurements. This study develops the appropriate sample rate requirements as a function of the vehicle speed. Simulations and field experiments validate the methods developed. The results will serve as guidelines for future high-speed WIM measurements using in-pavement strain-based sensors. (paper)

  1. Sampling optimization for high-speed weigh-in-motion measurements using in-pavement strain-based sensors

    Science.gov (United States)

    Zhang, Zhiming; Huang, Ying; Bridgelall, Raj; Palek, Leonard; Strommen, Robert

    2015-06-01

    Weigh-in-motion (WIM) measurement has been widely used for weight enforcement, pavement design, freight management, and intelligent transportation systems to monitor traffic in real-time. However, to use such sensors effectively, vehicles must exit the traffic stream and slow down to match their current capabilities. Hence, agencies need devices with higher vehicle passing speed capabilities to enable continuous weight measurements at mainline speeds. The current practices for data acquisition at such high speeds are fragmented. Deployment configurations and settings depend mainly on the experiences of operation engineers. To assure adequate data, most practitioners use very high frequency measurements that result in redundant samples, thereby diminishing the potential for real-time processing. The larger data memory requirements from higher sample rates also increase storage and processing costs. The field lacks a sampling design or standard to guide appropriate data acquisition of high-speed WIM measurements. This study develops the appropriate sample rate requirements as a function of the vehicle speed. Simulations and field experiments validate the methods developed. The results will serve as guidelines for future high-speed WIM measurements using in-pavement strain-based sensors.

  2. Using a High-Speed Camera to Measure the Speed of Sound

    Science.gov (United States)

    Hack, William Nathan; Baird, William H.

    2012-01-01

    The speed of sound is a physical property that can be measured easily in the lab. However, finding an inexpensive and intuitive way for students to determine this speed has been more involved. The introduction of affordable consumer-grade high-speed cameras (such as the Exilim EX-FC100) makes conceptually simple experiments feasible. Since the…

  3. High speed atom source

    International Nuclear Information System (INIS)

    Hoshino, Hitoshi.

    1990-01-01

    In a high speed atom source, since the speed is not identical between ions and electrons, no sufficient neutralizing effect for ionic rays due to the mixing of the ionic rays and the electron rays can be obtained failing to obtain high speed atomic rays at high density. In view of the above, a speed control means is disposed for equalizing the speed of ions forming ionic rays and the speed of electrons forming electron rays. Further, incident angle of the electron rays and/or ionic rays to a magnet or an electrode is made variable. As a result, the relative speed between the ions and the electrons to the processing direction is reduced to zero, in which the probability of association between the ions and the electrons due to the coulomb force is increased to improve the neutralizing efficiency to easily obtain fine and high density high speed electron rays. Further, by varying the incident angle, a track capable of obtaining an ideal mixing depending on the energy of the neutralized ionic rays is formed. Since the high speed electron rays has such high density, they can be irradiated easily to the minute region of the specimen. (N.H.)

  4. High-Speed Target Identification System Based on the Plume’s Spectral Distribution

    Directory of Open Access Journals (Sweden)

    Wenjie Lang

    2015-01-01

    Full Text Available In order to recognize the target of high speed quickly and accurately, an identification system was designed based on analysis of the distribution characteristics of the plume spectrum. In the system, the target was aligned with visible light tracking module, and the spectral analysis of the target’s plume radiation was achieved by interference module. The distinguishing factor recognition algorithm was designed on basis of ratio of multifeature band peaks and valley mean values. Effective recognition of the high speed moving target could be achieved after partition of the active region and the influence of target motion on spectral acquisition was analyzed. In the experiment the small rocket combustion was used as the target. The spectral detection experiment was conducted at different speeds 2.0 km away from the detection system. Experimental results showed that spectral distribution had significant spectral offset in the same sampling period for the target with different speeds, but the spectral distribution was basically consistent. Through calculation of the inclusion relationship between distinguishing factor and distinction interval of the peak value and the valley value at the corresponding wave-bands, effective identification of target could be achieved.

  5. Experimental High Speed Milling of the Selected Thin-Walled Component

    Directory of Open Access Journals (Sweden)

    Jozef Zajac

    2017-11-01

    Full Text Available In a technical practice, it is possible to meet thin-walled parts more and more often. These parts are most commonly used in the automotive industry or aircraft industry to reduce the weight of different design part of cars or aircraft. Presented article is focused on experimental high speed milling of selected thin-walled component. The introduction of this article presents description of high speed machining and specification of thin – walled parts. The experiments were carried out using a CNC machine Pinnacle VMC 650S and C45 material - plain carbon steel for automotive components and mechanical engineering. In the last part of the article, described are the arrangements to reduction of deformation of thin-walled component during the experimental high speed milling.

  6. Multivariable Techniques for High-Speed Research Flight Control Systems

    Science.gov (United States)

    Newman, Brett A.

    1999-01-01

    This report describes the activities and findings conducted under contract with NASA Langley Research Center. Subject matter is the investigation of suitable multivariable flight control design methodologies and solutions for large, flexible high-speed vehicles. Specifically, methodologies are to address the inner control loops used for stabilization and augmentation of a highly coupled airframe system possibly involving rigid-body motion, structural vibrations, unsteady aerodynamics, and actuator dynamics. Design and analysis techniques considered in this body of work are both conventional-based and contemporary-based, and the vehicle of interest is the High-Speed Civil Transport (HSCT). Major findings include: (1) control architectures based on aft tail only are not well suited for highly flexible, high-speed vehicles, (2) theoretical underpinnings of the Wykes structural mode control logic is based on several assumptions concerning vehicle dynamic characteristics, and if not satisfied, the control logic can break down leading to mode destabilization, (3) two-loop control architectures that utilize small forward vanes with the aft tail provide highly attractive and feasible solutions to the longitudinal axis control challenges, and (4) closed-loop simulation sizing analyses indicate the baseline vane model utilized in this report is most likely oversized for normal loading conditions.

  7. Overall control strategy of variable speed doubly-fed induction generator wind turbine

    Energy Technology Data Exchange (ETDEWEB)

    Hansen, Anca D.; Soerensen, Poul [Risoe National Laboratory, Roskilde (Denmark). Wind Energy Dept.; Iov, Florin; Blaabjerg, Frede [Aalborg Univ. (Denmark). Inst. of Energy Technology

    2004-07-01

    The variable speed doubly-fed induction generator wind turbine is today the most widely used concept. The paper presents an overall control system of the variable speed DFIG wind turbine, with focus on the control strategies and algorithms applied at each hierarchical control level of the wind turbine. The present control method is designed for normal continuous operations. The strongest feature of the implemented control method is that it allows the turbine to operate with the optimum power efficiency over a wider range of wind speeds. The variable speed/variable pitch wind turbine with doubly-fed induction generator is implemented in the dynamic power system simulation tool DIgSILENT. Simulation results are performed and analyzed in different normal operating conditions.

  8. Evaluation of Dynamic Load Factors for a High-Speed Railway Truss Arch Bridge

    Directory of Open Access Journals (Sweden)

    Ding Youliang

    2016-01-01

    Full Text Available Studies on dynamic impact of high-speed trains on long-span bridges are important for the design and evaluation of high-speed railway bridges. The use of the dynamic load factor (DLF to account for the impact effect has been widely accepted in bridge engineering. Although the field monitoring studies are the most dependable way to study the actual DLF of the bridge, according to previous studies there are few field monitoring data on high-speed railway truss arch bridges. This paper presents an evaluation of DLF based on field monitoring and finite element simulation of Nanjing DaShengGuan Bridge, which is a high-speed railway truss arch bridge with the longest span throughout the world. The DLFs in different members of steel truss arch are measured using monitoring data and simulated using finite element model, respectively. The effects of lane position, number of train carriages, and speed of trains on DLF are further investigated. By using the accumulative probability function of the Generalized Extreme Value Distribution, the probability distribution model of DLF is proposed, based on which the standard value of DLF within 50-year return period is evaluated and compared with different bridge design codes.

  9. Reducing the impact of speed dispersion on subway corridor flow.

    Science.gov (United States)

    Qiao, Jing; Sun, Lishan; Liu, Xiaoming; Rong, Jian

    2017-11-01

    The rapid increase in the volume of subway passengers in Beijing has necessitated higher requirements for the safety and efficiency of subway corridors. Speed dispersion is an important factor that affects safety and efficiency. This paper aims to analyze the management control methods for reducing pedestrian speed dispersion in subways. The characteristics of the speed dispersion of pedestrian flow were analyzed according to field videos. The control measurements which were conducted by placing traffic signs, yellow marking, and guardrail were proposed to alleviate speed dispersion. The results showed that the methods of placing traffic signs, yellow marking, and a guardrail improved safety and efficiency for all four volumes of pedestrian traffic flow, and the best-performing control measurement was guardrails. Furthermore, guardrails' optimal position and design measurements were explored. The research findings provide a rationale for subway managers in optimizing pedestrian traffic flow in subway corridors. Copyright © 2017. Published by Elsevier Ltd.

  10. Model of a synthetic wind speed time series generator

    DEFF Research Database (Denmark)

    Negra, N.B.; Holmstrøm, O.; Bak-Jensen, B.

    2008-01-01

    is described and some statistical issues (seasonal characteristics, autocorrelation functions, average values and distribution functions) are used for verification. The output of the model has been designed as input for sequential Monte Carlo simulation; however, it is expected that it can be used for other...... of the main elements to consider for this purpose is the model of the wind speed that is usually required as input. Wind speed measurements may represent a solution for this problem, but, for techniques such as sequential Monte Carlo simulation, they have to be long enough in order to describe a wide range...

  11. Evaluation of High-Speed Railway Bridges Based on a Nondestructive Monitoring System

    Directory of Open Access Journals (Sweden)

    Mosbeh R. Kaloop

    2016-01-01

    Full Text Available Recently, trains’ velocities in Korea increased more than the speed used in the design of some bridges. Accordingly, this paper demonstrates the evaluation of a railway bridge due to high-speed trains’ movement. A nondestructive monitoring system is used to assess the bridge performance under train speeds of 290, 360, 400 and 406 km/h. This system is comprised of a wireless short-term acceleration system and strain monitoring sensors attached to the bridge girder. The results of the analytical methods in time and frequency domains are presented. The following conclusions are obtained: the cross-correlation models for accelerations and strain measurements are effective to predict the performance of the bridge; the static behavior is increased with train speed developments; and the vibration, torsion, fatigue and frequency contents analyses of the bridge show that the bridge is safe under applied trains’ speeds.

  12. Designing Sustainable Public Transportation: Integrated Optimization of Bus Speed and Holding Time in a Connected Vehicle Environment

    Directory of Open Access Journals (Sweden)

    Wei Wu

    2016-11-01

    Full Text Available Developing public transportation and giving priority to buses is a feasible solution for improving the level of public transportation service, which facilitates congestion alleviation and prevention, and contributes to urban development and city sustainability. This paper presents a novel bus operation control strategy including both holding control and speed control to improve the level of service of transit systems within a connected vehicle environment. Most previous work focuses on optimization of signal timing to decrease the bus signal delay by assuming that holding control is not applied; the speed of buses is given as a constant input and the acceleration and deceleration processes of buses can be neglected. This paper explores the benefits of a bus operation control strategy to minimize the total cost, which includes bus signal delay, bus holding delay, bus travel delay, acceleration cost due to frequent stops and intense driving. A set of formulations are developed to explicitly capture the interaction between bus holding control and speed control. Experimental analysisand simulation tests have shown that the proposed integrated operational model outperforms the traditional control, speed control only, or holding control only strategies in terms of reducing the total cost of buses. The sensitivity analysis has further demonstrated the potential effectiveness of the proposed approach to be applied in a real-time bus operation control system under different levels of traffic demand, bus stop locations, and speed limits.

  13. Implementation Of Automatic Wiper Speed Control And Headlight Modes Control Systems Using Fuzzy Logic

    OpenAIRE

    ThetKoKo; ZawMyoTun; Hla Myo Tun

    2015-01-01

    Abstract This research paper describes the design and simulation of the automatic wiper speed and headlight modes controllers using fuzzy logic. This proposed system consists of a fuzzy logic controller to control a cars wiper speed and headlight modes. The automatic wiper system detects the rain and its intensity. And according to the rain intensity the wiper speed is automatically controlled. Headlight modes automatically changes either from low beam mode to high beam mode or form high beam...

  14. BLOSTREAM: A HIGH SPEED STREAM CIPHER

    Directory of Open Access Journals (Sweden)

    ALI H. KASHMAR

    2017-04-01

    Full Text Available Although stream ciphers are widely utilized to encrypt sensitive data at fast speeds, security concerns have led to a shift from stream to block ciphers, judging that the current technology in stream cipher is inferior to the technology of block ciphers. This paper presents the design of an improved efficient and secure stream cipher called Blostream, which is more secure than conventional stream ciphers that use XOR for mixing. The proposed cipher comprises two major components: the Pseudo Random Number Generator (PRNG using the Rabbit algorithm and a nonlinear invertible round function (combiner for encryption and decryption. We evaluate its performance in terms of implementation and security, presenting advantages and disadvantages, comparison of the proposed cipher with similar systems and a statistical test for randomness. The analysis shows that the proposed cipher is more efficient, high speed, and secure than current conventional stream ciphers.

  15. High-Pressure Turbulent Flame Speeds and Chemical Kinetics of Syngas Blends with and without Impurities

    Energy Technology Data Exchange (ETDEWEB)

    Peterson, Eric; Mathieu, Olivier; Morones, Anibal; Ravi, Sankar; Keesee, Charles; Hargis, Joshua; Vivanco, Jose

    2014-12-01

    This Topical Report documents the first year of the project, from October 1, 2013 through September 30, 2014. Efforts for this project included experiments to characterize the atmospheric-pressure turbulent flame speed vessel over a range of operating conditions (fan speeds and turbulent length scales). To this end, a new LDV system was acquired and set up for the detailed characterization of the turbulence field. Much progress was made in the area of impurity kinetics, which included a numerical study of the effect of impurities such as NO2, NO, H2S, and NH3 on ignition delay times and laminar flame speeds of syngas blends at engine conditions. Experiments included a series of laminar flame speed measurements for syngas (CO/H2) blends with various levels of CH4 and C2H6 addition, and the results were compared to the chemical kinetics model of NUI Galway. Also, a final NOx kinetics mechanism including ammonia was assembled, and a journal paper was written and is now in press. Overall, three journal papers and six conference papers related to this project were published this year. Finally, much progress was made on the design of the new high-pressure turbulent flame speed facility. An overall design that includes a venting system was decided upon, and the detailed design is in progress.

  16. Speed Sensorless mixed sensitivity linear parameter variant H_inf control of the induction motor

    NARCIS (Netherlands)

    Toth, R.; Fodor, D.

    2004-01-01

    The paper shows the design of a robust control structure for the speed sensorless vector control of the IM, based on the mixed sensitivity (MS) linear parameter variant (LPV) H8 control theory. The controller makes possible the direct control of the flux and speed of the motor with torque adaptation

  17. Development of Industrial High-Speed Transfer Parallel Robot

    International Nuclear Information System (INIS)

    Kim, Byung In; Kyung, Jin Ho; Do, Hyun Min; Jo, Sang Hyun

    2013-01-01

    Parallel robots used in industry require high stiffness or high speed because of their structural characteristics. Nowadays, the importance of rapid transportation has increased in the distribution industry. In this light, an industrial parallel robot has been developed for high-speed transfer. The developed parallel robot can handle a maximum payload of 3 kg. For a payload of 0.1 kg, the trajectory cycle time is 0.3 s (come and go), and the maximum velocity is 4.5 m/s (pick amp, place work, adept cycle). In this motion, its maximum acceleration is very high and reaches approximately 13g. In this paper, the design, analysis, and performance test results of the developed parallel robot system are introduced

  18. The method to design the length of thin-wall flexible rotor

    International Nuclear Information System (INIS)

    Zhang Yue; Yao Zengzhong; Baigu Lafu

    2014-01-01

    Thin-wall flexible rotor is frequently used in rotating machinery. For this rotor the vibration is very complex under the working speed. So the composition design is very difficult. In this paper, for a thin-wall flexible rotor, the material and rotor diameter are determined from spatial structure, the working speed is determined from strength of the material, the first bending critical speed is determined from working speed, then the influence of length on the critical speed is researched. According to the influence of critical speed on the vibration, the length design method is researched, the design steps and methods are given, the safety margin of vibration is analysed. Design validity is established by test. The method is generally suitable for thin-wall flexible rotor. (authors)

  19. Power Quality Control and Design of Power Converter for Variable-Speed Wind Energy Conversion System with Permanent-Magnet Synchronous Generator

    Directory of Open Access Journals (Sweden)

    Yüksel Oğuz

    2013-01-01

    Full Text Available The control strategy and design of an AC/DC/AC IGBT-PMW power converter for PMSG-based variable-speed wind energy conversion systems (VSWECS operation in grid/load-connected mode are presented. VSWECS consists of a PMSG connected to a AC-DC IGBT-based PWM rectifier and a DC/AC IGBT-based PWM inverter with LCL filter. In VSWECS, AC/DC/AC power converter is employed to convert the variable frequency variable speed generator output to the fixed frequency fixed voltage grid. The DC/AC power conversion has been managed out using adaptive neurofuzzy controlled inverter located at the output of controlled AC/DC IGBT-based PWM rectifier. In this study, the dynamic performance and power quality of the proposed power converter connected to the grid/load by output LCL filter is focused on. Dynamic modeling and control of the VSWECS with the proposed power converter is performed by using MATLAB/Simulink. Simulation results show that the output voltage, power, and frequency of VSWECS reach to desirable operation values in a very short time. In addition, when PMSG based VSWECS works continuously with the 4.5 kHz switching frequency, the THD rate of voltage in the load terminal is 0.00672%.

  20. Power quality control and design of power converter for variable-speed wind energy conversion system with permanent-magnet synchronous generator.

    Science.gov (United States)

    Oğuz, Yüksel; Güney, İrfan; Çalık, Hüseyin

    2013-01-01

    The control strategy and design of an AC/DC/AC IGBT-PMW power converter for PMSG-based variable-speed wind energy conversion systems (VSWECS) operation in grid/load-connected mode are presented. VSWECS consists of a PMSG connected to a AC-DC IGBT-based PWM rectifier and a DC/AC IGBT-based PWM inverter with LCL filter. In VSWECS, AC/DC/AC power converter is employed to convert the variable frequency variable speed generator output to the fixed frequency fixed voltage grid. The DC/AC power conversion has been managed out using adaptive neurofuzzy controlled inverter located at the output of controlled AC/DC IGBT-based PWM rectifier. In this study, the dynamic performance and power quality of the proposed power converter connected to the grid/load by output LCL filter is focused on. Dynamic modeling and control of the VSWECS with the proposed power converter is performed by using MATLAB/Simulink. Simulation results show that the output voltage, power, and frequency of VSWECS reach to desirable operation values in a very short time. In addition, when PMSG based VSWECS works continuously with the 4.5 kHz switching frequency, the THD rate of voltage in the load terminal is 0.00672%.

  1. The Effect of Acceleration Sprint and Zig-zag Drill Combination to Increase Students’ Speed and Agility

    Science.gov (United States)

    Bana, O.; Mintarto, E.; Kusnanik, N. W.

    2018-01-01

    The purpose of this research is to analyze the following factors: (1) how far the effect of exercise acceleration sprint on the speed and agility (2) how much influence the zig-zag drill combination to the speed and agility (3) and is there any difference between the effects of exercise acceleration sprint and practice zig-zag drill combination of the speed and agility. This research is quantitative with quasi-experimental approach. The design of this study is matching only design.This study was conducted on 33 male students who take part in extracurricular and divided into 3 groups with 11 students in each group. Group 1 was given training of acceleration sprint, group 2 was given zig-zag training combination drills of conventional and exercises for group 3, for 8 weeks. The data collection was using sprint 30 meter to test the speed and agility t-test to test agility. Data were analyzed using t-test and analysis of variance. The conclusion of the research is (1) there is a significant effect of exercise acceleration sprint for the speed and agility, (2) there is a significant influence combination zig-zag drills, on speed and agility (3) and exercise acceleration sprint have more effect on the speed and agility.

  2. Ship speed optimization: Concepts, models and combined speed-routing scenarios

    DEFF Research Database (Denmark)

    Psaraftis, Harilaos N.; Kontovas, Christos A.

    2014-01-01

    The purpose of this paper is to clarify some important issues as regards ship speed optimization at the operational level and develop models that optimize ship speed for a spectrum of routing scenarios in a single ship setting. The paper's main contribution is the incorporation of those fundament...... parameters and other considerations that weigh heavily in a ship owner's or charterer's speed decision and in his routing decision, wherever relevant. Various examples are given so as to illustrate the properties of the optimal solution and the various trade-offs that are involved....

  3. Gain-scheduled Linear Quadratic Control of Wind Turbines Operating at High Wind Speed

    DEFF Research Database (Denmark)

    Østergaard, Kasper Zinck; Stoustrup, Jakob; Brath, Per

    2007-01-01

    This paper addresses state estimation and linear quadratic (LQ) control of variable speed variable pitch wind turbines. On the basis of a nonlinear model of a wind turbine, a set of operating conditions is identified and a LQ controller is designed for each operating point. The controller gains...... are then interpolated linearly to get a control law for the entire operating envelope. A nonlinear state estimator is designed as a combination of two unscented Kalman filters and a linear disturbance estimator. The gain-scheduling variable (wind speed) is then calculated from the output of these state estimators...

  4. An engineer's guide to automated testing of high-speed interfaces

    CERN Document Server

    Moreira, Jose

    2010-01-01

    Providing a complete introduction to the state-of-the-art in high-speed digital testing with automated test equipment (ATE), this practical resource is the first book focus exclusively on this increasingly important topic. Featuring clear examples, this one-stop reference covers all critical aspects of the subject, from high-speed digital basics, ATE instrumentation for digital applications, and test and measurements, to production testing, support instrumentation and text fixture design. This in-depth volume also discusses at advanced ATE topics, such as multiplexing of ATE pin channels and t

  5. Speeds in school zones.

    Science.gov (United States)

    2009-02-01

    School speed zones are frequently requested traffic controls for school areas, based on the common belief : that if the transportation agency would only install a reduced speed limit, then drivers would no longer : speed through the area. This resear...

  6. Experimental high-speed network

    Science.gov (United States)

    McNeill, Kevin M.; Klein, William P.; Vercillo, Richard; Alsafadi, Yasser H.; Parra, Miguel V.; Dallas, William J.

    1993-09-01

    Many existing local area networking protocols currently applied in medical imaging were originally designed for relatively low-speed, low-volume networking. These protocols utilize small packet sizes appropriate for text based communication. Local area networks of this type typically provide raw bandwidth under 125 MHz. These older network technologies are not optimized for the low delay, high data traffic environment of a totally digital radiology department. Some current implementations use point-to-point links when greater bandwidth is required. However, the use of point-to-point communications for a total digital radiology department network presents many disadvantages. This paper describes work on an experimental multi-access local area network called XFT. The work includes the protocol specification, and the design and implementation of network interface hardware and software. The protocol specifies the Physical and Data Link layers (OSI layers 1 & 2) for a fiber-optic based token ring providing a raw bandwidth of 500 MHz. The protocol design and implementation of the XFT interface hardware includes many features to optimize image transfer and provide flexibility for additional future enhancements which include: a modular hardware design supporting easy portability to a variety of host system buses, a versatile message buffer design providing 16 MB of memory, and the capability to extend the raw bandwidth of the network to 3.0 GHz.

  7. Flow and free running speed characterization of dental air turbine handpieces.

    Science.gov (United States)

    Dyson, J E; Darvell, B W

    1999-09-01

    Dental air turbine handpieces have been widely used in clinical dentistry for over 30 years, yet little work has been reported on their performance. A few studies have been concerned with measurement of speed (i.e. rotation rate), torque and power performance of these devices, but neither investigations of functional relationships between controlling variables nor theory dealing specifically with this class of turbine have been reported. This has hindered the development of satisfactory methods of handpiece specification and of testing dental rotary cutting tools. It was the intention of the present work to remedy that deficiency. Measurements of pressure, temperature, gas flow rate and rotation rate were made with improved accuracy and precision for 14 ball bearing turbine handpieces on several gases. Functional relationships between gas properties, supply pressure, flow rate, turbine design factors and free running speed were identified and equations describing these aspects of behaviour of this class of turbine developed. The rotor radius, through peripheral Mach number, was found to be a major determinant of speed performance. In addition, gas flow was found to be an important limiting factor through the effect of choke. Each dental handpiece can be treated as a simple orifice of a characteristic cross-sectional area. Free running speed can be explained in terms of gas properties and pressure, with allowance for a design-specific performance coefficient.

  8. Inertial sensor-based methods in walking speed estimation: a systematic review.

    Science.gov (United States)

    Yang, Shuozhi; Li, Qingguo

    2012-01-01

    Self-selected walking speed is an important measure of ambulation ability used in various clinical gait experiments. Inertial sensors, i.e., accelerometers and gyroscopes, have been gradually introduced to estimate walking speed. This research area has attracted a lot of attention for the past two decades, and the trend is continuing due to the improvement of performance and decrease in cost of the miniature inertial sensors. With the intention of understanding the state of the art of current development in this area, a systematic review on the exiting methods was done in the following electronic engines/databases: PubMed, ISI Web of Knowledge, SportDiscus and IEEE Xplore. Sixteen journal articles and papers in proceedings focusing on inertial sensor based walking speed estimation were fully reviewed. The existing methods were categorized by sensor specification, sensor attachment location, experimental design, and walking speed estimation algorithm.

  9. Inertial Sensor-Based Methods in Walking Speed Estimation: A Systematic Review

    Directory of Open Access Journals (Sweden)

    Qingguo Li

    2012-05-01

    Full Text Available Self-selected walking speed is an important measure of ambulation ability used in various clinical gait experiments. Inertial sensors, i.e., accelerometers and gyroscopes, have been gradually introduced to estimate walking speed. This research area has attracted a lot of attention for the past two decades, and the trend is continuing due to the improvement of performance and decrease in cost of the miniature inertial sensors. With the intention of understanding the state of the art of current development in this area, a systematic review on the exiting methods was done in the following electronic engines/databases: PubMed, ISI Web of Knowledge, SportDiscus and IEEE Xplore. Sixteen journal articles and papers in proceedings focusing on inertial sensor based walking speed estimation were fully reviewed. The existing methods were categorized by sensor specification, sensor attachment location, experimental design, and walking speed estimation algorithm.

  10. Effective wind speed estimation: Comparison between Kalman Filter and Takagi-Sugeno observer techniques.

    Science.gov (United States)

    Gauterin, Eckhard; Kammerer, Philipp; Kühn, Martin; Schulte, Horst

    2016-05-01

    Advanced model-based control of wind turbines requires knowledge of the states and the wind speed. This paper benchmarks a nonlinear Takagi-Sugeno observer for wind speed estimation with enhanced Kalman Filter techniques: The performance and robustness towards model-structure uncertainties of the Takagi-Sugeno observer, a Linear, Extended and Unscented Kalman Filter are assessed. Hence the Takagi-Sugeno observer and enhanced Kalman Filter techniques are compared based on reduced-order models of a reference wind turbine with different modelling details. The objective is the systematic comparison with different design assumptions and requirements and the numerical evaluation of the reconstruction quality of the wind speed. Exemplified by a feedforward loop employing the reconstructed wind speed, the benefit of wind speed estimation within wind turbine control is illustrated. Copyright © 2015 ISA. Published by Elsevier Ltd. All rights reserved.

  11. Design of a high-performance centrifugal compressor with new surge margin improvement technique for high speed turbomachinery

    Directory of Open Access Journals (Sweden)

    Sagar Pakle

    2018-03-01

    Full Text Available This paper presents the design of a centrifugal compressor for high-speed turbomachinery. The main focus of the research is to develop a centrifugal compressor with improved aerodynamic performance. As a meridional frame has a significant effect on overall performance of the compressor, special attention has been paid to the end wall contours. The shroud profile is design with bezier curve and hub profile with circular arc contour. The blade angle distribution has been arranged in a manner that it merges with single value at impeller exit. The rake angle is positive at leading edge and negative at trailing edge with identical magnitude. Furthermore, three-dimensional straight line element approach has been used for this design for better manufacturability. The verification of the aerodynamic performance has been carried out using CFD software with consideration of a single blade passage and vaneless diffuser. The result has been compared with matching size aftermarket compressor stage gas stand data. The compressor stage with Trim 55 provides 34% increase in choke flow at 210000 RPM as compared to gas stand data with 87% peak stage efficiency at 110000 RPM. In addition, new surge margin improvement technique has been proposed by means of diffuser enhancement. This technique provides an average of 16% improvement in surge margin compared to standard diffuser stage with 55 trim compressor impeller. The mechanical integrity has been validated at maximum RPM with the aluminum alloy 2014-T6 as a fabrication material. Keywords: Centrifugal compressor, Aerodynamic performance, Surge margin, Blade angles, Stress analysis, Computational fluid dynamics

  12. High-speed imaging of blood splatter patterns

    Energy Technology Data Exchange (ETDEWEB)

    McDonald, T.E.; Albright, K.A.; King, N.S.P.; Yates, G.J. (Los Alamos National Lab., NM (United States)); Levine, G.F. (California Dept. of Justice, Sacramento, CA (United States). Bureau of Forensic Services)

    1993-01-01

    The interpretation of blood splatter patterns is an important element in reconstructing the events and circumstances of an accident or crime scene. Unfortunately, the interpretation of patterns and stains formed by blood droplets is not necessarily intuitive and study and analysis are required to arrive at a correct conclusion. A very useful tool in the study of blood splatter patterns is high-speed photography. Scientists at the Los Alamos National Laboratory, Department of Energy (DOE), and Bureau of Forensic Services, State of California, have assembled a high-speed imaging system designed to image blood splatter patterns. The camera employs technology developed by Los Alamos for the underground nuclear testing program and has also been used in a military mine detection program. The camera uses a solid-state CCD sensor operating at approximately 650 frames per second (75 MPixels per second) with a microchannel plate image intensifier that can provide shuttering as short as 5 ns. The images are captured with a laboratory high-speed digitizer and transferred to an IBM compatible PC for display and hard copy output for analysis. The imaging system is described in this paper.

  13. High-speed imaging of blood splatter patterns

    Energy Technology Data Exchange (ETDEWEB)

    McDonald, T.E.; Albright, K.A.; King, N.S.P.; Yates, G.J. [Los Alamos National Lab., NM (United States); Levine, G.F. [California Dept. of Justice, Sacramento, CA (United States). Bureau of Forensic Services

    1993-05-01

    The interpretation of blood splatter patterns is an important element in reconstructing the events and circumstances of an accident or crime scene. Unfortunately, the interpretation of patterns and stains formed by blood droplets is not necessarily intuitive and study and analysis are required to arrive at a correct conclusion. A very useful tool in the study of blood splatter patterns is high-speed photography. Scientists at the Los Alamos National Laboratory, Department of Energy (DOE), and Bureau of Forensic Services, State of California, have assembled a high-speed imaging system designed to image blood splatter patterns. The camera employs technology developed by Los Alamos for the underground nuclear testing program and has also been used in a military mine detection program. The camera uses a solid-state CCD sensor operating at approximately 650 frames per second (75 MPixels per second) with a microchannel plate image intensifier that can provide shuttering as short as 5 ns. The images are captured with a laboratory high-speed digitizer and transferred to an IBM compatible PC for display and hard copy output for analysis. The imaging system is described in this paper.

  14. Fluid Micro-Reservoirs Array Design with Auto-Pressure Regulation for High-Speed 3D Printers

    Directory of Open Access Journals (Sweden)

    Moshe Einat

    2016-11-01

    Full Text Available Three dimensional (3D printing technology is rapidly evolving such that printing speed is now a crucial factor in technological developments and future applications. For printing heads based on the inkjet concept, the number of nozzles on the print head is a limiting factor of printing speed. This paper offers a method to practically increase the number of nozzles unlimitedly, and thus to dramatically ramp up printing speed. Fluid reservoirs are used in inkjet print heads to supply fluid through a manifold to the jetting chambers. The pressure in the reservoir’s outlet is important and influences device performance. Many efforts have been made to regulate pressure inside the fluid reservoirs so as to obtain a constant pressure in the chambers. When the number of nozzles is increased too much, the regulation of uniform pressure among all the nozzles becomes too complicated. In this paper, a different approach is taken. The reservoir is divided into an array of many micro-reservoirs. Each micro-reservoir supports one or a few chambers, and has a unique structure with auto-pressure regulation, where the outlet pressure is independent of the fluid level. The regulation is based on auto-compensation of the gravity force and a capillary force having the same dependence on the fluid level; this feature is obtained by adding a wedge in the reservoir with a unique shape. When the fluid level drops, the gravitational force and the capillary force decrease with it, but at similar rates. Terms for the force balance are derived and, consequently, a constant pressure in the fluid micro-reservoir segment is obtained automatically, with each segment being autonomous. This micro reservoir array is suggested for the enlargement of an inkjet print head and the achievement of high-speed 3D printing.

  15. High Speed Blanking: An Experimental Method to Measure Induced Cutting Forces

    OpenAIRE

    GAUDILLIERE , Camille; Ranc , Nicolas; LARUE , Arnaud; MAILLARD , A; Lorong , Philippe

    2013-01-01

    Lien vers la version éditeur: http://link.springer.com/article/10.1007/s11340-013-9738-1; International audience; A new blanking process that involves punch speed up to 10 ms −1 has obvious advantages in increased productivity. However, the inherent dynamics of such a process makes it difficult to develop a practical high speed punch press. The fracture phenomenon governing the blanking process has to be well understood to correctly design the machine support and the tooling. To observe this ...

  16. Push-off forces in elite short-track speed skating.

    Science.gov (United States)

    van der Kruk, Eline; Reijne, Marco M; de Laat, Bjorn; Veeger, DirkJan H E J

    2018-05-30

    This study performed an analysis of the push-off forces of elite-short-track speed skaters using a new designed instrumented short-track speed skate with the aim to improve short-track skating performance. Four different skating strokes were distinguished for short-track speed skaters at speed. The strokes differed in stroke time, force level in both normal and lateral directions, and the centre of pressure (COP) on the blade. Within the homogeneous group of male elite speed skaters (N = 6), diversity of execution of the force patterns in the four phases of skating was evident, while skating at the same velocities. The male participants (N = 6) with a better personal record (PR) kept the COP more to the rear of their blades while hanging into the curve (r = 0.82, p < 0.05), leaving the curve (r = 0.86, p < 0.05), and entering the straight (r = 0.76, p < 0.10). Furthermore, the male skaters with a better PR showed a trend of a lower lateral peak force while entering the curve (r = 0.74, p < 0.10). Females showed a trend towards applying higher body weight normalised lateral forces than the males, while skating at imposed lower velocities.

  17. Chaotic Dynamics of Cage Behavior in a High-Speed Cylindrical Roller Bearing

    Directory of Open Access Journals (Sweden)

    Long Chen

    2016-01-01

    Full Text Available This paper presents a mathematical model to investigate the nonlinear dynamic behavior of cage in high-speed cylindrical bearing. Variations of cage behavior due to varying cage eccentricity and cage guidance gap are observed. Hydrodynamic behavior in cage contacts is taken into consideration for a more realistic calculation of acting forces owing to high working speed. Analysis of real-time cage dynamic behavior on radial plane is carried out using chaos theory based on the theoretical and mathematical model established in the paper. The analytical results of this paper provide a solid foundation for designing and manufacturing of high-speed cylindrical roller bearing.

  18. Manic thinking: independent effects of thought speed and thought content on mood.

    Science.gov (United States)

    Pronin, Emily; Wegner, Daniel M

    2006-09-01

    This experiment found that the speed of thought affects mood. Thought speed was manipulated via participants' paced reading of statements designed to induce either an elated or a depressed mood. Participants not only experienced more positive mood in response to elation than in response to depression statements, but also experienced an independent increase in positive mood when they had been thinking fast rather than slow--for both elation and depression statements. This effect of thought speed extended beyond mood to other experiences often associated with mania (i.e., feelings of power, feelings of creativity, a heightened sense of energy, and inflated self-esteem or grandiosity).

  19. High speed TV-towing system for exploration manganese nodules

    International Nuclear Information System (INIS)

    Hartmann, P.

    1977-12-01

    For the oceanographic, special for the manganese nodules exploration in the deep sea a high speed-TV-towing system is to design on base of existing TV-towing systems to get better efficiency during the exploration phase. It is planned to increase to towing speed at the time of 2 knots up to 6-8 knots. The essential points of developments in this direction are 1) to decrease the hydrodynamical drag of the long towing cable with fairings. 2) To seperate to towing system into two units the passiv controlled towing cable end point 'SEP' with negativ buoyancy (weight) and the activ controlled TV-fish. With this separation it is possible to tow the TV-fish within a defined accuracy parallel to the sea floor without an influence to the overall system. 3) To adapt the TV- and photo stobe light unit for these towing conditions (high speed). 4) To design the control concept, the operating equipment, the energy and data transmission system, the towed body concept, the hydrodynamical calculation of towing phase and the other towed components. The results of this study is the definition of a two body towing system which is able towed by a research vessel to make continously TV-observation of the sea floor in depth down to 6,000 meters. (orig.) [de

  20. Design of a high-speed high-resolution teleradiology system

    Science.gov (United States)

    Stewart, Brent K.; Dwyer, Samuel J., III; Huang, H. K.; Kangarloo, Hooshang

    1992-07-01

    A teleradiology system acquires radiographic images from one location and transmits them to one or more distant sites where they are displayed and/or converted to hardcopy film recordings. The long term goal of this research is to demonstrate that teleradiology systems can provide diagnostically equivalent results when compared to conventional radiographic film interpretation. If this hypothesis is proven, the following radiology tasks will be improved: (1) providing for primary interpretation of radiological images for patients in under served areas as well as other medical facilities; (2) integration of radiological services for multi- hospital/clinic health care provides consortiums (HMOs); (3) improving emergency service and intensive care unit coverage; (4) offering consulting-at-a-distance with sub-speciality radiologists; and (5) providing radiologists in the community or in rural areas immediate access to large academic centers for help in the interpretation of difficult and problematic cases. We are designing a high-speed, high-resolution teleradiology system between our level I medical center and several outlying medical centers within the metropolitan area. CT, MR and screen-film examinations will be digitized to 2 K or 4 K at the remote sites, transmitted to the central referral facility and sent to a laser film printer, reproducing the original film. The film can then be used for primary diagnosis, overreading/consultative purposes or for emergency room preparation. Inherently digital modality data (e.g. MR and CT) can be sent without digitization of the multi-format film is desired. A teleradiology system using a Wide Area Network (WAN) is to be connected to the following sites: (1) Olive View Medical Center; (2) Harbor General Medical Center; (3) UCLA Department of Radiological Sciences; and (4) two radiologist''s private residences. The wide area network (WAN) consists of a local carrier (GTE California Incorporated) and an inter-exchange carrier

  1. Hardware Evolution of Analog Speed Controllers for a DC Motor

    Science.gov (United States)

    Gwaltney, David A.; Ferguson, Michael I.

    2003-01-01

    This viewgraph presentation provides information on the design of analog speed controllers for DC motors on aerospace systems. The presentation includes an overview of controller evolution, evolvable controller configuration, an emphasis on proportion integral (PI) controllers, schematic diagrams, and experimental results.

  2. Computer ray tracing speeds.

    Science.gov (United States)

    Robb, P; Pawlowski, B

    1990-05-01

    The results of measuring the ray trace speed and compilation speed of thirty-nine computers in fifty-seven configurations, ranging from personal computers to super computers, are described. A correlation of ray trace speed has been made with the LINPACK benchmark which allows the ray trace speed to be estimated using LINPACK performance data. The results indicate that the latest generation of workstations, using CPUs based on RISC (Reduced Instruction Set Computer) technology, are as fast or faster than mainframe computers in compute-bound situations.

  3. Preliminary Design of the Low Speed Propulsion Air Intake of the LAPCAT-MR2 Aircraft

    Science.gov (United States)

    Meerts, C.; Steelant, J.; Hendrick, P.

    2011-08-01

    A supersonic air intake has been designed for the low speed propulsion system of the LAPCAT-MR2 aircraft. Development has been based on the XB-70 aircraft air intake which achieves extremely high performances over a wide operation range through the combined use of variable geometry and porous wall suction for boundary layer control. Design of the LAPCAT-MR2 intake has been operated through CFD simulations using DLR TAU-Code (perfect gas model - Menter SST turbulence model). First, a new boundary condition has been validated into the DLR TAU-Code (perfect gas model) for porous wall suction modelling. Standard test cases have shown surprisingly good agreement with both theoretical predictions and experimental results. Based upon this validation, XB-70 air intake performances have been assessed through CFD simulations over the subsonic, transonic and supersonic operation regions and compared to available flight data. A new simulation strategy was deployed avoiding numerical instabilities when initiating the flow in both transonic and supersonic operation modes. First, the flow must be initiated with a far field Mach number higher than the target flight Mach number. Additionally, the inlet backpressure may only be increased to its target value once the oblique shock pattern downstream the intake compression ramps is converged. Simulations using that strategy have shown excellent agreement with in-flight measurements for both total pressure recovery ratio and variable geometry schedule prediction. The demarcation between stable and unstable operation could be well reproduced. Finally, a modified version of the XB-70 air intake has been integrated in the elliptical intake on the LAPCAT vehicle. Operation of this intake in the LAPCAT-MR2 environment is under evaluation using the same simulation strategy as the one developed for the XB-70. Performances are assessed at several key operation points to assess viability of this design. This information will allow in a next

  4. A novel regenerative shock absorber with a speed doubling mechanism and its Monte Carlo simulation

    Science.gov (United States)

    Zhang, Ran; Wang, Xu; Liu, Zhenwei

    2018-03-01

    A novel regenerative shock absorber has been designed and fabricated. The novelty of the presented work is the application of the double speed regenerative shock absorber that utilizes the rack and pinion mechanism to increase the magnet speed with respect to the coils for higher power output. The simulation models with parameters identified from finite element analysis and the experiments are developed. The proposed regenerative shock absorber is compared with the regenerative shock absorber without the rack and pinion mechanism, when they are integrated into the same quarter vehicle suspension system. The sinusoidal wave road profile displacement excitation and the random road profile displacement excitation with peak amplitude of 0.035 m are applied as the inputs in the frequency range of 0-25 Hz. It is found that with the sinusoidal and random road profile displacement input, the proposed innovative design can increase the output power by 4 times comparing to the baseline design. The proposed double speed regenerative shock absorber also presents to be more sensitive to the road profile irregularity than the single speed regenerative shock absorber as suggested by Monte Carlo simulation. Lastly the coil mass and amplification factor are studied for sensitivity analysis and performance optimization, which provides a general design method of the regenerative shock absorbers. It shows that for the system power output, the proposed design becomes more sensitive to either the coil mass or amplification factor depending on the amount of the coil mass. With the specifically selected combination of the coil mass and amplification factor, the optimized energy harvesting performance can be achieved.

  5. Nonlinear Adaptive Rotational Speed Control Design and Experiment of the Propeller of an Electric Micro Air Vehicle

    Directory of Open Access Journals (Sweden)

    Shouzhao Sheng

    2016-01-01

    Full Text Available Micro Air Vehicles (MAVs driven by electric propellers are of interest for military and civilian applications. The rotational speed control of such electric propellers is an important factor for improving the flight performance of the vehicles, such as their positioning accuracy and stability. Therefore, this paper presents a nonlinear adaptive control scheme for the electric propulsion system of a certain MAV, which can not only speed up the convergence rates of adjustable parameters, but can also ensure the overall stability of the adjustable parameters. The significant improvement of the dynamic tracking accuracy of the rotational speed can be easily achieved through the combination of the proposed control algorithm and linear control methods. The experimental test results have also demonstrated the positive effect of the nonlinear adaptive control scheme on the flight performance of the MAV.

  6. Walking speed-related changes in stride time variability: effects of decreased speed

    Directory of Open Access Journals (Sweden)

    Dubost Veronique

    2009-08-01

    Full Text Available Abstract Background Conflicting results have been reported regarding the relationship between stride time variability (STV and walking speed. While some studies failed to establish any relationship, others reported either a linear or a non-linear relationship. We therefore sought to determine the extent to which decrease in self-selected walking speed influenced STV among healthy young adults. Methods The mean value, the standard deviation and the coefficient of variation of stride time, as well as the mean value of stride velocity were recorded while steady-state walking using the GAITRite® system in 29 healthy young adults who walked consecutively at 88%, 79%, 71%, 64%, 58%, 53%, 46% and 39% of their preferred walking speed. Results The decrease in stride velocity increased significantly mean values, SD and CoV of stride time (p Conclusion The results support the assumption that gait variability increases while walking speed decreases and, thus, gait might be more unstable when healthy subjects walk slower compared with their preferred walking speed. Furthermore, these results highlight that a decrease in walking speed can be a potential confounder while evaluating STV.

  7. Indigenously developed large pumping speed cryoadsorption cryopump

    International Nuclear Information System (INIS)

    Gangradey, Ranjana; Mukherjee, Samiran Shanti; Agarwal, Jyoti

    2015-01-01

    Indigenous cryoadsorption cryopump with large pumping speeds for fusion reactor application has been developed at the Institute for Plasma Research (IPR). Towards its successful realization, technological bottlenecks were identified, studied and resolved. Hydroformed cryopanels were developed from concept leading to the design and product realization with successful technology transfer to the industry. This has led to the expertise for developing hydroformed panels for any desired shape, geometry and welding pattern. Activated sorbents were developed, characterized using an experimental set up which measures adsorption isotherms down to 4K for hydrogen and helium. Special techniques were evolved for coating sorbents on hydroformed cryopanels with suitable cryo-adhesives. Various arrangements of cryopanels at 4 K surrounded by 80 K shields and baffles (which are also hydroformed) were studied and optimized by transmission probability analysis using Monte Carlo techniques. CFD analysis was used to study the temperature distribution and flow analysis during the cryogen flow through the panels. Integration of the developed technologies to arrive at the final product was a challenging task and this was meticulously planned and executed. This resulted in a cryoadsorption cryopump offering pumping speeds as high as 50,000 to 70,000 1/s for helium and 1,50,000 1/s for hydrogen with a 3.2 m 2 of sorbent panel area. The first laboratory scale pump integrating the developed technologies was a Small Scale CryoPump (SSCP-01) with a pumping speed of 2,000 1/s for helium. Subsequently, Single Panel CryoPump (SPCP-01) with pumping speed 10,000 1/s for helium and a Multiple Panel CryoPump (MPCP-08) with a pumping speed of 70,000 1/s for helium and 1,50,000 1/s for hydrogen respectively were developed. This paper describes the efforts in realizing these products from laboratory to industrial scales. (author)

  8. Study of the conditions affecting the critical speed of a rotating pump shaft

    International Nuclear Information System (INIS)

    Fardeau, P.; Huet, J.L.; Axisa, F.

    1983-01-01

    Knowing the parameters conditioning the critical speed of a pump shaft is important, both for safety and design purposes, since the shafts are often to operate beyond the first critical speed. These aims led CEA, associated with NOVATOME and FRAMATOME (with the cooperation of JEUMONT-SCHNEIDER) to carry out a test program on critical speeds of a full scale nuclear pump shaft. Fluid-structure interaction plays an important part in the setting of critical speed. Due to the coupling between the rotative fluid flow and the transverse vibrations of the shaft, inertial and stiffness forces are created, which are non conservative and proportional to the added mass of the fluid. The hydrostatic bearing effect and the influence of the water carried along by the pump wheel were also investigated, but proved unimportant in the case of the shaft studied. Experimental results are compared with calculations of critical speed. (orig.)

  9. Speed Synchronization of Multi Induction Motors with Fuzzy Sliding Mode Control

    Directory of Open Access Journals (Sweden)

    HACHEMI Glaoui

    2013-05-01

    Full Text Available A continuous web winding system is a large-scale, complex interconnected dynamic system with numerous tension zones to transport the web while processing it. There are two control schemes for large-scale system control: the centralized scheme and the decentralized scheme. Centralized control is the traditional control method, which considers all the information about the system to be a single dynamic model and design a control system for this model. Aspeed synchronization control strategy for multiple induction motors, based on adjacent cross-coupling control structure, is developed by employing total sliding mode control method. The proposed controlstrategy is to stabilize speed tracking of each induction motor while synchronizing its speed with the speed of the other motors so as to make speed synchronization error amongst induction motors converge to zero. The global stability and the convergence of the designedcontroller are proved by using Lyapunov method. Simulation results demonstrate the effectiveness of the proposed method.

  10. Freeway travel speed calculation model based on ETC transaction data.

    Science.gov (United States)

    Weng, Jiancheng; Yuan, Rongliang; Wang, Ru; Wang, Chang

    2014-01-01

    Real-time traffic flow operation condition of freeway gradually becomes the critical information for the freeway users and managers. In fact, electronic toll collection (ETC) transaction data effectively records operational information of vehicles on freeway, which provides a new method to estimate the travel speed of freeway. First, the paper analyzed the structure of ETC transaction data and presented the data preprocess procedure. Then, a dual-level travel speed calculation model was established under different levels of sample sizes. In order to ensure a sufficient sample size, ETC data of different enter-leave toll plazas pairs which contain more than one road segment were used to calculate the travel speed of every road segment. The reduction coefficient α and reliable weight θ for sample vehicle speed were introduced in the model. Finally, the model was verified by the special designed field experiments which were conducted on several freeways in Beijing at different time periods. The experiments results demonstrated that the average relative error was about 6.5% which means that the freeway travel speed could be estimated by the proposed model accurately. The proposed model is helpful to promote the level of the freeway operation monitoring and the freeway management, as well as to provide useful information for the freeway travelers.

  11. Wind turbine blades for harnessing energy from Malaysian low speed wind - manufacturing technique

    International Nuclear Information System (INIS)

    Abas Abd Wahab; Azmin Shakrine

    2000-01-01

    Blades for wind turbine to harness energy in the Malaysia low speed winds have been designed. During wind tunnel testing, wind turbine model using this type of blades has cut in speed of 1.5 m/s and turned at 450 rpm at 4 m/s wind. The blades, due to their critical dimensions of 1.2 m length, 5 cm thickness, tapered and 15 degree twist, were difficult to produce especially in large number. Several production methods have been studied but for economical mass production, fibreglass blades using CNC cutting mould were chosen. The blade and mould designs and the manufacturing processes are briefly outlined in this paper. (Author)

  12. Resonant Vibrations Resulting from the Re-Engineering of a Constant-Speed 2-Bladed Turbine to a Variable-Speed 3-Bladed Turbine

    Energy Technology Data Exchange (ETDEWEB)

    Fleming, P.; Wright, A. D.; Finersh, L. J.

    2010-12-01

    The CART3 (Controls Advanced Research Turbine, 3-bladed) at the National Wind Technology Center has recently been converted from a 2-bladed constant speed machine to a 3-bladed variable speed machine designed specically for controls research. The purpose of this conversion was to develop an advanced controls field-testing platform which has the more typical 3-bladed configuration. A result of this conversion was the emergence of several resonant vibrations, some of which initially prevented operation of the turbine until they could be explained and resolved. In this paper, the investigations into these vibrations are presented as 'lessons-learned'. Additionally, a frequency-domain technique called waterfall plotting is discussed and its usefulness in this research is illustrated.

  13. An Auto-Tuning PI Control System for an Open-Circuit Low-Speed Wind Tunnel Designed for Greenhouse Technology

    Directory of Open Access Journals (Sweden)

    Karlos Espinoza

    2015-08-01

    Full Text Available Wind tunnels are a key experimental tool for the analysis of airflow parameters in many fields of application. Despite their great potential impact on agricultural research, few contributions have dealt with the development of automatic control systems for wind tunnels in the field of greenhouse technology. The objective of this paper is to present an automatic control system that provides precision and speed of measurement, as well as efficient data processing in low-speed wind tunnel experiments for greenhouse engineering applications. The system is based on an algorithm that identifies the system model and calculates the optimum PI controller. The validation of the system was performed on a cellulose evaporative cooling pad and on insect-proof screens to assess its response to perturbations. The control system provided an accuracy of <0.06 m·s‾1 for airflow speed and <0.50 Pa for pressure drop, thus permitting the reproducibility and standardization of the tests. The proposed control system also incorporates a fully-integrated software unit that manages the tests in terms of airflow speed and pressure drop set points.

  14. Electromagnetic Design and Losses Analysis of a High-Speed Permanent Magnet Synchronous Motor with Toroidal Windings for Pulsed Alternator

    Directory of Open Access Journals (Sweden)

    Yuan Wan

    2018-03-01

    Full Text Available The configuration of conventional high-speed Permanent Magnet Synchronous Motors (PMSMs is usually long and thin, with overlong axial end winding lengths, which is not suitable for those applications that place severe restrictions on the axial length, such as pulsed alternators. This paper first studied the key design aspects of a flat-structure high-speed PMSM. The toroidal-windings, low-conductivity material of the retaining sleeve, large airgap and segmentation of magnets were studied to reduce the axial length of the motor. The division of the stator and the employment of a non-magnetic outer stator were used to improve overall performance. Then the losses of the prototype were calculated and the factors having an influence on the losses were also investigated, after which, their effects on the total loss were evaluated. The total loss could be effectively reduced by the decrease of strand number of conductors and the division of stator, while only being slightly reduced by epoxy resin pole fillers. Metal-stack pole fillers have the same effect on the reduction of rotor loss as epoxy resin, while maintaining the good thermal-conductivity of metal. In addition, the influence of the carrier frequency of the inverter on the losses was analyzed, and it was found that high carrier frequency was helpful to reduce rotor losses. Finally, a small-scale prototype was manufactured and the experimental results were provided.

  15. Impact of Loss Synchronization on Reliable High Speed Networks: A Model Based Simulation

    Directory of Open Access Journals (Sweden)

    Suman Kumar

    2014-01-01

    Full Text Available Contemporary nature of network evolution demands for simulation models which are flexible, scalable, and easily implementable. In this paper, we propose a fluid based model for performance analysis of reliable high speed networks. In particular, this paper aims to study the dynamic relationship between congestion control algorithms and queue management schemes, in order to develop a better understanding of the causal linkages between the two. We propose a loss synchronization module which is user configurable. We validate our model through simulations under controlled settings. Also, we present a performance analysis to provide insights into two important issues concerning 10 Gbps high speed networks: (i impact of bottleneck buffer size on the performance of 10 Gbps high speed network and (ii impact of level of loss synchronization on link utilization-fairness tradeoffs. The practical impact of the proposed work is to provide design guidelines along with a powerful simulation tool to protocol designers and network developers.

  16. Modeling The Effect Of Extruder Screw Speed On The Mechanical ...

    African Journals Online (AJOL)

    Modeling The Effect Of Extruder Screw Speed On The Mechanical Properties Of High Density Polyethylene Blown Film. ... Journal of Modeling, Design and Management of Engineering Systems ... Two sets of multiple linear regression models were developed to predict impact failure weight and tenacity respectively.

  17. Applications of variable speed control for contending with recurrent highway congestion.

    Science.gov (United States)

    2014-07-01

    This research project developed vital operational guidelines for design of a variable speed limit (VSL) system and its integrated operations with ramp metering control in contending with recurrent highway congestion. The developed guidelines can serv...

  18. Problems and proposed solution in evaluating tornado-borne missile speed

    International Nuclear Information System (INIS)

    Eguchi, Yuzuru; Sugimoto, Soichiro; Hattori, Yasuo; Hirakuchi, Hiromaru

    2014-01-01

    Large variation was found among the design values of tornado missile speed shown in current standards and guides in Japan and U.S. It was also found that the design missile speed indicated in the Guide issued by the Nuclear Regulation Authority of Japan is the most restrictive among them. The primary reason is due to the evaluation method where all the objects are unconditionally assumed to be placed in air as high as 40 m, even if the object should be on the ground. In order to solve this problem, the authors have adopted the tornado engineering model DBT-77 proposed by Dr. Fujita as a wind field model, and developed a numerical analysis code TONBOS with adding an object liftoff model. The effectiveness of the numerical analysis code was demonstrated by simulating liftoff and flight of a truck struck by an F3 tornado in Saroma. (author)

  19. Proposal of a high rigidity and high speed rotating mechanism using a new concept hydrodynamic bearing in X-ray tube for high speed computed tomography

    International Nuclear Information System (INIS)

    Hattori, Hitoshi; Fukushima, Harunobu; Yoshii, Yasuo; Nakamuta, Hironori; Iwase, Mitsuo; Kitade, Koichi

    2009-01-01

    In this paper, a high rigidity and high speed rotating mechanism using a new concept hydrodynamic bearing in X-ray tube for high speed computed tomography is proposed. In order to obtain both the stability and the high load carrying capacity, the hydrodynamic bearing lubricated by liquid metal (Gallium alloy), named as the hybrid hydrodynamic bearing generates the lubricating film by wedge effect on the plane region between the spiral grooves under high loading condition. The parallelism between the bearing and the rotating body can be secured by optimizing the rigidity distribution of stationary shaft in the proposed rotating mechanism. By carrying out the fundamental design by numerical analyses, it has been made clear that the hybrid hydrodynamic bearing and the rotating mechanism are suitable for the X-ray tube used in the CT with ever-increasingly scanning speed. (author)

  20. Signal Integrity Analysis of High-Speed Interconnects

    CERN Document Server

    Oltean Karlsson, A

    2007-01-01

    LHC detectors and future experiments will produce very large amount of data that will be transferred at multi-Gigabit speeds. At such data rates, signal-integrity effects become important and traditional rules of thumb are no longer enough for the design and layout of the traces. Simulations for signal-integrity effects at board level provide a way to study and validate several scenarios before arriving at a set of optimized design rules prior to building the actual printed circuit board (PCB). This article describes some of the available tools at CERN. Two case studies will be used to highlight the capabilities of these programs.

  1. Utilization technique on variable speed device

    International Nuclear Information System (INIS)

    1989-12-01

    This reports of workshop on power technology describes using technique on variable speed device, which deals with alternating current situation and prospect of current variable speed device, technical trend and prospect of electronics, reduce expenses by variable speed device, control technique, measurement technology, high voltage variable speed device, recent trend of inverter technology, low voltage and high voltage variable speed device control device, operating variable speed device in cooling fan, FDF application and defect case of variable speed device, cooling pump application of water variable transformer, inverter application and energy effect of ventilation equipment, application of variable speed device and analysis of the result of operation and study for application of variable speed technology.

  2. Constant speed control of four-stroke micro internal combustion swing engine

    Science.gov (United States)

    Gao, Dedong; Lei, Yong; Zhu, Honghai; Ni, Jun

    2015-09-01

    The increasing demands on safety, emission and fuel consumption require more accurate control models of micro internal combustion swing engine (MICSE). The objective of this paper is to investigate the constant speed control models of four-stroke MICSE. The operation principle of the four-stroke MICSE is presented based on the description of MICSE prototype. A two-level Petri net based hybrid model is proposed to model the four-stroke MICSE engine cycle. The Petri net subsystem at the upper level controls and synchronizes the four Petri net subsystems at the lower level. The continuous sub-models, including breathing dynamics of intake manifold, thermodynamics of the chamber and dynamics of the torque generation, are investigated and integrated with the discrete model in MATLAB Simulink. Through the comparison of experimental data and simulated DC voltage output, it is demonstrated that the hybrid model is valid for the four-stroke MICSE system. A nonlinear model is obtained from the cycle average data via the regression method, and it is linearized around a given nominal equilibrium point for the controller design. The feedback controller of the spark timing and valve duration timing is designed with a sequential loop closing design approach. The simulation of the sequential loop closure control design applied to the hybrid model is implemented in MATLAB. The simulation results show that the system is able to reach its desired operating point within 0.2 s, and the designed controller shows good MICSE engine performance with a constant speed. This paper presents the constant speed control models of four-stroke MICSE and carries out the simulation tests, the models and the simulation results can be used for further study on the precision control of four-stroke MICSE.

  3. High-Speed General Purpose Genetic Algorithm Processor.

    Science.gov (United States)

    Hoseini Alinodehi, Seyed Pourya; Moshfe, Sajjad; Saber Zaeimian, Masoumeh; Khoei, Abdollah; Hadidi, Khairollah

    2016-07-01

    In this paper, an ultrafast steady-state genetic algorithm processor (GAP) is presented. Due to the heavy computational load of genetic algorithms (GAs), they usually take a long time to find optimum solutions. Hardware implementation is a significant approach to overcome the problem by speeding up the GAs procedure. Hence, we designed a digital CMOS implementation of GA in [Formula: see text] process. The proposed processor is not bounded to a specific application. Indeed, it is a general-purpose processor, which is capable of performing optimization in any possible application. Utilizing speed-boosting techniques, such as pipeline scheme, parallel coarse-grained processing, parallel fitness computation, parallel selection of parents, dual-population scheme, and support for pipelined fitness computation, the proposed processor significantly reduces the processing time. Furthermore, by relying on a built-in discard operator the proposed hardware may be used in constrained problems that are very common in control applications. In the proposed design, a large search space is achievable through the bit string length extension of individuals in the genetic population by connecting the 32-bit GAPs. In addition, the proposed processor supports parallel processing, in which the GAs procedure can be run on several connected processors simultaneously.

  4. A high-speed Schottky detector for ultra-wideband communications

    DEFF Research Database (Denmark)

    Valdecasa, Guillermo Silva; Cimoli, Bruno; Blanco Granja, Ángel

    2017-01-01

    This letter reviews the design procedure of a high‐speed Schottky video detector for high‐data‐rate communications within the ultra‐wideband (UWB) frequencies. The classic design approach for video detectors is extended with a mixer‐like analysis, which results in a more detailed assessment of th....... Using 0 dBm carrier power, the lowest measured conversion loss is 10 dB for a video frequency of 1.1 GHz and better than 13 dB up to 1.8 GHz....

  5. Brushless DC motor speed control strategy of simulation research

    Directory of Open Access Journals (Sweden)

    Xiang Wen

    2017-01-01

    Full Text Available In view of the brushless DC motor speed regulation problem, an ideal control strategy is designed. Through the model and analysis of Brushless DC motor, the mathematical model of the brushless DC motor is obtained. By comparing three control strategies of PID control strategy, fuzzy control strategy and fuzzy PID control strategy, PID controller, fuzzy controller and fuzzy PID controller are designed respectively for simulation test. The simulation results show that the fuzzy PID controller has good control effect.

  6. Guideways for high speed magnetically levitated train systems - TRANSRAPID

    Energy Technology Data Exchange (ETDEWEB)

    Falkner, H [Technische Univ. Braunschweig (Germany); Grossert, E [IBF Dr. Falkner GmbH, Braunschweig/Berlin (Germany)

    1996-12-31

    The superspeed maglev system Transrapid is a rapid train system designed for speeds ranging from 300 to 500 km/h, using new no-contact levitation, guidance and propulsion system technologies, which will soon be used for an actual operational line. On the Transrapid Test Facility in Emsland (TVE), suitibility studies have been carried out since 1984. In 1989, work began on the plans for a reference line. Different guideway constructions, designed for the actual operational line are discussed in the following article. (orig.)

  7. Wind Speed Perception and Risk

    Science.gov (United States)

    Agdas, Duzgun; Webster, Gregory D.; Masters, Forrest J.

    2012-01-01

    Background How accurately do people perceive extreme wind speeds and how does that perception affect the perceived risk? Prior research on human–wind interaction has focused on comfort levels in urban settings or knock-down thresholds. No systematic experimental research has attempted to assess people's ability to estimate extreme wind speeds and perceptions of their associated risks. Method We exposed 76 people to 10, 20, 30, 40, 50, and 60 mph (4.5, 8.9, 13.4, 17.9, 22.3, and 26.8 m/s) winds in randomized orders and asked them to estimate wind speed and the corresponding risk they felt. Results Multilevel modeling showed that people were accurate at lower wind speeds but overestimated wind speeds at higher levels. Wind speed perceptions mediated the direct relationship between actual wind speeds and perceptions of risk (i.e., the greater the perceived wind speed, the greater the perceived risk). The number of tropical cyclones people had experienced moderated the strength of the actual–perceived wind speed relationship; consequently, mediation was stronger for people who had experienced fewer storms. Conclusion These findings provide a clearer understanding of wind and risk perception, which can aid development of public policy solutions toward communicating the severity and risks associated with natural disasters. PMID:23226230

  8. Wind speed perception and risk.

    Directory of Open Access Journals (Sweden)

    Duzgun Agdas

    Full Text Available BACKGROUND: How accurately do people perceive extreme wind speeds and how does that perception affect the perceived risk? Prior research on human-wind interaction has focused on comfort levels in urban settings or knock-down thresholds. No systematic experimental research has attempted to assess people's ability to estimate extreme wind speeds and perceptions of their associated risks. METHOD: We exposed 76 people to 10, 20, 30, 40, 50, and 60 mph (4.5, 8.9, 13.4, 17.9, 22.3, and 26.8 m/s winds in randomized orders and asked them to estimate wind speed and the corresponding risk they felt. RESULTS: Multilevel modeling showed that people were accurate at lower wind speeds but overestimated wind speeds at higher levels. Wind speed perceptions mediated the direct relationship between actual wind speeds and perceptions of risk (i.e., the greater the perceived wind speed, the greater the perceived risk. The number of tropical cyclones people had experienced moderated the strength of the actual-perceived wind speed relationship; consequently, mediation was stronger for people who had experienced fewer storms. CONCLUSION: These findings provide a clearer understanding of wind and risk perception, which can aid development of public policy solutions toward communicating the severity and risks associated with natural disasters.

  9. Wind speed perception and risk.

    Science.gov (United States)

    Agdas, Duzgun; Webster, Gregory D; Masters, Forrest J

    2012-01-01

    How accurately do people perceive extreme wind speeds and how does that perception affect the perceived risk? Prior research on human-wind interaction has focused on comfort levels in urban settings or knock-down thresholds. No systematic experimental research has attempted to assess people's ability to estimate extreme wind speeds and perceptions of their associated risks. We exposed 76 people to 10, 20, 30, 40, 50, and 60 mph (4.5, 8.9, 13.4, 17.9, 22.3, and 26.8 m/s) winds in randomized orders and asked them to estimate wind speed and the corresponding risk they felt. Multilevel modeling showed that people were accurate at lower wind speeds but overestimated wind speeds at higher levels. Wind speed perceptions mediated the direct relationship between actual wind speeds and perceptions of risk (i.e., the greater the perceived wind speed, the greater the perceived risk). The number of tropical cyclones people had experienced moderated the strength of the actual-perceived wind speed relationship; consequently, mediation was stronger for people who had experienced fewer storms. These findings provide a clearer understanding of wind and risk perception, which can aid development of public policy solutions toward communicating the severity and risks associated with natural disasters.

  10. The dynamic behaviour of rail vehicles operating at high speeds for manriding in British coal mines

    Energy Technology Data Exchange (ETDEWEB)

    Manen, P. van

    1984-01-01

    The behaviour of trains used at high speeds for transporting men along mine railways is examined using a number of mathematical models. These models predict the responses of different rail vehicles to typical irregularities in the track, and are used to examine the guidance, the ride, and the likelihood of derailment of the main classes of manriding trains used in British coal mines. The outcome of the modelling compares favourably with the results of tests carried out on actual vehicles. The investigation has shown that the safe speed at which trains may operate is ultimately restricted by the condition of the track, but changes in the design of the vehicles can lead to an improved performance. The use of conventional wheelsets, for example, can reduce flange wear significantly and so allow higher speeds to be reached. Guidelines for the design of vehicles intended for high speed use are included in this thesis. (36 refs.)

  11. Balancing Biomechanical Constraints: Optimal Escape Speeds When There Is a Trade-off between Speed and Maneuverability.

    Science.gov (United States)

    Clemente, C J; Wilson, R S

    2015-12-01

    The ability for prey to escape a pursuing predator is dependent both on the prey's speed away from the threat and on their ability to rapidly change directions, or maneuverability. Given that the biomechanical trade-off between speed and maneuverability limits the simultaneous maximization of both performance traits, animals should not select their fastest possible speeds when running away from a pursuing predator but rather a speed that maximizes the probability of successful escape. We explored how variation in the relationship between speed and maneuverability-or the shape of the trade-off-affects the optimal choice of speed for escaping predators. We used tablet-based games that simulated interactions between predators and prey (human subjects acting as predators attempting to capture "prey" moving across a screen). By defining a specific relationship between speed and maneuverability, we could test the survival of each of the possible behavioral choices available to this phenotype, i.e., the best combination of speed and maneuverability for prey fitness, based on their ability to escape. We found that the shape of the trade-off function affected the prey's optimal speed for success in escaping, the prey's maximum performance in escaping, and the breadth of speeds over which the prey's performance was high. The optimal speed for escape varied only when the trade-off between speed and maneuverability was non-linear. Phenotypes possessing trade-off functions for which maneuverability was only compromised at high speeds exhibited lower optimal speeds. Phenotypes that exhibited greater increases in maneuverability for any decrease in speed were more likely to have broader ranges of performance, meaning that individuals could attain their maximum performance across a broader range of speeds. We also found that there was a differential response of the subject's learning to these different components of locomotion. With increased experience through repeated trials

  12. Powering and Motion Predictions of High Speed Sea Lift (HSSL) Ships

    National Research Council Canada - National Science Library

    Gorski, Joseph; Miller, Ronald; Carrica, Pablo; Kandasamy, Mani; Stern, Fred

    2007-01-01

    High Speed Sea Lift (HSSL) is an important area of in terest for the US Navy. Computational tools are needed to predict the hydrodynamics of these configurations for their proper design and analysis in many areas including...

  13. Space telescope phase B definition study. Volume 2A: Science instruments, high speed point/area photometer

    Science.gov (United States)

    1976-01-01

    The analysis and preliminary design of a high speed point/area photometer for the space telescope are summarized. The scientific objectives, photometer requirements, and design concepts are presented.

  14. A microprogrammable high-speed data collection system for position sensitive X-ray detectors

    International Nuclear Information System (INIS)

    Hashizume, H.

    1984-01-01

    A high-speed data acquisition system has been designed which collects digital data from one- and two-dimensional position sensitive X-ray detectors at a maximum average data rate of 1 MHz. The system consists of two separate fast buffer memories, a 64 K word by 20-bit main storage, two timers, a display controller, a computer interface and a keyboard, controlled by a specially designed microprogrammable microprocessor. Data collection is performed by executing a microprogram stored in the control storage; data coming from a detector are first accumulated in a small but fast buffer memory by hardware and transferred to the main storage under control of the microprogram. This design not only permits time-resolved data collections but also provides maximum speed, flexibility and cost-effectiveness simultaneously. The system also accepts data from integrated detectors such as TV cameras. The system has been designed for use in experiments at conventional and synchrotron X-ray sources. (orig.)

  15. Implementation Of Automatic Wiper Speed Control And Headlight Modes Control Systems Using Fuzzy Logic

    Directory of Open Access Journals (Sweden)

    ThetKoKo

    2015-07-01

    Full Text Available Abstract This research paper describes the design and simulation of the automatic wiper speed and headlight modes controllers using fuzzy logic. This proposed system consists of a fuzzy logic controller to control a cars wiper speed and headlight modes. The automatic wiper system detects the rain and its intensity. And according to the rain intensity the wiper speed is automatically controlled. Headlight modes automatically changes either from low beam mode to high beam mode or form high beam mode to low beam mode depending on the light intensity from the other vehicle coming from the opposite direction. The system comprises of PIC impedance sensor piezoelectric vibration sensor LDR headlamps and a DC motor to accurate the windshield wiper. Piezoelectric sensor is used to detect the rain intensity which is based on the piezoelectric effect. MATLAB software is used to achieve the designed goal.

  16. Speed control issues for tunnel-in-the-sky displays with predictor

    Science.gov (United States)

    Sachs, Gottfried; Sperl, Roman

    2001-08-01

    Speed control issues are considered for tunnel-in-the-sky displays with a predictor presenting guidance information in a 3-dimensional format for flight path control. Factors driving the predictor design are described. With reference to the resulting predictor control law, it is shown that the pilot-predictor-aircraft system is stable for operation on the frontside of the power-required curve and unstable for operation on the reverse. This instability can be removed by thrust control. It is shown that this control loop is supported by the predictor control law because of favorable coupling effects between the two loops involved. Furthermore, an appropriate speed indication in the tunnel-in-the-sky display is considered an aid in manual speed control. The theoretical findings are supported by experimental results from pilot-in-the-loop simulations.

  17. High speed imaging system for nuclear diagnostics

    International Nuclear Information System (INIS)

    Eyer, H.H.

    1976-01-01

    A high speed imaging system based on state-of-the-art photosensor arrays has been designed for use in nuclear diagnostics. The system is comprised of a front-end rapid-scan solid-state camera, a high speed digitizer, and a PCM line driver in a downhole package and a memory buffer system in a uphole trailer. The downhole camera takes a ''snapshot'' of a nuclear device created flux stream, digitizes the image and transmits it to the uphole memory system before being destroyed. The memory system performs two functions: it retains the data for local display and processing by a microprocessor, and it buffers the data for retransmission at slower rates to the LLL computational facility (NADS). The impetus for such a system as well as its operation are discussed. Also discussed are new systems under development which incorporate higher data rates and more resolution

  18. High speed imaging system for nuclear diagnostics

    International Nuclear Information System (INIS)

    Eyer, H.H.

    1976-01-01

    A high speed imaging system based on state-of-the-art photosensor arrays has been designed for use in nuclear diagnostics. The system is comprised of a front-end rapid-scan solid-state camera, a high speed digitizer, and a PCM line driver in a downhole package and a memory buffer system in an uphole trailer. The downhole camera takes a ''snapshot'' of a nuclear device created flux stream, digitizes the image and transmits it to the uphole memory system before being destroyed. The memory system performs two functions: it retains the data for local display and processing by a microprocessor, and it buffers the data for retransmission at slower rates to the LLL computational facility (NADS). The impetus for such a system as well as its operation is discussed. Also discussed are new systems under development which incorporate higher data rates and more resolution

  19. Bicycle Design : A different approach to improving on the world human powered speed records

    NARCIS (Netherlands)

    Epema, H.K.; Van den Brand, S.; Gregoor, W.; Kooijman, J.D.G.; Pereboom, H.P.; Wielemaker, D.C.; Van der Zweep, C.J.

    2012-01-01

    The current International Human Powered Vehicle Association world records for faired bicycles stand at 133.284km/h for the 200m flying start speed record and 91.562 km for the hour record. Traditionally the recumbent bicycles that have been developed for breaking one of either of these records have

  20. Novel driver method to improve ordinary CCD frame rate for high-speed imaging diagnosis

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Tong-Ding, E-mail: snuohui@126.com; Li, Bin-Kang; Yang, Shao-Hua; Guo, Ming-An; Yan, Ming

    2016-06-21

    The use of ordinary Charge-coupled-Device (CCD) imagers for the analysis of fast physical phenomenon is restricted because of the low-speed performance resulting from their long output times. Even though the form of Intensified-CCD (ICCD), coupled with a gated image intensifier, has extended their use for high speed imaging, the deficiency remains to be solved that ICDD could record only one image in a single shot. This paper presents a novel driver method designed to significantly improve the ordinary interline CCD burst frame rate for high-speed photography. This method is based on the use of vertical registers as storage, so that a small number of additional frames comprised of reduced-spatial-resolution images obtained via a specific sampling operation can be buffered. Hence, the interval time of the received series of images is related to the exposure and vertical transfer times only and, thus, the burst frame rate can be increased significantly. A prototype camera based on this method is designed as part of this study, exhibiting a burst rate of up to 250,000 frames per second (fps) and a capacity to record three continuous images. This device exhibits a speed enhancement of approximately 16,000 times compared with the conventional speed, with a spatial resolution reduction of only 1/4.

  1. Speed management : enforcement and new technologies.

    NARCIS (Netherlands)

    Wegman, F.C.M. & Goldenbeld, C.

    2006-01-01

    Due to the massive character of speeding and inappropriate travel speeds, speed management continues to be an important and challenging policy area. Estimates are that in about a quarter to one third of fatal crashes excessive speeds are involved, making speed one of the crucial factors in road

  2. High-speed AC motors

    Energy Technology Data Exchange (ETDEWEB)

    Jokinen, T.; Arkkio, A. [Helsinki University of Technology Laboratory of Electromechanics, Otaniemi (Finland)

    1997-12-31

    The paper deals with various types of highspeed electric motors, and their limiting powers. Standard machines with laminated rotors can be utilised if the speed is moderate. The solid rotor construction makes it possible to reach higher power and speed levels than those of laminated rotors. The development work on high-speed motors done at Helsinki University of Technology is presented, too. (orig.) 12 refs.

  3. Everyone Deserves a Speeding Ticket.

    Science.gov (United States)

    Burris, Harold

    1993-01-01

    Presents a first day physics activity having students determine the fine for a speeding ticket if the speeds considered include the earth's rotation and revolution speed, and the movement through the galaxy. (MDH)

  4. DYNAMIC BEHAVIOR OF TWO-SPAN CONTINUOUS CONCRETE BRIDGES UNDER MOVING OF HIGH-SPEED TRAINS

    Directory of Open Access Journals (Sweden)

    O. H. Marinichenko

    2017-10-01

    Full Text Available Purpose. The scientific work provides a comparison of the results of the movement of a high-speed passenger train across the bridge, obtained as a result of finite element modeling in the SAP2000 software package, and real tests of a double-span concrete railway bridge. Analysis of the rigid characteristics of flying structures. Methodology.The numerical method presented in this study shows valid results concerning the dynamic analysis of the behavior of bridges in conditions of high-speed train traffic. The factors influencing the dynamic behavior of bridges under moving loads, the influence of design parameters and rolling stock, as well as the interaction of the train and spans are determined. The system was used in the form of moving concentrated forces simulating the axes of the train. Findings. Maximum movements and accelerations were obtained as a result of the dynamic calculation for different speeds of the train and compared with practical tests. The correctness of the model of a span structure with regard to continuous ferroconcrete spans was verified. Originality. Within the framework of the work, the latest test results were used, including those with speeds calculated on the prospect of rail passenger traffic. For these tests, a model of a span structure was developed. Practical value. The results of the research can be used to plan the introduction of high-speed train traffic on existing and planned flying structures of reinforced concrete bridges. An approach to the design of span structures that will be effective when passing high-speed passenger trains is implemented.

  5. A large capacity, high-speed multiparameter multichannel analysis system

    International Nuclear Information System (INIS)

    Hendricks, R.W.; Suehiro, S.; Seeger, P.A.; Scheer, J.W.

    1982-01-01

    A data acquisition system for recording multiparameter digital data into a large memory array at over 2.5 MHz is described. The system consists of a MOSTEK MK 8600 2048 K x 24-bit memory system, I/O ports to various external devices including the CAMAC dataway, a memory incrementer/adder and a daisy-chain of experiment-specific modules which calculate the memory address which is to be incremented. The design of the daisy-chain permits multiple modules and provides for easy modification as experimental needs change. The system has been designed for use in multiparameter, multichannel analysis of high-speed data gathered by position-sensitive detectors at conventional and synchrotron X-ray sources as well as for fixed energy and time-of-flight diffraction at continuous and pulsed neutron sources. Modules which have been developed to date include a buffer for two-dimensional position-sensitive detectors, a mapper for high-speed coordinate transformations, a buffered time-of-flight clock, a time-correlator for synchronized diffraction experiments, and a display unit for data bus diagnostics. (orig.)

  6. Estimation of effective wind speed

    Science.gov (United States)

    Østergaard, K. Z.; Brath, P.; Stoustrup, J.

    2007-07-01

    The wind speed has a huge impact on the dynamic response of wind turbine. Because of this, many control algorithms use a measure of the wind speed to increase performance, e.g. by gain scheduling and feed forward. Unfortunately, no accurate measurement of the effective wind speed is online available from direct measurements, which means that it must be estimated in order to make such control methods applicable in practice. In this paper a new method is presented for the estimation of the effective wind speed. First, the rotor speed and aerodynamic torque are estimated by a combined state and input observer. These two variables combined with the measured pitch angle is then used to calculate the effective wind speed by an inversion of a static aerodynamic model.

  7. Dynamic model tracking design for low inertia, high speed permanent magnet ac motors.

    Science.gov (United States)

    Stewart, P; Kadirkamanathan, V

    2004-01-01

    Permanent magnet ac (PMAC) motors have existed in various configurations for many years. The advent of rare-earth magnets and their associated highly elevated levels of magnetic flux makes the permanent magnet motor attractive for many high performance applications from computer disk drives to all electric racing cars. The use of batteries as a prime storage element carries a cost penalty in terms of the unladen weight of the vehicle. Minimizing this cost function requires the minimum electric motor size and weight to be specified, while still retaining acceptable levels of output torque. This tradeoff can be achieved by applying a technique known as flux weakening which will be investigated in this paper. The technique allows the speed range of a PMAC motor to be greatly increased, giving a constant power range of more than 4:1. A dynamic model reference controller is presented which has advantages in ease of implementation, and is particularly suited to dynamic low inertia applications such as clutchless gear changing in high performance electric vehicles. The benefits of this approach are to maximize the torque speed envelope of the motor, particularly advantageous when considering low inertia operation. The controller is examined experimentally, confirming the predicted performance.

  8. Automatic Generation of the Planning Tunnel High Speed Craft Hull Form

    Institute of Scientific and Technical Information of China (English)

    Morteza Ghassabzadeh; Hassan Ghassemi

    2012-01-01

    The creation of geometric model of a ship to determine the characteristics of hydrostatic and hydrodynamic,and also for structural design and equipments arrangement are so important in the ship design process.Planning tunnel high speed craft is one of the crafts in which,achievement to their top speed is more important.These crafts with the use of tunnel have the aero-hydrodynamics properties to diminish the resistance,good sea-keeping behavior,reduce slamming and avoid porpoising.Because of the existence of the tunnel,the hull form generation of these crafts is more complex and difficult.In this paper,it has attempted to provide a method based on geometry creation guidelines and with an entry of the least control and hull form adjustment parameters,to generate automatically the hull form of planning tunnel craft.At first,the equations of mathematical model are described and subsequent,three different models generated based on present method are compared and analyzed.Obviously,the generated model has more application in the early stages of design.

  9. Model Comparison Exercise Circuit Training Game and Circuit Ladder Drills to Improve Agility and Speed

    Directory of Open Access Journals (Sweden)

    Susilaturochman Hendrawan Koestanto

    2017-11-01

    Full Text Available The purpose of this study was to compare: (1 the effect of circuit training game and circuit ladder drill for the agility; (2 the effect of circuit training game and circuit ladder drill on speed; (3 the difference effect of circuit training game and circuit ladder drill for the speed (4 the difference effect of circuit training game and circuit ladder drill on agility. The type of this research was quantitative with quasi-experimental methods. The design of this research was Factorial Design, with analysing data using ANOVA. The process of data collection was done by using 30 meters sprint speed test and shuttle run test during the pretest and posttest. Furthermore, the data was analyzed by using SPSS 22.0 series. Result: The circuit training game exercise program and circuit ladder drill were significant to increase agility and speed (sig 0.000 < α = 0.005 Group I, II, III had significant differences (sig 0.000 < α = 0.005. The mean of increase in speed of group I = 0.20 seconds, group II = 0.31 seconds, and group III = 0.11 seconds. The average increase agility to group I = 0.34 seconds group II = 0.60 seconds, group III = 0.13 seconds. Based on the analysis above, it could be concluded that there was an increase in the speed and agility of each group after being given a training.

  10. Simulation Analysis of SPWM Variable Frequency Speed Based on Simulink

    Directory of Open Access Journals (Sweden)

    Min-Yan DI

    2014-01-01

    Full Text Available This article is studied on currently a very active field of researching sinusoidal pulse width modulation (SPWM frequency speed control system, and strengthen researched on the simulation model of speed control system with MATLAB / Simulink / Power System simulation tools, thus we can find the best way to simulation. We apply it to the actual conveyor belt, frequency conversion motor, when the obtained simulation results are compared with the measured data, we prove that the method is practical and effective. The results of our research have a guiding role for the future engineering and technical personnel in asynchronous motor SPWM VVVF CAD design.

  11. Short-term prediction method of wind speed series based on fractal interpolation

    International Nuclear Information System (INIS)

    Xiu, Chunbo; Wang, Tiantian; Tian, Meng; Li, Yanqing; Cheng, Yi

    2014-01-01

    Highlights: • An improved fractal interpolation prediction method is proposed. • The chaos optimization algorithm is used to obtain the iterated function system. • The fractal extrapolate interpolation prediction of wind speed series is performed. - Abstract: In order to improve the prediction performance of the wind speed series, the rescaled range analysis is used to analyze the fractal characteristics of the wind speed series. An improved fractal interpolation prediction method is proposed to predict the wind speed series whose Hurst exponents are close to 1. An optimization function which is composed of the interpolation error and the constraint items of the vertical scaling factors in the fractal interpolation iterated function system is designed. The chaos optimization algorithm is used to optimize the function to resolve the optimal vertical scaling factors. According to the self-similarity characteristic and the scale invariance, the fractal extrapolate interpolation prediction can be performed by extending the fractal characteristic from internal interval to external interval. Simulation results show that the fractal interpolation prediction method can get better prediction result than others for the wind speed series with the fractal characteristic, and the prediction performance of the proposed method can be improved further because the fractal characteristic of its iterated function system is similar to that of the predicted wind speed series

  12. Flicker Mitigation by Individual Pitch Control of Variable Speed Wind Turbines With DFIG

    DEFF Research Database (Denmark)

    Zhang, Yunqian; Chen, Zhe; Hu, Weihao

    2014-01-01

    generatorto investigate the flicker emission and mitigation issues. An individual pitch control (IPC) strategy is proposed to reduce the flicker emission at different wind speed conditions. The IPC scheme is proposed and the individual pitch controller is designed according to the generator active power...... and the azimuth angle of the wind turbine. The simulations are performed on the NREL (National Renewable Energy Laboratory) 1.5-MW upwind reference wind turbine model. Simulation results show that damping the generator active power by IPC is an effective means for flicker mitigation of variable speed wind......Due to the wind speed variation, wind shear and tower shadow effects, grid connected wind turbines are the sources of power fluctuations which may produce flicker during continuous operation. This paper presents a model of an MW-level variable-speed wind turbine with a doubly fed induction...

  13. Design of an intelligent car

    Science.gov (United States)

    Na, Yongyi

    2017-03-01

    The design of simple intelligent car, using AT89S52 single chip microcomputer as the car detection and control core; The metal sensor TL - Q5MC induction to iron, to detect the way to send feedback to the signal of single chip microcomputer, make SCM according to the scheduled work mode to control the car in the area according to the predetermined speed, and the operation mode of the microcontroller choose different also can control the car driving along s-shaped iron; Use A44E hall element to detect the car speeds; Adopts 1602 LCD display time of car driving, driving the car to stop, take turns to show the car driving time, distance, average speed and the speed of time. This design has simple structure and is easy to implement, but are highly intelligent, humane, to a certain extent reflects the intelligence.

  14. Speeding up innovation in agricultural IT

    Directory of Open Access Journals (Sweden)

    Hannu E.S. Haapala

    2013-09-01

    Full Text Available An OECD funded research was conducted where methods and processes for speeding up innovation in agriculture were assessed. A global web-based questionnaire was sent to experts in agricultural engineering, research, marketing, education and users of new technologies. Interviews of selected experts were done to deepen the analysis. The results show that considerable part of the relatively slow innovation comes from the fact that users do not trust in new technologies or that the usability of them is unacceptable. The experts suggest that education of the engineers and designers should include more elements from User-Centered Design (UCD and also User-Driven Innovation methods should be more used. As a conclusion a new ‘Dream Team’ of agricultural innovation was developed where user interaction and marketing professionals were given more roles.

  15. Self-monitoring of driving speed.

    Science.gov (United States)

    Etzioni, Shelly; Erev, Ido; Ishaq, Robert; Elias, Wafa; Shiftan, Yoram

    2017-09-01

    In-vehicle data recorders (IVDR) have been found to facilitate safe driving and are highly valuable in accident analysis. Nevertheless, it is not easy to convince drivers to use them. Part of the difficulty is related to the "Big Brother" concern: installing IVDR impairs the drivers' privacy. The "Big Brother" concern can be mitigated by adding a turn-off switch to the IVDR. However, this addition comes at the expense of increasing speed variability between drivers, which is known to impair safety. The current experimental study examines the significance of this negative effect of a turn-off switch under two experimental settings representing different incentive structures: small and large fines for speeding. 199 students were asked to participate in a computerized speeding dilemma task, where they could control the speed of their "car" using "brake" and "speed" buttons, corresponding to automatic car foot pedals. The participants in two experimental conditions had IVDR installed in their "cars", and were told that they could turn it off at any time. Driving with active IVDR implied some probability of "fines" for speeding, and the two experimental groups differed with respect to the fine's magnitude, small or large. The results indicate that the option to use IVDR reduced speeding and speed variance. In addition, the results indicate that the reduction of speed variability was maximal in the small fine group. These results suggest that using IVDR with gentle fines and with a turn-off option maintains the positive effect of IVDR, addresses the "Big Brother" concern, and does not increase speed variance. Copyright © 2017 Elsevier Ltd. All rights reserved.

  16. Speed mathematics

    CERN Document Server

    Handley, Bill

    2012-01-01

    This new, revised edition of the bestselling Speed Mathematics features new chapters on memorising numbers and general information, calculating statistics and compound interest, square roots, logarithms and easy trig calculations. Written so anyone can understand, this book teaches simple strategies that will enable readers to make lightning-quick calculations. People who excel at mathematics use better strategies than the rest of us; they are not necessarily more intelligent. With Speed Mathematics you'll discover methods to make maths easy and fun. This book is perfect for stud

  17. Modeling pedestrian crossing speed profiles considering speed change behavior for the safety assessment of signalized intersections.

    Science.gov (United States)

    Iryo-Asano, Miho; Alhajyaseen, Wael K M

    2017-11-01

    Pedestrian safety is one of the most challenging issues in road networks. Understanding how pedestrians maneuver across an intersection is the key to applying countermeasures against traffic crashes. It is known that the behaviors of pedestrians at signalized crosswalks are significantly different from those in ordinary walking spaces, and they are highly influenced by signal indication, potential conflicts with vehicles, and intersection geometries. One of the most important characteristics of pedestrian behavior at crosswalks is the possible sudden speed change while crossing. Such sudden behavioral change may not be expected by conflicting vehicles, which may lead to hazardous situations. This study aims to quantitatively model the sudden speed changes of pedestrians as they cross signalized crosswalks under uncongested conditions. Pedestrian speed profiles are collected from empirical data and speed change events are extracted assuming that the speed profiles are stepwise functions. The occurrence of speed change events is described by a discrete choice model as a function of the necessary walking speed to complete crossing before the red interval ends, current speed, and the presence of turning vehicles in the conflict area. The amount of speed change before and after the event is modeled using regression analysis. A Monte Carlo simulation is applied for the entire speed profile of the pedestrians. The results show that the model can represent the pedestrian travel time distribution more accurately than the constant speed model. Copyright © 2017 Elsevier Ltd. All rights reserved.

  18. Speed and position sensors for electric motors

    Energy Technology Data Exchange (ETDEWEB)

    Lyyjynen, M. [Helsinki University of Technology, Otaniemi (Finland). Institute of Intelligent Power Electronics

    1997-12-31

    This paper gives an overview of speed and position measuring instruments for electric motors. The emphasis is on sensors that are designed to operate at industrial environment. In addition to that, some other, mostly magnetic sensors which are used, e.g., in automotive applications, are presented. Some of them are already applied in induction motors and some might be worth a try remembering the limitations. Automotive sensors are very cost-effective due to high production volumes. (orig.) 22 refs.

  19. Microstructure, composition and performance of PVD coatings designed for successful dry high speed milling

    International Nuclear Information System (INIS)

    Muenz, W.-D.; Lembke, M.I.; Lewis, D.B.; Smith, I.J.

    2001-01-01

    Dry high speed machining (HSM), particularly dry high speed milling, demands hard coatings, which exhibit high toughness, high oxidation resistance, a limited amount of residual stress and excellent adhesion to the cemented carbide (CC) substrate. These requirements are met by TiAICrYN coatings grown by the combined cathodic arc/unbalanced magnetron deposition method. Fully sufficient adhesion is achieved by ion implantation of Cr into the CC prior deposition. Residual stress is controlled by an Y - free base layer; high oxidation resistance is provided by an Y - containing 3 μm thick hard coating with 29 GPa hardness and a residual stress well below -7 GPa. Under the influence of temperatures above 800 o C, Y segregates along the columns of TiAIN and plugs the in/out diffusion of elements. A top layer of Y - containing oxynitride reduces the friction against the work piece material (0.9 to 0.65). Cutting tools coated as such may be used for dry milling up to 25 k rpm in steels HRC > 60. (author)

  20. Simplified Fuzzy Control for Flux-Weakening Speed Control of IPMSM Drive

    Directory of Open Access Journals (Sweden)

    M. J. Hossain

    2011-01-01

    Full Text Available This paper presents a simplified fuzzy logic-based speed control scheme of an interior permanent magnet synchronous motor (IPMSM above the base speed using a flux-weakening method. In this work, nonlinear expressions of d-axis and q-axis currents of the IPMSM have been derived and subsequently incorporated in the control algorithm for the practical purpose in order to implement fuzzy-based flux-weakening strategy to operate the motor above the base speed. The fundamentals of fuzzy logic algorithms as related to motor control applications are also illustrated. A simplified fuzzy speed controller (FLC for the IPMSM drive has been designed and incorporated in the drive system to maintain high performance standards. The efficacy of the proposed simplified FLC-based IPMSM drive is verified by simulation at various dynamic operating conditions. The simplified FLC is found to be robust and efficient. Laboratory test results of proportional integral (PI controller-based IPMSM drive have been compared with the simulated results of fuzzy controller-based flux-weakening IPMSM drive system.

  1. Optimal multivariable control of a wind turbine with variable speed

    NARCIS (Netherlands)

    Steinbuch, M.

    1987-01-01

    The control system design for a 310 kW horizontal axis wind energy conversion system with a synchronous generator and DC link is investigated. Because the wind turbine system has multiple inputs (pitch angle, field vollage alld delay angle), and multiple outputs, (speed and power), and because the

  2. Reliability Based Geometric Design of Horizontal Circular Curves

    Science.gov (United States)

    Rajbongshi, Pabitra; Kalita, Kuldeep

    2018-06-01

    Geometric design of horizontal circular curve primarily involves with radius of the curve and stopping sight distance at the curve section. Minimum radius is decided based on lateral thrust exerted on the vehicles and the minimum stopping sight distance is provided to maintain the safety in longitudinal direction of vehicles. Available sight distance at site can be regulated by changing the radius and middle ordinate at the curve section. Both radius and sight distance depend on design speed. Speed of vehicles at any road section is a variable parameter and therefore, normally the 98th percentile speed is taken as the design speed. This work presents a probabilistic approach for evaluating stopping sight distance, considering the variability of all input parameters of sight distance. It is observed that the 98th percentile sight distance value is much lower than the sight distance corresponding to 98th percentile speed. The distribution of sight distance parameter is also studied and found to follow a lognormal distribution. Finally, the reliability based design charts are presented for both plain and hill regions, and considering the effect of lateral thrust.

  3. Reliability Based Geometric Design of Horizontal Circular Curves

    Science.gov (United States)

    Rajbongshi, Pabitra; Kalita, Kuldeep

    2018-03-01

    Geometric design of horizontal circular curve primarily involves with radius of the curve and stopping sight distance at the curve section. Minimum radius is decided based on lateral thrust exerted on the vehicles and the minimum stopping sight distance is provided to maintain the safety in longitudinal direction of vehicles. Available sight distance at site can be regulated by changing the radius and middle ordinate at the curve section. Both radius and sight distance depend on design speed. Speed of vehicles at any road section is a variable parameter and therefore, normally the 98th percentile speed is taken as the design speed. This work presents a probabilistic approach for evaluating stopping sight distance, considering the variability of all input parameters of sight distance. It is observed that the 98th percentile sight distance value is much lower than the sight distance corresponding to 98th percentile speed. The distribution of sight distance parameter is also studied and found to follow a lognormal distribution. Finally, the reliability based design charts are presented for both plain and hill regions, and considering the effect of lateral thrust.

  4. A Control Simulation Method of High-Speed Trains on Railway Network with Irregular Influence

    International Nuclear Information System (INIS)

    Yang Lixing; Li Xiang; Li Keping

    2011-01-01

    Based on the discrete time method, an effective movement control model is designed for a group of highspeed trains on a rail network. The purpose of the model is to investigate the specific traffic characteristics of high-speed trains under the interruption of stochastic irregular events. In the model, the high-speed rail traffic system is supposed to be equipped with the moving-block signalling system to guarantee maximum traversing capacity of the railway. To keep the safety of trains' movements, some operational strategies are proposed to control the movements of trains in the model, including traction operation, braking operation, and entering-station operation. The numerical simulations show that the designed model can well describe the movements of high-speed trains on the rail network. The research results can provide the useful information not only for investigating the propagation features of relevant delays under the irregular disturbance but also for rerouting and rescheduling trains on the rail network. (general)

  5. Sensorless Speed Control of Permanent Magnet Synchronous Motors by Neural Network Algorithm

    Directory of Open Access Journals (Sweden)

    Ming-Shyan Wang

    2014-01-01

    Full Text Available The sliding mode control has the merits with respect to the variation of the disturbance and robustness. In this paper, the sensorless sliding-mode observer with least mean squared error approach for permanent magnet synchronous motor (PMSM to detect the rotor position by counter electromotive force and then compute motor speed is designed and implemented. In addition, the neural network control is also used to compensate the PI gain tuning to increase the speed accuracy without regarding the errors of the current measurement and motor noise. In this paper, a digital signal processor TMS320F2812 utilizes its high-speed ADC module to get current feedback information and thus to estimate the rotor position and takes advantage of the built-in modules to achieve SVPWM current control so that the senseless speed control will be accomplished. The correctness and effectiveness of the proposed control system will be verified from the experimental results.

  6. Sensorless Control of Interior Permanent Magnet Synchronous Motor in Low-Speed Region Using Novel Adaptive Filter

    Directory of Open Access Journals (Sweden)

    Lisi Tian

    2016-12-01

    Full Text Available This paper presents a novel position and speed estimation method for low-speed sensorless control of interior permanent-magnet synchronous machines (IPMSMs. The parameter design of the position and speed estimator is based on the sampled current rather than the motor electrical parameters. The proposed method not only simplifies the parameter design, it enables the estimator to work normally even in the condition that the electrical parameters are uncertain or varied. The adaptive filters are adopted to extract the desired high frequency current. The structure and corresponding transfer function are analyzed. To address the shortage of insufficient stop-band attenuation, the structure of the adaptive filter is modified to provide suitable bandwidth and stop-band attenuation simultaneously. The effectiveness of the proposed sensorless control strategy has been verified by simulations and experiments.

  7. Low speed phaselock speed control system. [for brushless dc motor

    Science.gov (United States)

    Fulcher, R. W.; Sudey, J. (Inventor)

    1975-01-01

    A motor speed control system for an electronically commutated brushless dc motor is provided which includes a phaselock loop with bidirectional torque control for locking the frequency output of a high density encoder, responsive to actual speed conditions, to a reference frequency signal, corresponding to the desired speed. The system includes a phase comparator, which produces an output in accordance with the difference in phase between the reference and encoder frequency signals, and an integrator-digital-to-analog converter unit, which converts the comparator output into an analog error signal voltage. Compensation circuitry, including a biasing means, is provided to convert the analog error signal voltage to a bidirectional error signal voltage which is utilized by an absolute value amplifier, rotational decoder, power amplifier-commutators, and an arrangement of commutation circuitry.

  8. Effects of automated speed enforcement in Montgomery County, Maryland, on vehicle speeds, public opinion, and crashes.

    Science.gov (United States)

    Hu, Wen; McCartt, Anne T

    2016-09-01

    In May 2007, Montgomery County, Maryland, implemented an automated speed enforcement program, with cameras allowed on residential streets with speed limits of 35 mph or lower and in school zones. In 2009, the state speed camera law increased the enforcement threshold from 11 to 12 mph over the speed limit and restricted school zone enforcement hours. In 2012, the county began using a corridor approach, in which cameras were periodically moved along the length of a roadway segment. The long-term effects of the speed camera program on travel speeds, public attitudes, and crashes were evaluated. Changes in travel speeds at camera sites from 6 months before the program began to 7½ years after were compared with changes in speeds at control sites in the nearby Virginia counties of Fairfax and Arlington. A telephone survey of Montgomery County drivers was conducted in Fall 2014 to examine attitudes and experiences related to automated speed enforcement. Using data on crashes during 2004-2013, logistic regression models examined the program's effects on the likelihood that a crash involved an incapacitating or fatal injury on camera-eligible roads and on potential spillover roads in Montgomery County, using crashes in Fairfax County on similar roads as controls. About 7½ years after the program began, speed cameras were associated with a 10% reduction in mean speeds and a 62% reduction in the likelihood that a vehicle was traveling more than 10 mph above the speed limit at camera sites. When interviewed in Fall 2014, 95% of drivers were aware of the camera program, 62% favored it, and most had received a camera ticket or knew someone else who had. The overall effect of the camera program in its modified form, including both the law change and the corridor approach, was a 39% reduction in the likelihood that a crash resulted in an incapacitating or fatal injury. Speed cameras alone were associated with a 19% reduction in the likelihood that a crash resulted in an

  9. Model reference adaptive vector control for induction motor without speed sensor

    Directory of Open Access Journals (Sweden)

    Bo Fan

    2017-01-01

    Full Text Available The wide applications of vector control improve the high-accuracy performance of alternating current (AC adjustable speed system. In order to obverse the full-order flux and calculate the real-time speed, this article introduces the motor T equivalent circuit to build a full-order flux observer model, where the current and flux variables of stator and rotor are adopted. Model reference adaptive control is introduced to build the AC motor flux observer. The current output is used as feedback to build the feedback matrix. The calculation method of motor speed, which is part of the inputs of flux observation, is applied to realize the adaptive control. The concept of characteristic function is introduced to calculate the flux, of which the foundation is the variables of composite form of voltage and current models. The characteristic function is deduced as a relative-state variable function. The feedback matrix is improved and designed to ensure the motor flux observer is a smooth switch between current and voltage model in low and high speeds, respectively. Experimental results show that the feedback and characteristic model are feasible, and the vector control with speed sensorless based on the full-order flux observer has better performance and anti-disturbance.

  10. Dynamics modeling and modal experimental study of high speed motorized spindle

    International Nuclear Information System (INIS)

    Li, Yunsong; Chen, Xiaoan; Zhang, Peng; Zhou, Jinming

    2017-01-01

    This paper presents a dynamical model of high speed motorized spindles in free state and work state. In the free state, the housing is modeled as a rotor with equivalent masses including bearing pedestals, motor stator and rear end cover. As a consequence, a double rotor dynamics can be modeled for high speed motorized spindles by a bearing element which connects the housing and bearing pedestals. In the work state, the housing is fixed and the system becomes a bearing-rotor dynamical model. An excitation-measurement test in the free state is designed to analyze the cross spectral density and auto spectral density of input and output signals. Then the frequency response function of system and coherence function of input and output signals which are used to analyze the inherent characteristics of the double- rotor model can be obtained. The other vibration test in the work state is designed to research the dynamical supporting characteristics of bearings and the effects from bearings on the inherent characteristics of the system. The good agreement between the experimental data and theoretical results indicates that the dynamical model in two states is capable of accurately predicting the dynamic behavior of high speed motorized spindles

  11. Dynamics modeling and modal experimental study of high speed motorized spindle

    Energy Technology Data Exchange (ETDEWEB)

    Li, Yunsong; Chen, Xiaoan; Zhang, Peng; Zhou, Jinming [Chongqing Univ., Chongqing (China)

    2017-03-15

    This paper presents a dynamical model of high speed motorized spindles in free state and work state. In the free state, the housing is modeled as a rotor with equivalent masses including bearing pedestals, motor stator and rear end cover. As a consequence, a double rotor dynamics can be modeled for high speed motorized spindles by a bearing element which connects the housing and bearing pedestals. In the work state, the housing is fixed and the system becomes a bearing-rotor dynamical model. An excitation-measurement test in the free state is designed to analyze the cross spectral density and auto spectral density of input and output signals. Then the frequency response function of system and coherence function of input and output signals which are used to analyze the inherent characteristics of the double- rotor model can be obtained. The other vibration test in the work state is designed to research the dynamical supporting characteristics of bearings and the effects from bearings on the inherent characteristics of the system. The good agreement between the experimental data and theoretical results indicates that the dynamical model in two states is capable of accurately predicting the dynamic behavior of high speed motorized spindles.

  12. Traffic speed management

    Directory of Open Access Journals (Sweden)

    Subotić Jovana Lj.

    2014-01-01

    Full Text Available Speed, and vehicles themselves, affect the level of service and road safety, quality of life, noise from traffic, the environment, health, air pollution, emission of carbon dioxide, global warming, the economy and consumption of non-renewable energy such as oil. Therefore, the speed management of the traffic of multiple significance and that should be primarily to provide effective and economical conditions of the modern and preventive protection of human life as the greatest treasure and then the material resources. The way to accomplish this is by using various (different measures such as: appropriate planning and projecting roads and streets, speed control, the legislation, enforcement, campaigns, education, advanced technologies (ITS.

  13. Very-low speed control of PMSM based on EKF estimation with closed loop optimized parameters.

    Science.gov (United States)

    Xu, Dong; Zhang, Shaoguang; Liu, Jingmeng

    2013-11-01

    When calculating the speed from the position of permanent magnet synchronous motor (PMSM), the accuracy and real-time are limited by the precision of the sensor. This problem causes crawling and jitter at very-low speed. Using the angle from the position sensor, an extended Kalman filter (EKF) designed in dq-coordinate is presented to solve this problem. The usage of position sensor simplifies the model and improves the accuracy of speed estimation. Specially, a closed loop optimal (CLO) method is devised to overcome the difficulty to adjust the parameters of the EKF. The EKF is the feedback link of speed control, CLO method is derived from the perspective of the speed step response to optimize the measurement covariance matrix and the system covariance matrix of EKF. Simulation and experimental results, comparing the low-speed performance of the EKF and sensor feedback methods, prove the effectiveness of the method to adjust the parameters of EKF and the advantages in eliminating the low speed jitter. © 2013 ISA. Published by ISA. All rights reserved.

  14. Liquid metal current collectors for high-speed rotating machinery

    International Nuclear Information System (INIS)

    Carr, S.L.

    1976-01-01

    Recent interest in superconducting motors and generators has created a renewed interest in homopolar machinery. Homopolar machine designs have always been limited by the need for compact, high-current, low-voltage, sliding electrical curent collectors. Conventional graphite-based solid brushes are inadequate for use in homopolar machines. Liquid metals, under certain conditions of relative sliding velocities, electrical currents, and magnetic fields are known to be capable of performing well in homopolar machines. An effort to explore the capabilities and limits of a tongue-and-groove style current collector, utilizing sodium-potassium eutectic alloy (NaK) as the working fluid in high sliding speed operation is reported here. A double current collector generator model with a 14.5-cm maximum rotor diameter, 20,000 rpm rotational capability, and electrical current carrying ability was constructed and operated successfully at a peripheral velocity of 125 m/s. The limiting factor in these experiments was a high-speed fluid-flow instability resulting in the ejection of the working fluid from the operating portions of the collectors. The effects of collector size and geometry, working fluid (NaK or water), and cover gas pressure are reported. Hydrodynamic frictional torque-speed curves are given for the two fluids and for several geometries. Electrical resistances as a function of peripheral velocity at 60 amperes are reported, and the phenomenology of the high-speed fluid-flow instabilities is discussed. The possibility of long-term high-speed operation of current collectors of the tongue-and-groove type, along with experimental and theoretical hydrodynamic friction losses at high peripheral velocities, is considered

  15. Flicker Mitigation by Speed Control of Permanent Magnet Synchronous Generator Variable-Speed Wind Turbines

    DEFF Research Database (Denmark)

    Hu, Weihao; Zhang, Yunqian; Chen, Zhe

    2013-01-01

    operation. A new method of flicker mitigation by controlling the rotational speed is proposed. It smoothes the 3p active power oscillations from wind shear and tower shadow effects of the wind turbine by varying the rotational speed of the PMSG. Simulation results show that damping the 3p active power...... oscillation by using the flicker mitigation speed controller is an effective means for flicker mitigation of variable speed wind turbines with full-scale back-to-back power converters and PMSG during continuous operation.......Grid-connected wind turbines are fluctuating power sources that may produce flicker during continuous operation. This paper presents a simulation model of a MW-level variable speed wind turbine with a full-scale back-to-back power converter and permanent magnet synchronous generator (PMSG...

  16. Grey Wolf based control for speed ripple reduction at low speed operation of PMSM drives.

    Science.gov (United States)

    Djerioui, Ali; Houari, Azeddine; Ait-Ahmed, Mourad; Benkhoris, Mohamed-Fouad; Chouder, Aissa; Machmoum, Mohamed

    2018-03-01

    Speed ripple at low speed-high torque operation of Permanent Magnet Synchronous Machine (PMSM) drives is considered as one of the major issues to be treated. The presented work proposes an efficient PMSM speed controller based on Grey Wolf (GW) algorithm to ensure a high-performance control for speed ripple reduction at low speed operation. The main idea of the proposed control algorithm is to propose a specific objective function in order to incorporate the advantage of fast optimization process of the GW optimizer. The role of GW optimizer is to find the optimal input controls that satisfy the speed tracking requirements. The synthesis methodology of the proposed control algorithm is detailed and the feasibility and performances of the proposed speed controller is confirmed by simulation and experimental results. The GW algorithm is a model-free controller and the parameters of its objective function are easy to be tuned. The GW controller is compared to PI one on real test bench. Then, the superiority of the first algorithm is highlighted. Copyright © 2018 ISA. Published by Elsevier Ltd. All rights reserved.

  17. Intelligent Speed Adaptation in Company Vehicles

    DEFF Research Database (Denmark)

    Agerholm, Niels; Tradisauskas, Nerius; Waagepetersen, Rasmus

    2008-01-01

    This paper describes an intelligent speed adaptation project for company vehicles. The intelligent speed adaptation function in the project is both information and incentive, which means that the intelligent speed adaptation equipment gives a warning as well as penalty points if the driver...... is speeding. Each month the driver with that monthpsilas fewest points wins an award. The paper presents results concerning speed attitude on the first three of a planned 12 months test period. In all 26 vehicles and 51 drivers from six companies participate in the project. The key result is that speeding...

  18. Circuit Regulates Speed Of dc Motor

    Science.gov (United States)

    Weaver, Charles; Padden, Robin; Brown, Floyd A., Jr.

    1990-01-01

    Driving circuit regulates speed of small dc permanent-magnet motor in tape recorder. Two nested feedback loops maintain speed within 1 percent of constant value. Inner loop provides coarse regulation, while outer loop removes most of variation in speed that remains in the presence of regulation by the inner loop. Compares speed of motor with commanded speed and adjusts current supplied to motor accordingly.

  19. An Analysis of Variable-Speed Wind Turbine Power-Control Methods with Fluctuating Wind Speed

    Directory of Open Access Journals (Sweden)

    Seung-Il Moon

    2013-07-01

    Full Text Available Variable-speed wind turbines (VSWTs typically use a maximum power-point tracking (MPPT method to optimize wind-energy acquisition. MPPT can be implemented by regulating the rotor speed or by adjusting the active power. The former, termed speed-control mode (SCM, employs a speed controller to regulate the rotor, while the latter, termed power-control mode (PCM, uses an active power controller to optimize the power. They are fundamentally equivalent; however, since they use a different controller at the outer control loop of the machine-side converter (MSC controller, the time dependence of the control system differs depending on whether SCM or PCM is used. We have compared and analyzed the power quality and the power coefficient when these two different control modes were used in fluctuating wind speeds through computer simulations. The contrast between the two methods was larger when the wind-speed fluctuations were greater. Furthermore, we found that SCM was preferable to PCM in terms of the power coefficient, but PCM was superior in terms of power quality and system stability.

  20. Flicker Mitigation by Speed Control of Permanent Magnet Synchronous Generator Variable-Speed Wind Turbines

    Directory of Open Access Journals (Sweden)

    Yanting Hu

    2013-07-01

    Full Text Available Grid-connected wind turbines are fluctuating power sources that may produce flicker during continuous operation. This paper presents a simulation model of a MW-level variable speed wind turbine with a full-scale back-to-back power converter and permanent magnet synchronous generator (PMSG developed in the simulation tool of PSCAD/EMTDC. Flicker emission of this system is investigated. The 3p (three times per revolution power oscillation due to wind shear and tower shadow effects is the significant part in the flicker emission of variable speed wind turbines with PMSG during continuous operation. A new method of flicker mitigation by controlling the rotational speed is proposed. It smoothes the 3p active power oscillations from wind shear and tower shadow effects of the wind turbine by varying the rotational speed of the PMSG. Simulation results show that damping the 3p active power oscillation by using the flicker mitigation speed controller is an effective means for flicker mitigation of variable speed wind turbines with full-scale back-to-back power converters and PMSG during continuous operation.

  1. Introducing a system of wind speed distributions for modeling properties of wind speed regimes around the world

    International Nuclear Information System (INIS)

    Jung, Christopher; Schindler, Dirk; Laible, Jessica; Buchholz, Alexander

    2017-01-01

    Highlights: • Evaluation of statistical properties of 10,016 empirical wind speed distributions. • Analysis of the shape of empirical wind speed distributions by L-moment ratios. • Introduction of a new system of wind speed distributions (Swd). • Random forests classification of the most appropriate distribution. • Comprehensive goodness of Swd fit evaluation on a global scale. - Abstract: Accurate modeling of empirical wind speed distributions is a crucial step in the estimation of average wind turbine power output. For this purpose, the Weibull distribution has often been fitted to empirical wind speed distributions. However, the Weibull distribution has been found to be insufficient to reproduce many wind speed regimes existing around the world. Results from previous studies demonstrate that numerous one-component distributions as well as mixture distributions provide a better goodness-of-fit to empirical wind speed distributions than the Weibull distribution. Moreover, there is considerable interest to apply a single system of distributions that can be utilized to reproduce the large majority of near-surface wind speed regimes existing around the world. Therefore, a system of wind speed distributions was developed that is capable of reproducing the main characteristics of existing wind speed regimes. The proposed system consists of two one-component distributions (Kappa and Wakeby) and one mixture distribution (Burr-Generalized Extreme Value). A random forests classifier was trained in order to select the most appropriate of these three distributions for each of 10,016 globally distributed empirical wind speed distributions. The shape of the empirical wind speed distributions was described by L-moment ratios. The L-moment ratios were used as predictor variables for the random forests classifier. The goodness-of-fit of the system of wind speed distributions was evaluated according to eleven goodness-of-fit metrics, which were merged into one

  2. Limiting critical speed response on the SSME Alternate High Pressure Fuel Turbopump (ATD HPFTP) with bearing deadband

    Science.gov (United States)

    Goggin, David G.; Darden, J. M.

    1992-01-01

    Yammamoto (1954) described the influence of bearing deadband on the critical speed response of a rotor-bearing system. Practical application of these concepts to limit critical speed response of turbopump rotors is described. Nonlinear rotordynamic analyses are used to define the effect of bearing deadband and rotor unbalance on the Space Shuttle Main Engine Alternate High Pressure Fuel Turbopump. Analysis results are used with hot fire test data to verify the presence of a lightly damped critical speed within the operating speed range. With the proper control of rotor unbalance and bearing deadband, the response of this critical speed is reduced to acceptable levels without major design modifications or additional sources of damping.

  3. Design, implementation, and application of 150-degree commutation VSI to improve speed range of sensored BLDC motor.

    Science.gov (United States)

    Ozgenel, Mehmet Cihat

    2017-09-01

    Permanent magnet brushless dc (BLDC) motors are very convenient for many applications such as industrial, medical, robotic, aerospace, small electric vehicles, and home applications because of their inherent satisfying dynamic characteristics. There are numerous studies about these motors and their control schemes such as sensorless control and different speed and torque control schemes. All electric motors need commutation in order to produce speed and torque. Commutation in brushed DC motors is performed by means of a brush and collector. In BLDC motors, commutation is provided electronically in contrast to the brushed dc motors. In BLDC motors, motor phase windings are energized according to the information of the rotor position by inverter transistors. Rotor position information is used for commutation. Therefore, rotor position information is required to produce speed and torque for BLDC motors. The easiest and cheapest way to obtain rotor position information is to use Hall-effect or optical sensors. BLDC motor manufacturers generally produce BLDC motors equipped with three Hall-effect position sensors. Having three position sensors on BLDC motors provides six-step commutation which ensures two phase windings are energized in each moment. The third phase is empty. In this study, all phase windings are energized in the same time. This commutation method is twelve-step or 150 degrees commutation. So that more speed can be achieved from the same BLDC motor by comparison with six-step commutation. In this paper, both six-step and twelve-step commutation methods applied to the same BLDC motor and obtained experimental results from this study were presented, examined, and discussed.

  4. Design, implementation, and application of 150-degree commutation VSI to improve speed range of sensored BLDC motor

    Science.gov (United States)

    Ozgenel, Mehmet Cihat

    2017-09-01

    Permanent magnet brushless dc (BLDC) motors are very convenient for many applications such as industrial, medical, robotic, aerospace, small electric vehicles, and home applications because of their inherent satisfying dynamic characteristics. There are numerous studies about these motors and their control schemes such as sensorless control and different speed and torque control schemes. All electric motors need commutation in order to produce speed and torque. Commutation in brushed DC motors is performed by means of a brush and collector. In BLDC motors, commutation is provided electronically in contrast to the brushed dc motors. In BLDC motors, motor phase windings are energized according to the information of the rotor position by inverter transistors. Rotor position information is used for commutation. Therefore, rotor position information is required to produce speed and torque for BLDC motors. The easiest and cheapest way to obtain rotor position information is to use Hall-effect or optical sensors. BLDC motor manufacturers generally produce BLDC motors equipped with three Hall-effect position sensors. Having three position sensors on BLDC motors provides six-step commutation which ensures two phase windings are energized in each moment. The third phase is empty. In this study, all phase windings are energized in the same time. This commutation method is twelve-step or 150 degrees commutation. So that more speed can be achieved from the same BLDC motor by comparison with six-step commutation. In this paper, both six-step and twelve-step commutation methods applied to the same BLDC motor and obtained experimental results from this study were presented, examined, and discussed.

  5. Effective speed management through automatic enforcement.

    NARCIS (Netherlands)

    Oei, H.-l.

    1994-01-01

    This paper analyses several aspects of the Dutch experience of speed enforcement, and presents the results of some speed management experiments in The Netherlands, using automatic warning of speeders and enforcement of speeding. Traditional approaches to manage speed there have not resulted in

  6. Load speed regulation in compliant mechanical transmission systems using feedback and feedforward control actions.

    Science.gov (United States)

    Raul, P R; Dwivedula, R V; Pagilla, P R

    2016-07-01

    The problem of controlling the load speed of a mechanical transmission system consisting of a belt-pulley and gear-pair is considered. The system is modeled as two inertia (motor and load) connected by a compliant transmission. If the transmission is assumed to be rigid, then using either the motor or load speed feedback provides the same result. However, with transmission compliance, due to belts or long shafts, the stability characteristics and performance of the closed-loop system are quite different when either motor or load speed feedback is employed. We investigate motor and load speed feedback schemes by utilizing the singular perturbation method. We propose and discuss a control scheme that utilizes both motor and load speed feedback, and design an adaptive feedforward action to reject load torque disturbances. The control algorithms are implemented on an experimental platform that is typically used in roll-to-roll manufacturing and results are shown and discussed. Copyright © 2016 ISA. Published by Elsevier Ltd. All rights reserved.

  7. Surrogate Based Optimization of Aerodynamic Noise for Streamlined Shape of High Speed Trains

    Directory of Open Access Journals (Sweden)

    Zhenxu Sun

    2017-02-01

    Full Text Available Aerodynamic noise increases with the sixth power of the running speed. As the speed increases, aerodynamic noise becomes predominant and begins to be the main noise source at a certain high speed. As a result, aerodynamic noise has to be focused on when designing new high-speed trains. In order to perform the aerodynamic noise optimization, the equivalent continuous sound pressure level (SPL has been used in the present paper, which could take all of the far field observation probes into consideration. The Non-Linear Acoustics Solver (NLAS approach has been utilized for acoustic calculation. With the use of Kriging surrogate model, a multi-objective optimization of the streamlined shape of high-speed trains has been performed, which takes the noise level in the far field and the drag of the whole train as the objectives. To efficiently construct the Kriging model, the cross validation approach has been adopted. Optimization results reveal that both the equivalent continuous sound pressure level and the drag of the whole train are reduced in a certain extent.

  8. The Influence of Methods Massed Practice and Distributed Practice Model on The Speed and Accuracy of Service Tennis Courts

    Directory of Open Access Journals (Sweden)

    Desak Wiwin,

    2017-06-01

    Full Text Available The purpose of this study was to analyze about (1 the effect of the method massed practice against the speed and accuracy of service, (2 the effect of the method of distributed practice against the speed and accuracy of service and (3 the influence of methods of massed practice and distributed practice against the speed and accuracy of service. This type of research used in this research is quantitative with quasiexperimental methods. The research design uses a non-randomized control group pretest posttest design, and data analysis using Manova. The process of data collection is done by testing the speed of service (dartfish and test accuracy (Hewitt during the pretest and posttest. The results of the study as follows: (1 there is a significant influence on the methods of massed practice to increase the speed and accuracy of service (2 there is a significant influence on the method of distributed practice to increase the speed and accuracy of service (3 There is no significant difference influence among methods massed ptactice practice and distributed to the speed and accuracy of service. Conclusions of this research is a method massed practice and distributed practice equally provide significant results but that gives the influence of better is method distributed practice to speed and accuracy of service.

  9. Speed control variable rate irrigation

    Science.gov (United States)

    Speed control variable rate irrigation (VRI) is used to address within field variability by controlling a moving sprinkler’s travel speed to vary the application depth. Changes in speed are commonly practiced over areas that slope, pond or where soil texture is predominantly different. Dynamic presc...

  10. Skating crossovers on a motorized flywheel: a preliminary experimental design to test effect on speed and on crossovers.

    Science.gov (United States)

    Smith, Aynsley M; Krause, David A; Stuart, Michael J; Montelpare, William J; Sorenson, Matthew C; Link, Andrew A; Gaz, Daniel V; Twardowski, Casey P; Larson, Dirk R; Stuart, Michael B

    2013-12-01

    Ice hockey requires frequent skater crossovers to execute turns. Our investigation aimed to determine the effectiveness of training crossovers on a motorized, polyethylene high-resistance flywheel. We hypothesized that high school hockey players training on the flywheel would perform as well as their peers training on ice. Participants were 23 male high-school hockey players (age 15-19 years). The study used an experimental prospective design to compare players who trained for 9 sessions on the 22-foot flywheel with players who trained for 9 sessions on a similarly sized on-ice circle. Both groups were compared with control subjects who were randomly selected from the same participant pool as those training on ice. All players were tested before and after their 3-week training regimens, and control subjects were asked to not practice crossovers between testing. Group 1 trained in a hockey training facility housing the flywheel, and group 2 trained in the ice hockey arena where testing occurred. Primary outcome measures tested in both directions were: (a) speed (time in seconds) required to skate crossovers for 3 laps of a marked face-off circle, (b) cadence of skating crossovers on the similarly sized circles, and (c) a repeat interval speed test, which measures anaerobic power. No significant changes were found between groups in on-ice testing before and after training. Among the group 1 players, 7 of 8 believed they benefited from flywheel training. Group 2 players, who trained on ice, did not improve performance significantly over group 1 players. Despite the fact that no significant on-ice changes in performance were observed in objective measures, players who trained on the flywheel subjectively reported that the flywheel is an effective cost-effective alternative to training on ice. This is a relevant finding when placed in context with limited availability of on-ice training.

  11. Gearbox Reliability Collaborative High-Speed Shaft Calibration

    Energy Technology Data Exchange (ETDEWEB)

    Keller, J.; McNiff, B.

    2014-09-01

    Instrumentation has been added to the high-speed shaft, pinion, and tapered roller bearing pair of the Gearbox Reliability Collaborative gearbox to measure loads and temperatures. The new shaft bending moment and torque instrumentation was calibrated and the purpose of this document is to describe this calibration process and results, such that the raw shaft bending and torque signals can be converted to the proper engineering units and coordinate system reference for comparison to design loads and simulation model predictions.

  12. An Auto-Tuning PI Control System for an Open-Circuit Low-Speed Wind Tunnel Designed for Greenhouse Technology.

    Science.gov (United States)

    Espinoza, Karlos; Valera, Diego L; Torres, José A; López, Alejandro; Molina-Aiz, Francisco D

    2015-08-12

    Wind tunnels are a key experimental tool for the analysis of airflow parameters in many fields of application. Despite their great potential impact on agricultural research, few contributions have dealt with the development of automatic control systems for wind tunnels in the field of greenhouse technology. The objective of this paper is to present an automatic control system that provides precision and speed of measurement, as well as efficient data processing in low-speed wind tunnel experiments for greenhouse engineering applications. The system is based on an algorithm that identifies the system model and calculates the optimum PI controller. The validation of the system was performed on a cellulose evaporative cooling pad and on insect-proof screens to assess its response to perturbations. The control system provided an accuracy of integrated software unit that manages the tests in terms of airflow speed and pressure drop set points.

  13. Influence of closed skill and open skill warm-ups on the performance of speed, change of direction speed, vertical jump, and reactive agility in team sport athletes.

    Science.gov (United States)

    Gabbett, Tim J; Sheppard, Jeremy M; Pritchard-Peschek, Kellie R; Leveritt, Michael D; Aldred, Murry J

    2008-09-01

    In this study, we evaluated the efficacy of two different dynamic warm-up conditions, one that was inclusive of open skills (i.e., reactive movements) and one that included only preplanned dynamic activities (i.e., closed skills) on the performance of speed, change of direction speed, vertical jump, and reactive agility in team sport athletes. Fourteen (six male, eight female) junior (mean +/- SD age, 16.3 +/- 0.7 year) basketball players participated in this study. Testing was conducted on 2 separate days using a within-subjects cross-over study design. Each athlete performed a standardized 7-minute warm-up consisting of general dynamic movements and stretching. After the general warm-up, athletes were randomly allocated into one of two groups that performed a dynamic 15-minute warm-up consisting entirely of open or closed skills. Each of the warm-up conditions consisted of five activities of 3 minute duration. At the completion of the warm-up protocol, players completed assessments of reactive agility, speed (5-, 10-, and 20-m sprints), change of direction speed (T-test), and vertical jump. No significant differences (p > 0.05) were detected among warm-up conditions for speed, vertical jump, change of direction speed, and reactive agility performances. The results of this study demonstrate that either open skill or closed skill warm-ups can be used effectively for team sport athletes without compromising performance on open skill and closed skill tasks.

  14. Small portable speed calculator

    Science.gov (United States)

    Burch, J. L.; Billions, J. C.

    1973-01-01

    Calculator is adapted stopwatch calibrated for fast accurate measurement of speeds. Single assembled unit is rugged, self-contained, and relatively inexpensive to manufacture. Potential market includes automobile-speed enforcement, railroads, and field-test facilities.

  15. Low Speed Control for Automatic Welding

    Science.gov (United States)

    Iceland, W. E.

    1982-01-01

    Amplifier module allows rotating positioner of automatic welding machine to operate at speeds below normal range. Low speeds are precisely regulated by a servomechanism as are normal-range speeds. Addition of module to standard welding machine makes it unnecessary to purchase new equipment for low-speed welding.

  16. Research in high speed fiber optics local area networks

    Science.gov (United States)

    Tobagi, F. A.

    1986-01-01

    The design of high speed local area networks (HSLAN) for communication among distributed devices requires solving problems in three areas: the network medium and its topology, the medium access control, and the network interface. Considerable progress was already made in the first two areas. Accomplishments are divided into two groups according to their theoretical or experimental nature. A brief summary is given.

  17. A high current, high speed pulser using avalanche transistors

    International Nuclear Information System (INIS)

    Hosono, Yoneichi; Hasegawa, Ken-ichi

    1985-01-01

    A high current, high speed pulser for the beam pulsing of a linear accelerator is described. It uses seven avalanche transistors in cascade. Design of a trigger circuit to obtain fast rise time is discussed. The characteristics of the pulser are : (a) Rise time = 0.9 ns (FWHM) and (d) Life time asymptotically equals 2000 -- 3000 hr (at 50 Hz). (author)

  18. Unconscious Local Motion Alters Global Image Speed

    Science.gov (United States)

    Khuu, Sieu K.; Chung, Charles Y. L.; Lord, Stephanie; Pearson, Joel

    2014-01-01

    Accurate motion perception of self and object speed is crucial for successful interaction in the world. The context in which we make such speed judgments has a profound effect on their accuracy. Misperceptions of motion speed caused by the context can have drastic consequences in real world situations, but they also reveal much about the underlying mechanisms of motion perception. Here we show that motion signals suppressed from awareness can warp simultaneous conscious speed perception. In Experiment 1, we measured global speed discrimination thresholds using an annulus of 8 local Gabor elements. We show that physically removing local elements from the array attenuated global speed discrimination. However, removing awareness of the local elements only had a small effect on speed discrimination. That is, unconscious local motion elements contributed to global conscious speed perception. In Experiment 2 we measured the global speed of the moving Gabor patterns, when half the elements moved at different speeds. We show that global speed averaging occurred regardless of whether local elements were removed from awareness, such that the speed of invisible elements continued to be averaged together with the visible elements to determine the global speed. These data suggest that contextual motion signals outside of awareness can both boost and affect our experience of motion speed, and suggest that such pooling of motion signals occurs before the conscious extraction of the surround motion speed. PMID:25503603

  19. The design of wind turbine for electrical power generation in Malaysian wind characteristics

    International Nuclear Information System (INIS)

    Abas Ab Wahab; Chong Wen Thong

    2000-01-01

    The paper describes the study of a wind turbine for electrical power generation in Malaysia wind characteristics. In this research, the wind turbine is designs based on the local wind characteristics and tries to avoid the problems faced in the past (turbine design, access, manpower and technical). The new wind turbine rotor design for a medium speed wind speed turbine utilises the concept of open-close type of horizontal axis (up-wind) wind turbine is intended to widen the optimum performance range for electrical generation in Malaysia wind characteristics. The wind turbine has been designed to cut-in at a lower speed, and to provide the rotation speed that high enough to run a generator. The analysis and design of new low speed wind turbine blades and open-close turbine rotor and prediction of turbine performance are being detailed in this paper. (Author)

  20. Design of FPGA based high-speed data acquisition and real-time data processing system on J-TEXT tokamak

    International Nuclear Information System (INIS)

    Zheng, W.; Liu, R.; Zhang, M.; Zhuang, G.; Yuan, T.

    2014-01-01

    Highlights: • It is a data acquisition system for polarimeter–interferometer diagnostic on J-TEXT tokamak based on FPGA and PXIe devices. • The system provides a powerful data acquisition and real-time data processing performance. • Users can implement different data processing applications on the FPGA in a short time. • This system supports EPICS and has been integrated into the J-TEXT CODAC system. - Abstract: Tokamak experiment requires high-speed data acquisition and processing systems. In traditional data acquisition system, the sampling rate, channel numbers and processing speed are limited by bus throughput and CPU speed. This paper presents a data acquisition and processing system based on FPGA. The data can be processed in real-time before it is passed to the CPU. It provides processing ability for more channels with higher sampling rates than the traditional data acquisition system while ensuring deterministic real-time performance. A working prototype is developed for the newly built polarimeter–interferometer diagnostic system on the Joint Texas Experimental Tokamak (J-TEXT). It provides 16 channels with 120 MHz maximum sampling rate and 16 bit resolution. The onboard FPGA is able to calculate the plasma electron density and Faraday rotation angel. A RAID 5 storage device is adopted providing 700 MB/s read–write speed to buffer the data to the hard disk continuously for better performance