On the Architectural Engineering Competences in Architectural Design
DEFF Research Database (Denmark)
Kirkegaard, Poul Henning
2007-01-01
In 1997 a new education in Architecture & Design at Department of Architecture and Design, Aalborg University was started with 50 students. During the recent years this number has increased to approximately 100 new students each year, i.e. approximately 500 students are following the 3 years...... bachelor (BSc) and the 2 years master (MSc) programme. The first 5 semesters are common for all students followed by 5 semesters with specialization into Architectural Design, Urban Design, Industrial Design or Digital Design. The present paper gives a short summary of the architectural engineering...
DEFF Research Database (Denmark)
Petersen, Rikke Premer
engineering is addresses from two perspectives – as an educational response and an occupational constellation. Architecture and engineering are two of the traditional design professions and they frequently meet in the occupational setting, but at educational institutions they remain largely estranged....... The paper builds on a multi-sited study of an architectural engineering program at the Technical University of Denmark and an architectural engineering team within an international engineering consultancy based on Denmark. They are both responding to new tendencies within the building industry where...... the role of engineers and architects increasingly overlap during the design process, but their approaches reflect different perceptions of the consequences. The paper discusses some of the challenges that design education, not only within engineering, is facing today: young designers must be equipped...
Designing flexible engineering systems utilizing embedded architecture options
Pierce, Jeff G.
This dissertation develops and applies an integrated framework for embedding flexibility in an engineered system architecture. Systems are constantly faced with unpredictability in the operational environment, threats from competing systems, obsolescence of technology, and general uncertainty in future system demands. Current systems engineering and risk management practices have focused almost exclusively on mitigating or preventing the negative consequences of uncertainty. This research recognizes that high uncertainty also presents an opportunity to design systems that can flexibly respond to changing requirements and capture additional value throughout the design life. There does not exist however a formalized approach to designing appropriately flexible systems. This research develops a three stage integrated flexibility framework based on the concept of architecture options embedded in the system design. Stage One defines an eight step systems engineering process to identify candidate architecture options. This process encapsulates the operational uncertainty though scenario development, traces new functional requirements to the affected design variables, and clusters the variables most sensitive to change. The resulting clusters can generate insight into the most promising regions in the architecture to embed flexibility in the form of architecture options. Stage Two develops a quantitative option valuation technique, grounded in real options theory, which is able to value embedded architecture options that exhibit variable expiration behavior. Stage Three proposes a portfolio optimization algorithm, for both discrete and continuous options, to select the optimal subset of architecture options, subject to budget and risk constraints. Finally, the feasibility, extensibility and limitations of the framework are assessed by its application to a reconnaissance satellite system development problem. Detailed technical data, performance models, and cost estimates
A Web Centric Architecture for Deploying Multi-Disciplinary Engineering Design Processes
Woyak, Scott; Kim, Hongman; Mullins, James; Sobieszczanski-Sobieski, Jaroslaw
2004-01-01
There are continuous needs for engineering organizations to improve their design process. Current state of the art techniques use computational simulations to predict design performance, and optimize it through advanced design methods. These tools have been used mostly by individual engineers. This paper presents an architecture for achieving results at an organization level beyond individual level. The next set of gains in process improvement will come from improving the effective use of computers and software within a whole organization, not just for an individual. The architecture takes advantage of state of the art capabilities to produce a Web based system to carry engineering design into the future. To illustrate deployment of the architecture, a case study for implementing advanced multidisciplinary design optimization processes such as Bi-Level Integrated System Synthesis is discussed. Another example for rolling-out a design process for Design for Six Sigma is also described. Each example explains how an organization can effectively infuse engineering practice with new design methods and retain the knowledge over time.
Supply Chain Systems Architecture and Engineering Design: Green-field Supply Chain Integration
Radanliev, P
2015-01-01
This paper developed a new theory for supply chain architecture, and engineering design that enables integration of the business and supply chain strategies. The architecture starts with individual supply chain participants and derives insights into the complex and abstract concept of green-field integration design. The paper presented a conceptual system for depicting the interactions between business and supply chain strategy engineering. The system examines the decisions made when engineer...
Architectural Engineering to Super-Light Structures
DEFF Research Database (Denmark)
Castberg, Niels Andreas
The increasing global urbanisation creates a great demand for new buildings. In the aim to honour this, a new structural system, offering flexibility and variation at no extra cost appears beneficial. Super-Light Structures constitute such a system. This PhD thesis examines Super-Light Structures...... with architectural engineering as a starting point. The thesis is based on a two stringed hypothesis: Architectural engineering gives rise to better architecture and Super-Light Structures support and enables a static, challenging architecture. The aim of the thesis is to clarify architectural engineering's impact...... on the work process between architects and engineers in the design development. Using architectural engineering, Super-Light Structures are examined in an architectural context, and it is explained how digital tools can support architectural engineering and design of Super-Light Structures. The experiences...
2004-01-01
"Jacobs Engineering Group Inc. announced that a subsidiary company won a contract from Stanford Linear Accelerator Center (SLAC), to provide architectural and engineering design services for the Linac Coherent Light Source (LCLS) conventional facilities" (1/2 page)
Casakin, Hernan; Kreitler, Shulamith
2010-01-01
The investigation reported here dealt with the study of motivation for creativity. The goals were to assess motivation for creativity in architectural design and engineering design students based on the Cognitive Orientation theory which defines motivation as a function of a set of belief types, themes, and groupings identified as relevant for the…
Architecture of Environmental Engineering
DEFF Research Database (Denmark)
Wenzel, Henrik; Alting, Leo
2006-01-01
An architecture of Environmental Engineering has been developed comprising the various disciplines and tools involved. It identifies industry as the major actor and target group, and it builds on the concept of Eco-efficiency. To improve Eco-efficiency, there is a limited number of intervention......-efficiency is the aim of Environmental Engineering, the discipline of synthesis – design and creation of solutions – will form a core pillar of the architecture. Other disciplines of Environmental Engineering exist forming the necessary background and frame for the synthesis. Environmental Engineering, thus, in essence...... comprise the disciplines of: management, system description & inventory, analysis & assessment, prioritisation, synthesis, and communication, each existing at all levels of intervention. The developed architecture of Environmental Engineering, thus, consists of thirty individual disciplines, within each...
Architecture of Environmental Engineering
DEFF Research Database (Denmark)
Wenzel, Henrik; Alting, Leo
2004-01-01
An architecture of Environmental Engineering has been developed comprising the various disciplines and tools involved. It identifies industry as the major actor and target group, and it builds on the concept of Eco-efficiency. To improve Eco-efficiency, there is a limited number of intervention...... of Eco-efficiency is the aim of Environmental Engineering, the discipline of synthesis – design and creation of solutions – will form a core pillar of the architecture. Other disciplines of Environmental Engineering exist forming the necessary background and frame for the synthesis. Environmental...... Engineering, thus, in essence comprise the disciplines of: management, system description & inventory, analysis & assessment, prioritisation, synthesis, and communication, each existing at all levels of intervention. The developed architecture of Environmental Engineering, thus, consists of thirty individual...
Directory of Open Access Journals (Sweden)
Gustav Jansson
2018-02-01
Full Text Available Research on platform-based production systems for house-building has focused on production and manufacturing issues. The aim of this research is to explore how the architectural design process contributes to the industrialised house-building industry from the perspective of creative design work. It also aims to describe how constraints affect architectural design work in the engineer-to-order context, when using platform-based production systems. Architects with experience in using platform-based building systems with different degrees of constraints were interviewed regarding creative aspects of the design work. The interviews, together with documents relating to platform constraints, were then analysed from the perspective of artistic and engineering design theories. The results show the benefits and issues of using platform constraints, both with prefabrication of volumetric modules, as well as prefabricated slab and wall elements. The study highlights a major research gap by describing how architectural work, from both the creative artistic and engineering design perspectives, is affected by constraints in the building platform: (1 the architectural design work goes through a series of divergent and convergent processes where the divergent processes are explorative and the convergent processes are solution-oriented; and (2, there is a trade-off between creativity and efficiency in the design work. Open parameters for layout design are key to architectural creativity, while predefinition supports efficiency. The results also provide an understanding of the potential for creativity in artistic and engineering work tasks through different phases in design, and how they are related to constraints in the platform. The main limitation of the research is the number of interviewed architects who had different background experiences of working with different types of platform constraints. More studies are needed to confirm the observations and to
2010-10-01
... 48 Federal Acquisition Regulations System 3 2010-10-01 2010-10-01 false Architectural designs and... construction involving architect-engineer services, is to obtain a unique architectural design of a building, a... CONTRACTING REQUIREMENTS PATENTS, DATA, AND COPYRIGHTS Rights in Technical Data 227.7107-1 Architectural...
Van de Ruitenbeek, H.K.M.
2012-01-01
The Architecture, Engineering and Construction (AEC) industry is a complex system in which carpenters, structural designers, architects, modellers, cost estimators, planners, politicians and many others act apart together in project-specific virtual enterprises. There is a large amount of actors, an
Yoo, Dongjin
2012-07-01
Advanced additive manufacture (AM) techniques are now being developed to fabricate scaffolds with controlled internal pore architectures in the field of tissue engineering. In general, these techniques use a hybrid method which combines computer-aided design (CAD) with computer-aided manufacturing (CAM) tools to design and fabricate complicated three-dimensional (3D) scaffold models. The mathematical descriptions of micro-architectures along with the macro-structures of the 3D scaffold models are limited by current CAD technologies as well as by the difficulty of transferring the designed digital models to standard formats for fabrication. To overcome these difficulties, we have developed an efficient internal pore architecture design system based on triply periodic minimal surface (TPMS) unit cell libraries and associated computational methods to assemble TPMS unit cells into an entire scaffold model. In addition, we have developed a process planning technique based on TPMS internal architecture pattern of unit cells to generate tool paths for freeform fabrication of tissue engineering porous scaffolds. Copyright © 2012 IPEM. Published by Elsevier Ltd. All rights reserved.
Architectural Design Space Exploration of an FPGA-based Compressed Sampling Engine
DEFF Research Database (Denmark)
El-Sayed, Mohammad; Koch, Peter; Le Moullec, Yannick
2015-01-01
We present the architectural design space exploration of a compressed sampling engine for use in a wireless heart-rate monitoring system. We show how parallelism affects execution time at the register transfer level. Furthermore, two example solutions (modified semi-parallel and full...
Space architecture education for engineers and architects designing and planning beyond earth
Häuplik-Meusburger, Sandra
2016-01-01
This book considers two key educational tools for future generations of professionals with a space architecture background in the 21st century: (1) introducing the discipline of space architecture into the space system engineering curricula; and (2) developing space architecture as a distinct, complete training curriculum. Professionals educated this way will help shift focus from solely engineering-driven transportation systems and “sortie” missions towards permanent off-world human presence. The architectural training teaches young professionals to operate at all scales from the “overall picture” down to the smallest details, to provide directive intention–not just analysis–to design opportunities, to address the relationship between human behavior and the built environment, and to interact with many diverse fields and disciplines throughout the project lifecycle. This book will benefit individuals and organizations responsible for planning transportation and habitat systems in space, while a...
Software engineering architecture-driven software development
Schmidt, Richard F
2013-01-01
Software Engineering: Architecture-driven Software Development is the first comprehensive guide to the underlying skills embodied in the IEEE's Software Engineering Body of Knowledge (SWEBOK) standard. Standards expert Richard Schmidt explains the traditional software engineering practices recognized for developing projects for government or corporate systems. Software engineering education often lacks standardization, with many institutions focusing on implementation rather than design as it impacts product architecture. Many graduates join the workforce with incomplete skil
Computer aided design of architecture of degradable tissue engineering scaffolds.
Heljak, M K; Kurzydlowski, K J; Swieszkowski, W
2017-11-01
One important factor affecting the process of tissue regeneration is scaffold stiffness loss, which should be properly balanced with the rate of tissue regeneration. The aim of the research reported here was to develop a computer tool for designing the architecture of biodegradable scaffolds fabricated by melt-dissolution deposition systems (e.g. Fused Deposition Modeling) to provide the required scaffold stiffness at each stage of degradation/regeneration. The original idea presented in the paper is that the stiffness of a tissue engineering scaffold can be controlled during degradation by means of a proper selection of the diameter of the constituent fibers and the distances between them. This idea is based on the size-effect on degradation of aliphatic polyesters. The presented computer tool combines a genetic algorithm and a diffusion-reaction model of polymer hydrolytic degradation. In particular, we show how to design the architecture of scaffolds made of poly(DL-lactide-co-glycolide) with the required Young's modulus change during hydrolytic degradation.
Van de Ruitenbeek, H.K.M.
2012-01-01
The Architecture, Engineering and Construction (AEC) industry is a complex system in which carpenters, structural designers, architects, modellers, cost estimators, planners, politicians and many others act apart together in project-specific virtual enterprises. There is a large amount of actors, an overwhelming number of ongoing processes, distributed, decentralised organisations and a variety of projects. This complicates efficient communication and supply chain integration which, according...
Paper in architecture: Research by design, engineering and prototyping
Directory of Open Access Journals (Sweden)
Jerzy F. Latka
2017-11-01
in terms of architecture, its material properties were researched on a micro, meso and macro level. This research of the possible applications of paper in architecture was informed by two main research questions: What is paper and to what extent can it be used in architecture? What is the most suitable way to use paper in emergency architecture? To answer the first research question, fundamental and material research on paper and paper products had to be conducted. The composition of the material, production methods and properties of paper were researched. Then paper products with the potential to be used in architecture were examined. The history of the development of paper and its influence on civilisation helped the author gain a better understanding of the nature of this material, which we encounter in our lives every day. Research on objects for everyday use, furniture, pavilions and architecture realised in the last 150 years allowed the author to distinguish various types of paper design and paper architecture. Analysis of realised buildings in which paper products were used as structural elements and parts of the building envelope resulted in a wide array of possible solutions. Structural systems, types of connections between the various elements, impregnation methods and the functionalities and lifespan of different types of buildings were systematised. The knowledge thus collected allowed the author to conduct a further exploration of paper architecture in the form of designs and prototypes. To answer the second research question, the analysed case studies were translated into designs and prototypes of emergency shelters. During the research-by-design, engineering and prototyping phases, more than a dozen prototypes were built. The prototypes differed in terms of structural systems, used materials, connections between structural elements, impregnation methods, functionality and types of building. The three versions of the Transportable Emergency Cardboard
A Systems Engineering Approach to Architecture Development
Di Pietro, David A.
2015-01-01
Architecture development is often conducted prior to system concept design when there is a need to determine the best-value mix of systems that works collectively in specific scenarios and time frames to accomplish a set of mission area objectives. While multiple architecture frameworks exist, they often require use of unique taxonomies and data structures. In contrast, this paper characterizes architecture development using terminology widely understood within the systems engineering community. Using a notional civil space architecture example, it employs a multi-tier framework to describe the enterprise level architecture and illustrates how results of lower tier, mission area architectures integrate into the enterprise architecture. It also presents practices for conducting effective mission area architecture studies, including establishing the trade space, developing functions and metrics, evaluating the ability of potential design solutions to meet the required functions, and expediting study execution through the use of iterative design cycles
Aken, van J.E.
2003-01-01
As complexity and scale of design processes in architecture and in engineering increase, as well as the demands on these processes with respect to costs, throughput time and quality, traditional approaches to organise and plan these processes may no longer suffice. In this conceptual article it is
Simulation as a tool for architectural design and evaluation. Resolved patterns from engineering
Directory of Open Access Journals (Sweden)
Johanna Trujillo
2016-04-01
Full Text Available La simulación como herramienta de diseño y evaluación arquitectónica. Pautas resueltas desde la ingenieríaResumenSe presenta un panorama sobre la importancia del proceso de diseño sostenible aplicado a las edificaciones. Teniendo en cuenta que el sector de la construcción es una de las áreas de más alto impacto ambiental, es importante analizar cuáles serían los nuevos procesos de diseño de las edificaciones en términos de sostenibilidad. Estos conceptos se aplicaron a un estudio de caso por medio de la simulación discreta, la cual permite una evaluación de la capacidad en un edificio junto con sus recursos, a fin de tomar decisiones de ampliación en el proceso de diseño arquitectónico. Los datos se analizaron en el programa estadístico SPSS y se simularon en ProModel. El modelo pedagógico propuesto puede llegar a ser de utilidad al momento de aplicarse en un contexto multidisciplinar, en donde interactúen estudiantes de ingeniería industrial y arquitectura. Este ejercicio puede aplicarse en las aulas de clase; con él se reducirían los tiempos de diseño, y la comunicación entre estudiantes mejoraría porque implica el trabajo multidisciplinar.Palabras clave: diseño arquitectónico, edificios industriales, educación arquitectónica, industria de la construcción, ingeniería industrial.Simulation as a tool for architectural design and evaluation. Resolved patterns from engineeringAbstractAn overview of the importance of sustainable design process applied to buildings is presented. Given that the field of construction is one of the areas with the highest environmental impact, it is important to analyze what would be the new design processes for buildings in terms of sustainability. These concepts were applied to a case study using discrete simulation, which allows an assessment of capacity building along with its resources, to make decisions expansion in the architectural design process. Data were analyzed in the SPSS
A unified architecture for biomedical search engines based on semantic web technologies.
Jalali, Vahid; Matash Borujerdi, Mohammad Reza
2011-04-01
There is a huge growth in the volume of published biomedical research in recent years. Many medical search engines are designed and developed to address the over growing information needs of biomedical experts and curators. Significant progress has been made in utilizing the knowledge embedded in medical ontologies and controlled vocabularies to assist these engines. However, the lack of common architecture for utilized ontologies and overall retrieval process, hampers evaluating different search engines and interoperability between them under unified conditions. In this paper, a unified architecture for medical search engines is introduced. Proposed model contains standard schemas declared in semantic web languages for ontologies and documents used by search engines. Unified models for annotation and retrieval processes are other parts of introduced architecture. A sample search engine is also designed and implemented based on the proposed architecture in this paper. The search engine is evaluated using two test collections and results are reported in terms of precision vs. recall and mean average precision for different approaches used by this search engine.
Topology Optimization - Engineering Contribution to Architectural Design
Tajs-Zielińska, Katarzyna; Bochenek, Bogdan
2017-10-01
The idea of the topology optimization is to find within a considered design domain the distribution of material that is optimal in some sense. Material, during optimization process, is redistributed and parts that are not necessary from objective point of view are removed. The result is a solid/void structure, for which an objective function is minimized. This paper presents an application of topology optimization to multi-material structures. The design domain defined by shape of a structure is divided into sub-regions, for which different materials are assigned. During design process material is relocated, but only within selected region. The proposed idea has been inspired by architectural designs like multi-material facades of buildings. The effectiveness of topology optimization is determined by proper choice of numerical optimization algorithm. This paper utilises very efficient heuristic method called Cellular Automata. Cellular Automata are mathematical, discrete idealization of a physical systems. Engineering implementation of Cellular Automata requires decomposition of the design domain into a uniform lattice of cells. It is assumed, that the interaction between cells takes place only within the neighbouring cells. The interaction is governed by simple, local update rules, which are based on heuristics or physical laws. The numerical studies show, that this method can be attractive alternative to traditional gradient-based algorithms. The proposed approach is evaluated by selected numerical examples of multi-material bridge structures, for which various material configurations are examined. The numerical studies demonstrated a significant influence the material sub-regions location on the final topologies. The influence of assumed volume fraction on final topologies for multi-material structures is also observed and discussed. The results of numerical calculations show, that this approach produces different results as compared with classical one
Biomimetics for architecture & design nature, analogies, technology
Pohl, Göran
2015-01-01
This book provides the readers with a timely guide to the application of biomimetic principles in architecture and engineering design. As a result of a combined effort by two internationally recognized authorities, the biologist Werner Nachtigall and the architect Göran Pohl, the book describes the principles which can be used to compare nature and technology, and at the same time it presents detailed explanations and examples showing how biology can be used as a source of inspiration and “translated” in building and architectural solutions (biomimicry). Even though nature cannot be directly copied, the living world can provide architects and engineers with a wealth of analogues and inspirations for their own creative designs. But how can analysis of natural entities give rise to advanced and sustainable design? By reporting on the latest bionic design methods and using extensive artwork, the book guides readers through the field of nature-inspired architecture, offering an extraordinary resource for pro...
Fundamentals of computer architecture and design
Bindal, Ahmet
2017-01-01
This textbook provides semester-length coverage of computer architecture and design, providing a strong foundation for students to understand modern computer system architecture and to apply these insights and principles to future computer designs. It is based on the author’s decades of industrial experience with computer architecture and design, as well as with teaching students focused on pursuing careers in computer engineering. Unlike a number of existing textbooks for this course, this one focuses not only on CPU architecture, but also covers in great detail in system buses, peripherals and memories.This book teaches every element in a computing system in two steps. First, it introduces the functionality of each topic (and subtopics) and then goes into “from-scratch design” of a particular digital block from its architectural specifications using timing diagrams. The author describes how the data-path of a certain digital block is generated using timin g diagrams, a method which most textbo...
Three-dimensional design methodologies for tree-based FPGA architecture
Pangracious, Vinod; Mehrez, Habib
2015-01-01
This book focuses on the development of 3D design and implementation methodologies for Tree-based FPGA architecture. It also stresses the needs for new and augmented 3D CAD tools to support designs such as, the design for 3D, to manufacture high performance 3D integrated circuits and reconfigurable FPGA-based systems. This book was written as a text that covers the foundations of 3D integrated system design and FPGA architecture design. It was written for the use in an elective or core course at the graduate level in field of Electrical Engineering, Computer Engineering and Doctoral Research programs. No previous background on 3D integration is required, nevertheless fundamental understanding of 2D CMOS VLSI design is required. It is assumed that reader has taken the core curriculum in Electrical Engineering or Computer Engineering, with courses like CMOS VLSI design, Digital System Design and Microelectronics Circuits being the most important. It is accessible for self-study by both senior students and profe...
Biomimetic design processes in architecture: morphogenetic and evolutionary computational design
International Nuclear Information System (INIS)
Menges, Achim
2012-01-01
Design computation has profound impact on architectural design methods. This paper explains how computational design enables the development of biomimetic design processes specific to architecture, and how they need to be significantly different from established biomimetic processes in engineering disciplines. The paper first explains the fundamental difference between computer-aided and computational design in architecture, as the understanding of this distinction is of critical importance for the research presented. Thereafter, the conceptual relation and possible transfer of principles from natural morphogenesis to design computation are introduced and the related developments of generative, feature-based, constraint-based, process-based and feedback-based computational design methods are presented. This morphogenetic design research is then related to exploratory evolutionary computation, followed by the presentation of two case studies focusing on the exemplary development of spatial envelope morphologies and urban block morphologies. (paper)
Multidisciplinary systems engineering architecting the design process
Crowder, James A; Demijohn, Russell
2016-01-01
This book presents Systems Engineering from a modern, multidisciplinary engineering approach, providing the understanding that all aspects of systems design, systems, software, test, security, maintenance and the full life-cycle must be factored in to any large-scale system design; up front, not factored in later. It lays out a step-by-step approach to systems-of-systems architectural design, describing in detail the documentation flow throughout the systems engineering design process. It provides a straightforward look and the entire systems engineering process, providing realistic case studies, examples, and design problems that will enable students to gain a firm grasp on the fundamentals of modern systems engineering. Included is a comprehensive design problem that weaves throughout the entire text book, concluding with a complete top-level systems architecture for a real-world design problem.
Hoag, Kevin
2016-01-01
This book provides an introduction to the design and mechanical development of reciprocating piston engines for vehicular applications. Beginning from the determination of required displacement and performance, coverage moves into engine configuration and architecture. Critical layout dimensions and design trade-offs are then presented for pistons, crankshafts, engine blocks, camshafts, valves, and manifolds. Coverage continues with material strength and casting process selection for the cylinder block and cylinder heads. Each major engine component and sub-system is then taken up in turn, from lubrication system, to cooling system, to intake and exhaust systems, to NVH. For this second edition latest findings and design practices are included, with the addition of over sixty new pictures and many new equations.
Microstructural Engineering and Architectural Design of Metal-Organic Framework Membranes.
Liu, Yi; Ban, Yujie; Yang, Weishen
2017-08-01
In the past decade, a huge development in rational design, synthesis, and application of molecular sieve membranes, which typically included zeolites, metal-organic frameworks (MOFs), and graphene oxides, has been witnessed. Owing to high flexibility in both pore apertures and functionality, MOFs in the form of membranes have offered unprecedented opportunities for energy-efficient gas separations. Reports on the fabrication of well-intergrown MOF membranes first appeared in 2009. Since then there has been tremendous growth in this area along with an exponential increase of MOF-membrane-related publications. In order to compete with other separation and purification technologies, like cryogenic distillation, pressure swing adsorption, and chemical absorption, separation performance (including permeability, selectivity, and long-term stability) of molecular sieve membranes must be further improved in an attempt to reach an economically attractive region. Therefore, microstructural engineering and architectural design of MOF membranes at mesoscopic and microscopic levels become indispensable. This review summarizes some intriguing research that may potentially contribute to large-scale applications of MOF membranes in the future. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Introducing regenerative design and circularity into architectural and engineering curriculum
Attia, Shady
2016-01-01
Looking today to the challenges for planning and design of sustainable built environment including, carbon emissions, climate change, human health, water problems, biodiversity, scarcity of resources, depletion of fossil fuel, population growth and urbanization; sustainable architecture will play a key role for the sustainable development of society as a whole. In the context of an architectural design studio, this paper presents the experience of introducing the concept of regenerative desig...
Munoz Fernandez, Michela Miche
2014-01-01
The potential of Model Model Systems Engineering (MBSE) using the Architecture Analysis and Design Language (AADL) applied to space systems will be described. AADL modeling is applicable to real-time embedded systems- the types of systems NASA builds. A case study with the Juno mission to Jupiter showcases how this work would enable future missions to benefit from using these models throughout their life cycle from design to flight operations.
Architectural design of heterogeneous metallic nanocrystals--principles and processes.
Yu, Yue; Zhang, Qingbo; Yao, Qiaofeng; Xie, Jianping; Lee, Jim Yang
2014-12-16
CONSPECTUS: Heterogeneous metal nanocrystals (HMNCs) are a natural extension of simple metal nanocrystals (NCs), but as a research topic, they have been much less explored until recently. HMNCs are formed by integrating metal NCs of different compositions into a common entity, similar to the way atoms are bonded to form molecules. HMNCs can be built to exhibit an unprecedented architectural diversity and complexity by programming the arrangement of the NC building blocks ("unit NCs"). The architectural engineering of HMNCs involves the design and fabrication of the architecture-determining elements (ADEs), i.e., unit NCs with precise control of shape and size, and their relative positions in the design. Similar to molecular engineering, where structural diversity is used to create more property variations for application explorations, the architectural engineering of HMNCs can similarly increase the utility of metal NCs by offering a suite of properties to support multifunctionality in applications. The architectural engineering of HMNCs calls for processes and operations that can execute the design. Some enabling technologies already exist in the form of classical micro- and macroscale fabrication techniques, such as masking and etching. These processes, when used singly or in combination, are fully capable of fabricating nanoscopic objects. What is needed is a detailed understanding of the engineering control of ADEs and the translation of these principles into actual processes. For simplicity of execution, these processes should be integrated into a common reaction system and yet retain independence of control. The key to architectural diversity is therefore the independent controllability of each ADE in the design blueprint. The right chemical tools must be applied under the right circumstances in order to achieve the desired outcome. In this Account, after a short illustration of the infinite possibility of combining different ADEs to create HMNC design
Software architecture and engineering for patient records: current and future.
Weng, Chunhua; Levine, Betty A; Mun, Seong K
2009-05-01
During the "The National Forum on the Future of the Defense Health Information System," a track focusing on "Systems Architecture and Software Engineering" included eight presenters. These presenters identified three key areas of interest in this field, which include the need for open enterprise architecture and a federated database design, net centrality based on service-oriented architecture, and the need for focus on software usability and reusability. The eight panelists provided recommendations related to the suitability of service-oriented architecture and the enabling technologies of grid computing and Web 2.0 for building health services research centers and federated data warehouses to facilitate large-scale collaborative health care and research. Finally, they discussed the need to leverage industry best practices for software engineering to facilitate rapid software development, testing, and deployment.
Advanced Control Considerations for Turbofan Engine Design
Connolly, Joseph W.; Csank, Jeffrey T.; Chicatelli, Amy
2016-01-01
This paper covers the application of a model-based engine control (MBEC) methodology featuring a self tuning on-board model for an aircraft turbofan engine simulation. The nonlinear engine model is capable of modeling realistic engine performance, allowing for a verification of the advanced control methodology over a wide range of operating points and life cycle conditions. The on-board model is a piece-wise linear model derived from the nonlinear engine model and updated using an optimal tuner Kalman Filter estimation routine, which enables the on-board model to self-tune to account for engine performance variations. MBEC is used here to show how advanced control architectures can improve efficiency during the design phase of a turbofan engine by reducing conservative operability margins. The operability margins that can be reduced, such as stall margin, can expand the engine design space and offer potential for efficiency improvements. Application of MBEC architecture to a nonlinear engine simulation is shown to reduce the thrust specific fuel consumption by approximately 1% over the baseline design, while maintaining safe operation of the engine across the flight envelope.
Zerjav, Vedran; Hartmann, Timo; van Amstel, Frederick
2014-01-01
This paper proposes an alternative perspective on the role of leadership in the context of collaborative practices in architecture, engineering and construction design. While most of current leadership literature is focused on outstanding individuals with abilities to influence others, the aim of
Multi-Mission System Architecture Platform: Design and Verification of the Remote Engineering Unit
Sartori, John
2005-01-01
The Multi-Mission System Architecture Platform (MSAP) represents an effort to bolster efficiency in the spacecraft design process. By incorporating essential spacecraft functionality into a modular, expandable system, the MSAP provides a foundation on which future spacecraft missions can be developed. Once completed, the MSAP will provide support for missions with varying objectives, while maintaining a level of standardization that will minimize redesign of general system components. One subsystem of the MSAP, the Remote Engineering Unit (REU), functions by gathering engineering telemetry from strategic points on the spacecraft and providing these measurements to the spacecraft's Command and Data Handling (C&DH) subsystem. Before the MSAP Project reaches completion, all hardware, including the REU, must be verified. However, the speed and complexity of the REU circuitry rules out the possibility of physical prototyping. Instead, the MSAP hardware is designed and verified using the Verilog Hardware Definition Language (HDL). An increasingly popular means of digital design, HDL programming provides a level of abstraction, which allows the designer to focus on functionality while logic synthesis tools take care of gate-level design and optimization. As verification of the REU proceeds, errors are quickly remedied, preventing costly changes during hardware validation. After undergoing the careful, iterative processes of verification and validation, the REU and MSAP will prove their readiness for use in a multitude of spacecraft missions.
Researching on knowledge architecture of design by analysis based on ASME code
International Nuclear Information System (INIS)
Bao Shiyi; Zhou Yu; He Shuyan
2003-01-01
The quality of knowledge-based system's knowledge architecture is one of decisive factors of knowledge-based system's validity and rationality. For designing the ASME code knowledge based system, this paper presents a knowledge acquisition method which is extracting knowledge through document analysis consulted domain experts' knowledge. Then the paper describes knowledge architecture of design by analysis based on the related rules in ASME code. The knowledge of the knowledge architecture is divided into two categories: one is empirical knowledge, and another is ASME code knowledge. Applied as the basement of the knowledge architecture, a general procedural process of design by analysis that is met the engineering design requirements and designers' conventional mode is generalized and explained detailed in the paper. For the sake of improving inference efficiency and concurrent computation of KBS, a kind of knowledge Petri net (KPN) model is proposed and adopted in expressing the knowledge architecture. Furthermore, for validating and verifying of the empirical rules, five knowledge validation and verification theorems are given in the paper. Moreover the research production is applicable to design the knowledge architecture of ASME codes or other engineering standards. (author)
Methodical Design of Software Architecture Using an Architecture Design Assistant (ArchE)
2005-04-01
PA 15213-3890 Methodical Design of Software Architecture Using an Architecture Design Assistant (ArchE) Felix Bachmann and Mark Klein Software...DATES COVERED 00-00-2005 to 00-00-2005 4. TITLE AND SUBTITLE Methodical Design of Software Architecture Using an Architecture Design Assistant...important for architecture design – quality requirements and constraints are most important Here’s some evidence: If the only concern is
Architecture independent environment for developing engineering software on MIMD computers
Valimohamed, Karim A.; Lopez, L. A.
1990-01-01
Engineers are constantly faced with solving problems of increasing complexity and detail. Multiple Instruction stream Multiple Data stream (MIMD) computers have been developed to overcome the performance limitations of serial computers. The hardware architectures of MIMD computers vary considerably and are much more sophisticated than serial computers. Developing large scale software for a variety of MIMD computers is difficult and expensive. There is a need to provide tools that facilitate programming these machines. First, the issues that must be considered to develop those tools are examined. The two main areas of concern were architecture independence and data management. Architecture independent software facilitates software portability and improves the longevity and utility of the software product. It provides some form of insurance for the investment of time and effort that goes into developing the software. The management of data is a crucial aspect of solving large engineering problems. It must be considered in light of the new hardware organizations that are available. Second, the functional design and implementation of a software environment that facilitates developing architecture independent software for large engineering applications are described. The topics of discussion include: a description of the model that supports the development of architecture independent software; identifying and exploiting concurrency within the application program; data coherence; engineering data base and memory management.
Integrating acoustic analysis in the architectural design process using parametric modelling
DEFF Research Database (Denmark)
Peters, Brady
2011-01-01
This paper discusses how parametric modeling techniques can be used to provide architectural designers with a better understanding of the acoustic performance of their designs and provide acoustic engineers with models that can be analyzed using computational acoustic analysis software. Architects......, acoustic performance can inform the geometry and material logic of the design. In this way, the architectural design and the acoustic analysis model become linked....
Architectural design decisions
Jansen, Antonius Gradus Johannes
2008-01-01
A software architecture can be considered as the collection of key decisions concerning the design of the software of a system. Knowledge about this design, i.e. architectural knowledge, is key for understanding a software architecture and thus the software itself. Architectural knowledge is mostly
Communication-Oriented Design Space Exploration for Reconfigurable Architectures
Directory of Open Access Journals (Sweden)
Gogniat Guy
2007-01-01
Full Text Available Many academic works in computer engineering focus on reconfigurable architectures and associated tools. Fine-grain architectures, field programmable gate arrays (FPGAs, are the most well-known structures of reconfigurable hardware. Dedicated tools (generic or specific allow for the exploration of their design space to choose the best architecture characteristics and/or to explore the application characteristics. The aim is to increase the synergy between the application and the architecture in order to get the best performance. However, there is no generic tool to perform such an exploration for coarse-grain or heterogeneous-grain architectures, just a small number of very specific tools are able to explore a limited set of architectures. To address this major lack, in this paper we propose a new design space exploration approach adapted to fine- and coarse-grain granularities. Our approach combines algorithmic and architecture explorations. It relies on an automatic estimation tool which computes the communication hierarchical distribution and the architectural processing resources use rate for the architecture under exploration. Such an approach forwards the rapid definition of efficient reconfigurable architectures dedicated to one or several applications.
ELISA, a demonstrator environment for information systems architecture design
Panem, Chantal
1994-01-01
This paper describes an approach of reusability of software engineering technology in the area of ground space system design. System engineers have lots of needs similar to software developers: sharing of a common data base, capitalization of knowledge, definition of a common design process, communication between different technical domains. Moreover system designers need to simulate dynamically their system as early as possible. Software development environments, methods and tools now become operational and widely used. Their architecture is based on a unique object base, a set of common management services and they host a family of tools for each life cycle activity. In late '92, CNES decided to develop a demonstrative software environment supporting some system activities. The design of ground space data processing systems was chosen as the application domain. ELISA (Integrated Software Environment for Architectures Specification) was specified as a 'demonstrator', i.e. a sufficient basis for demonstrations, evaluation and future operational enhancements. A process with three phases was implemented: system requirements definition, design of system architectures models, and selection of physical architectures. Each phase is composed of several activities that can be performed in parallel, with the provision of Commercial Off the Shelves Tools. ELISA has been delivered to CNES in January 94, currently used for demonstrations and evaluations on real projects (e.g. SPOT4 Satellite Control Center). It is on the way of new evolutions.
Control Design for a Generic Commercial Aircraft Engine
Csank, Jeffrey; May, Ryan D.
2010-01-01
This paper describes the control algorithms and control design process for a generic commercial aircraft engine simulation of a 40,000 lb thrust class, two spool, high bypass ratio turbofan engine. The aircraft engine is a complex nonlinear system designed to operate over an extreme range of environmental conditions, at temperatures from approximately -60 to 120+ F, and at altitudes from below sea level to 40,000 ft, posing multiple control design constraints. The objective of this paper is to provide the reader an overview of the control design process, design considerations, and justifications as to why the particular architecture and limits have been chosen. The controller architecture contains a gain-scheduled Proportional Integral controller along with logic to protect the aircraft engine from exceeding any limits. Simulation results illustrate that the closed loop system meets the Federal Aviation Administration s thrust response requirements
An Architecture, System Engineering, and Acquisition Approach for Space System Software Resiliency
Phillips, Dewanne Marie
Software intensive space systems can harbor defects and vulnerabilities that may enable external adversaries or malicious insiders to disrupt or disable system functions, risking mission compromise or loss. Mitigating this risk demands a sustained focus on the security and resiliency of the system architecture including software, hardware, and other components. Robust software engineering practices contribute to the foundation of a resilient system so that the system "can take a hit to a critical component and recover in a known, bounded, and generally acceptable period of time". Software resiliency must be a priority and addressed early in the life cycle development to contribute a secure and dependable space system. Those who develop, implement, and operate software intensive space systems must determine the factors and systems engineering practices to address when investing in software resiliency. This dissertation offers methodical approaches for improving space system resiliency through software architecture design, system engineering, increased software security, thereby reducing the risk of latent software defects and vulnerabilities. By providing greater attention to the early life cycle phases of development, we can alter the engineering process to help detect, eliminate, and avoid vulnerabilities before space systems are delivered. To achieve this objective, this dissertation will identify knowledge, techniques, and tools that engineers and managers can utilize to help them recognize how vulnerabilities are produced and discovered so that they can learn to circumvent them in future efforts. We conducted a systematic review of existing architectural practices, standards, security and coding practices, various threats, defects, and vulnerabilities that impact space systems from hundreds of relevant publications and interviews of subject matter experts. We expanded on the system-level body of knowledge for resiliency and identified a new software
Optimizing Engineering Tools Using Modern Ground Architectures
2017-12-01
ENGINEERING TOOLS USING MODERN GROUND ARCHITECTURES by Ryan P. McArdle December 2017 Thesis Advisor: Marc Peters Co-Advisor: I.M. Ross...Master’s thesis 4. TITLE AND SUBTITLE OPTIMIZING ENGINEERING TOOLS USING MODERN GROUND ARCHITECTURES 5. FUNDING NUMBERS 6. AUTHOR(S) Ryan P. McArdle 7... engineering tools. First, the effectiveness of MathWorks’ Parallel Computing Toolkit is assessed when performing somewhat basic computations in
Connecting Requirements to Architecture and Analysis via Model-Based Systems Engineering
Cole, Bjorn F.; Jenkins, J. Steven
2015-01-01
In traditional systems engineering practice, architecture, concept development, and requirements development are related but still separate activities. Concepts for operation, key technical approaches, and related proofs of concept are developed. These inform the formulation of an architecture at multiple levels, starting with the overall system composition and functionality and progressing into more detail. As this formulation is done, a parallel activity develops a set of English statements that constrain solutions. These requirements are often called "shall statements" since they are formulated to use "shall." The separation of requirements from design is exacerbated by well-meaning tools like the Dynamic Object-Oriented Requirements System (DOORS) that remained separated from engineering design tools. With the Europa Clipper project, efforts are being taken to change the requirements development approach from a separate activity to one intimately embedded in formulation effort. This paper presents a modeling approach and related tooling to generate English requirement statements from constraints embedded in architecture definition.
Nonlinear Shaping Architecture Designed with Using Evolutionary Structural Optimization Tools
Januszkiewicz, Krystyna; Banachowicz, Marta
2017-10-01
The paper explores the possibilities of using Structural Optimization Tools (ESO) digital tools in an integrated structural and architectural design in response to the current needs geared towards sustainability, combining ecological and economic efficiency. The first part of the paper defines the Evolutionary Structural Optimization tools, which were developed specifically for engineering purposes using finite element analysis as a framework. The development of ESO has led to several incarnations, which are all briefly discussed (Additive ESO, Bi-directional ESO, Extended ESO). The second part presents result of using these tools in structural and architectural design. Actual building projects which involve optimization as a part of the original design process will be presented (Crematorium in Kakamigahara Gifu, Japan, 2006 SANAA“s Learning Centre, EPFL in Lausanne, Switzerland 2008 among others). The conclusion emphasizes that the structural engineering and architectural design mean directing attention to the solutions which are used by Nature, designing works optimally shaped and forming their own environments. Architectural forms never constitute the optimum shape derived through a form-finding process driven only by structural optimization, but rather embody and integrate a multitude of parameters. It might be assumed that there is a similarity between these processes in nature and the presented design methods. Contemporary digital methods make the simulation of such processes possible, and thus enable us to refer back to the empirical methods of previous generations.
Investigation of Architectural Strategies in Relation to Daylight and Integrated Design
DEFF Research Database (Denmark)
Jørgensen, Michael; Iversen, Anne; Bjerregaard Jensen, Lotte
2012-01-01
his paper investigates the use of daylight in three architecturally successful buildings. The aim is to discuss the challenges and opportunities of architectural daylight strategies in relation to integrated design. All these buildings were designed with the focus on a strategy of using daylight...... to create well-lit, exciting spaces and spatial sequences. The original ideas, thoughts, and decisions behind the designs and daylight strategy are compared with answers in questionnaires from test subjects who have experienced the space and lighting conditions created. The results indicate...... that the architectural daylight strategies formulated by the architects and engineers at the beginning of the design process are actually experienced by the “users” in the existing buildings. The architectural daylight strategy was different in each of the three libraries, and analysis of the results shows that daylight...
Building configuration and seismic design: The architecture of earthquake resistance
Arnold, C.; Reitherman, R.; Whitaker, D.
1981-05-01
The architecture of a building in relation to its ability to withstand earthquakes was determined. Aspects of round motion which are significant to building behavior are discussed. Results of a survey of configuration decisions that affect the performance of buildings with a focus on the architectural aspects of configuration design are provided. Configuration derivation, building type as it relates to seismic design, and seismic design, and seismic issues in the design process are examined. Case studies of the Veterans' Administration Hospital in Loma Linda, California, and the Imperial Hotel in Tokyo, Japan, are presented. The seismic design process is described paying special attention to the configuration issues. The need is stressed for guidelines, codes, and regulations to ensure design solutions that respect and balance the full range of architectural, engineering, and material influences on seismic hazards.
On Computational Fluid Dynamics Tools in Architectural Design
DEFF Research Database (Denmark)
Kirkegaard, Poul Henning; Hougaard, Mads; Stærdahl, Jesper Winther
engineering computational fluid dynamics (CFD) simulation program ANSYS CFX and a CFD based representative program RealFlow are investigated. These two programs represent two types of CFD based tools available for use during phases of an architectural design process. However, as outlined in two case studies...
Csank, Jeffrey T.; Connolly, Joseph W.
2016-01-01
This paper discusses the design and application of model-based engine control (MBEC) for use during emergency operation of the aircraft. The MBEC methodology is applied to the Commercial Modular Aero-Propulsion System Simulation 40k (CMAPSS40k) and features an optimal tuner Kalman Filter (OTKF) to estimate unmeasured engine parameters, which can then be used for control. During an emergency scenario, normally-conservative engine operating limits may be relaxed to increase the performance of the engine and overall survivability of the aircraft; this comes at the cost of additional risk of an engine failure. The MBEC architecture offers the advantage of estimating key engine parameters that are not directly measureable. Estimating the unknown parameters allows for tighter control over these parameters, and on the level of risk the engine will operate at. This will allow the engine to achieve better performance than possible when operating to more conservative limits on a related, measurable parameter.
Toward design-based engineering of industrial microbes.
Tyo, Keith E J; Kocharin, Kanokarn; Nielsen, Jens
2010-06-01
Engineering industrial microbes has been hampered by incomplete knowledge of cell biology. Thus an iterative engineering cycle of modeling, implementation, and analysis has been used to increase knowledge of the underlying biology while achieving engineering goals. Recent advances in Systems Biology technologies have drastically improved the amount of information that can be collected in each iteration. As well, Synthetic Biology tools are melding modeling and molecular implementation. These advances promise to move microbial engineering from the iterative approach to a design-oriented paradigm, similar to electrical circuits and architectural design. Genome-scale metabolic models, new tools for controlling expression, and integrated -omics analysis are described as key contributors in moving the field toward Design-based Engineering. Copyright 2010 Elsevier Ltd. All rights reserved.
Enterprise architecture approach to mining companies engineering
Directory of Open Access Journals (Sweden)
Ilin’ Igor
2017-01-01
Full Text Available As Russian economy is still largely oriented on commodities production, there are a lot of cities where mining and commodity-oriented enterprises are the backbone of city economy. The mentioned enterprises mostly define the life quality of citizens in such cities, thus there are high requirements for engineering of city-forming enterprises. The paper describes the enterprise architecture approach for management system engineering of the mining enterprises. The paper contains the model of the mining enterprise architecture, the approach to the development and implementation of an integrated management system based on the concept of enterprise architecture and the structure of information systems and information technology infrastructure of the mining enterprise.
MATHEMATICS COURSES AND NEW EMERGING DESIGN TOOL AN OVERVIEW OF ARCHITECTURAL EDUCATION IN INDONESIA
Directory of Open Access Journals (Sweden)
Aswin Indraprastha
2008-01-01
Full Text Available Since the beginning, mathematics courses are inherent within architecture education. In Indonesia, the legacy from Dutch education system has influenced most of the architectural schools and this courses stand as one of basic engineering courses for architecture education system. This situation has been remaining well adopted until recently, some of architectural schools are tailoring mathematics to shape with contemporary challenges particularly regards to the digital tools. This paper aims to present brief information about mathematics courses in architectural schools in Indonesia, the importance of mathematics in learning digital design tools and propose thoughts to upgrade mathematics content in architectural education towards new emerging design tools.
Designing an architectural style for Pervasive Healthcare systems.
Rafe, Vahid; Hajvali, Masoumeh
2013-04-01
Nowadays, the Pervasive Healthcare (PH) systems are considered as an important research area. These systems have a dynamic structure and configuration. Therefore, an appropriate method for designing such systems is necessary. The Publish/Subscribe Architecture (pub/sub) is one of the convenient architectures to support such systems. PH systems are safety critical; hence, errors can bring disastrous results. To prevent such problems, a powerful analytical tool is required. So using a proper formal language like graph transformation systems for developing of these systems seems necessary. But even if software engineers use such high level methodologies, errors may occur in the system under design. Hence, it should be investigated automatically and formally that whether this model of system satisfies all their requirements or not. In this paper, a dynamic architectural style for developing PH systems is presented. Then, the behavior of these systems is modeled and evaluated using GROOVE toolset. The results of the analysis show its high reliability.
Synthesis-Based Software Architecture Design
Tekinerdogan, B.; Aksit, Mehmet; Aksit, Mehmet
2001-01-01
During the last decade several architecture design approaches have been introduced. These approaches however have to cope with several obstacles and software architecture design remains a difficult problem. To cope with these obstacles this chapter introduces a novel architecture design approach.
Open architecture design and approach for the Integrated Sensor Architecture (ISA)
Moulton, Christine L.; Krzywicki, Alan T.; Hepp, Jared J.; Harrell, John; Kogut, Michael
2015-05-01
Integrated Sensor Architecture (ISA) is designed in response to stovepiped integration approaches. The design, based on the principles of Service Oriented Architectures (SOA) and Open Architectures, addresses the problem of integration, and is not designed for specific sensors or systems. The use of SOA and Open Architecture approaches has led to a flexible, extensible architecture. Using these approaches, and supported with common data formats, open protocol specifications, and Department of Defense Architecture Framework (DoDAF) system architecture documents, an integration-focused architecture has been developed. ISA can help move the Department of Defense (DoD) from costly stovepipe solutions to a more cost-effective plug-and-play design to support interoperability.
System design in an evolving system-of-systems architecture and concept of operations
Rovekamp, Roger N., Jr.
Proposals for space exploration architectures have increased in complexity and scope. Constituent systems (e.g., rovers, habitats, in-situ resource utilization facilities, transfer vehicles, etc) must meet the needs of these architectures by performing in multiple operational environments and across multiple phases of the architecture's evolution. This thesis proposes an approach for using system-of-systems engineering principles in conjunction with system design methods (e.g., Multi-objective optimization, genetic algorithms, etc) to create system design options that perform effectively at both the system and system-of-systems levels, across multiple concepts of operations, and over multiple architectural phases. The framework is presented by way of an application problem that investigates the design of power systems within a power sharing architecture for use in a human Lunar Surface Exploration Campaign. A computer model has been developed that uses candidate power grid distribution solutions for a notional lunar base. The agent-based model utilizes virtual control agents to manage the interactions of various exploration and infrastructure agents. The philosophy behind the model is based both on lunar power supply strategies proposed in literature, as well as on the author's own approaches for power distribution strategies of future lunar bases. In addition to proposing a framework for system design, further implications of system-of-systems engineering principles are briefly explored, specifically as they relate to producing more robust cross-cultural system-of-systems architecture solutions.
Model-Based Engine Control Architecture with an Extended Kalman Filter
Csank, Jeffrey T.; Connolly, Joseph W.
2016-01-01
This paper discusses the design and implementation of an extended Kalman filter (EKF) for model-based engine control (MBEC). Previously proposed MBEC architectures feature an optimal tuner Kalman Filter (OTKF) to produce estimates of both unmeasured engine parameters and estimates for the health of the engine. The success of this approach relies on the accuracy of the linear model and the ability of the optimal tuner to update its tuner estimates based on only a few sensors. Advances in computer processing are making it possible to replace the piece-wise linear model, developed off-line, with an on-board nonlinear model running in real-time. This will reduce the estimation errors associated with the linearization process, and is typically referred to as an extended Kalman filter. The nonlinear extended Kalman filter approach is applied to the Commercial Modular Aero-Propulsion System Simulation 40,000 (C-MAPSS40k) and compared to the previously proposed MBEC architecture. The results show that the EKF reduces the estimation error, especially during transient operation.
Chitchyan, R; Rashid, A.; Moreira, A; Araujo, J.; Clements, P.; Baniassad, E.; Tekinerdogan, B.
2007-01-01
The “Early Aspects @ ICSE’07��? is the 11th workshop in the series of Early Aspects workshops [1] which focuses on aspect identification during the requirements engineering and architecture derivation activities. The specific aim of the present workshop is twofold: (a) to initiate creation of an
DSP Architecture Design Essentials
Marković, Dejan
2012-01-01
In DSP Architecture Design Essentials, authors Dejan Marković and Robert W. Brodersen cover a key subject for the successful realization of DSP algorithms for communications, multimedia, and healthcare applications. The book addresses the need for DSP architecture design that maps advanced DSP algorithms to hardware in the most power- and area-efficient way. The key feature of this text is a design methodology based on a high-level design model that leads to hardware implementation with minimum power and area. The methodology includes algorithm-level considerations such as automated word-length reduction and intrinsic data properties that can be leveraged to reduce hardware complexity. From a high-level data-flow graph model, an architecture exploration methodology based on linear programming is used to create an array of architectural solutions tailored to the underlying hardware technology. The book is supplemented with online material: bibliography, design examples, CAD tutorials and custom software.
Engineering Design Graphics: Into the 21st Century
Harris, La Verne Abe; Meyers, Frederick
2007-01-01
Graphical plans for construction of machinery and architecture have evolved over the last 6,000 years beginning from hieroglyphics to drawings on printable media, from the "Golden Age" of engineering graphics to the innovation of computer graphics and prototyping. The evolution of engineering design graphics as a profession has also evolved. Years…
Bonanne, Kevin H.
2011-01-01
Model-based Systems Engineering (MBSE) is an emerging methodology that can be leveraged to enhance many system development processes. MBSE allows for the centralization of an architecture description that would otherwise be stored in various locations and formats, thus simplifying communication among the project stakeholders, inducing commonality in representation, and expediting report generation. This paper outlines the MBSE approach taken to capture the processes of two different, but related, architectures by employing the Systems Modeling Language (SysML) as a standard for architecture description and the modeling tool MagicDraw. The overarching goal of this study was to demonstrate the effectiveness of MBSE as a means of capturing and designing a mission systems architecture. The first portion of the project focused on capturing the necessary system engineering activities that occur when designing, developing, and deploying a mission systems architecture for a space mission. The second part applies activities from the first to an application problem - the system engineering of the Orion Flight Test 1 (OFT-1) End-to-End Information System (EEIS). By modeling the activities required to create a space mission architecture and then implementing those activities in an application problem, the utility of MBSE as an approach to systems engineering can be demonstrated.
An architectural approach to level design
Totten, Christopher W
2014-01-01
Explore Level Design through the Lens of Architectural and Spatial Experience TheoryWritten by a game developer and professor trained in architecture, An Architectural Approach to Level Design is one of the first books to integrate architectural and spatial design theory with the field of level design. It explores the principles of level design through the context and history of architecture, providing information useful to both academics and game development professionals.Understand Spatial Design Principles for Game Levels in 2D, 3D, and Multiplayer ApplicationsThe book presents architectura
Teaching of Naval Architecture and Ship Design
DEFF Research Database (Denmark)
Andersen, Poul; Jensen, Jørgen Juncher
1998-01-01
At the Technical University of Denmark naval architecture has been taught for students of Master of Science in more than 100 years. This teaching has of course seen many changes as has the science. During the last 20 years the university has used a modular system of courses where students can quite...... freely select their courses. In the paper this system is briefly outlined and the teaching of naval achitecture and offshore engineering within this system described. In contrast to many other universities ship design is taught for students relatively early in their study. This course and the advantages...... and disadvantages of it will be discussed. Finally, a few reflections on teaching naval architecture in the future will be made, including subjects likedecision support and reliability....
Design and Decorative Art in Shaping of Architectural Environment Image
Shabalina, N. M.
2017-11-01
The relevance of the topic is determined by the dynamic development of the promising branch, i.e. the architectural environment design, which requires, on the one hand, consideration of the morphology and typology of this art form, on the other hand, the specificity of the architectural environment artistic image. The intensive development of innovative computer technologies and materials in modern engineering, improvement of the information communications forms in their totality has led to the application of new methods in design and construction which, in their turn, have required the development of additional methods for content and context analysis in the integrated assessment of socially significant architectural environments. In the modern culture, correlative processes are steadily developing leading us to a new understanding of the interaction of architecture, decorative art and design. Their rapprochement at the morphological level has been noted which makes it possible to reveal a specific method of synthesis and similarity. The architecture of postmodern styles differs in its bionic form becoming an interactive part of the society and approaching its structural qualities with painting, sculpture, and design. In the modern world, these processes acquire multi-valued semantic nuances, expand the importance of associativity and dynamic processuality in the perception of environmental objects and demand the development of new approaches to the assessment of the architectural design environment. Within the framework of the universal paradigm of modern times the concept of the world develops as a set of systems that live according to the self-organization laws.
Materials Driven Architectural Design and Representation
DEFF Research Database (Denmark)
Kruse Aagaard, Anders
2015-01-01
This paper aims to outline a framework for a deeper connection between experimentally obtained material knowledge and architectural design. While materials and architecture in the process of realisation are tightly connected, architectural design and representation are often distanced from...... another role in relation to architectural production. It is, in this paper, the intention to point at material research as an active initiator in explorative approaches to architectural design methods and architectural representation. This paper will point at the inclusion of tangible and experimental...... material research in the early phases of architectural design and to that of the architectural set of tools and representation. The paper will through use of existing research and the author’s own material research and practice suggest a way of using a combination of digital drawing, digital fabrication...
Digital design and computer architecture
Harris, David
2010-01-01
Digital Design and Computer Architecture is designed for courses that combine digital logic design with computer organization/architecture or that teach these subjects as a two-course sequence. Digital Design and Computer Architecture begins with a modern approach by rigorously covering the fundamentals of digital logic design and then introducing Hardware Description Languages (HDLs). Featuring examples of the two most widely-used HDLs, VHDL and Verilog, the first half of the text prepares the reader for what follows in the second: the design of a MIPS Processor. By the end of D
Flexible weapons architecture design
Pyant, William C., III
Present day air-delivered weapons are of a closed architecture, with little to no ability to tailor the weapon for the individual engagement. The closed architectures require weaponeers to make the target fit the weapon instead of fitting the individual weapons to a target. The concept of a flexible weapons aims to modularize weapons design using an open architecture shell into which different modules are inserted to achieve the desired target fractional damage while reducing cost and civilian casualties. This thesis shows that the architecture design factors of damage mechanism, fusing, weapons weight, guidance, and propulsion are significant in enhancing weapon performance objectives, and would benefit from modularization. Additionally, this thesis constructs an algorithm that can be used to design a weapon set for a particular target class based on these modular components.
Reliability Engineering for Service Oriented Architectures
2013-02-01
Common Object Request Broker Architecture Ecosystem In software , an ecosystem is a set of applications and/or services that grad- ually build up over time...Enterprise Service Bus Foreign In an SOA context: Any SOA, service or software which the owners of the calling software do not have control of, either...SOA Service Oriented Architecture SRE Software Reliability Engineering System Mode Many systems exhibit different modes of operation. E.g. the cockpit
Design of management information system for nuclear industry architectural project costs
International Nuclear Information System (INIS)
Zhang Xingzhi; Li Wei
1996-01-01
Management Information System (MIS) for nuclear industry architectural project is analysed and designed in detail base on quota management and engineering budget management of nuclear industry in respect of the practice of Qinshan Second Phase 2 x 600 MW Project
A Project-Based Learning Approach to Programmable Logic Design and Computer Architecture
Kellett, C. M.
2012-01-01
This paper describes a course in programmable logic design and computer architecture as it is taught at the University of Newcastle, Australia. The course is designed around a major design project and has two supplemental assessment tasks that are also described. The context of the Computer Engineering degree program within which the course is…
Landscape Architectural Design as Scientific Inquiry?
Lenzholzer, S.
2011-01-01
This presentation discusses ‘landscape architectural design as scientific inquiry’ and exemplifies this with the description of a design process within climate-responsive design leading to new design knowledge. ‘Research and design’ are issues that need increasing attention within landscape architecture academia. Substantial contributions on ‘research’ and ‘design’ exist within architectural theory [1,2,3,4]. However, within landscape architecture, there are only few publications on this topi...
Design of Distributed Engine Control Systems with Uncertain Delay.
Directory of Open Access Journals (Sweden)
Xiaofeng Liu
Full Text Available Future gas turbine engine control systems will be based on distributed architecture, in which, the sensors and actuators will be connected to the controllers via a communication network. The performance of the distributed engine control (DEC is dependent on the network performance. This study introduces a distributed control system architecture based on a networked cascade control system (NCCS. Typical turboshaft engine-distributed controllers are designed based on the NCCS framework with a H∞ output feedback under network-induced time delays and uncertain disturbances. The sufficient conditions for robust stability are derived via the Lyapunov stability theory and linear matrix inequality approach. Both numerical and hardware-in-loop simulations illustrate the effectiveness of the presented method.
Design of Distributed Engine Control Systems with Uncertain Delay.
Liu, Xiaofeng; Li, Yanxi; Sun, Xu
Future gas turbine engine control systems will be based on distributed architecture, in which, the sensors and actuators will be connected to the controllers via a communication network. The performance of the distributed engine control (DEC) is dependent on the network performance. This study introduces a distributed control system architecture based on a networked cascade control system (NCCS). Typical turboshaft engine-distributed controllers are designed based on the NCCS framework with a H∞ output feedback under network-induced time delays and uncertain disturbances. The sufficient conditions for robust stability are derived via the Lyapunov stability theory and linear matrix inequality approach. Both numerical and hardware-in-loop simulations illustrate the effectiveness of the presented method.
Undergraduate courses for enhancing design ability in naval architecture
Directory of Open Access Journals (Sweden)
Kyu-Yeul Lee
2013-09-01
Full Text Available Contemporary lectures in undergraduate engineering courses typically focus on teaching major technical knowledge-based theories in a limited time. Therefore, most lectures do not allow the students to gain understanding of how the theories are applied, especially in Naval Architecture and Ocean Engineering departments. Shipyards require students to acquire practical ship design skills in undergraduate courses. To meet this requirement, two lectures are organized by the authors; namely, “Planning Procedure of Naval Architecture & Ocean Engineering” (PNAOE and “Innovative Ship Design” (ISD. The concept of project-based and collaborative learning is applied in these two lectures. In the PNAOE lecture, sophomores receive instruction in the designing and building of model ships, and the students' work is evaluated in a model ship contest. This curriculum enables students to understand the concepts of ship design and production. In the ISD lecture, seniors learn how to develop their creative ideas about ship design and communicate with members of group. They are encouraged to cooperate with others and understand the ship design process. In the capstone design course, students receive guidance to facilitate understanding of how the knowledge from their sophomore or junior classes, such as fluid mechanics, statics, and dynamics, can be applied to practical ship design. Students are also encouraged to compete in the ship design contest organized by the Society of Naval Architects of Korea. Moreover, the effectiveness of project-based and collaborative learning for enhancing interest in the shipbuilding Industry and understanding the ship design process is demonstrated by citing the PNAOE and ISD lectures as examples.
An Integrated Architecture for Engineering Problem Solving
National Research Council Canada - National Science Library
Pisan, Yusuf
1998-01-01
.... This thesis describes the Integrated Problem Solving Architecture (IPSA) that combines qualitative, quantitative and diagrammatic reasoning skills to produce annotated solutions to engineering problems...
Situating universal design architecture: designing with whom?
Jones, Paul
2014-01-01
To respond to growing calls for a theoretical unpacking of Universal Design (UD), a disparate movement cohering around attempts to design spaces and technologies that seek to allow use by all people (to the fullest extent possible). The on-going embedding of UD into architectural practice and pedagogy represents an opportune juncture at which to draw learning from other distinct-but-related transformatory architectural movements. Sociological-theoretical commentary. UD has to date, and necessarily, been dominated by the practice contexts from which it emerged. Appealing as a short-hand for description of "designing-for-all", in most cases UD has come to stand in as a term to signal a general intent in this direction and as an umbrella term for the range of technical design resources that have been developed under these auspices. There remains a fundamental ambivalence vis-à-vis the question of users' power/capacity to influence decision-making in the design process in UD; technically-oriented typologies of bodies predominate in influential UD architectural accounts. UD represents rich technical and pedagogical resources for those architects committed to transforming the existing built environment so as to be less hostile to a wide range of users. However, within UD, unpacking the social role of the professional architect vis-à-vis a variety of publics is an important, but hitherto underdeveloped, challenge; issues concerning professional-citizen power relations continue to animate parallel architectural politics, and UD can both contribute and draw much from these on-going explorations. Implications for Rehabilitation Universal Design (UD) architecture shares a close affinity with rehabilitation practice, with the creation of built environments that allow use by individuals with a wide range of capacities a priority for both. While an effective communicative "bridge" between professions, UD's deployment typically leaves unspoken the capacity of users to
Executive control systems in the engineering design environment. M.S. Thesis
Hurst, P. W.
1985-01-01
An executive control system (ECS) is a software structure for unifying various applications codes into a comprehensive system. It provides a library of applications, a uniform access method through a cental user interface, and a data management facility. A survey of twenty-four executive control systems designed to unify various CAD/CAE applications for use in diverse engineering design environments within government and industry was conducted. The goals of this research were to establish system requirements to survey state-of-the-art architectural design approaches, and to provide an overview of the historical evolution of these systems. Foundations for design are presented and include environmental settings, system requirements, major architectural components, and a system classification scheme based on knowledge of the supported engineering domain(s). An overview of the design approaches used in developing the major architectural components of an ECS is presented with examples taken from the surveyed systems. Attention is drawn to four major areas of ECS development: interdisciplinary usage; standardization; knowledge utilization; and computer science technology transfer.
Pushouts in software architecture design
Riché, T. L.; Gonçalves, Rui; Marker, B.; Batory, D.
2012-01-01
A classical approach to program derivation is to progressively extend a simple specification and then incrementally refine it to an implementation. We claim this approach is hard or impractical when reverse engineering legacy software architectures. We present a case study that shows optimizations and pushouts--in addition to refinements and extensions--are essential for practical stepwise development of complex software architectures. NSF CCF 0724979 NSF CNS 0509338 NSF CCF 0917167 ...
Executive control systems in the engineering design environment
Hurst, P. W.; Pratt, T. W.
1985-01-01
Executive Control Systems (ECSs) are software structures for the unification of various engineering design application programs into comprehensive systems with a central user interface (uniform access) method and a data management facility. Attention is presently given to the most significant determinations of a research program conducted for 24 ECSs, used in government and industry engineering design environments to integrate CAD/CAE applications programs. Characterizations are given for the systems' major architectural components and the alternative design approaches considered in their development. Attention is given to ECS development prospects in the areas of interdisciplinary usage, standardization, knowledge utilization, and computer science technology transfer.
GAUDI-Architecture design document
Mato, P
1998-01-01
98-064 This document is the result of the architecture design phase for the LHCb event data processing applications project. The architecture of the LHCb software system includes its logical and physical structure which has been forged by all the strategic and tactical decisions applied during development. The strategic decisions should be made explicitly with the considerations for the trade-off of each alternative. The other purpose of this document is that it serves as the main material for the scheduled architecture review that will take place in the next weeks. The architecture review will allow us to identify what are the weaknesses or strengths of the proposed architecture as well as we hope to obtain a list of suggested changes to improve it. All that well before the system is being realized in code. It is in our interest to identify the possible problems at the architecture design phase of the software project before much of the software is implemented. Strategic decisions must be cross checked caref...
Model-Based Engineering Design for Trade Space Exploration throughout the Design Cycle
Lamassoure, Elisabeth S.; Wall, Stephen D.; Easter, Robert W.
2004-01-01
This paper presents ongoing work to standardize model-based system engineering as a complement to point design development in the conceptual design phase of deep space missions. It summarizes two first steps towards practical application of this capability within the framework of concurrent engineering design teams and their customers. The first step is standard generation of system sensitivities models as the output of concurrent engineering design sessions, representing the local trade space around a point design. A review of the chosen model development process, and the results of three case study examples, demonstrate that a simple update to the concurrent engineering design process can easily capture sensitivities to key requirements. It can serve as a valuable tool to analyze design drivers and uncover breakpoints in the design. The second step is development of rough-order- of-magnitude, broad-range-of-validity design models for rapid exploration of the trade space, before selection of a point design. At least one case study demonstrated the feasibility to generate such models in a concurrent engineering session. The experiment indicated that such a capability could yield valid system-level conclusions for a trade space composed of understood elements. Ongoing efforts are assessing the practicality of developing end-to-end system-level design models for use before even convening the first concurrent engineering session, starting with modeling an end-to-end Mars architecture.
Enterprise architecture patterns practical solutions for recurring IT-architecture problems
Perroud, Thierry
2013-01-01
Every enterprise architect faces similar problems when designing and governing the enterprise architecture of a medium to large enterprise. Design patterns are a well-established concept in software engineering, used to define universally applicable solution schemes. By applying this approach to enterprise architectures, recurring problems in the design and implementation of enterprise architectures can be solved over all layers, from the business layer to the application and data layer down to the technology layer.Inversini and Perroud describe patterns at the level of enterprise architecture
Interior Design in Architectural Education
Gurel, Meltem O.; Potthoff, Joy K.
2006-01-01
The domain of interiors constitutes a point of tension between practicing architects and interior designers. Design of interior spaces is a significant part of architectural profession. Yet, to what extent does architectural education keep pace with changing demands in rendering topics that are identified as pertinent to the design of interiors?…
Shahin, M.; Liang, P.; Li, Z.
2014-01-01
Architectural design decision (ADD) and its design rationale, as a paradigm shift on documenting and enriching architecture design description, is supposed to facilitate the understanding of architecture and the reasoning behind the design rationale, which consequently improves the architecting
Basic design in architectural education in Turkey
Directory of Open Access Journals (Sweden)
Makaklı Elif Süyük
2016-01-01
Full Text Available Creative activity is one of the most significant parts of architectural education. In the architectural curriculum organisation ‘design studio’ is the unique and preeminent subject. Freshman students encounter ‘design’ first in basic design course, before they know what designing incorporates. The Basic Design is the starting point of initiating creativity in architecture education. It helps each student to understand architecture as a creative and innovative practice in the first year of education. This study analyzes the characteristics of basic design course, the topics of the course contents and its significance in the architectural curriculum in Turkey as well.
Architectural Physics: Lighting.
Hopkinson, R. G.
The author coordinates the many diverse branches of knowledge which have dealt with the field of lighting--physiology, psychology, engineering, physics, and architectural design. Part I, "The Elements of Architectural Physics", discusses the physiological aspects of lighting, visual performance, lighting design, calculations and measurements of…
Evalution of BIM and Ecotect for Conceptual Architectural Design Analysis
DEFF Research Database (Denmark)
Thuesen, Niels; Kirkegaard, Poul Henning; Jensen, Rasmus Lund
2010-01-01
The main goal of the present paper is to investigate how BIM tools and Ecotect can be integrated as active part of an integrated design process for conceptual architectural design. The integrated design has an interaction between the skills of the architect and the engineer thought-out the process...... and thereby avoiding problems solving after the design has been finalised. The process has been analysed from an architect's point of view dealing with design at fictive sites in Copenhagen. The results of the research indicate that BIM tools combined with Ecotect can deliver useable qualitative input...
International Nuclear Information System (INIS)
Musacchio, J.M.; Rozen, A.
1987-01-01
This paper presents the results of several threat studies which have been performed, provides collective data on costs, and discusses, in a general sense, architectural/structural aspects of passive protection design measures which have been developed and utilized at several nuclear power plants. By combining relevant architectural and structural measures in the standard design, it is possible to substantially reduce the vulnerability of nuclear power plants to terrorist attack and the estimated damage to a manageable level with a minimal investment. (orig./HP)
CisLunar Habitat Internal Architecture Design Criteria
Jones, R.; Kennedy, K.; Howard, R.; Whitmore, M.; Martin, C.; Garate, J.
2017-01-01
BACKGROUND: In preparation for human exploration to Mars, there is a need to define the development and test program that will validate deep space operations and systems. In that context, a Proving Grounds CisLunar habitat spacecraft is being defined as the next step towards this goal. This spacecraft will operate differently from the ISS or other spacecraft in human history. The performance envelope of this spacecraft (mass, volume, power, specifications, etc.) is being defined by the Future Capabilities Study Team. This team has recognized the need for a human-centered approach for the internal architecture of this spacecraft and has commissioned a CisLunar Phase-1 Habitat Internal Architecture Study Team to develop a NASA reference configuration, providing the Agency with a "smart buyer" approach for future acquisition. THE CISLUNAR HABITAT INTERNAL ARCHITECTURE STUDY: Overall, the CisLunar Habitat Internal Architecture study will address the most significant questions and risks in the current CisLunar architecture, habitation, and operations concept development. This effort is achieved through definition of design criteria, evaluation criteria and process, design of the CisLunar Habitat Phase-1 internal architecture, and the development and fabrication of internal architecture concepts combined with rigorous and methodical Human-in-the-Loop (HITL) evaluations and testing of the conceptual innovations in a controlled test environment. The vision of the CisLunar Habitat Internal Architecture Study is to design, build, and test a CisLunar Phase-1 Habitat Internal Architecture that will be used for habitation (e.g. habitability and human factors) evaluations. The evaluations will mature CisLunar habitat evaluation tools, guidelines, and standards, and will interface with other projects such as the Advanced Exploration Systems (AES) Program integrated Power, Avionics, Software (iPAS), and Logistics for integrated human-in-the-loop testing. The mission of the Cis
IDC Re-Engineering Phase 2 Architecture Document.
Energy Technology Data Exchange (ETDEWEB)
Burns, John F.
2015-12-01
This document contains a description of the system architecture for the IDC Re-Engineering Phase 2 project. This is a draft version that primarily provides background information for understanding delivered Use Case Realizations.
Models in architectural design
Pauwels, Pieter
2017-01-01
Whereas architects and construction specialists used to rely mainly on sketches and physical models as representations of their own cognitive design models, they rely now more and more on computer models. Parametric models, generative models, as-built models, building information models (BIM), and so forth, they are used daily by any practitioner in architectural design and construction. Although processes of abstraction and the actual architectural model-based reasoning itself of course rema...
Gregory, Jason
2014-01-01
""… this book is the best of its kind, and you're lucky to have found it. It covers the huge field of game engine architecture in a succinct, clear way, and expertly balances the breadth and depth of its coverage, offering enough detail that even a beginner can easily understand the concepts it presents. The author, Jason Gregory, is not only a world expert in his field; he's a working programmer with production-quality knowledge and many shipped game projects under his belt. … Jason is also an experienced educator who has taught in the top-ranked university game program in North America. …
Patterns of Growth—Biomimetics and Architectural Design
Directory of Open Access Journals (Sweden)
Petra Gruber
2017-04-01
Full Text Available This paper discusses the approach of biomimetic design in architecture applied to the theme of growth in biology by taking two exemplary research projects at the intersection of arts and sciences. The first project, ‘Biornametics’, dealt with patterns from nature; the second project ‘Growing as Building (GrAB’ took on biological growth as a specific theme for the transfer to architecture and the arts. Within a timeframe of five years (2011–2015, the research was conducted under the Program for Arts-based Research PEEK (Programm zur Entwicklung und Erschliessung der Künste of the Austrian Science Fund FWF (Fonds zur Förderung der wissenschaftlichen Forschung. The underlying hypothesis was that growth processes in nature have not been studied for transfer into technology and architecture yet and that, with advanced software tools, promising applications could be found. To ensure a high degree of innovation, this research was done with an interdisciplinary team of architects, engineers, and scientists (mainly biologists to lay the groundwork for future product-oriented technological solutions. Growth, as one of the important characteristics of living organisms, is used as a frame for research into systems and principles that shall deliver innovative and sustainable solutions in architecture and the arts. Biomimetics as a methodology was used to create and guide information transfer from the life sciences to innovative proto-architectural solutions. The research aimed at transferring qualities present in biological growth; for example, adaptiveness, exploration, or local resource harvesting into technical design and production processes. In contrast to our current building construction, implementing principles of growth could potentially transform building towards a more integrated and sustainable setting, a new living architecture. Tools and methods, especially Quality Function Deployment (QFD for matching biological role models with
Expert System Architecture for Rocket Engine Numerical Simulators: A Vision
Mitra, D.; Babu, U.; Earla, A. K.; Hemminger, Joseph A.
1998-01-01
Simulation of any complex physical system like rocket engines involves modeling the behavior of their different components using mostly numerical equations. Typically a simulation package would contain a set of subroutines for these modeling purposes and some other ones for supporting jobs. A user would create an input file configuring a system (part or whole of a rocket engine to be simulated) in appropriate format understandable by the package and run it to create an executable module corresponding to the simulated system. This module would then be run on a given set of input parameters in another file. Simulation jobs are mostly done for performance measurements of a designed system, but could be utilized for failure analysis or a design job such as inverse problems. In order to use any such package the user needs to understand and learn a lot about the software architecture of the package, apart from being knowledgeable in the target domain. We are currently involved in a project in designing an intelligent executive module for the rocket engine simulation packages, which would free any user from this burden of acquiring knowledge on a particular software system. The extended abstract presented here will describe the vision, methodology and the problems encountered in the project. We are employing object-oriented technology in designing the executive module. The problem is connected to the areas like the reverse engineering of any simulation software, and the intelligent systems for simulation.
Pettit, C. D.; Barkhoudarian, S.; Daumann, A. G., Jr.; Provan, G. M.; ElFattah, Y. M.; Glover, D. E.
1999-01-01
In this study, we proposed an Advanced Health Management System (AHMS) functional architecture and conducted a technology assessment for liquid propellant rocket engine lifecycle health management. The purpose of the AHMS is to improve reusable rocket engine safety and to reduce between-flight maintenance. During the study, past and current reusable rocket engine health management-related projects were reviewed, data structures and health management processes of current rocket engine programs were assessed, and in-depth interviews with rocket engine lifecycle and system experts were conducted. A generic AHMS functional architecture, with primary focus on real-time health monitoring, was developed. Fourteen categories of technology tasks and development needs for implementation of the AHMS were identified, based on the functional architecture and our assessment of current rocket engine programs. Five key technology areas were recommended for immediate development, which (1) would provide immediate benefits to current engine programs, and (2) could be implemented with minimal impact on the current Space Shuttle Main Engine (SSME) and Reusable Launch Vehicle (RLV) engine controllers.
Hardware architecture design of image restoration based on time-frequency domain computation
Wen, Bo; Zhang, Jing; Jiao, Zipeng
2013-10-01
The image restoration algorithms based on time-frequency domain computation is high maturity and applied widely in engineering. To solve the high-speed implementation of these algorithms, the TFDC hardware architecture is proposed. Firstly, the main module is designed, by analyzing the common processing and numerical calculation. Then, to improve the commonality, the iteration control module is planed for iterative algorithms. In addition, to reduce the computational cost and memory requirements, the necessary optimizations are suggested for the time-consuming module, which include two-dimensional FFT/IFFT and the plural calculation. Eventually, the TFDC hardware architecture is adopted for hardware design of real-time image restoration system. The result proves that, the TFDC hardware architecture and its optimizations can be applied to image restoration algorithms based on TFDC, with good algorithm commonality, hardware realizability and high efficiency.
Directory of Open Access Journals (Sweden)
A. B. Berrocal Menárguez
2017-09-01
Full Text Available The power plant location in the first hydroelectrial dams was a relevant issue at the end of XIX century and early XX. Different solutions were tried, some of them with very interesting aesthetics results and hydraulic and structural implications. Such is the case of La Jándula dam, inaugurated in 1930, that includes the power station inside the dam. This article examines the possible national and international inspirations of this singular solution, corroborating the hypothesis of its unprecedented nature. The collaboration of the architect Casto Fernández-Shaw in the design and integration of the engine room was decisive, because it achieved a result of an aesthetic quality and formal expressiveness unprecedented in a work of hydraulic engineering, as well as the birth of a style Shaw himself defined as architectural engineering.
ArchE - An Architecture Design Assistant
2007-08-02
Architecture Design Assistant Len Bass August 2, 2007 Report Documentation Page Form ApprovedOMB No. 0704-0188 Public reporting burden for the...ArchE - An Architecture Design Assistant 5a. CONTRACT NUMBER 5b. GRANT NUMBER 5c. PROGRAM ELEMENT NUMBER 6. AUTHOR(S) 5d. PROJECT NUMBER 5e. TASK...X, Module X 3 Author / Presenter, Date if Needed What is ArchE? ArchE is a software architecture design assistant, which: • Takes quality and
Fermilab HEPCloud Facility Decision Engine Design
Energy Technology Data Exchange (ETDEWEB)
Tiradani, Tiradani,Anthony [Fermi National Accelerator Lab. (FNAL), Batavia, IL (United States); Altunay, Mine [Fermi National Accelerator Lab. (FNAL), Batavia, IL (United States); Dagenhart, David [Fermi National Accelerator Lab. (FNAL), Batavia, IL (United States); Kowalkowski, Jim [Fermi National Accelerator Lab. (FNAL), Batavia, IL (United States); Litvintsev, Dmitry [Fermi National Accelerator Lab. (FNAL), Batavia, IL (United States); Lu, Qiming [Fermi National Accelerator Lab. (FNAL), Batavia, IL (United States); Mhashilkar, Parag [Fermi National Accelerator Lab. (FNAL), Batavia, IL (United States); Moibenko, Alexander [Fermi National Accelerator Lab. (FNAL), Batavia, IL (United States); Paterno, Marc [Fermi National Accelerator Lab. (FNAL), Batavia, IL (United States); Timm, Steven [Fermi National Accelerator Lab. (FNAL), Batavia, IL (United States)
2017-05-23
The Decision Engine is a critical component of the HEP Cloud Facility. It provides the functionality of resource scheduling for disparate resource providers, including those which may have a cost or a restricted allocation of cycles. Along with the architecture, design, and requirements for the Decision Engine, this document will provide the rationale and explanations for various design decisions. In some cases, requirements and interfaces for a limited subset of external services will be included in this document. This document is intended to be a high level design. The design represented in this document is not complete and does not break everything down in detail. The class structures and pseudo-code exist for example purposes to illustrate desired behaviors, and as such, should not be taken literally. The protocols and behaviors are the important items to take from this document. This project is still in prototyping mode so flaws and inconsistencies may exist and should be noted and treated as failures.
Flexible weapons architecture design
Pyant, William C.
2015-01-01
Present day air-delivered weapons are of a closed architecture, with little to no ability to tailor the weapon for the individual engagement. The closed architectures require weaponeers to make the target fit the weapon instead of fitting the individual weapons to a target. The concept of a flexible weapons aims to modularize weapons design using an open architecture shell into which different modules are inserted to achieve the desired target fractional damage while reducing cost and civilia...
Architectural Design Support for Composition & Superimposition
Gurp, Jilles van; Smedinga, Rein; Bosch, Jan
2002-01-01
The ever growing size and complexity of software systems is making it increasingly harder to build systems that both meet current and future requirements. During architecture design, a lot of important design decisions are taken. In this paper, we present an architecture design notation based on
Green IT engineering concepts, models, complex systems architectures
Kondratenko, Yuriy; Kacprzyk, Janusz
2017-01-01
This volume provides a comprehensive state of the art overview of a series of advanced trends and concepts that have recently been proposed in the area of green information technologies engineering as well as of design and development methodologies for models and complex systems architectures and their intelligent components. The contributions included in the volume have their roots in the authors’ presentations, and vivid discussions that have followed the presentations, at a series of workshop and seminars held within the international TEMPUS-project GreenCo project in United Kingdom, Italy, Portugal, Sweden and the Ukraine, during 2013-2015 and at the 1st - 5th Workshops on Green and Safe Computing (GreenSCom) held in Russia, Slovakia and the Ukraine. The book presents a systematic exposition of research on principles, models, components and complex systems and a description of industry- and society-oriented aspects of the green IT engineering. A chapter-oriented structure has been adopted for this book ...
Should we attempt global (inlet engine airframe) control design?
Carlin, C. M.
1980-01-01
The feasibility of multivariable design of the entire airplane control system is briefly addressed. An intermediate step in that direction is to design a control for an inlet engine augmentor system by using multivariable techniques. The supersonic cruise large scale inlet research program is described which will provide an opportunity to develop, integrate, and wind tunnel test a control for a mixed compression inlet and variable cycle engine. The integrated propulsion airframe control program is also discussed which will introduce the problem of implementing MVC within a distributed processing avionics architecture, requiring real time decomposition of the global design into independent modules in response to hardware communication failures.
Research in Architecture & Design, Aalborg University 2009-2012
DEFF Research Database (Denmark)
Publication, presenting the research at Architecture & Design, Department of Architecture & Mediea Technology 2009-2012. Editor Gitte Marling......Publication, presenting the research at Architecture & Design, Department of Architecture & Mediea Technology 2009-2012. Editor Gitte Marling...
Software architecture as a set of architectural design decisions
Jansen, Anton; Bosch, Jan; Nord, R; Medvidovic, N; Krikhaar, R; Khrhaar, R; Stafford, J; Bosch, J
2006-01-01
Software architectures have high costs for change, are complex, and erode during evolution. We believe these problems are partially due to knowledge vaporization. Currently, almost all the knowledge and information about the design decisions the architecture is based on are implicitly embedded in
The architectural design of networks of protein domain architectures.
Hsu, Chia-Hsin; Chen, Chien-Kuo; Hwang, Ming-Jing
2013-08-23
Protein domain architectures (PDAs), in which single domains are linked to form multiple-domain proteins, are a major molecular form used by evolution for the diversification of protein functions. However, the design principles of PDAs remain largely uninvestigated. In this study, we constructed networks to connect domain architectures that had grown out from the same single domain for every single domain in the Pfam-A database and found that there are three main distinctive types of these networks, which suggests that evolution can exploit PDAs in three different ways. Further analysis showed that these three different types of PDA networks are each adopted by different types of protein domains, although many networks exhibit the characteristics of more than one of the three types. Our results shed light on nature's blueprint for protein architecture and provide a framework for understanding architectural design from a network perspective.
Application of Tessellation in Architectural Geometry Design
Chang, Wei
2018-06-01
Tessellation plays a significant role in architectural geometry design, which is widely used both through history of architecture and in modern architectural design with the help of computer technology. Tessellation has been found since the birth of civilization. In terms of dimensions, there are two- dimensional tessellations and three-dimensional tessellations; in terms of symmetry, there are periodic tessellations and aperiodic tessellations. Besides, some special types of tessellations such as Voronoi Tessellation and Delaunay Triangles are also included. Both Geometry and Crystallography, the latter of which is the basic theory of three-dimensional tessellations, need to be studied. In history, tessellation was applied into skins or decorations in architecture. The development of Computer technology enables tessellation to be more powerful, as seen in surface control, surface display and structure design, etc. Therefore, research on the application of tessellation in architectural geometry design is of great necessity in architecture studies.
Sindiy, Oleg V.
This dissertation presents a model-based system-of-systems engineering (SoSE) approach as a design philosophy for architecting in system-of-systems (SoS) problems. SoS refers to a special class of systems in which numerous systems with operational and managerial independence interact to generate new capabilities that satisfy societal needs. Design decisions are more complicated in a SoS setting. A revised Process Model for SoSE is presented to support three phases in SoS architecting: defining the scope of the design problem, abstracting key descriptors and their interrelations in a conceptual model, and implementing computer-based simulations for architectural analyses. The Process Model enables improved decision support considering multiple SoS features and develops computational models capable of highlighting configurations of organizational, policy, financial, operational, and/or technical features. Further, processes for verification and validation of SoS models and simulations are also important due to potential impact on critical decision-making and, thus, are addressed. Two research questions frame the research efforts described in this dissertation. The first concerns how the four key sources of SoS complexity---heterogeneity of systems, connectivity structure, multi-layer interactions, and the evolutionary nature---influence the formulation of SoS models and simulations, trade space, and solution performance and structure evaluation metrics. The second question pertains to the implementation of SoSE architecting processes to inform decision-making for a subset of SoS problems concerning the design of information exchange services in space-based operations domain. These questions motivate and guide the dissertation's contributions. A formal methodology for drawing relationships within a multi-dimensional trade space, forming simulation case studies from applications of candidate architecture solutions to a campaign of notional mission use cases, and
Status, Vision, and Challenges of an Intelligent Distributed Engine Control Architecture
Behbahani, Alireza; Culley, Dennis; Garg, Sanjay; Millar, Richard; Smith, Bert; Wood, Jim; Mahoney, Tim; Quinn, Ronald; Carpenter, Sheldon; Mailander, Bill;
2007-01-01
A Distributed Engine Control Working Group (DECWG) consisting of the Department of Defense (DoD), the National Aeronautics and Space Administration (NASA) Glenn Research Center (GRC) and industry has been formed to examine the current and future requirements of propulsion engine systems. The scope of this study will include an assessment of the paradigm shift from centralized engine control architecture to an architecture based on distributed control utilizing open system standards. Included will be a description of the work begun in the 1990's, which continues today, followed by the identification of the remaining technical challenges which present barriers to on-engine distributed control.
Meta-Design and the Triple Learning Organization in Architectural Design Process
Barelkowski, Robert
2017-10-01
The paper delves into the improvement of Meta-Design methodology being the result of implementation of triple learning organization. Grown from the concept of reflective practice, it offers an opportunity to segregate and hierarchize both criteria and knowledge management and at least twofold application. It induces constant feedback loops recharging the basic level of “design” with second level of “learning from design” and third level of “learning from learning”. While learning from design reflects the absorption of knowledge, structuralization of skills, management of information, learning from learning gives deeper understanding and provides axiological perspective which is necessary when combining cultural, social, and abstract conceptual problems. The second level involves multidisciplinary applications imported from many engineering disciplines, technical sciences, but also psychological background, or social environment. The third level confronts these applications with their respective sciences (wide extra-architectural knowledge) and axiological issues. This distinction may be represented in difference between e.g. purposeful, systemic use of participatory design which again generates experience-by-doing versus use of disciplinary knowledge starting from its theoretical framework, then narrowed down to be relevant to particular design task. The paper discusses the application in two cases: awarded competition proposal of Digital Arts Museum in Madrid and BAIRI university building. Both cases summarize the effects of implementation and expose the impact of triple-loop knowledge circles onto design, teaching the architect or helping them to learn how to manage information flows and how to accommodate paradigm shifts in the architectural design process.
38 CFR 39.22 - Architectural design standards.
2010-07-01
... 38 Pensions, Bonuses, and Veterans' Relief 2 2010-07-01 2010-07-01 false Architectural design...-16-10) Standards and Requirements for Project § 39.22 Architectural design standards. The..., Ontario, CA 91761-2816. (a) Architectural and structural requirements—(1) Life Safety Code. Standards must...
JEM-X ISSW architectural design document
DEFF Research Database (Denmark)
Maisala, S.; Huovelin, J.; Westergaard, Niels Jørgen Stenfeldt
Detailed description of the architectural design of the JEM-X instrument specific software, to be delivered by SDAST to ISDC.......Detailed description of the architectural design of the JEM-X instrument specific software, to be delivered by SDAST to ISDC....
Architectonics: Design of Molecular Architecture for Functional Applications.
Avinash, M B; Govindaraju, Thimmaiah
2018-02-20
The term architectonics has its roots in the architectural and philosophical (as early as 1600s) literature that refers to "the theory of structure" and "the structure of theory", respectively. The concept of architectonics has been adapted to advance the field of molecular self-assembly and termed as molecular architectonics. In essence, the methodology of organizing molecular units in the required and controlled configurations to develop advanced functional systems for materials and biological applications comprises the field of molecular architectonics. This concept of designing noncovalent systems enables to focus on different functional aspects of designer molecules for biological and nonbiological applications and also strengthens our efforts toward the mastery over the art of controlled molecular self-assemblies. Programming complex molecular interactions and assemblies for specific functions has been one of the most challenging tasks in the modern era. Meticulously ordered molecular assemblies can impart remarkable developments in several areas spanning energy, health, and environment. For example, the well-defined nano-, micro-, and macroarchitectures of functional molecules with specific molecular ordering possess potential applications in flexible electronics, photovoltaics, photonic crystals, microreactors, sensors, drug delivery, biomedicine, and superhydrophobic coatings, among others. The functional molecular architectures having unparalleled properties are widely evident in various designs of Nature. By drawing inspirations from Nature, intended molecular architectures can be designed and developed to harvest various functions, as there is an inexhaustible resource and scope. In this Account, we present exquisite designer molecules developed by our group and others with an objective to master the art of molecular recognition and self-assembly for functional applications. We demonstrate the tailor-ability of molecular self-assemblies by employing
Computation, architectural design and fabrication logic
DEFF Research Database (Denmark)
Larsen, Niels Martin
2016-01-01
Digital fabrication and digital form generation can change the way different professions interact in relation to the development and construction of architecture. The technologies can provide a more integrated design process and expand the architectural vocabulary. At Aarhus School of Architectur...
Using Software Architectures for Designing Distributed Embedded Systems
DEFF Research Database (Denmark)
Christensen, Henrik Bærbak
In this paper, we outline an on-going project of designing distributed embedded systems for closed-loop process control. The project is a joint effort between software architecture researchers and developers from two companies that produce commercial embedded process control systems. The project...... has a strong emphasis on software architectural issues and terminology in order to envision, design and analyze design alternatives. We present two results. First, we outline how focusing on software architecture, architectural issues and qualities are beneficial in designing distributed, embedded......, systems. Second, we present two different architectures for closed-loop process control and discuss benefits and reliabilities....
Finite element study of scaffold architecture design and culture conditions for tissue engineering.
Olivares, Andy L; Marsal, Elia; Planell, Josep A; Lacroix, Damien
2009-10-01
Tissue engineering scaffolds provide temporary mechanical support for tissue regeneration and transfer global mechanical load to mechanical stimuli to cells through its architecture. In this study the interactions between scaffold pore morphology, mechanical stimuli developed at the cell microscopic level, and culture conditions applied at the macroscopic scale are studied on two regular scaffold structures. Gyroid and hexagonal scaffolds of 55% and 70% porosity were modeled in a finite element analysis and were submitted to an inlet fluid flow or compressive strain. A mechanoregulation theory based on scaffold shear strain and fluid shear stress was applied for determining the influence of each structures on the mechanical stimuli on initial conditions. Results indicate that the distribution of shear stress induced by fluid perfusion is very dependent on pore distribution within the scaffold. Gyroid architectures provide a better accessibility of the fluid than hexagonal structures. Based on the mechanoregulation theory, the differentiation process in these structures was more sensitive to inlet fluid flow than axial strain of the scaffold. This study provides a computational approach to determine the mechanical stimuli at the cellular level when cells are cultured in a bioreactor and to relate mechanical stimuli with cell differentiation.
Lunar Navigation Architecture Design Considerations
D'Souza, Christopher; Getchius, Joel; Holt, Greg; Moreau, Michael
2009-01-01
The NASA Constellation Program is aiming to establish a long-term presence on the lunar surface. The Constellation elements (Orion, Altair, Earth Departure Stage, and Ares launch vehicles) will require a lunar navigation architecture for navigation state updates during lunar-class missions. Orion in particular has baselined earth-based ground direct tracking as the primary source for much of its absolute navigation needs. However, due to the uncertainty in the lunar navigation architecture, the Orion program has had to make certain assumptions on the capabilities of such architectures in order to adequately scale the vehicle design trade space. The following paper outlines lunar navigation requirements, the Orion program assumptions, and the impacts of these assumptions to the lunar navigation architecture design. The selection of potential sites was based upon geometric baselines, logistical feasibility, redundancy, and abort support capability. Simulated navigation covariances mapped to entry interface flightpath- angle uncertainties were used to evaluate knowledge errors. A minimum ground station architecture was identified consisting of Goldstone, Madrid, Canberra, Santiago, Hartebeeshoek, Dongora, Hawaii, Guam, and Ascension Island (or the geometric equivalent).
Generative Algorithmic Techniques for Architectural Design
DEFF Research Database (Denmark)
Larsen, Niels Martin
2012-01-01
Architectural design methodology is expanded through the ability to create bespoke computational methods as integrated parts of the design process. The rapid proliferation of digital production techniques within building industry provides new means for establishing seamless flows between digital...... form-generation and the realisation process. A tendency in recent practice shows an increased focus on developing unique tectonic solutions as a crucial ingredient in the design solution. These converging trajectories form the contextual basis for this thesis. In architectural design, digital tools....... The principles are further developed to form new modes of articulation in architectural design. Certain methods are contributions, which suggest a potential for future use and development. Thus, a method is directed towards bottom-up generation of surface topology through the use of an agentbased logic. Another...
Modelling Approach In Islamic Architectural Designs
Directory of Open Access Journals (Sweden)
Suhaimi Salleh
2014-06-01
Full Text Available Architectural designs contribute as one of the main factors that should be considered in minimizing negative impacts in planning and structural development in buildings such as in mosques. In this paper, the ergonomics perspective is revisited which hence focuses on the conditional factors involving organisational, psychological, social and population as a whole. This paper tries to highlight the functional and architectural integration with ecstatic elements in the form of decorative and ornamental outlay as well as incorporating the building structure such as wall, domes and gates. This paper further focuses the mathematical aspects of the architectural designs such as polar equations and the golden ratio. These designs are modelled into mathematical equations of various forms, while the golden ratio in mosque is verified using two techniques namely, the geometric construction and the numerical method. The exemplary designs are taken from theSabah Bandaraya Mosque in Likas, Kota Kinabalu and the Sarawak State Mosque in Kuching,while the Universiti Malaysia Sabah Mosque is used for the Golden Ratio. Results show thatIslamic architectural buildings and designs have long had mathematical concepts and techniques underlying its foundation, hence, a modelling approach is needed to rejuvenate these Islamic designs.
The application of diagrams in architectural design
Directory of Open Access Journals (Sweden)
Dulić Olivera
2014-01-01
Full Text Available Diagrams in architecture represent the visualization of the thinking process, or selective abstraction of concepts or ideas translated into the form of drawings. In addition, they provide insight into the way of thinking about and in architecture, thus creating a balance between the visual and the conceptual. The subject of research presented in this paper are diagrams as a specific kind of architectural representation, and possibilities and importance of their application in the design process. Diagrams are almost old as architecture itself, and they are an element of some of the most important studies of architecture during all periods of history - which results in a large number of different definitions of diagrams, but also very different conceptualizations of their features, functions and applications. The diagrams become part of contemporary architectural discourse during the eighties and nineties of the twentieth century, especially through the work of architects like Bernard Tschumi, Peter Eisenman, Rem Koolhaas, SANAA and others. The use of diagrams in the design process allows unification of some of the essential aspects of the profession: architectural representation and design process, as well as the question of the concept of architectural and urban design at a time of rapid changes at all levels of contemporary society. The aim of the research is the analysis of the diagram as a specific medium for processing large amounts of information that the architect should consider and incorporate into the architectural work. On that basis, it is assumed that an architectural diagram allows the creator the identification and analysis of specific elements or ideas of physical form, thereby constantly maintaining concept of the integrity of the architectural work.
Architecture and Civil Design Status of the Proton Accelerator Research Center in PEFP
International Nuclear Information System (INIS)
Nam, J. M.; Kim, J. Y.; Mun, K. J.; Jeon, G. P.; Cho, J. S.; Lee, S. K.; Min, Y. S.; Joo, H. G.
2009-01-01
PEFP (Proton Engineering Frontier Project) is scheduled to administrate the conventional facilities design with Gyeongju and complement its unfit points. When construction work starts according to the construction schedule, a field work office will be installed to supervise the Proton Accelerator Conventional Facilities Construction. In this paper, we describe the geological investigation procedure for the construction of the proton accelerator conventional facilities of PEFP. By the geological investigation, data for the reasonable and economic construction work, such as stratum structure and geotechnical characteristics. In Site Plot Plan for PEFP, we classified center as 2 groups such as main facilities and support facilities. We also designed access road of the Proton Accelerator Research Center of PEFP. In architectural design for PEFP, we described the design procedure of the buildings and landscape architectures of the Proton Accelerator Research Center
CAAD as Computer-Activated Architectural Design
DEFF Research Database (Denmark)
Galle, Per
1998-01-01
In a brief sketch, drawing on a general philosophical conception of human interaction with the world, the architectural design process is analysed in terms of two kinds of human action: interpretation and production. Both of these are seen as establishing a link between mental and material entities....... On this background two alternative roles of computers in computer-aided architectural design (CAAD) are distinguished: a passive and a more active role, where in the latter case, the computer’s capacity for symbol manipulation is utilized to influence design thinking actively. The analysis offered in this paper may...... serve at least two purposes: to provide a conceptual machinery for research and reflection on CAAD, and to clarify the notion of ‘artificial intelligence’ in the light of architectural design....
Teaching Creative Thinking through Architectural Design
Jeon, Kijeong; Cotner, Teresa L.
2010-01-01
Art and art education are open to broader definitions in the twenty-first century. It is time that teachers seriously think about including built environment design in K-12 art education. The term "built environment" includes interior design, architecture, landscape architecture, and urban planning. Due to increased exposure to built environment…
Tipster Text Phase 2 Architecture Design
1996-06-19
TIPSTER Text Phase II Architecture Design Version 2.1p 19 June 1996 Ralph Grishman New York University grishman @cs.nyu.edu and the TIPSTER...1996 2. REPORT TYPE 3. DATES COVERED 00-00-1996 to 00-00-1996 4. TITLE AND SUBTITLE TIPSTER Text Phase II Architecture Design 5a. CONTRACT
Architectural Knitted Surfaces
DEFF Research Database (Denmark)
Mossé, Aurélie
2010-01-01
WGSN reports from the Architectural Knitted Surfaces workshop recently held at ShenkarCollege of Engineering and Design, Tel Aviv, which offered a cutting-edge insight into interactive knitted surfaces. With the increasing role of smart textiles in architecture, the Architectural Knitted Surfaces...... workshop brought together architects and interior and textile designers to highlight recent developments in intelligent knitting. The five-day workshop was led by architects Ayelet Karmon and Mette Ramsgaard Thomsen, together with Amir Cang and Eyal Sheffer from the Knitting Laboratory, in collaboration...
Conceptual design supporting tool between architectural design office and its client
Shen, JiangTao
2012-01-01
Accompanied with the continuation of rapid Chinese economic growth through the past decades, I have experienced great changes happened in the architectural design industry. Computer science and various architectural design theories had been widely applied; traditional design institutes, which based
Jupiter Europa Orbiter Architecture Definition Process
Rasmussen, Robert; Shishko, Robert
2011-01-01
The proposed Jupiter Europa Orbiter mission, planned for launch in 2020, is using a new architectural process and framework tool to drive its model-based systems engineering effort. The process focuses on getting the architecture right before writing requirements and developing a point design. A new architecture framework tool provides for the structured entry and retrieval of architecture artifacts based on an emerging architecture meta-model. This paper describes the relationships among these artifacts and how they are used in the systems engineering effort. Some early lessons learned are discussed.
System Engineering Strategy for Distributed Multi-Purpose Simulation Architectures
Bhula, Dlilpkumar; Kurt, Cindy Marie; Luty, Roger
2007-01-01
This paper describes the system engineering approach used to develop distributed multi-purpose simulations. The multi-purpose simulation architecture focuses on user needs, operations, flexibility, cost and maintenance. This approach was used to develop an International Space Station (ISS) simulator, which is called the International Space Station Integrated Simulation (ISIS)1. The ISIS runs unmodified ISS flight software, system models, and the astronaut command and control interface in an open system design that allows for rapid integration of multiple ISS models. The initial intent of ISIS was to provide a distributed system that allows access to ISS flight software and models for the creation, test, and validation of crew and ground controller procedures. This capability reduces the cost and scheduling issues associated with utilizing standalone simulators in fixed locations, and facilitates discovering unknowns and errors earlier in the development lifecycle. Since its inception, the flexible architecture of the ISIS has allowed its purpose to evolve to include ground operator system and display training, flight software modification testing, and as a realistic test bed for Exploration automation technology research and development.
Advanced customization in architectural design and construction
Naboni, Roberto
2015-01-01
This book presents the state of the art in advanced customization within the sector of architectural design and construction, explaining important new technologies that are boosting design, product and process innovation and identifying the challenges to be confronted as we move toward a mass customization construction industry. Advanced machinery and software integration are discussed, as well as an overview of the manufacturing techniques offered through digital methods that are acquiring particular significance within the field of digital architecture. CNC machining, Robotic Fabrication, and Additive Manufacturing processes are all clearly explained, highlighting their ability to produce personalized architectural forms and unique construction components. Cutting-edge case studies in digitally fabricated architectural realizations are described and, looking towards the future, a new model of 100% customized architecture for design and construction is presented. The book is an excellent guide to the profoun...
Design methods and design theory for architectural design management
Achten, H.H.; Otter, den A.F.H.J.; Achten, H.H.; Pels, H.J.
2008-01-01
Most parties that an architectural design manager meets in daily practice are engaged to some degree with design. What these parties are actually doing in a project is contingent with the concrete design project. Additionally, each party has some stake, and may employ different strategies to solve
On Architectural Acoustics Design using Computer Simulation
DEFF Research Database (Denmark)
Schmidt, Anne Marie Due; Kirkegaard, Poul Henning
2004-01-01
The acoustical quality of a given building, or space within the building, is highly dependent on the architectural design. Architectural acoustics design has in the past been based on simple design rules. However, with a growing complexity in the architectural acoustic and the emergence of potent...... room acoustic simulation programs it is now possible to subjectively analyze and evaluate acoustic properties prior to the actual construction of a facility. With the right tools applied, the acoustic design can become an integrated part of the architectural design process. The aim of the present paper...... this information is discussed. The conclusion of the paper is that the application of acoustical simulation programs is most beneficial in the last of three phases but that an application of the program to the two first phases would be preferable and possible with an improvement of the interface of the program....
Execution Of Systems Integration Principles During Systems Engineering Design
2016-09-01
application utilized a paper-based approach to systems design. The customer directed utilization of an SE Waterfall process model . These new...regarding requirements, stakeholders, testing, and system boundaries. Additionally, this thesis discusses use of systems architecture frameworks and models ...and the consistent use of model - based systems engineering throughout development. Lastly, it proposes formal methods language for improving models
Defining Interactions and Interfaces in Engineering Design
DEFF Research Database (Denmark)
Parslov, Jakob Filippson
documents of legal matter and must therefore be unambiguously and completely described. Following this observation, a comprehensive and systematic literature review has been performed in order to investigate the definition and perception of an interface. The review resulted in a classification revealing 13......This PhD thesis focuses on the understanding and definition of interactions and interfaces during the architectural decomposition of complex, multi-technological products. The Interaction and Interface Framework developed in this PhD project contribute to the field of engineering design research...... the framework, it has been possible to arrive at a classification of interaction mechanism, which is mutually exclusive (no overlap) and collectively exhaustive (no gaps). This contribution changes the existing paradigm of reasoning about interactions and allows for an unambiguous architectural decomposition...
Establishment of professional nuclear power architectural engineering company
International Nuclear Information System (INIS)
Guo Dongli; Chen Hua
2006-01-01
The rapid development of nuclear power industry in China requires specialized management for the nuclear power engineering projects. It is necessary to establish the nuclear power architectural engineering company to meet the increasing market needs by providing the owner with specialized nuclear engineering project management and overall contracting services. It is imperative that the purpose of establishing the corporation and enterprise core competitiveness should be clearly identified when it is established. Its organizational structure should be geared to the enterprise operation management and development to facilitate the intensified project management and control, and improve its risk-proof ability. (authors)
Architectural Design of a LMS with LTSA-Conformance
Sengupta, Souvik; Dasgupta, Ranjan
2017-01-01
This paper illustrates an approach for architectural design of a Learning Management System (LMS), which is verifiable against the Learning Technology System Architecture (LTSA) conformance rules. We introduce a new method for software architectural design that extends the Unified Modeling Language (UML) component diagram with the formal…
Control Design for an Advanced Geared Turbofan Engine
Chapman, Jeffryes W.; Litt, Jonathan S.
2017-01-01
This paper describes the design process for the control system of an advanced geared turbofan engine. This process is applied to a simulation that is representative of a 30,000 lbf thrust class concept engine with two main spools, ultra-high bypass ratio, and a variable area fan nozzle. Control system requirements constrain the non-linear engine model as it operates throughout its flight envelope of sea level to 40,000 ft and from 0 to 0.8 Mach. The control architecture selected for this project was developed from literature and reflects a configuration that utilizes a proportional integral controller integrated with sets of limiters that enable the engine to operate safely throughout its flight envelope. Simulation results show the overall system meets performance requirements without exceeding system operational limits.
Mulligan, Kerry; Calder, Allyson; Mulligan, Hilda
2018-04-01
The built environment can facilitate or impede an individual's ability to participate in society. This is particularly so for people with disability. Architects are well placed to be advocates for design that enhances societal equality. This qualitative study explored architectural design students' perceptions of inclusive design, their reflections resulting from an experiential learning module and the subsequent influence of these on their design practice. Twenty four architectural design students participated in focus groups or individual interviews. Data were analyzed thematically. Three themes were evident: 1) Inclusive design was perceived as challenging, 2) Appreciation for the opportunity to learn about the perspectives of people with disabilities, and 3) Change of attitude toward inclusive design. Experiential learning had fostered reflection, changes in attitude and the realization that inclusive design, should begin at the start of the design process. For equitable access for all people to become reality, experiential learning, coupled with positive examples of inclusive design should be embedded in architectural education. Copyright © 2017 Elsevier Inc. All rights reserved.
International Nuclear Information System (INIS)
Algohary, S.
2007-01-01
The new and emerging threats to buildings and infrastructure which are faced by todays engineering design and facility management community in Egypt demand new approaches and solutions that are innovative and increasingly based on risk management principles. In the wake of the damage of Taba hotel in south Sinai (2004) and Sharm El-Sheik hotels in Egypt (July, 2005), there was a growing awareness of public vulnerability to terrorist attacks. This awareness leads to increase the expectations form and responsibilities of the architects, engineers and construction professionals This study reviews and assesses different types of threats to nuclear and important buildings. It identifies also the architectural design, vulnerability and risk management that can enhance security. It also introduces a new approach for integration of architectural design and security in nuclear and important buildings in Egypt. The results shows that escalating threats and risks to important buildings and infrastructures change the role of planners, architects, engineers and builders by increasing the focus on the importance of applying viable security principles to the building designs. Architects in Egypt can assume an important role in improving the life-safety features of important buildings by increasing and integrating new security principles and approaches to improve the security and performance of the buildings against man made disasters
Simon, Donald L.
2010-01-01
Aircraft engine performance trend monitoring and gas path fault diagnostics are closely related technologies that assist operators in managing the health of their gas turbine engine assets. Trend monitoring is the process of monitoring the gradual performance change that an aircraft engine will naturally incur over time due to turbomachinery deterioration, while gas path diagnostics is the process of detecting and isolating the occurrence of any faults impacting engine flow-path performance. Today, performance trend monitoring and gas path fault diagnostic functions are performed by a combination of on-board and off-board strategies. On-board engine control computers contain logic that monitors for anomalous engine operation in real-time. Off-board ground stations are used to conduct fleet-wide engine trend monitoring and fault diagnostics based on data collected from each engine each flight. Continuing advances in avionics are enabling the migration of portions of the ground-based functionality on-board, giving rise to more sophisticated on-board engine health management capabilities. This paper reviews the conventional engine performance trend monitoring and gas path fault diagnostic architecture commonly applied today, and presents a proposed enhanced on-board architecture for future applications. The enhanced architecture gains real-time access to an expanded quantity of engine parameters, and provides advanced on-board model-based estimation capabilities. The benefits of the enhanced architecture include the real-time continuous monitoring of engine health, the early diagnosis of fault conditions, and the estimation of unmeasured engine performance parameters. A future vision to advance the enhanced architecture is also presented and discussed
NASA Space Engineering Research Center for VLSI systems design
1991-01-01
This annual review reports the center's activities and findings on very large scale integration (VLSI) systems design for 1990, including project status, financial support, publications, the NASA Space Engineering Research Center (SERC) Symposium on VLSI Design, research results, and outreach programs. Processor chips completed or under development are listed. Research results summarized include a design technique to harden complementary metal oxide semiconductors (CMOS) memory circuits against single event upset (SEU); improved circuit design procedures; and advances in computer aided design (CAD), communications, computer architectures, and reliability design. Also described is a high school teacher program that exposes teachers to the fundamentals of digital logic design.
Nonexpert Evaluations on Architectural Design Creativity across Cultures
Hong, Seung Wan; Lee, Jae Seung
2015-01-01
This article examines the relationship between cultural differences and the nonexpert evaluations of architectural design creativity. In study I, Caucasian Americans (N = 126) and East Asians (N = 137), who did not major in architecture and urban design, evaluated the novelty and appropriateness of 5 unusual architectural shapes, selected by 5…
Main engineering features driving design concept and engineering design constraints
International Nuclear Information System (INIS)
Saito, Ryusei; Kobayashi, Takeshi; Yamada, Masao
1987-09-01
Major engineering design philosophies are described, which are essential bases for an engineering design and may have significant impacts on a reactor design concept. Those design philosophies are classified into two groups, engineering design drivers and engineering design constraints. The design drivers are featured by the fact that a designer is free to choose and the choice may be guided by his opinion, such as coil system, a mechanical configuration, a tritium breeding scenario, etc.. The design constraints may follow a natural law or engineering limit, such as material strength, coil current density, and so on. (author)
Defining The Energy Saving Potential of Architectural Design
DEFF Research Database (Denmark)
Naboni, Emanuele; Malcangi, Antonio; Zhang, Yi
2015-01-01
Designers, in response to codes or voluntary " green building " programs, are increasingly concerned with building energy demand reduction, but they are not fully aware of the energy saving potential of architectural design. According to literature, building form, construction and material choices...... on sustainable design: " Design With Climate " by Olgyay (1963), which discussed strategies for climate-adapted architecture, and Lechner´s " Heating, Cooling and Lighting " (1991), on how to reduce building energy needs by as much as 60 – 80 percent with proper architectural design decisions. Both books used...... behaviour. The research shows the best solution for each of the climates and compares them with Olgyay´s findings. Finally, for each climate the energy saving potential is defined and then compared to Lechner's conclusions. Defining The Energy Saving Potential of Architectural Design (PDF Download Available...
7 CFR 1724.20 - Borrowers' requirements-architectural services.
2010-01-01
... UTILITIES SERVICE, DEPARTMENT OF AGRICULTURE ELECTRIC ENGINEERING, ARCHITECTURAL SERVICES AND DESIGN POLICIES AND PROCEDURES Architectural Services § 1724.20 Borrowers' requirements—architectural services... 7 Agriculture 11 2010-01-01 2010-01-01 false Borrowers' requirements-architectural services. 1724...
Preference-Based Design in Architecture
Binnekamp, R.
2010-01-01
Architectural design is a complex process as a result of two prominent characteristics of choice making: 1) multiple designs can fit into one intended purpose, which raises the question: how to choose the design that fits best, and 2) a multitude of decision makers have an interest in the design
Digital fabrication in architecture, engineering and construction
Caneparo, Luca
2014-01-01
Digital technologies are changing the relationship between design and construction: with computer models, CAD/CAM, and prototyping, designers can gain direct control of building and construction processes. The ability to digitally model designs, and thus to use those models directly in the context of production, creates a synthesis between design and construction in keeping with the tradition of the close relationship between design and craftsmanship, between the quality of the design and the rules of the craft. The evolution of the culture of design and construction is the underlying theme of this book. The aim is to discuss the direction that innovation is now taking, with a particular focus on today’s cutting-edge architectures. The method addresses the ways in which different societies have dealt with the issues of their age regarding design and construction, the different contributions provided by various techniques, and with them the meanings expressed by the architecture. As building design using dig...
MOMOWO: Women Designers, Craftswomen, Architects and Engineers between 1918 and 1945
Groot, M.H.; Helena Seražin, Helena Seražin
2017-01-01
The book consists of six chapters, which present achievements by European women – pioneers in the fields of architecture, civil engineering, interior and industrial design and arts and crafts, who were active in the period between 1918 and 1945. The chapter Crossing Geographies deals with the
Interior design and healing architecture
DEFF Research Database (Denmark)
Mogensen, Jeppe; Poulsen, Søren Bolvig; Hansen, Allan Grutt
2015-01-01
. Through a mixed-method study, 43 patients from the outpatient-lung department at Hospital Vendsyssel, Denmark were presented with different types of furniture and materials and were asked about their preferences. Additional questions on their experience of the hospital interior were asked to guide......Hospital design is today influenced by the design concept healing architecture, stating that the patients’ healing process is promoted through accommodating physical surroundings. However, despite the increasing amount of research in the field of healing architecture, research on interior design...... and materials are rather limited. To compliment research in hospital interior design with particular focus on the use of interior textiles, this pilot study explores if the patients’ preferences for more home-like hospital interiors can be linked to a preference for textile-based furniture and materials...
Scalable Multi-core Architectures Design Methodologies and Tools
Jantsch, Axel
2012-01-01
As Moore’s law continues to unfold, two important trends have recently emerged. First, the growth of chip capacity is translated into a corresponding increase of number of cores. Second, the parallalization of the computation and 3D integration technologies lead to distributed memory architectures. This book provides a current snapshot of industrial and academic research, conducted as part of the European FP7 MOSART project, addressing urgent challenges in many-core architectures and application mapping. It addresses the architectural design of many core chips, memory and data management, power management, design and programming methodologies. It also describes how new techniques have been applied in various industrial case studies. Describes trends towards distributed memory architectures and distributed power management; Integrates Network on Chip with distributed, shared memory architectures; Demonstrates novel design methodologies and frameworks for multi-core design space exploration; Shows how midll...
Transit safety retrofit package development : architecture and design specifications.
2014-05-01
The Architecture and Design Specifications capture the TRP system architecture and design that fulfills the technical : objectives stated in the TRP requirements document. : The document begins with an architectural overview that identifies and descr...
Scaling laws for free piston Stirling engine design: Benefits and challenges of miniaturization
International Nuclear Information System (INIS)
Formosa, Fabien; Fréchette, Luc G.
2013-01-01
This work explores the scaling effects for FPSE (free piston Stirling engines), which are known for their simple architecture and potentially high thermodynamic performances. Scaling laws are given and their potential for miniaturization is highlighted. A simple model which allows the design of the geometrical parameters of the heat exchangers, the regenerator and the masses of the pistons is proposed. It is based on the definition of six characteristic dimensionless groups. They are derived from the physics underlying the behavior of the free piston Stirling machine and their relevancy is backed up by comparisons between documented Stirling engines from the literature. Keeping constant values for each group throughout the scaling range theoretically ensures constant performance. The main losses of Stirling engine (heat conduction loss, reheat loss in the regenerator, pressure drop and gas-spring hysteresis) can be expressed as a function of the geometrical and operating parameters. Additionally, the consequences of leakage due to the manufacturing precision of pistons architectures are underlined. From the proposed scaling laws, potential power and efficiency of Stirling cycle engines at a millimeter scale can be anticipated. It appears that the power density increases with miniaturization. It is also shown that the dynamic masses related to the engine size are increased when scaling down and that the gap leakage presents the highest detrimental effects on the efficiency. These results call for dedicated architectures for micro-engines. - Highlights: • Similitude strategy is applied to Stirling engines and allows preliminary design. • New scaling laws are derived. • The power density can be increased with miniaturization. • The gap between the piston and casing is highly detrimental to the performances. • High engine operating pressure is required when miniaturizing
Emerging memory technologies design, architecture, and applications
2014-01-01
This book explores the design implications of emerging, non-volatile memory (NVM) technologies on future computer memory hierarchy architecture designs. Since NVM technologies combine the speed of SRAM, the density of DRAM, and the non-volatility of Flash memory, they are very attractive as the basis for future universal memories. This book provides a holistic perspective on the topic, covering modeling, design, architecture and applications. The practical information included in this book will enable designers to exploit emerging memory technologies to improve significantly the performance/power/reliability of future, mainstream integrated circuits. • Provides a comprehensive reference on designing modern circuits with emerging, non-volatile memory technologies, such as MRAM and PCRAM; • Explores new design opportunities offered by emerging memory technologies, from a holistic perspective; • Describes topics in technology, modeling, architecture and applications; • Enables circuit designers to ex...
Evolutionary design assistants for architecture
Directory of Open Access Journals (Sweden)
N. Onur Sönmez
2015-04-01
Full Text Available In its parallel pursuit of an increased competitivity for design offices and more pleasurable and easier workflows for designers, artificial design intelligence is a technical, intellectual, and political challenge. While human-machine cooperation has become commonplace through Computer Aided Design (CAD tools, a more improved collaboration and better support appear possible only through an endeavor into a kind of artificial design intelligence, which is more sensitive to the human perception of affairs. Considered as part of the broader Computational Design studies, the research program of this quest can be called Artificial / Autonomous / Automated Design (AD. The current available level of Artificial Intelligence (AI for design is limited and a viable aim for current AD would be to develop design assistants that are capable of producing drafts for various design tasks. Thus, the overall aim of this thesis is the development of approaches, techniques, and tools towards artificial design assistants that offer a capability for generating drafts for sub-tasks within design processes. The main technology explored for this aim is Evolutionary Computation (EC, and the target design domain is architecture. The two connected research questions of the study concern, first, the investigation of the ways to develop an architectural design assistant, and secondly, the utilization of EC for the development of such assistants. While developing approaches, techniques, and computational tools for such an assistant, the study also carries out a broad theoretical investigation into the main problems, challenges, and requirements towards such assistants on a rather overall level. Therefore, the research is shaped as a parallel investigation of three main threads interwoven along several levels, moving from a more general level to specific applications. The three research threads comprise, first, theoretical discussions and speculations with regard to both
Analyzing dynamic fault trees derived from model-based system architectures
International Nuclear Information System (INIS)
Dehlinger, Josh; Dugan, Joanne Bechta
2008-01-01
Dependability-critical systems, such as digital instrumentation and control systems in nuclear power plants, necessitate engineering techniques and tools to provide assurances of their safety and reliability. Determining system reliability at the architectural design phase is important since it may guide design decisions and provide crucial information for trade-off analysis and estimating system cost. Despite this, reliability and system engineering remain separate disciplines and engineering processes by which the dependability analysis results may not represent the designed system. In this article we provide an overview and application of our approach to build architecture-based, dynamic system models for dependability-critical systems and then automatically generate Dynamic Fault Trees (DFT) for comprehensive, toolsupported reliability analysis. Specifically, we use the Architectural Analysis and Design Language (AADL) to model the structural, behavioral and failure aspects of the system in a composite architecture model. From the AADL model, we seek to derive the DFT(s) and use Galileo's automated reliability analyses to estimate system reliability. This approach alleviates the dependability engineering - systems engineering knowledge expertise gap, integrates the dependability and system engineering design and development processes and enables a more formal, automated and consistent DFT construction. We illustrate this work using an example based on a dynamic digital feed-water control system for a nuclear reactor
Directory of Open Access Journals (Sweden)
Aniza Abdul Aziz
2010-12-01
Full Text Available Architectural education should advance in parallel with the industrial growth of building technology. Universities as producers of future architects have yet to develop curriculums for building technology to suit the growth of the building industry. This gap between education and industrial growth has been a topic of debate for many researchers who are concerned about architectural pedagogy. Architectural instruction further aggravated the problem whereby in most architectural schools worldwide, teaching is divided between the design studio, where the design projects are taught and lecture classes where the technical parts are taught. The latter should be integrated with design studio to enhance design levels. Students face difficulty integrating and applying the structural knowledge gained from structure classes into their design. One explanation for this deficiency is because the current architectural structure subject's content is borrowed from an engineering syllabus. This study will examine the course content, instruction styles and method of teaching structure subjects and will investigate the learning outcomes of design studio through students' performance and perception in integrating structural knowledge in their design projects. Respondents were students from Year 1 to Year 5 doing their Bachelor of Science in Architecture and Bachelor of Architecture degrees in University of Malaya. Semi-structured interviews were conducted with the design studio coordinators and structure lecturers. This study aims to find the ideal course content/method of teaching to facilitate more integration between structure and design studio.
The Application of Concurrent Engineering Tools and Design Structure Matrix in Designing Tire
Ginting, Rosnani; Fachrozi Fitra Ramadhan, T.
2016-02-01
The development of automobile industry in Indonesia is growing rapidly. This phenomenon causes companies related to the automobile industry such as tire industry must develop products based on customers’ needs and considering the timeliness of delivering the product to the customer. It could be reached by applying strategic planning in developing an integrated concept of product development. This research was held in PT. XYZ that applied the sequential approach in designing and developing products. The need to improve in one stage of product development could occur re-designing that needs longer time in developing a new product. This research is intended to get an integrated product design concept of tire pertaining to the customer's needs using Concurrent Engineering Tools by implementing the two-phased of product development. The implementation of Concurrent Engineering approach results in applying the stage of project planning, conceptual design, and product modules. The product modules consist of four modules that using Product Architecture - Design Structure Matrix to ease the designing process of new product development.
Economics-driven software architecture
Mistrik, Ivan; Kazman, Rick; Zhang, Yuanyuan
2014-01-01
Economics-driven Software Architecture presents a guide for engineers and architects who need to understand the economic impact of architecture design decisions: the long term and strategic viability, cost-effectiveness, and sustainability of applications and systems. Economics-driven software development can increase quality, productivity, and profitability, but comprehensive knowledge is needed to understand the architectural challenges involved in dealing with the development of large, architecturally challenging systems in an economic way. This book covers how to apply economic consider
DEFF Research Database (Denmark)
Kiib, Hans
2009-01-01
as "experiencescape" - a space between tourism, culture, learning and economy. Strategies related to these challenges involve new architectural concepts and art as ‘engines' for a change. New expressive architecture and old industrial buildings are often combined into hybrid narratives, linking the past...... with the future. But this is not enough. The agenda is to develop architectural spaces, where social interaction and learning are enhanced by art and fun. How can we develop new architectural designs in our inner cities and waterfronts where eventscapes, learning labs and temporal use are merged with everyday...
The Role of Sketch in Architecture Design
Li, Yanjin; Ning, Wen
2017-06-01
With the continuous development of computer technology, we rely more and more on the computer and pay more and more attention to the final design results, so that we ignore the importance of the sketch. However, the sketch is the most basic and effective way of architecture design. Based on the study of the sketch of Tjibao Cultural Center of sketch, the paper explores the role of sketch in architecture design .
Re-Architecture: Reality or Utopia?
Pereira-Roders, A.R.; Post, J.M.; Erkelens, P.A.
2008-01-01
RE-ARCHITECTURE: lifespan rehabilitation of built heritage (2004-2007) is a doctoral research funded by the Foundation for Science and Technology, Portugal; and hosted by the Unit Architectural Design and Engineering, Eindhoven University of Technology, The Netherlands. This doctoral research is now
A supportive architecture for CFD-based design optimisation
Li, Ni; Su, Zeya; Bi, Zhuming; Tian, Chao; Ren, Zhiming; Gong, Guanghong
2014-03-01
Multi-disciplinary design optimisation (MDO) is one of critical methodologies to the implementation of enterprise systems (ES). MDO requiring the analysis of fluid dynamics raises a special challenge due to its extremely intensive computation. The rapid development of computational fluid dynamic (CFD) technique has caused a rise of its applications in various fields. Especially for the exterior designs of vehicles, CFD has become one of the three main design tools comparable to analytical approaches and wind tunnel experiments. CFD-based design optimisation is an effective way to achieve the desired performance under the given constraints. However, due to the complexity of CFD, integrating with CFD analysis in an intelligent optimisation algorithm is not straightforward. It is a challenge to solve a CFD-based design problem, which is usually with high dimensions, and multiple objectives and constraints. It is desirable to have an integrated architecture for CFD-based design optimisation. However, our review on existing works has found that very few researchers have studied on the assistive tools to facilitate CFD-based design optimisation. In the paper, a multi-layer architecture and a general procedure are proposed to integrate different CFD toolsets with intelligent optimisation algorithms, parallel computing technique and other techniques for efficient computation. In the proposed architecture, the integration is performed either at the code level or data level to fully utilise the capabilities of different assistive tools. Two intelligent algorithms are developed and embedded with parallel computing. These algorithms, together with the supportive architecture, lay a solid foundation for various applications of CFD-based design optimisation. To illustrate the effectiveness of the proposed architecture and algorithms, the case studies on aerodynamic shape design of a hypersonic cruising vehicle are provided, and the result has shown that the proposed architecture
Lin, Cheng Yu; Kikuchi, Noboru; Hollister, Scott J
2004-05-01
An often-proposed tissue engineering design hypothesis is that the scaffold should provide a biomimetic mechanical environment for initial function and appropriate remodeling of regenerating tissue while concurrently providing sufficient porosity for cell migration and cell/gene delivery. To provide a systematic study of this hypothesis, the ability to precisely design and manufacture biomaterial scaffolds is needed. Traditional methods for scaffold design and fabrication cannot provide the control over scaffold architecture design to achieve specified properties within fixed limits on porosity. The purpose of this paper was to develop a general design optimization scheme for 3D internal scaffold architecture to match desired elastic properties and porosity simultaneously, by introducing the homogenization-based topology optimization algorithm (also known as general layout optimization). With an initial target for bone tissue engineering, we demonstrate that the method can produce highly porous structures that match human trabecular bone anisotropic stiffness using accepted biomaterials. In addition, we show that anisotropic bone stiffness may be matched with scaffolds of widely different porosity. Finally, we also demonstrate that prototypes of the designed structures can be fabricated using solid free-form fabrication (SFF) techniques.
Solomon, D.; van Dijk, A.
The "2002 ESA Lunar Architecture Workshop" (June 3-16) ESTEC, Noordwijk, NL and V2_Lab, Rotterdam, NL) is the first-of-its-kind workshop for exploring the design of extra-terrestrial (infra) structures for human exploration of the Moon and Earth-like planets introducing 'architecture's current line of research', and adopting an architec- tural criteria. The workshop intends to inspire, engage and challenge 30-40 European masters students from the fields of aerospace engineering, civil engineering, archi- tecture, and art to design, validate and build models of (infra) structures for Lunar exploration. The workshop also aims to open up new physical and conceptual terrain for an architectural agenda within the field of space exploration. A sound introduc- tion to the issues, conditions, resources, technologies, and architectural strategies will initiate the workshop participants into the context of lunar architecture scenarios. In my paper and presentation about the development of the ideology behind this work- shop, I will comment on the following questions: * Can the contemporary architectural agenda offer solutions that affect the scope of space exploration? It certainly has had an impression on urbanization and colonization of previously sparsely populated parts of Earth. * Does the current line of research in architecture offer any useful strategies for com- bining scientific interests, commercial opportunity, and public space? What can be learned from 'state of the art' architecture that blends commercial and public pro- grammes within one location? * Should commercial 'colonisation' projects in space be required to provide public space in a location where all humans present are likely to be there in a commercial context? Is the wave in Koolhaas' new Prada flagship store just a gesture to public space, or does this new concept in architecture and shopping evolve the public space? * What can we learn about designing (infra-) structures on the Moon or any other
A "Language Lab" for Architectural Design.
Mackenzie, Arch; And Others
This paper discusses a "language lab" strategy in which traditional studio learning may be supplemented by language lessons using computer graphics techniques to teach architectural grammar, a body of elements and principles that govern the design of buildings belonging to a particular architectural theory or style. Two methods of…
Landscape Architectural Design as Scientific Inquiry?
Lenzholzer, S.
2011-01-01
This presentation discusses ‘landscape architectural design as scientific inquiry’ and exemplifies this with the description of a design process within climate-responsive design leading to new design knowledge. ‘Research and design’ are issues that need increasing attention within landscape
Two College of Architecture and Urban Studies faculty members named most admired educators of 2008
Chadwick, Heather Riley
2008-01-01
Two School of Architecture + Design faculty, in Virginia Tech's College of Architecture and Urban Studies, have been named by the Design and Futures Council and the journal DesignIntelligence as two of 28 educators most admired and respected in the fields of interior design, interior architecture, architecture, design, architectural engineering, industrial design, and landscape architecture.
Renewing Theories, Methods and Design Practices: Challenges for Architectural Education
Directory of Open Access Journals (Sweden)
Andri Yatmo Yandi
2018-01-01
Full Text Available Architectural education should promote the advancement of knowledge that is necessary as the basis for the development of excellent design practice. Architectural education needs to respond appropriately to the current issues in the society. To find its way into the society in an appropriate way, architecture needs to be liquid. The ability to address the liquidity of architecture requires educational approach that promotes the ability to work with a range of design methods and approaches. There are several principles that become the basis for developing architectural education that could strengthen its position within the society: to promote knowledge-based design practice, to embrace variety of design methods and approaches; to keep a balance between design knowledge and design skills; while at the same time to aim for mastery and excellence in design. These principles should be the basis for defining and developing the curriculum and the process of design learning architectural education. Then the main challenge is on our willingness to be liquid in developing architectural education, which needs continuous renewal and update to respond to the changing context of knowledge, technology and society.
Design Methods for Young Sustainable Architecture Practice
Jauslin, D.; Drexler, H.; Curiel, F.
2012-01-01
This paper introduces landscape aesthetics as an innovative design method for sustainable architecture. It is based on the framework of a recent paper where the young and unfamous authors criticized three of the most prominent? architects today in regard to sustainable architecture and its aesthetics. Leading architects expressed their skepticism as to whether there is such a thing as aesthetics in sustainable architecture, or for that matter, if architecture can indeed be sustainable at all....
What professionals consider when designing a modular service architecture
Broekhuis, Manda; van Offenbeek, Marjolein; van der Laan, Monique
2017-01-01
Abstract Purpose: The paper explores how functional and appropriateness arguments influence the adoption of modularity principles during the design of a professional service architecture. Design: Action design research was conducted to examine the design process of a modular service architecture for
Automated Design of Application-Specific Smart Camera Architectures
Caarls, W.
2008-01-01
Parallel heterogeneous multiprocessor systems are often shunned in embedded system design, not only because of their design complexity but because of the programming burden. Programs for such systems are architecture-dependent: the application developer needs architecture-specific knowledge to
Directory of Open Access Journals (Sweden)
Yuki Fujita
2010-08-01
Full Text Available In vitro selection with long random RNA libraries has been used as a powerful method to generate novel functional RNAs, although it often requires laborious structural analysis of isolated RNA molecules. Rational RNA design is an attractive alternative to avoid this laborious step, but rational design of catalytic modules is still a challenging task. A hybrid strategy of in vitro selection and rational design has been proposed. With this strategy termed “design and selection,” new ribozymes can be generated through installation of catalytic modules onto RNA scaffolds with defined 3D structures. This approach, the concept of which was inspired by the modular architecture of naturally occurring ribozymes, allows prediction of the overall architectures of the resulting ribozymes, and the structural modularity of the resulting ribozymes allows modification of their structures and functions. In this review, we summarize the design, generation, properties, and engineering of four classes of ligase ribozyme generated by design and selection.
A federated design for a neurobiological simulation engine: the CBI federated software architecture.
Directory of Open Access Journals (Sweden)
Hugo Cornelis
Full Text Available Simulator interoperability and extensibility has become a growing requirement in computational biology. To address this, we have developed a federated software architecture. It is federated by its union of independent disparate systems under a single cohesive view, provides interoperability through its capability to communicate, execute programs, or transfer data among different independent applications, and supports extensibility by enabling simulator expansion or enhancement without the need for major changes to system infrastructure. Historically, simulator interoperability has relied on development of declarative markup languages such as the neuron modeling language NeuroML, while simulator extension typically occurred through modification of existing functionality. The software architecture we describe here allows for both these approaches. However, it is designed to support alternative paradigms of interoperability and extensibility through the provision of logical relationships and defined application programming interfaces. They allow any appropriately configured component or software application to be incorporated into a simulator. The architecture defines independent functional modules that run stand-alone. They are arranged in logical layers that naturally correspond to the occurrence of high-level data (biological concepts versus low-level data (numerical values and distinguish data from control functions. The modular nature of the architecture and its independence from a given technology facilitates communication about similar concepts and functions for both users and developers. It provides several advantages for multiple independent contributions to software development. Importantly, these include: (1 Reduction in complexity of individual simulator components when compared to the complexity of a complete simulator, (2 Documentation of individual components in terms of their inputs and outputs, (3 Easy removal or replacement of
Advanced Concept Architecture Design and Integrated Analysis (ACADIA)
2017-11-03
1 Advanced Concept Architecture Design and Integrated Analysis (ACADIA) Submitted to the National Institute of Aerospace (NIA) on...Research Report 20161001 - 20161030 Advanced Concept Architecture Design and Integrated Analysis (ACADIA) W911NF-16-2-0229 8504Cedric Justin, Youngjun
Current strategies in multiphasic scaffold design for osteochondral tissue engineering: A review.
Yousefi, Azizeh-Mitra; Hoque, Md Enamul; Prasad, Rangabhatala G S V; Uth, Nicholas
2015-07-01
The repair of osteochondral defects requires a tissue engineering approach that aims at mimicking the physiological properties and structure of two different tissues (cartilage and bone) using specifically designed scaffold-cell constructs. Biphasic and triphasic approaches utilize two or three different architectures, materials, or composites to produce a multilayered construct. This article gives an overview of some of the current strategies in multiphasic/gradient-based scaffold architectures and compositions for tissue engineering of osteochondral defects. In addition, the application of finite element analysis (FEA) in scaffold design and simulation of in vitro and in vivo cell growth outcomes has been briefly covered. FEA-based approaches can potentially be coupled with computer-assisted fabrication systems for controlled deposition and additive manufacturing of the simulated patterns. Finally, a summary of the existing challenges associated with the repair of osteochondral defects as well as some recommendations for future directions have been brought up in the concluding section of this article. © 2014 Wiley Periodicals, Inc.
Emilia Conte
2016-01-01
The sustainability paradigm implies a cultural shift in order to really change the world and society. Education, and specifically higher education, plays the crucial role of preparing students to be not only responsible citizens but also actors and promoters of processes and actions for a sustainable development. This is important in general and even more significant in architecture and engineering fields, as those students will be the designers of the built environment of tomorrow.This paper...
Flow-based approach for holistic factory engineering and design
Constantinescu, C.; Westkämper, E.
2010-01-01
The engineering of future factories requires digital tools along life cycle phases from investment planning to ramp-up. Manufacturers need scientific-based integrated highly dynamic data management systems for the participative and integrated factory planning. The paper presents a new approach for the continuously integrated product design, factory and process planning, through a service-oriented architecture for the implementation of digital factory tools. A first prototype of the digital fa...
The signs of life in architecture.
Gruber, Petra
2008-06-01
Engineers, designers and architects often look to nature for inspiration. The research on 'natural constructions' is aiming at innovation and the improvement of architectural quality. The introduction of life sciences terminology in the context of architecture delivers new perspectives towards innovation in architecture and design. The investigation is focused on the analogies between nature and architecture. Apart from other principles that are found in living nature, an interpretation of the so-called 'signs of life', which characterize living systems, in architecture is presented. Selected architectural projects that have applied specific characteristics of life, whether on purpose or not, will show the state of development in this field and open up future challenges. The survey will include famous built architecture as well as students' design programs, which were carried out under supervision of the author at the Department of Design and Building Construction at the Vienna University of Technology.
[The architectural design of psychiatric care buildings].
Dunet, Lionel
2012-01-01
The architectural design of psychiatric care buildings. In addition to certain "classic" creations, the Dunet architectural office has designed several units for difficult patients as well as a specially adapted hospitalisation unit. These creations which are demanding in terms of the organisation of care require close consultation with the nursing teams. Testimony of an architect who is particularly engaged in the universe of psychiatry.
Architectural design of experience based factory model for software ...
African Journals Online (AJOL)
architectural design. Automation features are incorporated in the design in which workflow system and intelligent agents are integrated, and the facilitation of cloud environment is empowered to further support the automation. Keywords: architectural design; knowledge management; experience factory; workflow;
DEFF Research Database (Denmark)
Thompson, Mary Kathryn
2013-01-01
faculty, research and education communities, conferences, and journals. However, design remains an emerging sub-discipline in civil and environmental engineering – practiced, valued, and taught but not subject to rigorous academic research. This paper presents some of the challenges associated...... with the establishment of design as a research discipline within civil and environmental engineering, some of the benefits and opportunities that will come from that establishment, and some evidence for the fact that this process has already begun.......There are a number of fields including architecture, industrial design, and urban planning and design, where design is the discipline upon which all research and teaching activities are based. In other fields such as aerospace and mechanical engineering, design is a sub-discipline with its own...
Schröder, Torsten
2018-01-01
The question of how to give meaning to the concept of sustainability in architectural design practices is highly contested today. Although architects, engineers, clients, politicians, and others seem to agree that sustainability must be addressed, behind this apparent consensus many ambiguities,
Mapping the Intangible: On Adaptivity and Relational Prototyping in Architectural Design
DEFF Research Database (Denmark)
Bolbroe, Cameline
2016-01-01
In recent years, new computing technologies in architecture have led to the possibility of designing architecture with non-static qualities, which affords the architectural designer with a whole new opportunity space to explore. At the same time, this opportunity space challenges both...... to meet the challenges of designing with adaptivity in architecture, I propose a particular method specifically tailored for adaptive architectural design. The method, relational prototyping, is founded on the idea of inhabitation as an act. Relational prototyping adapts techniques from performance...
Architectural Design Propaedeutics in Russia: History and Prospects
Lee, I. S.
2017-11-01
Architectural design propaedeutics is the introductory course of the composition basics which largely determines the process of professional training of an architect and a designer and the result of their work in the form of artistically meaningful artificial human environment. The article gives a brief overview of the history of propedeutics development in Russia, the experience of application and the prospects of development of the methods used to teach the basics of composition to future professionals. The article considered the main direction of the VKHUTEMAS development, Moscow Architectural Institute. Further, the paper identifies he connection of propedeutics with the architectural and design practice of the corresponding period. The article addresses to the author’s personal experiences related to the composition basics learning at Moscow Architectural Institute in the 70-ies of the last century. Besides, it presents the examples of the works made by the students from South Ural State University at the Chair of Design and Fine Arts.
Distributed Control Architecture for Gas Turbine Engine. Chapter 4
Culley, Dennis; Garg, Sanjay
2009-01-01
The transformation of engine control systems from centralized to distributed architecture is both necessary and enabling for future aeropropulsion applications. The continued growth of adaptive control applications and the trend to smaller, light weight cores is a counter influence on the weight and volume of control system hardware. A distributed engine control system using high temperature electronics and open systems communications will reverse the growing trend of control system weight ratio to total engine weight and also be a major factor in decreasing overall cost of ownership for aeropropulsion systems. The implementation of distributed engine control is not without significant challenges. There are the needs for high temperature electronics, development of simple, robust communications, and power supply for the on-board electronics.
Application of ant colony Algorithm and particle swarm optimization in architectural design
Song, Ziyi; Wu, Yunfa; Song, Jianhua
2018-02-01
By studying the development of ant colony algorithm and particle swarm algorithm, this paper expounds the core idea of the algorithm, explores the combination of algorithm and architectural design, sums up the application rules of intelligent algorithm in architectural design, and combines the characteristics of the two algorithms, obtains the research route and realization way of intelligent algorithm in architecture design. To establish algorithm rules to assist architectural design. Taking intelligent algorithm as the beginning of architectural design research, the authors provide the theory foundation of ant colony Algorithm and particle swarm algorithm in architectural design, popularize the application range of intelligent algorithm in architectural design, and provide a new idea for the architects.
Interdisciplinary Interactions During R&D and Early Design of Large Engineered Systems
McGowan, Anna-Maria Rivas
2014-01-01
Designing Large-Scale Complex Engineered Systems (LaCES) such as aircraft and submarines requires the input of thousands of engineers and scientists whose work is proximate in neither time nor space. Comprehensive knowledge of the system is dispersed among specialists whose expertise is in typically one system component or discipline. This study examined the interactive work practices among such specialists seeking to improve engineering practice through a rigorous and theoretical understanding of current practice. This research explored current interdisciplinary practices and perspectives during R&D and early LaCES design and identified why these practices and perspectives prevail and persist. The research design consisted of a three-fold, integrative approach that combined an open-ended survey, semi-structured interviews, and ethnography. Significant empirical data from experienced engineers and scientists in a large engineering organization were obtained and integrated with theories from organization science and engineering. Qualitative analysis was used to obtain a holistic, contextualized understanding. The over-arching finding is that issues related to cognition, organization, and social interrelations mostly dominate interactions across disciplines. Engineering issues, such as the integration of hardware or physics-based models, are not as significant. For example, organization culture is an important underlying factor that guided researchers more toward individual sovereignty over cross-disciplinarity. The organization structure and the engineered system architecture also serve as constraints to the engineering work. Many differences in work practices were observed, including frequency and depth of interactions, definition or co-construction of requirements, clarity or creation of the system architecture, work group proximity, and cognitive challenges. Practitioners are often unaware of these differences resulting in confusion and incorrect assumptions
Saranummi, Niilo
2005-01-01
The PICNIC architecture aims at supporting inter-enterprise integration and the facilitation of collaboration between healthcare organisations. The concept of a Regional Health Economy (RHE) is introduced to illustrate the varying nature of inter-enterprise collaboration between healthcare organisations collaborating in providing health services to citizens and patients in a regional setting. The PICNIC architecture comprises a number of PICNIC IT Services, the interfaces between them and presents a way to assemble these into a functioning Regional Health Care Network meeting the needs and concerns of its stakeholders. The PICNIC architecture is presented through a number of views relevant to different stakeholder groups. The stakeholders of the first view are national and regional health authorities and policy makers. The view describes how the architecture enables the implementation of national and regional health policies, strategies and organisational structures. The stakeholders of the second view, the service viewpoint, are the care providers, health professionals, patients and citizens. The view describes how the architecture supports and enables regional care delivery and process management including continuity of care (shared care) and citizen-centred health services. The stakeholders of the third view, the engineering view, are those that design, build and implement the RHCN. The view comprises four sub views: software engineering, IT services engineering, security and data. The proposed architecture is founded into the main stream of how distributed computing environments are evolving. The architecture is realised using the web services approach. A number of well established technology platforms and generic standards exist that can be used to implement the software components. The software components that are specified in PICNIC are implemented in Open Source.
Reflective Subjects in Kant and Architectural Design Education
Rawes, Peg
2007-01-01
In architectural design education, students develop drawing, conceptual, and critical skills which are informed by their ability to reflect upon the production of ideas in design processes and in the urban, environmental, social, historical, and cultural context that define architecture and the built environment. Reflective actions and thinking…
The Design and Evaluation of a Cryptography Teaching Strategy for Software Engineering Students
Dowling, T.
2006-01-01
The present paper describes the design, implementation and evaluation of a cryptography module for final-year software engineering students. The emphasis is on implementation architectures and practical cryptanalysis rather than a standard mathematical approach. The competitive continuous assessment process reflects this approach and rewards…
Symbiotic architecture: Redefinition of recycling design principles
Milan Šijaković; Ana Perić
2018-01-01
The study seeks to examine the possibility of implementing the biological concept of symbiosis into the field of architecture for redefining the design principles of architectural recycling. Through an in-depth analysis of the biological concept of symbiosis (i.e., a close and often long-term interaction between two or more different biological species and the criteria that govern the differentiation between symbiotic associations), three redefined design principles of recycling—commensalism,...
Zhao, Nifang; Yang, Miao; Zhao, Qian; Gao, Weiwei; Xie, Tao; Bai, Hao
2017-05-23
Through designing hierarchical structures, particularly optimizing the chemical and architectural interactions at its inorganic/organic interface, nacre has achieved an excellent combination of contradictory mechanical properties such as strength and toughness, which is highly demanded yet difficult to achieve by most synthetic materials. Most techniques applied to develop nacre-mimetic composites have been focused on mimicking the "brick-and-mortar" structure, but the interfacial architectural features, especially the asperities and mineral bridges of "bricks", have been rarely concerned, which are of equal importance for enhancing mechanical properties of nacre. Here, we used a modified bidirectional freezing method followed by uniaxial pressing and chemical reduction to assemble a nacre-mimetic graphene/poly(vinyl alcohol) composite film, with both asperities and bridges introduced in addition to the lamellar layers to mimic the interfacial architectural interactions found in nacre. As such, we have developed a composite film that is not only strong (up to ∼150.9 MPa), but also tough (up to ∼8.50 MJ/m 3 ), and highly stretchable (up to ∼10.44%), difficult to obtain by other methods. This was all achieved by only interfacial architectural engineering within the traditional "brick-and-mortar" structure, without introducing a third component or employing chemical cross-linker as in some other nacre-mimetic systems. More importantly, we believe that the design principles and processing strategies reported here can also be applied to other material systems to develop strong and stretchable materials.
The Impact of Basic Architectural Design
DEFF Research Database (Denmark)
Naboni, Emanuele; Malcangi, Antonio
2015-01-01
The research explores the impact of the shape, construction type, materials and components of buildings and users' scenarios – on buildings' key energy loads (heating, cooling and lighting) in Copenhagen's climate. Applying a genetic algorithm, a search space consisting of over 408,000 simulated ...... by proper basic design choices based on energy simulation coupled with genetic optimization. The Impact of Basic Architectural Design. Thinking beyond BR10 and Passivhaus Standard Prescriptions with the Use of Genetic Optimization (PDF Download Available). Available from: https......://www.researchgate.net/publication/287994281_The_Impact_of_Basic_Architectural_Design_Thinking_beyond_BR10_and_Passivhaus_Standard_Prescriptions_with_the_Use_of_Genetic_Optimization [accessed Jan 21, 2016]....
Designs and Architectures for the Next Generation of Organic Solar Cells
Directory of Open Access Journals (Sweden)
Kang-Shyang Liao
2010-06-01
Full Text Available Organic solar cells show great promise as an economically and environmentally friendly technology to utilize solar energy because of their simple fabrication processes and minimal material usage. However, new innovations and breakthroughs are needed for organic solar cell technology to become competitive in the future. This article reviews research efforts and accomplishments focusing on three issues: power conversion efficiency, device stability and processability for mass production, followed by an outlook for optimizing OSC performance through device engineering and new architecture designs to realize next generation organic solar cells.
Exploring Socio-material Orderings in Ethnography of Architectural Design
DEFF Research Database (Denmark)
Lotz, Katrine; Stang Våland, Marianne
How can the socio-material assemblages involved in contemporary ‘doings of architecture’ be identified and studied? The paper discusses recent transformations within architectural design practice and the extended network of local actors and technologies mobilized in contemporary building...... construction. Interested in examining how the current requirements in the field are – and can be - handled in architectural production, we aim to contribute to the ongoing development of ethnography of Architectural Design (Yaneva 2008, 2009, 2012). In our research, we focus on recent requirements...... in contemporary architectural design. We attempt to follow the actors in detail through their socio-material involvements and ‘architectural inventions’ such as visualized motives of space, materials, atmospheres, buildingparts and -components, and to explore how relations continuously tie and untie as a means...
Energy Technology Data Exchange (ETDEWEB)
Aragon, Kathryn M.; Eaton, Shelley M.; McCornack, Marjorie Turner; Shannon, Sharon A.
2014-12-01
When a requirements engineering effort fails to meet expectations, often times the requirements management tool is blamed. Working with numerous project teams at Sandia National Laboratories over the last fifteen years has shown us that the tool is rarely the culprit; usually it is the lack of a viable information architecture with well- designed processes to support requirements engineering. This document illustrates design concepts with rationale, as well as a proven information architecture to structure and manage information in support of requirements engineering activities for any size or type of project. This generalized information architecture is specific to IBM's Rational DOORS (Dynamic Object Oriented Requirements System) software application, which is the requirements management tool in Sandia's CEE (Common Engineering Environment). This generalized information architecture can be used as presented or as a foundation for designing a tailored information architecture for project-specific needs. It may also be tailored for another software tool. Version 1.0 4 November 201
The Exploration of Green Architecture Design Integration Teaching Mode
Shuang, Liang; Yibin, Han
2016-01-01
With the deepening of the concept of green building design, the course of university education gradually exposed many problems in the teaching of architectural design theory; based on the existing mode of teaching and combined with the needs of architectural design practice it proposed the "integrated" method of green building design. It…
SYSTEMATIC DESIGNING IN ARCHITECTURAL EDUCATION: AN EXPERIENCE OF HOSPITAL DESIGN
Directory of Open Access Journals (Sweden)
Dicle AYDIN
2010-07-01
Full Text Available Architectural design is defined as decision-making process. Design studios play an important role in experiencing this process and provide the competence of design to prospective architects. The instructors of architecture aim to compel the imagination of the students develop creative thinking, raising the awareness among students about their abilities. Furthermore, executives of the studios pay attention to delimitative elements in design in order to provide the competence of problem solving for students. Each experience in education period prepares the prospective architects for the social environment and the realities of the future. The aim of the study is to examine a practicing in architectural education. The general hospital project was carried out with 40 students and 4 project executives within the 2007-2008 academic year Spring Semester Studio-7 courses. The steps followed in the studio process were analyzed with the design problem of “hospital”. Evaluations were performed on; the solution of functional-spatial organization, solutions about the activities of the users, convenience with the standards and regulations and prosperity-aesthetic notions in internal space. Prospective architects generally became successful in the design of hospital building with complex function. This experience raised awareness about access to information via thinking, provision of a new position for information in each concept.
DEFF Research Database (Denmark)
Løkkegaard, Martin; Mortensen, Niels Henrik; Hvam, Lars
2018-01-01
component sharing, or sharing critical design principles. This alignment is not trivial, as extensive design knowledge is needed to overview a portfolio with many, often highly different products and manufacturing lines. In this paper, we suggest establishing a frame of reference for new......When introducing new architectures to an industrial portfolio, counting multiple existing product and manufacturing solutions, time-to-market and investments in manufacturing equipment can be significantly reduced if new concepts are aligned with the existing portfolio. This can be done through......-product introduction based on several ‘game rules’, or Business Critical Design Rules (BCDRs), which denote the most critical features of the product and manufacturing architectures, and should be considered an obligatory reference for design when introducing new architectures. BCDRs are derived from the portfolio...
Computer architecture a quantitative approach
Hennessy, John L
2019-01-01
Computer Architecture: A Quantitative Approach, Sixth Edition has been considered essential reading by instructors, students and practitioners of computer design for over 20 years. The sixth edition of this classic textbook is fully revised with the latest developments in processor and system architecture. It now features examples from the RISC-V (RISC Five) instruction set architecture, a modern RISC instruction set developed and designed to be a free and openly adoptable standard. It also includes a new chapter on domain-specific architectures and an updated chapter on warehouse-scale computing that features the first public information on Google's newest WSC. True to its original mission of demystifying computer architecture, this edition continues the longstanding tradition of focusing on areas where the most exciting computing innovation is happening, while always keeping an emphasis on good engineering design.
Design of low-power coarse-grained reconfigurable architectures
Kim, Yoonjin
2010-01-01
Coarse-grained reconfigurable architecture (CGRA) has emerged as a solution for flexible, application-specific optimization of embedded systems. Helping you understand the issues involved in designing and constructing embedded systems, Design of Low-Power Coarse-Grained Reconfigurable Architectures offers new frameworks for optimizing the architecture of components in embedded systems in order to decrease area and save power. Real application benchmarks and gate-level simulations substantiate these frameworks.The first half of the book explains how to reduce power in the configuration cache. T
Cultural schema and design activity in an architectural design studio
Directory of Open Access Journals (Sweden)
Gökçe Ketizmen Önal
2017-06-01
Full Text Available Research on the cognitive activities and on the structure and quality of knowledge flow involved in architectural design education is increasing. These studies generally focus on the interaction between student and instructor, including processes such as producing ideas, solving display problems, and integrating design strategies. These studies commonly include computational evaluations and confirmation of the coding of knowledge. They may also include the determination of designer׳s thoughts and cognitive actions of design process, as well as the analysis and digitization of verbal protocols during the design process. In most of these studies, the designer׳s cultural and psychological components are not considered. Accordingly, research on the effects of designers’ cultural schema on design activity in design studios is limited. This study aimed to solve this problem by analyzing the relationship between design activity and the designer׳s cultural schema in a design studio. We performed an experimental study based on a specific conceptual framework and a research model aimed at identifying the relationships among cultural schemas, the architectural design process, and design studios.
Expanding color design methods for architecture and allied disciplines
Linton, Harold E.
2002-06-01
The color design processes of visual artists, architects, designers, and theoreticians included in this presentation reflect the practical role of color in architecture. What the color design professional brings to the architectural design team is an expertise and rich sensibility made up of a broad awareness and a finely tuned visual perception. This includes a knowledge of design and its history, expertise with industrial color materials and their methods of application, an awareness of design context and cultural identity, a background in physiology and psychology as it relates to human welfare, and an ability to problem-solve and respond creatively to design concepts with innovative ideas. The broadening of the definition of the colorists's role in architectural design provides architects, artists and designers with significant opportunities for continued professional and educational development.
Microprocessor architectures RISC, CISC and DSP
Heath, Steve
1995-01-01
'Why are there all these different processor architectures and what do they all mean? Which processor will I use? How should I choose it?' Given the task of selecting an architecture or design approach, both engineers and managers require a knowledge of the whole system and an explanation of the design tradeoffs and their effects. This is information that rarely appears in data sheets or user manuals. This book fills that knowledge gap.Section 1 provides a primer and history of the three basic microprocessor architectures. Section 2 describes the ways in which the architectures react with the
Parametric Approach in Designing Large-Scale Urban Architectural Objects
Directory of Open Access Journals (Sweden)
Arne Riekstiņš
2011-04-01
Full Text Available When all the disciplines of various science fields converge and develop, new approaches to contemporary architecture arise. The author looks towards approaching digital architecture from parametric viewpoint, revealing its generative capacity, originating from the fields of aeronautical, naval, automobile and product-design industries. The author also goes explicitly through his design cycle workflow for testing the latest methodologies in architectural design. The design process steps involved: extrapolating valuable statistical data about the site into three-dimensional diagrams, defining certain materiality of what is being produced, ways of presenting structural skin and structure simultaneously, contacting the object with the ground, interior program definition of the building with floors and possible spaces, logic of fabrication, CNC milling of the proto-type. The author’s developed tool that is reviewed in this article features enormous performative capacity and is applicable to various architectural design scales.Article in English
Engineering Plant Architecture via CRISPR/Cas9-mediated Alteration of Strigolactone Biosynthesis
Butt, Haroon
2018-01-28
Precision plant genome engineering holds much promise for targeted improvement of crop traits via unprecedented single-base level control over the genetic material. Strigolactones (SLs) are a key determinant of plant architecture, known for their role in inhibiting shoot branching (tillering). Here, we used CRISPR/Cas9 in rice (Oryza sativa) for targeted disruption of CAROTENOID CLEAVAGE DIOXYGENASE 7 (CCD7), which controls a key step in SL biosynthesis. The ccd7 mutants exhibited a striking increase in tillering, combined with a dwarf phenotype, which could be rescued by application of the synthetic SL analog GR24. Striga germination assays and liquid chromatography mass spectrometry analysis showed that root exudates of ccd7 mutants were also SL deficient. Taken together, our results show the power of CRISPR/Cas9 for targeted engineering of plant architecture and for elucidating the molecular underpinnings of architecture-related traits.
Engineering Plant Architecture via CRISPR/Cas9-mediated Alteration of Strigolactone Biosynthesis
Butt, Haroon; Jamil, Muhammad; Wang, Jian You; Al-Babili, Salim; Mahfouz, Magdy M.
2018-01-01
Precision plant genome engineering holds much promise for targeted improvement of crop traits via unprecedented single-base level control over the genetic material. Strigolactones (SLs) are a key determinant of plant architecture, known for their role in inhibiting shoot branching (tillering). Here, we used CRISPR/Cas9 in rice (Oryza sativa) for targeted disruption of CAROTENOID CLEAVAGE DIOXYGENASE 7 (CCD7), which controls a key step in SL biosynthesis. The ccd7 mutants exhibited a striking increase in tillering, combined with a dwarf phenotype, which could be rescued by application of the synthetic SL analog GR24. Striga germination assays and liquid chromatography mass spectrometry analysis showed that root exudates of ccd7 mutants were also SL deficient. Taken together, our results show the power of CRISPR/Cas9 for targeted engineering of plant architecture and for elucidating the molecular underpinnings of architecture-related traits.
TEACHING DESIGN AT THE LIMITS OF ARCHITECTURE
Directory of Open Access Journals (Sweden)
Nikos A. Salingaros
2010-07-01
Full Text Available Pre-industrial architects inherently knew the effectual dimension of design through its materiality, detail, and form. Until now, the intellectual dichotomy of human thinking held that mind and body were separate entities, drawing a distinction between reasoned thought and feeling. The early Greek philosophers distinguished between these two realms. Theories on beauty, the human aesthetic impulse, and design were divided along the objective and subjective lines for centuries. In more current architectural terms, the objective dimension of industry gave structure and perceived virtue to the modernist paradigm, while at the same time clearing the way (tabula rasa for the rampant subjectivity we now see in the idiosyncratic expressions of so many contemporary architects. By revealing the relationship between our physical and mental processes, neuroscience re-situates the debate on physical reality well outside the intellectual enterprise of aesthetically driven design. Clear measures can now be evidenced, documented, and applied to establish a new, more effective, and humanly engaging way to build. This new architecture draws upon those mechanisms of neuro-connectivity that help us to feel safe and secure. From this knowledge we have developed a new model for building/rebuilding the world, called Intelligence-Based Design. Intelligence-Based Design is the purposeful manipulation of the built environment to engage humans in an essential manner through complex organized information. Intelligence-Based Theory evidences the direct neurological evaluations of surface, structure, pattern, texture, and form, etc., and maintains that our sense of well being is established through positive neuro-engagement with the physical world at the deepest level common to all people, i.e. “Innate Intelligence.” This paper describes a senior architectural design studio taught using the precepts of Intelligence-Based Design. We describe our methodology, and the
Design Methods for Young Sustainable Architecture Practice
Jauslin, D.; Drexler, H.; Curiel, F.
2012-01-01
This paper introduces landscape aesthetics as an innovative design method for sustainable architecture. It is based on the framework of a recent paper where the young and unfamous authors criticized three of the most prominent? architects today in regard to sustainable architecture and its
Applications of an architecture design and assessment system (ADAS)
Gray, F. Gail; Debrunner, Linda S.; White, Tennis S.
1988-01-01
A new Architecture Design and Assessment System (ADAS) tool package is introduced, and a range of possible applications is illustrated. ADAS was used to evaluate the performance of an advanced fault-tolerant computer architecture in a modern flight control application. Bottlenecks were identified and possible solutions suggested. The tool was also used to inject faults into the architecture and evaluate the synchronization algorithm, and improvements are suggested. Finally, ADAS was used as a front end research tool to aid in the design of reconfiguration algorithms in a distributed array architecture.
Application architecture for .NET designing applications and services
Microsoft. Redmond
2003-01-01
Get expert architectural and design-level guidance for building distributed solutions with the Microsoft® .NET Framework-learning how to synthesize your knowledge of application development, servers, and infrastructure and business requirements. This guide assumes you are familiar with .NET component development and the basic principles of a layered distributed application design. It examines architectural issues and solution design for a range of project stakeholders-whether you build and design applications and services, recommend appropriate technologies and products for applications and s
Collaboration within Student Design Teams Participating in Architectural Design Competitions
Erbil, Livanur; Dogan, Fehmi
2012-01-01
This paper investigates design collaboration with reference to convergent and divergent idea generation processes in architectural design teams entering a design competition. Study of design teams offer a unique opportunity to investigate how creativity is fostered through collaborative work. While views of creativity often relate creativity to…
OKeefe, Matthew (Editor); Kerr, Christopher L. (Editor)
1998-01-01
This report contains the abstracts and technical papers from the Second International Workshop on Software Engineering and Code Design in Parallel Meteorological and Oceanographic Applications, held June 15-18, 1998, in Scottsdale, Arizona. The purpose of the workshop is to bring together software developers in meteorology and oceanography to discuss software engineering and code design issues for parallel architectures, including Massively Parallel Processors (MPP's), Parallel Vector Processors (PVP's), Symmetric Multi-Processors (SMP's), Distributed Shared Memory (DSM) multi-processors, and clusters. Issues to be discussed include: (1) code architectures for current parallel models, including basic data structures, storage allocation, variable naming conventions, coding rules and styles, i/o and pre/post-processing of data; (2) designing modular code; (3) load balancing and domain decomposition; (4) techniques that exploit parallelism efficiently yet hide the machine-related details from the programmer; (5) tools for making the programmer more productive; and (6) the proliferation of programming models (F--, OpenMP, MPI, and HPF).
Architectural and structural qualities in timber joints
DEFF Research Database (Denmark)
Christensen, Jesper Thøger; Christensen, Mogens Fiil; Damkilde, Lars
2016-01-01
Design of joints in timber structures is crucial to reach both elegant and structural efficient designs. Design of joints should therefore be an integral part of the conceptual design phase. Traditionally this is not the case, and joints are often solely designed and analysed in the engineering...... but also increase timbers competitiveness in the building industry. The paper is part of an ongoing research project aiming at providing tools for an integrated design process for timber structures. The focus of the paper is to identify how structure and its joints contributes to architecture and vice...... design phase. The result is joints that function structurally but do not add value to the design, and may even compromise the architectural ideas. With an approach, integrating both structural and architectural design from the beginning, one should not only gain better structures and architecture...
Move-tecture: A Conceptual Framework for Designing Movement in Architecture
Yilmaz, Irem
2017-10-01
Along with the technological improvements in our age, it is now possible for the movement to become one of the basic components of the architectural space. Accordingly, architectural construction of movement changes both our architectural production practices and our understanding of architectural space. However, existing design concepts and approaches are insufficient to discuss and understand this change. In this respect, this study aims to form a conceptual framework on the relationship of architecture and movement. In this sense, the conceptualization of move-tecture is developed to research on the architectural construction of movement and the potentials of spatial creation through architecturally constructed movement. Move-tecture, is a conceptualization that treats movement as a basic component of spatial creation. It presents the framework of a qualitative categorization on the design of moving architectural structures. However, this categorization is a flexible one that can evolve in the direction of the expanding possibilities of the architectural design and the changing living conditions. With this understanding, six categories have been defined within the context of the article: Topological Organization, Choreographic Formation, Kinetic Structuring, Corporeal Constitution, Technological Configuration and Interactional Patterning. In line with these categories, a multifaceted perspective on the moving architectural structures is promoted. It is aimed that such an understanding constitutes a new initiative in the design practices carried out in this area and provides a conceptual basis for the discussions to be developed.
O'Droma, Mairtin S.; Ganchev, Ivan; McDonnell, Fergal
2003-01-01
Presents a comparative analysis from the Institute of Electrical and Electronics Engineers (IEEE) Learning Technology Standards Committee's (LTSC) of the architectural and functional design of e-learning delivery platforms and applications, e-learning course authoring tools, and learning management systems (LMSs), with a view of assessing how…
International Nuclear Information System (INIS)
Farahat, M.A.Z.
2016-01-01
This research discusses the design of nuclear research centers to help architects and engineers who will design these centers. Also, the research covers the site characteristics which are used in site selection of nuclear research centers. It covers the principles and standards used in design and planning of nuclear research centers. The master plan of a nuclear research center should be designed based on the system of segregation according to the level of radioactivity. Radiation safety is an important aspect in the design of nuclear research centers. The Egyptian Atomic Energy Authority consists of three nuclear research centers, namely, the Nuclear Research Center in Inshas (Grid Planning Concept), the Hot Laboratories and Waste Management Center in Inshas (Grid Planning Concept) and The National Center for Radiation Research and Technology in Nasr City (Linear Planning Concept). The Radial Planning Concept is the best among all the Planning Concepts as regard radiation safety considerations. Therefore, an architectural design of a new nuclear research center was proposed in a suitable site in North Western Coast of Egypt (Radial Planning Concept) using Auto CAD and 3ds Max programs. This site is suitable and satisfies many of the site requirements. It is recommended that the architectural design of nuclear research centers should be supervised by an architectural engineer experienced in architectural design of nuclear facilities
Design Principles for E-Government Architectures
Sandoz, Alain
The paper introduces a holistic approach for architecting systems which must sustain the entire e-government activity of a public authority. Four principles directly impact the architecture: Legality, Responsibility, Transparency, and Symmetry leading to coherent representations of the architecture for the client, the designer and the builder. The approach enables to deploy multipartite, distributed public services, including legal delegation of roles and outsourcing of non mandatory tasks through PPP.
Research and Design in Landscape Architecture
Nijhuis, S.; Bobbink, I.; Jauslin, D.T.
2011-01-01
This paper aims to introduce the particular methodology of the author's and their colleagues at TU Delft Chair of Landscape Architecture. This approach is characterised by theories, methods and techniques converging towards design and research and research by design. The relation and interaction
The Field Trip as Part of Spatial (Architectural) Design Art Classes
Batic, Janja
2011-01-01
Spatial (architectural) design is one of five fields introduced to pupils as part of art education. In planning architectural design tasks, one should take into consideration the particularities of the architectural design process and enable pupils to experience space and relationships within space through their own movement. Furthermore, pupils…
Change Impact Analysis of Crosscutting in Software Architectural Design
van den Berg, Klaas
2006-01-01
Software architectures should be amenable to changes in user requirements and implementation technology. The analysis of the impact of these changes can be based on traceability of architectural design elements. Design elements have dependencies with other software artifacts but also evolve in time.
Life-cycle design for sustainable architecture
Directory of Open Access Journals (Sweden)
Francesca Thiébat
2013-05-01
Full Text Available Sustainability in architecture should involve environmental and social aspects and also economic aspects. However, in a design process budget issues usually outweigh ecological aspects. How can we then drive clients and builders to put more socially responsible buildings on the market that do not exceed the fixed budget but are environmentally friendly? This paper propose an economic and environmental assessment tool to aid private or public building designers and owners to find the global sustainability value of a green building within a life cycle perspective. Sustainable life cycle tools for buildings design and construction help to achieve successfully integrated architecture. The research here presented proposes a new point of view of the “time-cost-quality triangle” of Project Management, by introducing three further aspects: environment, society and aesthetics.
LTSA Conformance Testing to Architectural Design of LMS Using Ontology
Sengupta, Souvik; Dasgupta, Ranjan
2017-01-01
This paper proposes a new methodology for checking conformance of the software architectural design of Learning Management System (LMS) to Learning Technology System Architecture (LTSA). In our approach, the architectural designing of LMS follows the formal modeling style of Acme. An ontology is built to represent the LTSA rules and the software…
Architectural Geometry and Fabrication-Aware Design
Pottmann, Helmut
2013-04-27
Freeform shapes and structures with a high geometric complexity play an increasingly important role in contemporary architecture. While digital models are easily created, the actual fabrication and construction remains a challenge. This is the source of numerous research problems many of which fall into the area of Geometric Computing and form part of a recently emerging research area, called "Architectural Geometry". The present paper provides a short survey of research in Architectural Geometry and shows how this field moves towards a new direction in Geometric Modeling which aims at combining shape design with important aspects of function and fabrication. © 2013 Kim Williams Books, Turin.
The Investigation on Using Unity3D Game Engine in Urban Design Study
Directory of Open Access Journals (Sweden)
Aswin Indraprastha
2009-05-01
Full Text Available Developing a virtual 3D environment by using game engine is a strategy to incorporate various multimedia data into one platform. The characteristic of game engine that is preinstalled with interactive and navigation tools allows users to explore and engage with the game objects. However, most CAD and GIS applications are not equipped with 3D tools and navigation systems intended to the user experience. In particular, 3D game engines provide standard 3D navigation tools as well as any programmable view to create engaging navigation thorough the virtual environment. By using a game engine, it is possible to create other interaction such as object manipulation, non playing character (NPC interaction with player and/or environment. We conducted analysis on previous game engines and experiment on urban design project with Unity3D game engine for visualization and interactivity. At the end, we present the advantages and limitations using game technology as visual representation tool for architecture and urban design studies.
Managing the complexity of collective architectural designing
Sebastian, R.
2006-01-01
This paper addresses the complexity of architectural designing whereby multiple designer work in close collaboration to conceive the design of an integrated building project at urban context. collaborative design conception has only recently been observed as a phenomenon of the built environment
Computational Strategies for the Architectural Design of Bending Active Structures
DEFF Research Database (Denmark)
Tamke, Martin; Nicholas, Paul
2013-01-01
Active bending introduces a new level of integration into the design of architectural structures, and opens up new complexities for the architectural design process. In particular, the introduction of material variation reconfigures the design space. Through the precise specification...
Architecture and Urban Studies to offer overseas design course
Chadwick, Heather Riley
2006-01-01
Virginia Tech's College of Architecture and Urban Studies invites professional architects and designers to participate in the 11th annual International Architecture and Design Continuing Education Course in Italy to be held in May. The deadline to register for this course is Saturday, April 15.
Parametric Architectural Design with Point-clouds
DEFF Research Database (Denmark)
Zwierzycki, Mateusz; Evers, Henrik Leander; Tamke, Martin
2016-01-01
This paper investigates the efforts and benefits of the implementation of point clouds into architectural design processes and tools. Based on a study on the principal work processes of designers with point clouds the prototypical plugin/library - Volvox - was developed for the parametric modelling...
Group Design Problems in Engineering Design Graphics.
Kelley, David
2001-01-01
Describes group design techniques used within the engineering design graphics sequence at Western Washington University. Engineering and design philosophies such as concurrent engineering place an emphasis on group collaboration for the solving of design problems. (Author/DDR)
A Concept Transformation Learning Model for Architectural Design Learning Process
Wu, Yun-Wu; Weng, Kuo-Hua; Young, Li-Ming
2016-01-01
Generally, in the foundation course of architectural design, much emphasis is placed on teaching of the basic design skills without focusing on teaching students to apply the basic design concepts in their architectural designs or promoting students' own creativity. Therefore, this study aims to propose a concept transformation learning model to…
Healthy eating design guidelines for school architecture.
Huang, Terry T-K; Sorensen, Dina; Davis, Steven; Frerichs, Leah; Brittin, Jeri; Celentano, Joseph; Callahan, Kelly; Trowbridge, Matthew J
2013-01-01
We developed a new tool, Healthy Eating Design Guidelines for School Architecture, to provide practitioners in architecture and public health with a practical set of spatially organized and theory-based strategies for making school environments more conducive to learning about and practicing healthy eating by optimizing physical resources and learning spaces. The design guidelines, developed through multidisciplinary collaboration, cover 10 domains of the school food environment (eg, cafeteria, kitchen, garden) and 5 core healthy eating design principles. A school redesign project in Dillwyn, Virginia, used the tool to improve the schools' ability to adopt a healthy nutrition curriculum and promote healthy eating. The new tool, now in a pilot version, is expected to evolve as its components are tested and evaluated through public health and design research.
Specification, Design, and Analysis of Advanced HUMS Architectures
Mukkamala, Ravi
2004-01-01
During the two-year project period, we have worked on several aspects of domain-specific architectures for HUMS. In particular, we looked at using scenario-based approach for the design and designed a language for describing such architectures. The language is now being used in all aspects of our HUMS design. In particular, we have made contributions in the following areas. 1) We have employed scenarios in the development of HUMS in three main areas. They are: (a) To improve reusability by using scenarios as a library indexing tool and as a domain analysis tool; (b) To improve maintainability by recording design rationales from two perspectives - problem domain and solution domain; (c) To evaluate the software architecture. 2) We have defined a new architectural language called HADL or HUMS Architectural Definition Language. It is a customized version of xArch/xADL. It is based on XML and, hence, is easily portable from domain to domain, application to application, and machine to machine. Specifications written in HADL can be easily read and parsed using the currently available XML parsers. Thus, there is no need to develop a plethora of software to support HADL. 3) We have developed an automated design process that involves two main techniques: (a) Selection of solutions from a large space of designs; (b) Synthesis of designs. However, the automation process is not an absolute Artificial Intelligence (AI) approach though it uses a knowledge-based system that epitomizes a specific HUMS domain. The process uses a database of solutions as an aid to solve the problems rather than creating a new design in the literal sense. Since searching is adopted as the main technique, the challenges involved are: (a) To minimize the effort in searching the database where a very large number of possibilities exist; (b) To develop representations that could conveniently allow us to depict design knowledge evolved over many years; (c) To capture the required information that aid the
Materials science and architecture
Bechthold, Martin; Weaver, James C.
2017-12-01
Materiality — the use of various materials in architecture — has been fundamental to the design and construction of buildings, and materials science has traditionally responded to needs formulated by design, engineering and construction professionals. Material properties and processes are shaping buildings and influencing how they perform. The advent of technologies such as digital fabrication, robotics and 3D printing have not only accelerated the development of new construction solutions, but have also led to a renewed interest in materials as a catalyst for novel architectural design. In parallel, materials science has transformed from a field that explains materials to one that designs materials from the bottom up. The conflation of these two trends is giving rise to materials-based design research in which architects, engineers and materials scientists work as partners in the conception of new materials systems and their applications. This Review surveys this development for different material classes (wood, ceramics, metals, concrete, glass, synthetic composites and polymers), with an emphasis on recent trends and innovations.
Architectural design and analysis of a programmable image processor
International Nuclear Information System (INIS)
Siyal, M.Y.; Chowdhry, B.S.; Rajput, A.Q.K.
2003-01-01
In this paper we present an architectural design and analysis of a programmable image processor, nicknamed Snake. The processor was designed with a high degree of parallelism to speed up a range of image processing operations. Data parallelism found in array processors has been included into the architecture of the proposed processor. The implementation of commonly used image processing algorithms and their performance evaluation are also discussed. The performance of Snake is also compared with other types of processor architectures. (author)
Schomaker, Lambertus
2016-01-01
This article gives an overview of design considerations for a handwriting search engine based on pattern recognition and high-performance computing, “Monk”. In order to satisfy multiple and often conflicting technological requirements, an architecture is used which heavily relies on high-performance
Sliva, Amy L.; Gorman, Joe; Voshell, Martin; Tittle, James; Bowman, Christopher
2016-05-01
The Dual Node Decision Wheels (DNDW) architecture concept was previously described as a novel approach toward integrating analytic and decision-making processes in joint human/automation systems in highly complex sociotechnical settings. In this paper, we extend the DNDW construct with a description of components in this framework, combining structures of the Dual Node Network (DNN) for Information Fusion and Resource Management with extensions on Rasmussen's Decision Ladder (DL) to provide guidance on constructing information systems that better serve decision-making support requirements. The DNN takes a component-centered approach to system design, decomposing each asset in terms of data inputs and outputs according to their roles and interactions in a fusion network. However, to ensure relevancy to and organizational fitment within command and control (C2) processes, principles from cognitive systems engineering emphasize that system design must take a human-centered systems view, integrating information needs and decision making requirements to drive the architecture design and capabilities of network assets. In the current work, we present an approach for structuring and assessing DNDW systems that uses a unique hybrid DNN top-down system design with a human-centered process design, combining DNN node decomposition with artifacts from cognitive analysis (i.e., system abstraction decomposition models, decision ladders) to provide work domain and task-level insights at different levels in an example intelligence, surveillance, and reconnaissance (ISR) system setting. This DNDW structure will ensure not only that the information fusion technologies and processes are structured effectively, but that the resulting information products will align with the requirements of human decision makers and be adaptable to different work settings .
Energy Technology Data Exchange (ETDEWEB)
Seryo, K [IBM Japan Ltd., Tokyo (Japan)
1991-12-10
The materialization of computer integrated manufacturing (CIM) requires an establishment of its systematizing system, i.e., architecturer and planning of the infrastructure to support it and basic engineering to support the informational utilization. The CIM architecture is classified into management and system structure, strategic planning method, System development introduction method, etc. The infrastructure aims at epoch-makingly heightening the productivity and speed by integrating the production planning, engineering design, accounting, sales, general business and affairs, production engineering, production activities, and activities of suppliers and clients. The informational utilization support engineering comprises the management support tool, decision making support tool, application development tool, etc. What is important is to establish a system of systematizing engineering in order not to be behind the strategic activation era of information to come. 17 refs., 4 figs., 1 tab.
Unay, Ali Ihsan; Ozmen, Cengiz
2006-01-01
This paper explores the place of structural design within undergraduate architectural education. The role and format of lecture-based structure courses within an education system, organized around the architectural design studio is discussed with its most prominent problems and proposed solutions. The fundamental concept of the current teaching…
Assessing the Impact of CAAD Design Tool on Architectural Design Education
Al-Matarneh, Rana; Fethi, Ihsan
2017-01-01
The current concept of architectural design education in most schools of architecture in Jordan is a blend between manual and digital approaches. However, the disconnection between these two methods has resulted in the students' failure to transfer skills learnt through traditional methods to the digital method of CAAD. The objective of this study…
Design Considerations. An Interior Architectural Design Approach to Interiors
Sawyer, William C.
1971-01-01
The State University Construction Fund, utilizing the nation's top professional talents, must design by contract, within fixed budgets and strict time schedules, quality architecture for 32 campuses in New York State. (Author)
Design of interstellar digital communication links: Some insights from communication engineering
Messerschmitt, David G.; Morrison, Ian S.
2012-09-01
The design of an end-to-end digital interstellar communication system at radio frequencies is discussed, drawing on the disciplines of digital communication engineering and computer network engineering in terrestrial and near-space applications. One goal is a roadmap to the design of such systems, aimed at future designers of either receivers (SETI) or transmitters (METI). In particular we emphasize the implications arising from the impossibility of coordination between transmitter and receiver prior to a receiver's search for a signal. A system architecture based on layering, as commonly used in network and software design, assists in organizing and categorizing the various design issues and identifying dependencies. Implications of impairments introduced in the interstellar medium, such as dispersion, scattering, Doppler, noise, and signal attenuation are discussed. Less fundamental (but nevertheless influential) design issues are the motivations of the transmitter designers and associated resource requirements at both transmitter and receiver. Unreliability is inevitably imposed by non-idealities in the physical communication channel, and this unreliability will have substantial implications for those seeking to convey interstellar messages.
Melhado, S.; Prins, M.; Emmitt, S.; Bouchlaghem, D.; Otter, den A.F.H.J.
2008-01-01
Following the Denmark meeting, held in Lyngby 2005, the CIB W096 commission on Architectural Management merged its own meetings with two large events, the Adaptables Conference in Eindhoven 2006, and the CIB world Conference in Cape Town in 2007. Papers were invited under the theme Design Management
Designing network on-chip architectures in the nanoscale era
Flich, Jose
2010-01-01
Going beyond isolated research ideas and design experiences, Designing Network On-Chip Architectures in the Nanoscale Era covers the foundations and design methods of network on-chip (NoC) technology. The contributors draw on their own lessons learned to provide strong practical guidance on various design issues.Exploring the design process of the network, the first part of the book focuses on basic aspects of switch architecture and design, topology selection, and routing implementation. In the second part, contributors discuss their experiences in the industry, offering a roadmap to recent p
Database reliability engineering designing and operating resilient database systems
Campbell, Laine
2018-01-01
The infrastructure-as-code revolution in IT is also affecting database administration. With this practical book, developers, system administrators, and junior to mid-level DBAs will learn how the modern practice of site reliability engineering applies to the craft of database architecture and operations. Authors Laine Campbell and Charity Majors provide a framework for professionals looking to join the ranks of today’s database reliability engineers (DBRE). You’ll begin by exploring core operational concepts that DBREs need to master. Then you’ll examine a wide range of database persistence options, including how to implement key technologies to provide resilient, scalable, and performant data storage and retrieval. With a firm foundation in database reliability engineering, you’ll be ready to dive into the architecture and operations of any modern database. This book covers: Service-level requirements and risk management Building and evolving an architecture for operational visibility ...
A COMPUTER APPLICATION FOR THE ARCHITECTURAL PROGRAM DEVELOPMENT IN DESIGN EDUCATION
Directory of Open Access Journals (Sweden)
Daniel de Carvalho Moreira
2012-02-01
Full Text Available The development of the architectural program in the design studio faces several difficulties. The purpose of the program is to describe the conditions where the building being designed will operate; this requires a lot of information and organization. Due to its complexity, the architetural program definition in the disciplines of design is often simplified. This article discusses such issue and proposes a computer application (SINFORMA that gathers information about the building and the theme of the project in order to develop the architectural program based on structures proposed by bibliographic references. The SINFORMA is composed by a framework which includes a data base and modules which analyze and organize functional requirements, according to the Problem Seeking method and the contemporary values of architecture enumerated by Hershberger. It is discussed how the application can be applied in design education and how it offers students a practical approach and a comprehensive data analysis for the design of built environment. Keywords: Architectural programming, Architectural design, Education.
Safety Design Requirements for The Interior Architecture of Scientific Research Laboratories
International Nuclear Information System (INIS)
ElDib, A.A.
2014-01-01
The paper discusses one of the primary objectives of interior architecture design of research laboratories (specially those using radioactive materials) where it should provide a safe, accessible environment for laboratory personnel to conduct their work. A secondary objective is to allow for maximum flexibility for safe research. Therefore, health and safety hazards must be anticipated and carefully evaluated so that protective measures can be incorporated into the interior architectural design of these facilities wherever possible. The interior architecture requirements discussed in this paper illustrate some of the basic health and safety design features required for new and remodeled laboratories.The paper discusses one of the primary objectives of interior architecture design of research laboratories (specially those using radioactive materials) where it should provide a safe, accessible environment for laboratory personnel to conduct their work. A secondary objective is to allow for maximum flexibility for safe research. Therefore, health and safety hazards must be anticipated and carefully evaluated so that protective measures can be incorporated into the interior architectural design of these facilities wherever possible. The interior architecture requirements discussed in this paper illustrate some of the basic health and safety design features required for new and remodeled laboratories.
Framework for Architecture Trade Study Using MBSE and Performance Simulation
Ryan, Jessica; Sarkani, Shahram; Mazzuchim, Thomas
2012-01-01
Increasing complexity in modern systems as well as cost and schedule constraints require a new paradigm of system engineering to fulfill stakeholder needs. Challenges facing efficient trade studies include poor tool interoperability, lack of simulation coordination (design parameters) and requirements flowdown. A recent trend toward Model Based System Engineering (MBSE) includes flexible architecture definition, program documentation, requirements traceability and system engineering reuse. As a new domain MBSE still lacks governing standards and commonly accepted frameworks. This paper proposes a framework for efficient architecture definition using MBSE in conjunction with Domain Specific simulation to evaluate trade studies. A general framework is provided followed with a specific example including a method for designing a trade study, defining candidate architectures, planning simulations to fulfill requirements and finally a weighted decision analysis to optimize system objectives.
The toolbus coordination architecture
Bergstra, J.A.; Klint, P.
1996-01-01
Building large, heterogeneous, distributed software systems poses serious problems for the software engineer; achieving interoperability of software systems is still a major challenge. We describe an experiment in designing a generic software architecture for solving these problems. To get
Energy Technology Data Exchange (ETDEWEB)
NONE
1997-03-01
The aim of our project is to develop high-performance processor architectures for both general purpose and application-specific purpose. We also plan to develop basic softwares, such as compliers, and various design aid tools for those architectures. We are particularly interested in performance evaluation at architecture design phase, design optimization, automatic generation of compliers from processor designs, and architecture design methodologies combined with circuit layout. We have investigated both microprocessor architectures and design methodologies / environments for the processors. Our goal is to establish design technologies for high-performance, low-power, low-cost and highly-reliable systems in system-on-silicon era. We have proposed PPRAM architecture for high-performance system using DRAM and logic mixture technology, Softcore processor architecture for special purpose processors in embedded systems, and Power-Pro architecture for low power systems. We also developed design methodologies and design environments for the above architectures as well as a new method for design verification of microprocessors. (NEDO)
Verifying Architectural Design Rules of the Flight Software Product Line
Ganesan, Dharmalingam; Lindvall, Mikael; Ackermann, Chris; McComas, David; Bartholomew, Maureen
2009-01-01
This paper presents experiences of verifying architectural design rules of the NASA Core Flight Software (CFS) product line implementation. The goal of the verification is to check whether the implementation is consistent with the CFS architectural rules derived from the developer's guide. The results indicate that consistency checking helps a) identifying architecturally significant deviations that were eluded during code reviews, b) clarifying the design rules to the team, and c) assessing the overall implementation quality. Furthermore, it helps connecting business goals to architectural principles, and to the implementation. This paper is the first step in the definition of a method for analyzing and evaluating product line implementations from an architecture-centric perspective.
Directory of Open Access Journals (Sweden)
Farzad Pour Rahimian
2011-03-01
Full Text Available Communications for information synchronization during the conceptual design phase require designers to employ more intuitive digital design tools. This paper presents findings of a feasibility study for using VR 3D sketching interface in order to replace current non-intuitive CAD tools. We used a sequential mixed method research methodology including a qualitative case study and a cognitive-based quantitative protocol analysis experiment. Foremost, the case study research was conducted in order to understand how novice designers make intuitive decisions. The case study documented the failure of conventional sketching methods in articulating complicated design ideas and shortcomings of current CAD tools in intuitive ideation. The case study’s findings then became the theoretical foundations for testing the feasibility of using VR 3D sketching interface during design. The latter phase of study evaluated the designers’ spatial cognition and collaboration at six different levels: "physical-actions", "perceptualactions", "functional-actions", "conceptual-actions", "cognitive synchronizations", and "gestures". The results and confirmed hypotheses showed that the utilized tangible 3D sketching interface improved novice designers’ cognitive and collaborative design activities. In summary this paper presents the influences of current external representation tools on designers’ cognition and collaboration as well as providing the necessary theoretical foundations for implementing VR 3D sketching interface. It contributes towards transforming conceptual architectural design phase from analogue to digital by proposing a new VR design interface. The paper proposes this transformation to fill in the existing gap between analogue conceptual architectural design process and remaining digital engineering parts of building design process hence expediting digital design process.
A Cloverleaf of Software Engineering
DEFF Research Database (Denmark)
Bjørner, Dines
2005-01-01
, however "lite". Third, despite 35 years of formal methods, the SE industry, maturity-wise still lags far behind that of other engineering disciplines. So we examine why. Finally, in several areas, in health care, in architecture, and others, we see that major undertakings are primarily spearheaded...... by senior academic staff. Professors of medicine daily perform specialized surgery and treatments at hospitals. Professors of architecture design new, daring buildings for industry, and professors of civil engineering head the engineering structural design of new, daring bridges. So we speculate what......We shall touch upon four issues of software engineering (SE): domain engineering, formal techniques, SE sociology, and academic software architects. First, before software can be designed one must understand its requirements; but before requirements can be formulated one must understand the domain...
A Risk Assessment Architecture for Enhanced Engine Operation
Litt, Jonathan S.; Sharp. Lauren M.; Guo, Ten-Huei
2010-01-01
On very rare occasions, in-flight emergencies have occurred that required the pilot to utilize the aircraft's capabilities to the fullest extent possible, sometimes using actuators in ways for which they were not intended. For instance, when flight control has been lost due to damage to the hydraulic systems, pilots have had to use engine thrust to maneuver the plane to the ground and in for a landing. To assist the pilot in these situations, research is being performed to enhance the engine operation by making it more responsive or able to generate more thrust. Enabled by modification of the propulsion control, enhanced engine operation can increase the probability of a safe landing during an inflight emergency. However, enhanced engine operation introduces risk as the nominal control limits, such as those on shaft speed, temperature, and acceleration, are exceeded. Therefore, an on-line tool for quantifying this risk must be developed to ensure that the use of an enhanced control mode does not actually increase the overall danger to the aircraft. This paper describes an architecture for the implementation of this tool. It describes the type of data and algorithms required and the information flow, and how the risk based on engine component lifing and operability for enhanced operation is determined.
Energy Technology Data Exchange (ETDEWEB)
2004-06-01
This guide was prepared to be a resource for federal construction project managers and others who want to integrate the principles of sustainable design into the procurement of professional building design and consulting services. To economize on energy costs and improve the safety, comfort, and health of building occupants, building design teams can incorporate daylighting, energy efficiency, renewable energy, and passive solar design into all projects in which these elements are technically and economically feasible. The information presented here will help project leaders begin the process and manage the inclusion of sustainable design in the procurement process. The section on establishing selection criteria contains key elements to consider before selecting an architectural and engineering (A/E) firm. The section on preparing the statement of work discusses the broad spectrum of sustainable design services that an A/E firm can provide. Several helpful checklists are included.
Design studio as a life space in architectural education: privacy requirements
Demirbaş, Özgen Osman
1997-01-01
Ankara : The Department of Interior Architecture and Environmental Design and the Institute of Fine Arts of Bilkent Univ., 1997. Thesis (Master's) -- Bilkent University, 1997. Includes bibliographical refences. There is a very important relationship between the educational outcomes and the architectural design of educational facilities. The most commonly used space in an architectural education is the design studio. Therefore, it is claimed that there should be a living process in a ...
Designing Domain-Specific Heterogeneous Architectures from Dataflow Programs
Directory of Open Access Journals (Sweden)
Süleyman Savas
2018-04-01
Full Text Available The last ten years have seen performance and power requirements pushing computer architectures using only a single core towards so-called manycore systems with hundreds of cores on a single chip. To further increase performance and energy efficiency, we are now seeing the development of heterogeneous architectures with specialized and accelerated cores. However, designing these heterogeneous systems is a challenging task due to their inherent complexity. We proposed an approach for designing domain-specific heterogeneous architectures based on instruction augmentation through the integration of hardware accelerators into simple cores. These hardware accelerators were determined based on their common use among applications within a certain domain.The objective was to generate heterogeneous architectures by integrating many of these accelerated cores and connecting them with a network-on-chip. The proposed approach aimed to ease the design of heterogeneous manycore architectures—and, consequently, exploration of the design space—by automating the design steps. To evaluate our approach, we enhanced our software tool chain with a tool that can generate accelerated cores from dataflow programs. This new tool chain was evaluated with the aid of two use cases: radar signal processing and mobile baseband processing. We could achieve an approximately 4 × improvement in performance, while executing complete applications on the augmented cores with a small impact (2.5–13% on area usage. The generated accelerators are competitive, achieving more than 90% of the performance of hand-written implementations.
Software architecture design patterns in Java
Kuchana, Partha
2004-01-01
AN INTRODUCTION TO DESIGN PATTERNSDesign Patterns: Origin and HistoryArchitectural to Software Design PatternsWhat is a Design Pattern?More about Design PatternsAbout This BookUNIFIED MODELING LANGUAGE (UML)UML: A Quick ReferenceClass DiagramsSequence diagramsBASIC PATTERNSInterfaceDescriptionExamplePractice QuestionsAbstract Parent ClassDescriptionExamplePractice QuestionsPrivate MethodsDescriptionExamplePractice QuestionsAccessor MethodsDescriptionAccessor Method NomenclatureExampleDirect Reference versus Accessor MethodsPractice QuestionsConstant Data ManagerDescriptionExamplePractice Quest
Snyder, Christopher A.
2014-01-01
A Large Civil Tiltrotor (LCTR) conceptual design was developed as part of the NASA Heavy Lift Rotorcraft Systems Investigation in order to establish a consistent basis for evaluating the benefits of advanced technology for large tiltrotors. The concept has since evolved into the second-generation LCTR2, designed to carry 90 passengers for 1,000 nautical miles at 300 knots, with vertical takeoff and landing capability. This paper explores gas turbine component performance and cycle parameters to quantify performance gains possible for additional improvements in component and material performance beyond those identified in previous LCTR2 propulsion studies and to identify additional research areas. The vehicle-level characteristics from this advanced technology generation 2 propulsion architecture will help set performance levels as additional propulsion and power systems are conceived to meet ever-increasing requirements for mobility and comfort, while reducing energy use, cost, noise and emissions. The Large Civil Tiltrotor vehicle and mission will be discussed as a starting point for this effort. A few, relevant engine and component technology studies, including previous LCTR2 engine study results will be summarized to help orient the reader on gas turbine engine architecture, performance and limitations. Study assumptions and methodology used to explore engine design and performance, as well as assess vehicle sizing and mission performance will then be discussed. Individual performance for present and advanced engines, as well as engine performance effects on overall vehicle size and mission fuel usage, will be given. All results will be summarized to facilitate understanding the importance and interaction of various component and system performance on overall vehicle characteristics.
Interdisciplinary skills in architectural and engineering education programs
DEFF Research Database (Denmark)
Andersson, Niclas; Andersson, Pernille Hammar
2006-01-01
and requirements for reinforcement of the interdisciplinary skills within the architectural and engineering education programs as to face the challenges from industry. The study claims that the development of interdisciplinary skills should be regarded a pedagogical issue that can be accomplished by integrative...... project. Besides, new and more integrated forms of co-operation between the various actors make the prevalent professional disciplines more ambiguous and it compels into a need for trans-professional skills among the actors. In contrast to the requirements for interdisciplinary skills, the educational...... training programmes of architects and engineers are traditionally characterised by strict disciplinary boundaries. Thus, the prevailing educational system is challenged to meet the demands for trans-professional skills within industry. The purpose of this paper is to outline some pedagogical prerequisites...
Fast underdetermined BSS architecture design methodology for real time applications.
Mopuri, Suresh; Reddy, P Sreenivasa; Acharyya, Amit; Naik, Ganesh R
2015-01-01
In this paper, we propose a high speed architecture design methodology for the Under-determined Blind Source Separation (UBSS) algorithm using our recently proposed high speed Discrete Hilbert Transform (DHT) targeting real time applications. In UBSS algorithm, unlike the typical BSS, the number of sensors are less than the number of the sources, which is of more interest in the real time applications. The DHT architecture has been implemented based on sub matrix multiplication method to compute M point DHT, which uses N point architecture recursively and where M is an integer multiples of N. The DHT architecture and state of the art architecture are coded in VHDL for 16 bit word length and ASIC implementation is carried out using UMC 90 - nm technology @V DD = 1V and @ 1MHZ clock frequency. The proposed architecture implementation and experimental comparison results show that the DHT design is two times faster than state of the art architecture.
4th Conference on Advances in architectural geometry 2014
Knippers, Jan; Mitra, Niloy; Wang, Wenping
2015-01-01
This book contains 24 technical papers presented at the fourth edition of the Advances in Architectural Geometry conference, AAG 2014, held in London, England, September 2014. It offers engineers, mathematicians, designers, and contractors insight into the efficient design, analysis, and manufacture of complex shapes, which will help open up new horizons for architecture. The book examines geometric aspects involved in architectural design, ranging from initial conception to final fabrication. It focuses on four key topics: applied geometry, architecture, computational design, and also practice in the form of case studies. In addition, the book also features algorithms, proposed implementation, experimental results, and illustrations. Overall, the book presents both theoretical and practical work linked to new geometrical developments in architecture. It gathers the diverse components of the contemporary architectural tendencies that push the building envelope towards free form in order to respond to multiple...
Maraghe Observatory and an Effort towards Retrieval of Architectural Design of Astronomical Units
Directory of Open Access Journals (Sweden)
Javad Shekari Niri
2015-03-01
Full Text Available Maraghe observatory was built by such engineers as Moayiededdin Orozi etc. under supervision of Khaje Nasireddin Tousi in 7th century AH. The most significant feature associated with Maraghe observatory is the fact that architecture is employed to achieve astronomical purposes in this site. The reason for preferring observatory by astronomers was the fact that these units are superior to wooden and metal instruments with respect to accuracy, no size limitations, etc. Architectural design and function of astronomical units of Maraghe observatory site after discovery of its foundation in the course of explorations before Islamic Revolution remained unclear until recent years. After conducting required studies and investigations, the author managed to find significant cues and after some precise comparisons, he succeeded to recover the main design and function of some astronomical units of this international center. Based on these findings these astronomical structures can reliably be rebuilt. This research showed that every circular or polygonal building cannot be considered as an observatory. For example form and function of cemetery structures are completely different with astronomical ones. Following this research also valuable results were obtained in relation to stone architectural structures present on Maraghe observatory hill. In addition, claims about invention of astronomical units of Maraghe observatory by non-Iranian scientists are rejected and rights of Iranian scientists are rationally defended in this regard.
International Nuclear Information System (INIS)
Barelli, L.; Bidini, G.
2005-01-01
A research activity has been initiated to study the development of a diagnostic methodology, for the optimization of energy efficiency and the maximization of the operational time in those conditions, based on artificial intelligence (AI) techniques such as artificial neural network (ANN) and fuzzy logic. The diagnostic procedure, developed specifically for the cogeneration plant located at the Engineering Department of the University of Perugia, must be characterized by a modular architecture to obtain a flexible architecture applicable to different systems. The first part of the study deals with the identifying the principal modules and the corresponding variables necessary to evaluate the module 'health state'. Also the consequent upgrade of the monitoring system is described in this paper. Moreover it describes the structure proposed for the diagnostic procedure, consisting of a procedure for measurement validation and a fuzzy logic-based inference system. The first reveals the presence of abnormal conditions and localizes their source distinguishing between system failure and instrumentation malfunctions. The second provides an evaluation of module health state and the classification of the failures which have possibly occurred. The procedure was implemented in C++
Future city architecture for optimal living
Pardalos, Panos
2015-01-01
This book offers a wealth of interdisciplinary approaches to urbanization strategies in architecture centered on growing concerns about the future of cities and their impacts on essential elements of architectural optimization, livability, energy consumption and sustainability. It portrays the urban condition in architectural terms, as well as the living condition in human terms, both of which can be optimized by mathematical modeling as well as mathematical calculation and assessment. Special features include: · new research on the construction of future cities and smart cities · discussions of sustainability and new technologies designed to advance ideas to future city developments Graduate students and researchers in architecture, engineering, mathematical modeling, and building physics will be engaged by the contributions written by eminent international experts from a variety of disciplines including architecture, engineering, modeling, optimization, and relat...
Metaphor, Architectural Design, and Environmental Response
Directory of Open Access Journals (Sweden)
Brook Muller
2012-11-01
Full Text Available Value-laden articulations of the task of the architect guide manners of working - the concerns, inspirations and procedures given priority. Architectural practices in turn determine the nature of the physical constructs that result. If architects are contributing to environmental degradation by designing buildings that are inefficient and unhealthy, and a pressing need exists to advance more life enhancing, sustaining practices, then perhaps environmentally concerned architects ought not only work towards the creation of better performing, more resourceful building assemblies, but also to engage in basic reflection as to how design problems are expressed and the environmental receptivity such expressions reveal. By tracing the lineage binding utterance to practice to making, we might come to recognize that even subtle shifts in articulation can alter outcomes dramatically. Through such newfound awareness, we are open and encouraged to reexamine the architect’s role, to new descriptions of architecture, and to the possibility of deeper attunement and constructive engagement with our world. In their recent edited anthology on sustainable architectures, Simon Guy and Steven Moore suggest “while we might support and even encourage critical engagement with abstract theory about environmentalism, we are not interested in simply playing language games.” Although word play should not be the sole focus of our efforts, in a profession so reliant on effective communication, we should not underestimate the facility of language as constitutive of meaning. This paper explores metaphors as one potentially transformative means by which designers come to understand and describe the works they undertake. It examines the role of metaphors as agents of innovation, capable of heightening awareness of attributes often overlooked or undervalued, yet perhaps of critical significance given the particularities of a design problem seeking explication. This paper
Architectures for Green-Field Supply Chain Integration: Supply Chain Integration Design
Radanliev, Petar
2015-01-01
This paper applied case study research to design architectures for green-field supply chain integration. The integration design is based on a case study of a supply chain integration of 5 companies, operating in different, but supply chain complimenting industry sectors. The case study research is applied to design and validate the architectures in a real world scenario. The supply\\ud chain integration architectures enable the conversion of individual into integrated strategies. The architect...
Design of a Load-Balancing Architecture For Parallel Firewalls
National Research Council Canada - National Science Library
Joyner, William
1999-01-01
.... This thesis proposes a load-balancing firewall architecture to meet the Navy's needs. It first conducts an architectural analysis of the problem and then presents a high-level system design as a solution...
Architecture of Gothic Period and Its Effect to Clothing Design
Directory of Open Access Journals (Sweden)
Şerife YILDIZ
2015-08-01
Full Text Available Root of the word Gothic comes from a German tribe which lives in region of the Gotland of Scandinavia. Gothic period is a movement which ends the Midde Age and opens Renaissance. Alth ough seen in all branches of the art, Gothic is widely a style of architecture. Having been originated from the Roman architecture, Gothic Architecture come out in the second half of the 12th century and continued its existence until the half of the 16th c entury when classical architecture come out. In Europe, Gothic painting, sculpture and architecture were dominant and preserved its popularity until the Renaissance. Fashion means all the temporary new styles which come out in a certain period of time with the desires of dressing up, being different, wearing the most desirable. Although seen as two different design field, when we examine closely, we can see that fashion and architecture has many common point from the past to present. As man is the common po int of this two field, they naturally affect each other. Gothic architecture inspired clothing designs, which is enough to show how much they affected each other. In this study, our purpose is to reveal effects of the Gothic architecture on the clothing d esigns. The style used in the Gothic period architecture and models and styles in clothing designs was presented with the help of table of specification. According to this, both fields' sharing common aesthetic concern and same theoritic and ideologic bas e was accompanied by stylistic and structural similarities accordingly. Designers in this two different fields turned even to themselves for inspiration and technical strategy. Environmental and cultural factors affect both fashion designers and architects . When seen as a part of historical process, clothes and buildings with their important cultural and economic conditions are priceless anthropological buildings which show technological developments within the period.
Collaborative engineering-design support system
Lee, Dong HO; Decker, D. Richard
1994-01-01
Designing engineering objects requires many engineers' knowledge from different domains. There needs to be cooperative work among engineering designers to complete a design. Revisions of a design are time consuming, especially if designers work at a distance and with different design description formats. In order to reduce the design cycle, there needs to be a sharable design describing the engineering community, which can be electronically transportable. Design is a process of integrating that is not easy to define definitively. This paper presents Design Script which is a generic engineering design knowledge representation scheme that can be applied in any engineering domain. The Design Script is developed through encapsulation of common design activities and basic design components based on problem decomposition. It is implemented using CLIPS with a Windows NT graphical user interface. The physical relationships between engineering objects and their subparts can be constructed in a hierarchical manner. The same design process is repeatedly applied at each given level of hierarchy and recursively into lower levels of the hierarchy. Each class of the structure can be represented using the Design Script.
Song, Ting; Becker, Kurt; Gero, John; DeBerard, Scott; DeBerard, Oenardi; Reeve, Edward
2016-01-01
The authors investigated the differences in using problem decomposition and problem recomposition between dyads of engineering experts, engineering seniors, and engineering freshmen. Participants worked in dyads to complete an engineering design challenge within 1 hour. The entire design process was video and audio recorded. After the design…
RISC. A new style in the design of architectures
International Nuclear Information System (INIS)
Cortadella, J.; Gonzalez, A.; Llaberia, J.M.
1988-01-01
In the 80's a new architecture design and implementation style has appeared: the RISC style. It proposes an overall view of the system were the processor is included. For each function, an extensive analysis has to be performed in order to evaluate the advantages and disadvantages that hardware and software introduce in the design. An optimum design involved an agreement between both levels and has to take into account cost, performance, and technological factors. In this paper, the main features of this new architecture design style are presented. (Author)
Communication Needs Assessment for Distributed Turbine Engine Control
Culley, Dennis E.; Behbahani, Alireza R.
2008-01-01
Control system architecture is a major contributor to future propulsion engine performance enhancement and life cycle cost reduction. The control system architecture can be a means to effect net weight reduction in future engine systems, provide a streamlined approach to system design and implementation, and enable new opportunities for performance optimization and increased awareness about system health. The transition from a centralized, point-to-point analog control topology to a modular, networked, distributed system is paramount to extracting these system improvements. However, distributed engine control systems are only possible through the successful design and implementation of a suitable communication system. In a networked system, understanding the data flow between control elements is a fundamental requirement for specifying the communication architecture which, itself, is dependent on the functional capability of electronics in the engine environment. This paper presents an assessment of the communication needs for distributed control using strawman designs and relates how system design decisions relate to overall goals as we progress from the baseline centralized architecture, through partially distributed and fully distributed control systems.
COG Software Architecture Design Description Document
International Nuclear Information System (INIS)
Buck, R.M.; Lent, E.M.
2009-01-01
This COG Software Architecture Design Description Document describes the organization and functionality of the COG Multiparticle Monte Carlo Transport Code for radiation shielding and criticality calculations, at a level of detail suitable for guiding a new code developer in the maintenance and enhancement of COG. The intended audience also includes managers and scientists and engineers who wish to have a general knowledge of how the code works. This Document is not intended for end-users. This document covers the software implemented in the standard COG Version 10, as released through RSICC and IAEA. Software resources provided by other institutions will not be covered. This document presents the routines grouped by modules and in the order of the three processing phases. Some routines are used in multiple phases. The routine description is presented once - the first time the routine is referenced. Since this is presented at the level of detail for guiding a new code developer, only the routines invoked by another routine that are significant for the processing phase that is being detailed are presented. An index to all routines detailed is included. Tables for the primary data structures are also presented.
Systems engineering agile design methodologies
Crowder, James A
2013-01-01
This book examines the paradigm of the engineering design process. The authors discuss agile systems and engineering design. The book captures the entire design process (functionbases), context, and requirements to affect real reuse. It provides a methodology for an engineering design process foundation for modern and future systems design. This book captures design patterns with context for actual Systems Engineering Design Reuse and contains a new paradigm in Design Knowledge Management.
Teaching engineering design research
DEFF Research Database (Denmark)
Blessing, Lucienne; Andreasen, Mogens Myrup
2005-01-01
The importance og engineering design as an industrial activity, and the increasingly complex and dynamic context in which it takes place, has led to the wish to improve the effectiveness and efficiency of engineering design in practice as well as in education. Although attempts have been made...... to improve design for centuries, it was not until well in the second half of the 20th century that engineering design became a research topic (see pahl and Beitz (1996), Heymann (2004) for historical overviews). Engineering research, such as research into thermodynamics, mechanics and materials, has a much...... by PhD students. This has created the demand for a clear, efficient way of learning the crafmanship of doing design research, a demand which is in strong contrast to the state of design research in general. This article reflects the authors' efforts in running a summer school om engineering design...
Design health village with the approach of sustainable architecture ...
African Journals Online (AJOL)
Journal Home > Vol 8, No 3 (2016) > ... a natural environment and away from the pollution of urban life , traditional medical care, hydrotherapy, sports and ... Keywords: Health; city health; smart; sustainability in architecture; architectural design ...
On architectural acoustic design using computer simulation
DEFF Research Database (Denmark)
Schmidt, Anne Marie Due; Kirkegaard, Poul Henning
2004-01-01
properties prior to the actual construction of a building. With the right tools applied, acoustic design can become an integral part of the architectural design process. The aim of this paper is to investigate the field of application that an acoustic simulation programme can have during an architectural...... acoustic design process. The emphasis is put on the first three out of five phases in the working process of the architect and a case study is carried out in which each phase is represented by typical results ? as exemplified with reference to the design of Bagsværd Church by Jørn Utzon. The paper...... discusses the advantages and disadvantages of the programme in each phase compared to the works of architects not using acoustic simulation programmes. The conclusion of the paper is that the application of acoustic simulation programs is most beneficial in the last of three phases but an application...
Johnsson, Lennart
1980-01-01
Integrated circuit technology is rapidly approaching a state where feature sizes of one micron or less are tractable. Chip sizes are increasing slowly. These two developments result in considerably increased complexity in chip design. The physical characteristics of integrated circuit technology are also changing. The cost of communication will be dominating making new architectures and algorithms both feasible and desirable. A large number of processors on a single chip will be possible....
Trans-disciplinarity: The Singularities and Multiplicities of Architecture
Directory of Open Access Journals (Sweden)
Tahl Kaminer
2007-10-01
Full Text Available This inaugural issue of Footprint aims at understanding today’s architecture culture as a negotiation between two antithetical definitions of architecture’s identity. The belief in the disciplinary singularity of architectural objects, irreducible to the conditions of their production, is confronted - in discourse and design - with the perception of architecture as an interdisciplinary mediation between multiple political, economic, social, technological and cultural factors. With the concept of trans-disciplinarity, the negotiation between these two positions is investigated here as an engine of the ‘tradition of the present’ of contemporary architecture - the discourses and designs which emerged in the 1960s and defined orientation points for today’s architectural thought and practice.
Trans-disciplinarity: The Singularities and Multiplicities of Architecture
Directory of Open Access Journals (Sweden)
Lukasz Stanek
2014-07-01
Full Text Available This inaugural issue of Footprint aims at understanding today’s architecture culture as a negotiation between two antithetical definitions of architecture’s identity. The belief in the disciplinary singularity of architectural objects, irreducible to the conditions of their production, is confronted – in discourse and design – with the perception of architecture as an interdisciplinary mediation between multiple political, economic, social, technological and cultural factors. With the concept of trans-disciplinarity, the negotiation between these two positions is investigated here as an engine of the ‘tradition of the present’ of contemporary architecture – the discourses and designs which emerged in the 1960s and defined orientation points for today’s architectural thought and practice.
New concept of aging care architecture landscape design based on sustainable development
Xu, Ying
2017-05-01
As the aging problem becoming serious in China, Aging care is now one of the top issuer in front of all of us. Lots of private and public aging care architecture and facilities have been built. At present, we only pay attention to the architecture design and interior design scientific, ecological and sustainable design on aged care architecture landscape. Based on the social economy, population resources, mutual coordination and development of the environment, taking the elderly as the special group, this paper follows the principles of the sustainable development, conducts the comprehensive design planning of aged care landscape architecture and makes a deeper understanding and exploration through changing the form of architectural space, ecological landscape planting, new materials and technology, ecological energy utilization.
ARCHITECTURAL FORM CREATION IN THE DESIGN STUDIO: PHYSICAL MODELING AS AN EFFECTIVE DESIGN TOOL
Directory of Open Access Journals (Sweden)
Wael Abdelhameed
2011-11-01
Full Text Available This research paper attempts to shed more light on an area of the design studio, which concerns with the use of physical modeling as a design medium in architectural form creation. An experiment has been carried out during an architectural design studio in order to not only investigate physical modeling as a tool of form creation but also improve visual design thinking that students employ while using this manual tool. To achieve the research objective, a method was proposed and applied to track form creation processes, based upon three types of operation, namely: sketching transformations, divergent physical-modeling transformations, and convergent physical-modeling transformations. The method helps record the innovative transitions of form during conceptual designing in a simple way. Investigating form creation processes and activities associated with visual design thinking enables the research to conclude to general results of the role of physical modeling in the conceptual phase of designing, and to specific results of the methods used in this architectural design studio experiment.
A Process Framework for Designing Software Reference Architectures for Providing Tools as a Service
DEFF Research Database (Denmark)
Chauhan, Muhammad Aufeef; Babar, Muhammad Ali; Probst, Christian W.
2016-01-01
of software systems need customized and systematic SRA design and evaluation methods. In this paper, we present a software Reference Architecture Design process Framework (RADeF) that can be used for analysis, design and evaluation of the SRA for provisioning of Tools as a Service as part of a cloud......Software Reference Architecture (SRA), which is a generic architecture solution for a specific type of software systems, provides foundation for the design of concrete architectures in terms of architecture design guidelines and architecture elements. The complexity and size of certain types......-enabled workSPACE (TSPACE). The framework is based on the state of the art results from literature and our experiences with designing software architectures for cloud-based systems. We have applied RADeF SRA design two types of TSPACE: software architecting TSPACE and software implementation TSPACE...
Argento, G.; de Jonge, N.; Söntjens, S.H.M.; Oomens, C.W.J.; Bouten, C.V.C.; Baaijens, F.P.T.
2015-01-01
The anisotropic collagen architecture of an engineered cardiovascular tissue has a major impact on its in vivo mechanical performance. This evolving collagen architecture is determined by initial scaffold microstructure and mechanical loading. Here, we developed and validated a theoretical and
Neural architecture design based on extreme learning machine.
Bueno-Crespo, Andrés; García-Laencina, Pedro J; Sancho-Gómez, José-Luis
2013-12-01
Selection of the optimal neural architecture to solve a pattern classification problem entails to choose the relevant input units, the number of hidden neurons and its corresponding interconnection weights. This problem has been widely studied in many research works but their solutions usually involve excessive computational cost in most of the problems and they do not provide a unique solution. This paper proposes a new technique to efficiently design the MultiLayer Perceptron (MLP) architecture for classification using the Extreme Learning Machine (ELM) algorithm. The proposed method provides a high generalization capability and a unique solution for the architecture design. Moreover, the selected final network only retains those input connections that are relevant for the classification task. Experimental results show these advantages. Copyright © 2013 Elsevier Ltd. All rights reserved.
Engineering graphic modelling a workbook for design engineers
Tjalve, E; Frackmann Schmidt, F
2013-01-01
Engineering Graphic Modelling: A Practical Guide to Drawing and Design covers how engineering drawing relates to the design activity. The book describes modeled properties, such as the function, structure, form, material, dimension, and surface, as well as the coordinates, symbols, and types of projection of the drawing code. The text provides drawing techniques, such as freehand sketching, bold freehand drawing, drawing with a straightedge, a draughting machine or a plotter, and use of templates, and then describes the types of drawing. Graphic designers, design engineers, mechanical engine
An architectural framework for virtual enterprise engineering
DEFF Research Database (Denmark)
Zwegers, Arian; Hannus, Matti; Tølle, Martin
2001-01-01
, especially concerning integration issues. This paper aims to lay down an architectural framework to support the set-up and operation of virtual enterprises. It supports virtual enterprise engineering. The framework might also be used to identify and position issues that play a role in the set......-up and operation of virtual enterprises. As such, it is useful to classify research projects on virtual enterprises as well.......Enterprises cooperate more extensively with other enterprises during the entire product life cycle. Temporary alliances between various enterprises emerge such as those in virtual enterprises. However, many enterprises experience difficulties in the formation and operation of virtual enterprises...
Students’ Attitudes to Universal Design in Architecture Education
Directory of Open Access Journals (Sweden)
Helen Larkin
2016-12-01
Full Text Available It is widely recognised that the built environment can dramatically impact the participation and engagement of people with disability and diverse needs. It has therefore become necessary for architects and designers to consider these needs when working within their profession. The implementation of universal design teaching into architecture and design curriculum has been recognised as an important step in facilitating and enhancing the uptake of universal design during the design process. Using a quantitative approach, this study aimed to compare, contrast and explore the attitudes of two groups of architecture students to the universal design of built environments. One group had received education relating to diversity and universal design as part of a prior project while the other group had not received this content. Findings from this comparison demonstrated that overall, no significant differences between groups existed. However further investigation provided interesting insight and perspectives into student attitudes to universal design and potential influencers of these attitudes.
Distributed embedded smart cameras architectures, design and applications
Velipasalar, Senem
2014-01-01
This publication addresses distributed embedded smart cameras –cameras that perform onboard analysis and collaborate with other cameras. This book provides the material required to better understand the architectural design challenges of embedded smart camera systems, the hardware/software ecosystem, the design approach for, and applications of distributed smart cameras together with the state-of-the-art algorithms. The authors concentrate on the architecture, hardware/software design, realization of smart camera networks from applications to architectures, in particular in the embedded and mobile domains. • Examines energy issues related to wireless communication such as decreasing energy consumption to increase battery-life • Discusses processing large volumes of video data on an embedded environment in real-time • Covers design of realistic applications of distributed and embedded smart...
A Topology Optimisation Approach to Learning in Architectural Design
DEFF Research Database (Denmark)
Mullins, Michael; Kirkegaard, Poul Henning; Jessen, Rasmus Zederkof
2005-01-01
describes an attempt to unify analytic and analogical approaches in an architectural education setting, using topology optimization software. It uses as examples recent student projects where the architectural design process based on a topology optimization approach has been investigated. The paper...
Nazidizaji, Sajjad; Tomé, Ana; Regateiro, Francisco
2017-01-01
The design studio is the core of the architecture curriculum. Interpersonal interactions have a key role during the processes of design and critique. The influence of emotional intelligence (EQ) on interpersonal communication skills has been widely proven. This study examines the correlation between EQ and architectural design competence. To achieve this, 78 architecture students were selected via a simple random sampling method and tested using an EQ test questionnaire developed by Bradbury ...
The Use of Facade Mockups in in LCA Based Architectural Design
DEFF Research Database (Denmark)
Naboni, Emanuele
2017-01-01
Life Cycle Assessment is increasingly becoming important in façade architectural design. The presented research aims to describe an LCA architectural design approach based on the use of a Façade Mockup. The approach is applied and tested for the design Telecom Sustainable Campus’ façade, in Rome...
Network Analysis, Architecture, and Design
McCabe, James D
2007-01-01
Traditionally, networking has had little or no basis in analysis or architectural development, with designers relying on technologies they are most familiar with or being influenced by vendors or consultants. However, the landscape of networking has changed so that network services have now become one of the most important factors to the success of many third generation networks. It has become an important feature of the designer's job to define the problems that exist in his network, choose and analyze several optimization parameters during the analysis process, and then prioritize and evalua
Applications of Systems Engineering to the Research, Design, and Development of Wind Energy Systems
Energy Technology Data Exchange (ETDEWEB)
Dykes, K.; Meadows, R.; Felker, F.; Graf, P.; Hand, M.; Lunacek, M.; Michalakes, J.; Moriarty, P.; Musial, W.; Veers, P.
2011-12-01
development. To address these challenges, NREL has embarked on an initiative to evaluate how methods of systems engineering can be applied to the research, design and development of wind energy systems. Systems engineering is a field within engineering with a long history of research and application to complex technical systems in domains such as aerospace, automotive, and naval architecture. As such, the field holds potential for addressing critical issues that face the wind industry today. This paper represents a first step for understanding this potential through a review of systems engineering methods as applied to related technical systems. It illustrates how this might inform a Wind Energy Systems Engineering (WESE) approach to the research, design, and development needs for the future of the industry. Section 1 provides a brief overview of systems engineering and wind as a complex system. Section 2 describes these system engineering methods in detail. Section 3 provides an overview of different types of design tools for wind energy with emphasis on NREL tools. Finally, Section 4 provides an overview of the role and importance of software architecture and computing to the use of systems engineering methods and the future development of any WESE programs. Section 5 provides a roadmap of potential research integrating systems engineering research methodologies and wind energy design tools for a WESE framework.
Integrating Sound Scattering Measurements in the Design of Complex Architectural Surfaces
DEFF Research Database (Denmark)
Peters, Brady
2010-01-01
Digital tools present the opportunity for incorporating performance analysis into the architectural design process. Acoustic performance is an important criterion for architectural design. There is much known about sound absorption but little about sound scattering, even though scattering is reco...
Kofroň, Jan; Tumova, Jana
2017-01-01
These are the proceedings of the 14th International Workshop on Formal Engineering approaches to Software Components and Architectures (FESCA). The workshop was held on April 22, 2017 in Uppsala (Sweden) as a satellite event to the European Joint Conference on Theory and Practice of Software (ETAPS'17). The aim of the FESCA workshop is to bring together junior researchers from formal methods, software engineering, and industry interested in the development and application of formal modelling ...
Hazzan, Orit; Karni, Eyal
2006-01-01
This article focuses on the similarities and differences in the academic education of software engineers and architects. The rationale for this work stems from our observation, each from the perspective of her or his own discipline, that these two professional design and development processes share some similarities. A pilot study was performed,…
Directory of Open Access Journals (Sweden)
Sangook Moon
2014-01-01
Full Text Available As today’s hardware architecture becomes more and more complicated, it is getting harder to modify or improve the microarchitecture of a design in register transfer level (RTL. Consequently, traditional methods we have used to develop a design are not capable of coping with complex designs. In this paper, we suggest a way of designing complex digital logic circuits with a soft and advanced type of SystemVerilog at an electronic system level. We apply the concept of design-and-reuse with a high level of abstraction to implement elliptic curve crypto-processor server farms. With the concept of the superior level of abstraction to the RTL used with the traditional HDL design, we successfully achieved the soft implementation of the crypto-processor server farms as well as robust test bench code with trivial effort in the same simulation environment. Otherwise, it could have required error-prone Verilog simulations for the hardware IPs and other time-consuming jobs such as C/SystemC verification for the software, sacrificing more time and effort. In the design of the elliptic curve cryptography processor engine, we propose a 3X faster GF(2m serial multiplication architecture.
Design for scalability in 3D computer graphics architectures
DEFF Research Database (Denmark)
Holten-Lund, Hans Erik
2002-01-01
This thesis describes useful methods and techniques for designing scalable hybrid parallel rendering architectures for 3D computer graphics. Various techniques for utilizing parallelism in a pipelines system are analyzed. During the Ph.D study a prototype 3D graphics architecture named Hybris has...
Educational strategies for architectural design management : the design of a new curriculum
Prins, M.; Halman, J.I.M.
1996-01-01
This paper is about the design of a new curriculum on Architectural Design Management Systems. This curriculum is embedded in the Stan Ackermans lnstitute(SAI). The SAI is a school for continuing post graduate education on technological design. First some recent developments in the building industry
Specification and Design of Electrical Flight System Architectures with SysML
McKelvin, Mark L., Jr.; Jimenez, Alejandro
2012-01-01
Modern space flight systems are required to perform more complex functions than previous generations to support space missions. This demand is driving the trend to deploy more electronics to realize system functionality. The traditional approach for the specification, design, and deployment of electrical system architectures in space flight systems includes the use of informal definitions and descriptions that are often embedded within loosely coupled but highly interdependent design documents. Traditional methods become inefficient to cope with increasing system complexity, evolving requirements, and the ability to meet project budget and time constraints. Thus, there is a need for more rigorous methods to capture the relevant information about the electrical system architecture as the design evolves. In this work, we propose a model-centric approach to support the specification and design of electrical flight system architectures using the System Modeling Language (SysML). In our approach, we develop a domain specific language for specifying electrical system architectures, and we propose a design flow for the specification and design of electrical interfaces. Our approach is applied to a practical flight system.
Patterns of Growth—Biomimetics and Architectural Design
Petra Gruber; Barbara Imhof
2017-01-01
This paper discusses the approach of biomimetic design in architecture applied to the theme of growth in biology by taking two exemplary research projects at the intersection of arts and sciences. The first project, ‘Biornametics’, dealt with patterns from nature; the second project ‘Growing as Building (GrAB)’ took on biological growth as a specific theme for the transfer to architecture and the arts. Within a timeframe of five years (2011–2015), the research was conducted under the Program ...
Activate: Social Action in landscape Architecture Design Education
Directory of Open Access Journals (Sweden)
Helen Mclean
2004-06-01
Full Text Available This paper is a reflection on the teaching approach adopted in two landscape architecture design studios where students were engaged in developing design projects and campaign strategies informed by personal understandings of action and advocacy for and with communities. The approach was a deliberate application of principles from advocacy theory enmeshed in an educational philosophy of learner-centeredness and the intent was for students to develop strong individual senses of awareness and empowerment in an environment that value personal interests and learning. An evaluation of the approach as an attempt to position landscape architecture as social practice is provided.
HyperCell: A Bio-inspired Design Framework for Real-time Interactive Architectures
Directory of Open Access Journals (Sweden)
Jia-Rey Chang
2018-01-01
Full Text Available This pioneering research focuses on Biomimetic Interactive Architecture using “Computation”, “Embodiment”, and “Biology” to generate an intimate embodied convergence to propose a novel rule-based design framework for creating organic architectures composed of swarm-based intelligent components. Furthermore, the research boldly claims that Interactive Architecture should emerge as the next truly Organic Architecture. As the world and society are dynamically changing, especially in this digital era, the research dares to challenge the Utilitas, Firmitas, and Venustas of the traditional architectural Weltanschauung, and rejects them by adopting the novel notion that architecture should be dynamic, fluid, and interactive. This project reflects a trajectory from the 1960’s with the advent of the avant-garde architectural design group, Archigram, and its numerous intriguing and pioneering visionary projects. Archigram’s non-standard, mobile, and interactive projects profoundly influenced a new generation of architects to explore the connection between technology and their architectural projects. This research continues this trend of exploring novel design thinking and the framework of Interactive Architecture by discovering the interrelationship amongst three major topics: “Computation”, “Embodiment”, and “Biology”. The project aims to elucidate pioneering research combining these three topics in one discourse: “Bio-inspired digital architectural design”. These three major topics will be introduced in this Summary. “Computation”, is any type of calculation that includes both arithmetical and nonarithmetical steps and follows a well-defined model understood and described as, for example, an algorithm. But, in this research, refers to the use of data storage, parametric design application, and physical computing for developing informed architectural designs. “Form” has always been the most critical focus in
Architectural design of passive solar residential building
Directory of Open Access Journals (Sweden)
Ma Jing
2015-01-01
Full Text Available This paper studies thermal environment of closed balconies that commonly exist in residential buildings, and designs a passive solar residential building. The design optimizes the architectural details of the house and passive utilization of solar energy to provide auxiliary heating for house in winter and cooling in summer. This design might provide a more sufficient and reasonable modification for microclimate in the house.
Finite volume method room acoustic simulations integrated into the architectural design process
DEFF Research Database (Denmark)
Pind Jörgensson, Finnur Kári; Jeong, Cheol-Ho; Engsig-Karup, Allan Peter
2017-01-01
with the architectural design from the earliest design stage, as a part of a holistic design process. A new procedure to integrate room acoustics into architectural design is being developed in a Ph.D. project, with the aim of promoting this early stage holistic design process. This project aims to develop a new hybrid...
Business engineering. Generic Software Architecture in an Object Oriented View
Directory of Open Access Journals (Sweden)
Mihaela MURESAN
2006-01-01
Full Text Available The generic software architecture offers a solution for the the information system's development and implementation. A generic software/non-software model could be developed by integrating the enterprise blueprint concept (Zachman and the object oriented paradigm (Coad's archetype concept. The standardization of the generic software architecture for various specific software components could be a direction of crucial importance, offering the guarantee of the quality of the model and increasing the efficiency of the design, development and implementation of the software. This approach is also useful for the implementation of the ERP systems designed to fit the user’s particular requirements.
Design and characterization of calcium phosphate ceramic scaffolds for bone tissue engineering.
Denry, Isabelle; Kuhn, Liisa T
2016-01-01
Our goal is to review design strategies for the fabrication of calcium phosphate ceramic scaffolds (CPS), in light of their transient role in bone tissue engineering and associated requirements for effective bone regeneration. We examine the various design options available to meet mechanical and biological requirements of CPS and later focus on the importance of proper characterization of CPS in terms of architecture, mechanical properties and time-sensitive properties such as biodegradability. Finally, relationships between in vitro versus in vivo testing are addressed, with an attempt to highlight reliable performance predictors. A combinatory design strategy should be used with CPS, taking into consideration 3D architecture, adequate surface chemistry and topography, all of which are needed to promote bone formation. CPS represent the media of choice for delivery of osteogenic factors and anti-infectives. Non-osteoblast mediated mineral deposition can confound in vitro osteogenesis testing of CPS and therefore the expression of a variety of proteins or genes including collagen type I, bone sialoprotein and osteocalcin should be confirmed in addition to increased mineral content. CPS are a superior scaffold material for bone regeneration because they actively promote osteogenesis. Biodegradability of CPS via calcium and phosphate release represents a unique asset. Structural control of CPS at the macro, micro and nanoscale and their combination with cells and polymeric materials is likely to lead to significant developments in bone tissue engineering. Copyright © 2015 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.
Energy and environment in an architectural design application
Energy Technology Data Exchange (ETDEWEB)
Schiller, Silvia de; Evans, John Martin [Universidad de Buenos Aires, Facultad de Arquitectura, Diseno y Urbanismo, Buenos Aires (Argentina)
1998-09-01
Office buildings with important administrative functions can produce high energy demands for lighting, cooling and heating. However, appropriate architectural design can achieve significant energy savings and improve environmental conditions, without sacrificing architectural quality. Intense solar radiation and high temperature swings in dry continental climates favour deep plan offices, though natural daylight requires limited depth. This paper presents a case study of a large administrative complex project for the Justice Palace, Neuquen Province, in the cold windy sem-desert climate in the Northern Patagonia Region of Argentina, demonstrating the relevance of specialised advisory services in the architectural field. (Author)
The Healing Potential of Architectural Design
DEFF Research Database (Denmark)
Frandsen, Anne Kathrine; Ryhl, Camilla
2010-01-01
addressed the question whether architectural design has a healing potential. The objective was to develop a tool that could give an overview of this research for the building clients and decision-makers responsible for the huge investments in future hospitals and healthcare facilities in the coming decade...
Computer aided architectural design : futures 2001
Vries, de B.; Leeuwen, van J.P.; Achten, H.H.
2001-01-01
CAAD Futures is a bi-annual conference that aims to promote the advancement of computer-aided architectural design in the service of those concerned with the quality of the built environment. The conferences are organized under the auspices of the CAAD Futures Foundation, which has its secretariat
Sharing the design intent between industrial designers and engineering designers
DEFF Research Database (Denmark)
Laursen, Esben Skov; Møller, Louise
2016-01-01
The aim of the paper is to understand the challenges sharing the product frame between industrial designers with the engineering designers. The study is based on six case studies. The analysis showed correspondence between industrial designers and engineering designers in their understanding...... of the key elements of the context and concept. However the analysis also showed a lack of correspondence between the industrial designers and engineering designers in regards to the product framing and thereby how the different elements of the product frame is connected and interrelated....
SUSTAINABLE ARCHITECTURAL DESIGN: REVIVING TRADITIONAL DESIGN AND ADAPTING MODERN SOLUTIONS
Directory of Open Access Journals (Sweden)
Ibrahim Mostafa Eldemery
2010-03-01
Full Text Available Architecture is the art and science of designing which involves the manipulation of mass, space, volume, texture, light, shadow, materials, program and other elements in order to achieve an end which is aesthetic, functional and sustainable. Sustainability is a growing trend within the field of architecture, it is currently the most pressing, complex and challenging agenda facing architects. The industrialization and modernization of the world has led to increased initiatives regarding sustainability debate, where recently the word ‘sustainable’ entered into the consciousness of architects and became an essential concern in the discourse of architecture. What is more, we are nowadays witnessing the defense of former ways of life that affect not only the architecture, but also the habitat, work, and, in short, what can be called sustainability. Although sustainability at the human settlement scale has received great attention so far in most of the developing countries, it still remains the most glaring challenge in terms of its demand on resources and expertise. The aim today is to bring modern technologies and knowledge representing design solutions as guidelines like double skin façade, adapting traditional concepts, in tune with such practices to develop solutions that provide us with sustainable buildings that interact and are in harmony with natural climatic conditions. The paper will make an attempt at highlighting sustainability challenges we currently face including its implications for the built environment, in order to propose a sustainability evaluation framework, drawing out transferable lessons learned for future development.
Ronen, Ram S.; Smith, R. Frank
1995-10-01
Educating engineers and architects in Illumination Engineering and related subjects has become a very important field and a very satisfying and rewarding one. Main reasons include the need to significantly conserve lighting energy and meet government regulations while supplying appropriate light levels and achieving aesthetical requirements. The proliferation of new lamps, luminaries and lighting controllers many of which are 'energy savers' also helps a trend to seek help from lighting engineers when designing new commercial and residential buildings. That trend is believed to continue and grow as benefits become attractive and new government conservation regulations take affect. To make things even better one notices that Engineering and Science students in most disciplines make excellent candidates for Illumination Engineers because of their background and teaching them can move ahead at a brisk pace and be a rewarding experience nevertheless. In the past two years, Cal Poly Pomona College of Engineering has been the beneficiary of a DOE/California grant. Its purpose was to precipitate and oversee light curricula in various California community colleges and also develop and launch an Illumination Engineering minor at Cal Poly University. Both objectives have successfully been met. Numerous community colleges throughout California developed and are offering a sequence of six lighting courses leading to a certificate; the first graduating class is now coming out of both Cypress and Consumnes Community Colleges. At Cal Poly University a four course/laboratory sequence leading to a minor in Illumination Engineering (ILE) is now offered to upper division students in the College of Engineering, College of Science and College of Architecture and Design. The ILE sequence will briefly be described. The first course, Introduction to Illumination Engineering and its laboratory are described in more detail alter. Various methods of instruction including lectures, self work
Directory of Open Access Journals (Sweden)
Sajjad Nazidizaji
2014-12-01
Full Text Available The design studio is the core of the architecture curriculum. Interpersonal interactions have a key role during the processes of design and critique. The influence of emotional intelligence (EQ on interpersonal communication skills has been widely proven. This study examines the correlation between EQ and architectural design competence. To achieve this, 78 architecture students were selected via a simple random sampling method and tested using an EQ test questionnaire developed by Bradbury and Greaves (2006. The scores of five architectural design studio courses (ADS-1, ADS-2, ADS-3, ADS-4, and ADS-5 were used as indicators of the progress in design of the students. Descriptive and inferential statistics methods were both employed to analyze the research data. The methods included correlation analysis, mean comparison t-test for independent samples, and single sample t-test. Findings showed no significant relationship between EQ and any of the indicators.
Simulation system architecture design for generic communications link
Tsang, Chit-Sang; Ratliff, Jim
1986-01-01
This paper addresses a computer simulation system architecture design for generic digital communications systems. It addresses the issues of an overall system architecture in order to achieve a user-friendly, efficient, and yet easily implementable simulation system. The system block diagram and its individual functional components are described in detail. Software implementation is discussed with the VAX/VMS operating system used as a target environment.
Acceleration of Blender Cycles Path-Tracing Engine Using Intel Many Integrated Core Architecture
Jaroš , Milan; Říha , Lubomír; Strakoš , Petr; Karásek , Tomáš; Vašatová , Alena; Jarošová , Marta; Kozubek , Tomáš
2015-01-01
Part 2: Algorithms; International audience; This paper describes the acceleration of the most computationally intensive kernels of the Blender rendering engine, Blender Cycles, using Intel Many Integrated Core architecture (MIC). The proposed parallelization, which uses OpenMP technology, also improves the performance of the rendering engine when running on multi-core CPUs and multi-socket servers. Although the GPU acceleration is already implemented in Cycles, its functionality is limited. O...
Engineering Design for Engineering Design: Benefits, Models, and Examples from Practice
Turner, Ken L., Jr.; Kirby, Melissa; Bober, Sue
2016-01-01
Engineering design, a framework for studying and solving societal problems, is a key component of STEM education. It is also the area of greatest challenge within the Next Generation Science Standards, NGSS. Many teachers feel underprepared to teach or create activities that feature engineering design, and integrating a lesson plan of core content…
Directory of Open Access Journals (Sweden)
Anne Kirkegaard Bejder
2017-01-01
Full Text Available This is a discussion paper that is based on the didactics reflections of three junior academics at the Architecture and Urban Design (A&UD programme at Aalborg University. The discussion is moored in two narratives representing two typical student tuition situations. Unfolding two touch points where PBL and architectural and engineering teaching converge, this paper discusses how ‘the problem’ and ‘supervision’ at the A&UD programme are hybrid tuition focus points, where principles of PBL and more traditional tuition styles within architecture and engineering come into contact and cause didactic friction. This friction necessitates teachers and supervisors to critically reflect upon their teaching and supervision styles, and upon how ‘the problem’ is put into play in their tuition of students. The paper argues that teachers and supervisors have a heightened obligation and responsibility to monitor, assess, reflect and adjust the integration of the different teaching approaches in their hybrid tuition practices at A&UD.
RAGE Architecture for Reusable Serious Gaming Technology Components
Directory of Open Access Journals (Sweden)
Wim van der Vegt
2016-01-01
Full Text Available For seizing the potential of serious games, the RAGE project—funded by the Horizon-2020 Programme of the European Commission—will make available an interoperable set of advanced technology components (software assets that support game studios at serious game development. This paper describes the overall software architecture and design conditions that are needed for the easy integration and reuse of such software assets in existing game platforms. Based on the component-based software engineering paradigm the RAGE architecture takes into account the portability of assets to different operating systems, different programming languages, and different game engines. It avoids dependencies on external software frameworks and minimises code that may hinder integration with game engine code. Furthermore it relies on a limited set of standard software patterns and well-established coding practices. The RAGE architecture has been successfully validated by implementing and testing basic software assets in four major programming languages (C#, C++, Java, and TypeScript/JavaScript, resp.. Demonstrator implementation of asset integration with an existing game engine was created and validated. The presented RAGE architecture paves the way for large scale development and application of cross-engine reusable software assets for enhancing the quality and diversity of serious gaming.
Interactive A3 Architecture Overviews ☆: Intuitive Functionalities for Effective Communication
Brussel, Frank F.; Bonnema, Gerrit Maarten
2015-01-01
The A3 Architecture Overviews introduced earlier provide a powerful communication medium in systems engineering and architecting. Intended originally for reverse architecting, they are now also applied for designing system architectures and for systems of systems. With the current development in
Quiet engine program flight engine design study
Klapproth, J. F.; Neitzel, R. E.; Seeley, C. T.
1974-01-01
The results are presented of a preliminary flight engine design study based on the Quiet Engine Program high-bypass, low-noise turbofan engines. Engine configurations, weight, noise characteristics, and performance over a range of flight conditions typical of a subsonic transport aircraft were considered. High and low tip speed engines in various acoustically treated nacelle configurations were included.
Self-Organizing Maps on the Cell Broadband Engine Architecture
International Nuclear Information System (INIS)
McConnell, Sabine M
2010-01-01
We present and evaluate novel parallel implementations of Self-Organizing Maps for the Cell Broadband Engine Architecture. Motivated by the interactive nature of the data-mining process, we evaluate the scalability of the implementations on two clusters using different network characteristics and incarnations (PS3 TM console and PowerXCell 8i) of the architecture. Our implementations use varying combinations of the Power Processing Elements (PPEs) and Synergistic Processing Elements (SPEs) found in the Cell architecture. For a single processor, our implementation scaled well with the number of SPEs regardless of the incarnation. When combining multiple PS3 TM consoles, the synchronization over the slower network resulted in poor speedups and demonstrated that the use of such a low-cost cluster may be severely restricted, even without the use of SPEs. When using multiple SPEs for the PowerXCell 8i cluster, the speedup grew linearly with increasing number of SPEs for a given number of processors, and linear up to a maximum with the number of processors for a given number of SPEs. Our implementation achieved a worst-case efficiency of 67% for the maximum number of processing elements involved in the computation, but consistently higher values for smaller numbers of processing elements with speedups of up to 70.
Empirical Research In Engineering Design
DEFF Research Database (Denmark)
Ahmed, Saeema
2007-01-01
Increasingly engineering design research involves the use of empirical studies that are conducted within an industrial environment [Ahmed, 2001; Court 1995; Hales 1987]. Research into the use of information by designers or understanding how engineers build up experience are examples of research...... of research issues. This paper describes case studies of empirical research carried out within industry in engineering design focusing upon information, knowledge and experience in engineering design. The paper describes the research methods employed, their suitability for the particular research aims...
Progress in IFMIF Engineering Validation and Engineering Design Activities
International Nuclear Information System (INIS)
Heidinger, R.; Knaster, J.; Matsumoto, H.; Sugimoto, M.; Mosnier, A.; Arbeiter, F.; Baluc, N.; Cara, P.; Chel, S.; Facco, A.; Favuzza, P.; Heinzel, V.; Ibarra, A.; Massaut, V.; Micciche, G.; Nitti, F.S.; Theile, J.
2013-01-01
Highlights: ► The IFMIF/EVEDA project has entered into the crucial phase of concluding the Interim IFMIF Engineering Design Report. ► The IFMIF plant configuration has been established with the definition of five IFMIF facilities and of their interfaces. ► Three major prototypes of the IFMIF main systems have been designed and are being manufactured, commissioned and operated. -- Abstract: The International Fusion Materials Irradiation Facility (IFMIF) Engineering Design and Engineering Validation Activities (EVEDA) are being developed in a joint project in the framework of the Broader Approach (BA) Agreement between EU and Japan. This project has now entered into a crucial phase as the engineering design of IFMIF is now being formulated in a series of 3 subsequent phases for delivering an Interim IFMIF Engineering Design Report (IIEDR) by mid of 2013. Content of these phases is explained, including the plant configuration detailing the 5 IFMIF facilities and their systems. Together with the Engineering Design Activities, prototyping sub-projects are pursued in the Engineering Validation Activities which consist of the design, manufacturing and testing of the following prototypical systems: Linear IFMIF Prototype Accelerator (LIPAc), EVEDA Lithium Test Loop (ELTL), and High Flux Test Module (HFTM) with the prototypical helium cooling loop (HELOKA). Highlights are described from recent experiments in the Engineering Validation Activities
Communication and Memory Architecture Design of Application-Specific High-End Multiprocessors
Directory of Open Access Journals (Sweden)
Yahya Jan
2012-01-01
Full Text Available This paper is devoted to the design of communication and memory architectures of massively parallel hardware multiprocessors necessary for the implementation of highly demanding applications. We demonstrated that for the massively parallel hardware multiprocessors the traditionally used flat communication architectures and multi-port memories do not scale well, and the memory and communication network influence on both the throughput and circuit area dominates the processors influence. To resolve the problems and ensure scalability, we proposed to design highly optimized application-specific hierarchical and/or partitioned communication and memory architectures through exploring and exploiting the regularity and hierarchy of the actual data flows of a given application. Furthermore, we proposed some data distribution and related data mapping schemes in the shared (global partitioned memories with the aim to eliminate the memory access conflicts, as well as, to ensure that our communication design strategies will be applicable. We incorporated these architecture synthesis strategies into our quality-driven model-based multi-processor design method and related automated architecture exploration framework. Using this framework, we performed a large series of experiments that demonstrate many various important features of the synthesized memory and communication architectures. They also demonstrate that our method and related framework are able to efficiently synthesize well scalable memory and communication architectures even for the high-end multiprocessors. The gains as high as 12-times in performance and 25-times in area can be obtained when using the hierarchical communication networks instead of the flat networks. However, for the high parallelism levels only the partitioned approach ensures the scalability in performance.
Design requirements of communication architecture of SMART safety system
International Nuclear Information System (INIS)
Park, H. Y.; Kim, D. H.; Sin, Y. C.; Lee, J. Y.
2001-01-01
To develop the communication network architecture of safety system of SMART, the evaluation elements for reliability and performance factors are extracted from commercial networks and classified the required-level by importance. A predictable determinacy, status and fixed based architecture, separation and isolation from other systems, high reliability, verification and validation are introduced as the essential requirements of safety system communication network. Based on the suggested requirements, optical cable, star topology, synchronous transmission, point-to-point physical link, connection-oriented logical link, MAC (medium access control) with fixed allocation are selected as the design elements. The proposed architecture will be applied as basic communication network architecture of SMART safety system
Comparison of Problem Solving from Engineering Design to Software Design
DEFF Research Database (Denmark)
Ahmed-Kristensen, Saeema; Babar, Muhammad Ali
2012-01-01
Observational studies of engineering design activities can inform the research community on the problem solving models that are employed by professional engineers. Design is defined as an ill-defined problem which includes both engineering design and software design, hence understanding problem...... solving models from other design domains is of interest to the engineering design community. For this paper an observational study of two software design sessions performed for the workshop on “Studying professional Software Design” is compared to analysis from engineering design. These findings provide...... useful insights of how software designers move from a problem domain to a solution domain and the commonalities between software designers’ and engineering designers’ design activities. The software designers were found to move quickly to a detailed design phase, employ co-.evolution and adopt...
Comparison of Problem Solving from Engineering Design to Software Design
DEFF Research Database (Denmark)
Ahmed-Kristensen, Saeema; Babar, Muhammad Ali
2012-01-01
solving models from other design domains is of interest to the engineering design community. For this paper an observational study of two software design sessions performed for the workshop on “Studying professional Software Design” is compared to analysis from engineering design. These findings provide......Observational studies of engineering design activities can inform the research community on the problem solving models that are employed by professional engineers. Design is defined as an ill-defined problem which includes both engineering design and software design, hence understanding problem...... useful insights of how software designers move from a problem domain to a solution domain and the commonalities between software designers’ and engineering designers’ design activities. The software designers were found to move quickly to a detailed design phase, employ co-.evolution and adopt...
An Intelligent Propulsion Control Architecture to Enable More Autonomous Vehicle Operation
Litt, Jonathan S.; Sowers, T. Shane; Simon, Donald L.; Owen, A. Karl; Rinehart, Aidan W.; Chicatelli, Amy K.; Acheson, Michael J.; Hueschen, Richard M.; Spiers, Christopher W.
2018-01-01
This paper describes an intelligent propulsion control architecture that coordinates with the flight control to reduce the amount of pilot intervention required to operate the vehicle. Objectives of the architecture include the ability to: automatically recognize the aircraft operating state and flight phase; configure engine control to optimize performance with knowledge of engine condition and capability; enhance aircraft performance by coordinating propulsion control with flight control; and recognize off-nominal propulsion situations and to respond to them autonomously. The hierarchical intelligent propulsion system control can be decomposed into a propulsion system level and an individual engine level. The architecture is designed to be flexible to accommodate evolving requirements, adapt to technology improvements, and maintain safety.
Teaching Computer Organization and Architecture Using Simulation and FPGA Applications
D. K.M. Al-Aubidy
2007-01-01
This paper presents the design concepts and realization of incorporating micro-operation simulation and FPGA implementation into a teaching tool for computer organization and architecture. This teaching tool helps computer engineering and computer science students to be familiarized practically with computer organization and architecture through the development of their own instruction set, computer programming and interfacing experiments. A two-pass assembler has been designed and implemente...
Software Architecture Design for Spatially-Indexed Media in Smart Environments
Directory of Open Access Journals (Sweden)
SCHIPOR, O.-A.
2017-05-01
Full Text Available We introduce in this work a new software architecture design, based on well-established web communication protocols and scripting languages, for implementing spatially-indexed media in smart environments. We based our approach on specific design guidelines. Our concept of spatially-indexed media enables users to readily instantiate mappings between digital content and specific regions of the physical space. We present an implementation of the architecture using a motion capture system, a large visualization display, and several smart devices. We also present an experimental evaluation of our new software architecture by reporting response times function of changes in the complexity of physical-digital environment.
Shedding Light on Engineering Design
Capobianco, Brenda M.; Nyquist, Chell; Tyrie, Nancy
2013-01-01
This article describes the steps incorporated to teach an engineering design process in a fifth-grade science classroom. The engineering design-based activity was an existing scientific inquiry activity using UV light--detecting beads and purposefully creating a series of engineering design-based challenges around the investigation. The…
Architectural design of diamond-like carbon coatings for long-lasting joint replacements.
Liu, Yujing; Zhao, Xiaoli; Zhang, Lai-Chang; Habibi, Daryoush; Xie, Zonghan
2013-07-01
Surface engineering through the application of super-hard, low-friction coatings as a potential approach for increasing the durability of metal-on-metal replacements is attracting significant attention. In this study innovative design strategies are proposed for the development of diamond-like-carbon (DLC) coatings against the damage caused by wear particles on the joint replacements. Finite element modeling is used to analyze stress distributions induced by wear particles of different sizes in the newly-designed coating in comparison to its conventional monolithic counterpart. The critical roles of architectural design in regulating stress concentrations and suppressing crack initiation within the coatings is elucidated. Notably, the introduction of multilayer structure with graded modulus is effective in modifying the stress field and reducing the magnitude and size of stress concentrations in the DLC diamond-like-carbon coatings. The new design is expected to greatly improve the load-carrying ability of surface coatings on prosthetic implants, in addition to the provision of damage tolerance through crack arrest. Copyright © 2013 Elsevier B.V. All rights reserved.
Kelly, Cambre N; Miller, Andrew T; Hollister, Scott J; Guldberg, Robert E; Gall, Ken
2018-04-01
3D printing is now adopted for use in a variety of industries and functions. In biomedical engineering, 3D printing has prevailed over more traditional manufacturing methods in tissue engineering due to its high degree of control over both macro- and microarchitecture of porous tissue scaffolds. However, with the improved flexibility in design come new challenges in characterizing the structure-function relationships between various architectures and both mechanical and biological properties in an assortment of clinical applications. Presently, the field of tissue engineering lacks a comprehensive body of literature that is capable of drawing meaningful relationships between the designed structure and resulting function of 3D printed porous biomaterial scaffolds. This work first discusses the role of design on 3D printed porous scaffold function and then reviews characterization of these structure-function relationships for 3D printed synthetic metallic, polymeric, and ceramic biomaterials. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Software architecture analysis tool : software architecture metrics collection
Muskens, J.; Chaudron, M.R.V.; Westgeest, R.
2002-01-01
The Software Engineering discipline lacks the ability to evaluate software architectures. Here we describe a tool for software architecture analysis that is based on metrics. Metrics can be used to detect possible problems and bottlenecks in software architectures. Even though metrics do not give a
Peculiarities of Natural Technology Application in Architecture
Umorina, Z.
2017-11-01
Technical advancement of the modern world has made it possible to create unique artificial objects based on the natural technology principle. New engineering and design types, such as computational design, additive manufacturing, materials engineering, synthetic biology, etc. allow us to enter a new level of interaction between a human being and nature. This influences the formation of a new world view in the sphere of architecture and leads to the development of new methods and styles [1,2].
Engineering Design vs. Artistic Design: Some Educational Consequences
Eder, Wolfgang Ernst
2013-01-01
"Design" can be a noun, or a verb. Six paths for research into engineering design (as verb) are identified, they must be coordinated for internal consistency and plausibility. Design research tries to clarify design processes and their underlying theories--for designing in general, and for particular forms, e.g., design engineering. Theories are a…
Cellular Automata as a learning process in Architecture and Urban design
DEFF Research Database (Denmark)
Jensen, Mads Brath; Foged, Isak Worre
2014-01-01
. An architectural methodological response to this situation is presented through the development of a conceptual computational design system that allows these dynamics to unfold and to be observed for architectural design decision taking. Reflecting on the development and implementation of a cellular automata based...... design approach on a master level urban design studio this paper will discuss the strategies for dealing with complexity at an urban scale as well as the pedagogical considerations behind applying computational tools and methods to a urban design education....
Argento, G; de Jonge, N; Söntjens, S H M; Oomens, C W J; Bouten, C V C; Baaijens, F P T
2015-06-01
The anisotropic collagen architecture of an engineered cardiovascular tissue has a major impact on its in vivo mechanical performance. This evolving collagen architecture is determined by initial scaffold microstructure and mechanical loading. Here, we developed and validated a theoretical and computational microscale model to quantitatively understand the interplay between scaffold architecture and mechanical loading on collagen synthesis and degradation. Using input from experimental studies, we hypothesize that both the microstructure of the scaffold and the loading conditions influence collagen turnover. The evaluation of the mechanical and topological properties of in vitro engineered constructs reveals that the formation of extracellular matrix layers on top of the scaffold surface influences the mechanical anisotropy on the construct. Results show that the microscale model can successfully capture the collagen arrangement between the fibers of an electrospun scaffold under static and cyclic loading conditions. Contact guidance by the scaffold, and not applied load, dominates the collagen architecture. Therefore, when the collagen grows inside the pores of the scaffold, pronounced scaffold anisotropy guarantees the development of a construct that mimics the mechanical anisotropy of the native cardiovascular tissue.
Engineering Encounters: Identifying an Engineering Design Problem
Chizek, Lisa; VanMeeteren, Beth; McDermott, Mark; Uhlenberg, Jill
2018-01-01
Engineering is an intriguing way for students to connect the design process with their knowledge of science (NRC 2012). This article describes the "Engineering a Pancake Recipe" design process which was created to make the structure and properties of matter more meaningful for fifth grade students. The whole pancake recipe engineering…
Martini, W. R.
1978-01-01
This manual is intended to serve both as an introduction to Stirling engine analysis methods and as a key to the open literature on Stirling engines. Over 800 references are listed and these are cross referenced by date of publication, author and subject. Engine analysis is treated starting from elementary principles and working through cycles analysis. Analysis methodologies are classified as first, second or third order depending upon degree of complexity and probable application; first order for preliminary engine studies, second order for performance prediction and engine optimization, and third order for detailed hardware evaluation and engine research. A few comparisons between theory and experiment are made. A second order design procedure is documented step by step with calculation sheets and a worked out example to follow. Current high power engines are briefly described and a directory of companies and individuals who are active in Stirling engine development is included. Much remains to be done. Some of the more complicated and potentially very useful design procedures are now only referred to. Future support will enable a more thorough job of comparing all available design procedures against experimental data which should soon be available.
Advances in architectural concepts to support distributed systems design
Ferreira Pires, Luis; Vissers, C.A.; van Sinderen, Marten J.
1993-01-01
This paper presents and discusses some architectural concepts for distributed systems design. These concepts are derived from an analysis of limitations of some currently available standard design languages. We conclude that language design should be based upon the careful consideration of
Iteration in Early-Elementary Engineering Design
McFarland Kendall, Amber Leigh
K-12 standards and curricula are beginning to include engineering design as a key practice within Science Technology Engineering and Mathematics (STEM) education. However, there is little research on how the youngest students engage in engineering design within the elementary classroom. This dissertation focuses on iteration as an essential aspect of engineering design, and because research at the college and professional level suggests iteration improves the designer's understanding of problems and the quality of design solutions. My research presents qualitative case studies of students in kindergarten and third-grade as they engage in classroom engineering design challenges which integrate with traditional curricula standards in mathematics, science, and literature. I discuss my results through the lens of activity theory, emphasizing practices, goals, and mediating resources. Through three chapters, I provide insight into how early-elementary students iterate upon their designs by characterizing the ways in which lesson design impacts testing and revision, by analyzing the plan-driven and experimentation-driven approaches that student groups use when solving engineering design challenges, and by investigating how students attend to constraints within the challenge. I connect these findings to teacher practices and curriculum design in order to suggest methods of promoting iteration within open-ended, classroom-based engineering design challenges. This dissertation contributes to the field of engineering education by providing evidence of productive engineering practices in young students and support for the value of engineering design challenges in developing students' participation and agency in these practices.
Fusion Engineering Device design description
International Nuclear Information System (INIS)
Flanagan, C.A.; Steiner, D.; Smith, G.E.
1981-12-01
The US Magnetic Fusion Engineering Act of 1980 calls for the operation of a Fusion Engineering Device (FED) by 1990. It is the intent of the Act that the FED, in combination with other testing facilities, will establish the engineering feasibility of magnetic fusion energy. During 1981, the Fusion Engineering Design Center (FEDC), under the guidance of a Technical Management Board (TMB), developed a baseline design for the FED. This design is summarized herein
Fusion engineering device design description
Energy Technology Data Exchange (ETDEWEB)
Flanagan, C.A.; Steiner, D.; Smith, G.E.
1981-12-01
The US Magnetic Fusion Engineering Act of 1980 calls for the operation of a Fusion Engineering Device (FED) by 1990. It is the intent of the Act that the FED, in combination with other testing facilities, will establish the engineering feasibility of magnetic fusion energy. During 1981, the Fusion Engineering Design Center (FEDC), under the guidance of a Technical Management Board (TMB), developed a baseline design for the FED. This design is summarized herein.
Fusion engineering device design description
International Nuclear Information System (INIS)
Flanagan, C.A.; Steiner, D.; Smith, G.E.
1981-12-01
The US Magnetic Fusion Engineering Act of 1980 calls for the operation of a Fusion Engineering Device (FED) by 1990. It is the intent of the Act that the FED, in combination with other testing facilities, will establish the engineering feasibility of magnetic fusion energy. During 1981, the Fusion Engineering Design Center (FEDC), under the guidance of a Technical Management Board (TMB), developed a baseline design for the FED. This design is summarized herein
Energy Technology Data Exchange (ETDEWEB)
Den Hartog, J.P.
2003-12-01
In contemporary architecture education, indoor climate and building installations suffer from a lack of popularity. Students of architecture, filled with great expectations and awe for the famous designers of the 20th century, find inspiration in examples such as Le Corbusier's Villa Savoy, Lloyd Wright's falling water or the Rietveld's Schroder house. Recognizable as this may be, great aesthetics constitute only a small part of creating architecture. The process of designing and constructing buildings comprises the involvement of a multitude of skills such as creating clear functional layouts, designing solid structures and taking care of healthy indoor climates. Most textbooks and magazines contemporary architecture do not cover the less attractive aspects of the indoor climate such as heating, ventilation and cooling unless these services form an important part of the buildings aesthetic identity. Recent examples regarding the integration of second skin facades and natural ventilation in indoor climate, sometimes fail to convince as a result of the lack of thorough evaluations and detailed information.
Designed by Engineers: An analysis of interactionaries with engineering students
Directory of Open Access Journals (Sweden)
Henrik Artman
2014-12-01
Full Text Available The aim of this study is to describe and analyze learning taking place in a collaborative design exercise involving engineering students. The students perform a time-constrained, open-ended, complex interaction design task, an “interactionary”. A multimodal learning perspective is used. We have performed detailed analyses of video recordings of the engineering students, including classifying aspects of interaction. Our results show that the engineering students carry out and articulate their design work using a technology-centred approach and focus more on the function of their designs than on aspects of interaction. The engineering students mainly make use of ephemeral communication strategies (gestures and speech rather than sketching in physical materials. We conclude that the interactionary may be an educational format that can help engineering students learn the messiness of design work. We further identify several constraints to the engineering students’ design learning and propose useful interventions that a teacher could make during an interactionary. We especially emphasize interventions that help engineering students-retain aspects of human-centered design throughout the design process. This study partially replicates a previous study which involved interaction design students.
Directory of Open Access Journals (Sweden)
Sapto Pamungkas Luhur
2018-01-01
Full Text Available Studios. This ability gained through visual design thinking. The spatial experience honed by three dimensional thinking from the medium diversity. The spatial experience learned through a room layout, proportion, and composition. This research used an experimental method and the primary data obtained by a “Likert” scale questionnaire. The Respondents are 50 students of the Architectural Design Studio. Moreover, the analysis focuses on the VR for spatial experience. The result was a descriptive explanation of the effectiveness of Virtual Reality for a spatial experience of architecture students at Technology University of Yogyakarta.
Architectural Refinement for the Design of Survivable Systems
National Research Council Canada - National Science Library
Ellison, Robert
2001-01-01
This paper describes a process for systematically refining an enterprise system architecture to resist recognize and recover from deliberate, malicious attacks by applying reusable design primitives...
Memristor-Based Synapse Design and Training Scheme for Neuromorphic Computing Architecture
2012-06-01
system level built upon the conventional Von Neumann computer architecture [2][3]. Developing the neuromorphic architecture at chip level by...SCHEME FOR NEUROMORPHIC COMPUTING ARCHITECTURE 5a. CONTRACT NUMBER FA8750-11-2-0046 5b. GRANT NUMBER N/A 5c. PROGRAM ELEMENT NUMBER 62788F 6...creation of memristor-based neuromorphic computing architecture. Rather than the existing crossbar-based neuron network designs, we focus on memristor
Designing a Pedagogical Model for Web Engineering Education: An Evolutionary Perspective
Hadjerrouit, Said
2005-01-01
In contrast to software engineering, which relies on relatively well established development approaches, there is a lack of a proven methodology that guides Web engineers in building reliable and effective Web-based systems. Currently, Web engineering lacks process models, architectures, suitable techniques and methods, quality assurance, and a…
Analytical Design Package (ADP2): A computer aided engineering tool for aircraft transparency design
Wuerer, J. E.; Gran, M.; Held, T. W.
1994-01-01
The Analytical Design Package (ADP2) is being developed as a part of the Air Force Frameless Transparency Program (FTP). ADP2 is an integrated design tool consisting of existing analysis codes and Computer Aided Engineering (CAE) software. The objective of the ADP2 is to develop and confirm an integrated design methodology for frameless transparencies, related aircraft interfaces, and their corresponding tooling. The application of this methodology will generate high confidence for achieving a qualified part prior to mold fabrication. ADP2 is a customized integration of analysis codes, CAE software, and material databases. The primary CAE integration tool for the ADP2 is P3/PATRAN, a commercial-off-the-shelf (COTS) software tool. The open architecture of P3/PATRAN allows customized installations with different applications modules for specific site requirements. Integration of material databases allows the engineer to select a material, and those material properties are automatically called into the relevant analysis code. The ADP2 materials database will be composed of four independent schemas: CAE Design, Processing, Testing, and Logistics Support. The design of ADP2 places major emphasis on the seamless integration of CAE and analysis modules with a single intuitive graphical interface. This tool is being designed to serve and be used by an entire project team, i.e., analysts, designers, materials experts, and managers. The final version of the software will be delivered to the Air Force in Jan. 1994. The Analytical Design Package (ADP2) will then be ready for transfer to industry. The package will be capable of a wide range of design and manufacturing applications.
Belapurkar, Rohit K.
Future aircraft engine control systems will be based on a distributed architecture, in which, the sensors and actuators will be connected to the Full Authority Digital Engine Control (FADEC) through an engine area network. Distributed engine control architecture will allow the implementation of advanced, active control techniques along with achieving weight reduction, improvement in performance and lower life cycle cost. The performance of a distributed engine control system is predominantly dependent on the performance of the communication network. Due to the serial data transmission policy, network-induced time delays and sampling jitter are introduced between the sensor/actuator nodes and the distributed FADEC. Communication network faults and transient node failures may result in data dropouts, which may not only degrade the control system performance but may even destabilize the engine control system. Three different architectures for a turbine engine control system based on a distributed framework are presented. A partially distributed control system for a turbo-shaft engine is designed based on ARINC 825 communication protocol. Stability conditions and control design methodology are developed for the proposed partially distributed turbo-shaft engine control system to guarantee the desired performance under the presence of network-induced time delay and random data loss due to transient sensor/actuator failures. A fault tolerant control design methodology is proposed to benefit from the availability of an additional system bandwidth and from the broadcast feature of the data network. It is shown that a reconfigurable fault tolerant control design can help to reduce the performance degradation in presence of node failures. A T-700 turbo-shaft engine model is used to validate the proposed control methodology based on both single input and multiple-input multiple-output control design techniques.
Towards a preliminary design of the ITER plasma control system architecture
International Nuclear Information System (INIS)
Treutterer, W.; Rapson, C.J.; Raupp, G.; Snipes, J.; Vries, P. de; Winter, A.; Humphreys, D.A.; Walker, M.; Tommasi, G. de; Cinque, M.; Bremond, S.; Moreau, P.; Nouailletas, R.; Felton, R.
2017-01-01
Highlights: • ITER control requirements and use scenarios for initial plasma operation have been analysed. • Basic choices from conceptual design could be confirmed. • Architectural design considers dynamic structure changes. • All PCS components are integrated in an exception handling hierarchy. - Abstract: Design of the ITER plasma control system is proceeding towards its next – preliminary design – stage. During the conceptual design in 2013 an overall assessment of high-level control tasks and their relationships has been conducted. The goal of the preliminary design is to show, that a reasonable implementation of the proposed concepts exists which fulfills the high-level requirements and is suitable for realistic use cases. This verification is conducted with focus on the concrete use cases of early operation and first plasma, since these phases are mandatory for ITER startup. In particular, detailed control requirements and functions for commissioning and first plasma operation including breakdown, burn-through and ramp-up in L-mode, as well as for planned or exceptional shutdown are identified. Control functions related to those operational phases and the underlying control system architecture are modeled. The goal is to check whether the flexibility of the conceptual architectural approach is adequate also in consideration of the more elaborate definitions for control functions and their interactions. In addition, architecture shall already be prepared for extension to H-mode operation and burn-control, even if the related control functions are only roughly defined at the moment. As a consequence, the architectural design is amended where necessary and converted into base components and infrastructure services allowing to deploy control and exception handling algorithms for the concrete first-plasma operation.
Towards a preliminary design of the ITER plasma control system architecture
Energy Technology Data Exchange (ETDEWEB)
Treutterer, W., E-mail: Wolfgang.Treutterer@ipp.mpg.de [Max-Planck-Institut für Plasmaphysik, Boltzmannstraße 2, 85748 Garching (Germany); Rapson, C.J.; Raupp, G. [Max-Planck-Institut für Plasmaphysik, Boltzmannstraße 2, 85748 Garching (Germany); Snipes, J.; Vries, P. de; Winter, A. [ITER Organization, Route de Vinon sur Verdon, 13067 St Paul Lez Durance (France); Humphreys, D.A.; Walker, M. [General Atomics, PO Box 85608, San Diego, CA 92186-5608 (United States); Tommasi, G. de; Cinque, M. [CREATE/Università di Napoli Federico II, Napoli (Italy); Bremond, S.; Moreau, P.; Nouailletas, R. [Association CEA pour la Fusion Contrôlée, CEA Cadarache, 13108 St Paul les Durance (France); Felton, R. [CCFE Fusion Association, Culham Centre for Fusion Energy, Culham Science Centre, Oxfordshire, OX14 3DB (United Kingdom)
2017-02-15
Highlights: • ITER control requirements and use scenarios for initial plasma operation have been analysed. • Basic choices from conceptual design could be confirmed. • Architectural design considers dynamic structure changes. • All PCS components are integrated in an exception handling hierarchy. - Abstract: Design of the ITER plasma control system is proceeding towards its next – preliminary design – stage. During the conceptual design in 2013 an overall assessment of high-level control tasks and their relationships has been conducted. The goal of the preliminary design is to show, that a reasonable implementation of the proposed concepts exists which fulfills the high-level requirements and is suitable for realistic use cases. This verification is conducted with focus on the concrete use cases of early operation and first plasma, since these phases are mandatory for ITER startup. In particular, detailed control requirements and functions for commissioning and first plasma operation including breakdown, burn-through and ramp-up in L-mode, as well as for planned or exceptional shutdown are identified. Control functions related to those operational phases and the underlying control system architecture are modeled. The goal is to check whether the flexibility of the conceptual architectural approach is adequate also in consideration of the more elaborate definitions for control functions and their interactions. In addition, architecture shall already be prepared for extension to H-mode operation and burn-control, even if the related control functions are only roughly defined at the moment. As a consequence, the architectural design is amended where necessary and converted into base components and infrastructure services allowing to deploy control and exception handling algorithms for the concrete first-plasma operation.
Regeneration Through Hidden Historical Landscape of Lecco. Urban Course Design Process
Colucci, Angela; Kolmogorova, Anna; Kraja, Benida; Ziyaee, Maryam
2017-01-01
The article focus on the role of Historical Urban Heritage in Urban Design through the presentation of the integrated learning path developed for Urban Design and Urban Design Studio classes of Lecco Campus of Politecnico of Milan (school of Architecture Urban Planning Construction Engineering, master degree in Building and Architectural Engineering - BAE and Architectural-Engineering– EDA). The first part of paper presents the general learning process characterizing Urban Design course a...
DEFF Research Database (Denmark)
Parigi, Dario
2014-01-01
paradigm where the increasing integration of parametric tools and performative analysis is changing the way we learn and design. The term Performance Aided Architectural Design (PAD) is proposed at the Master of Science of Architecture and Design at Aalborg University, with the aim of extending a tectonic...... tradition of architecture with computational tools, preparing the basis for the creation of the figure of a modern master builder, sitting at the boundary of the disciplines of architecture and engineering. Performance Aided Design focuses on the role of performative analysis, embedded tectonics......, and computational methods tools to trigger creativity and innovative understanding of relation between form material and a increasingly wide range of performances in architectural design. The ultimate goal is to pursue a design approach that aims at embracing rather than excluding the complexity implicit...
Hybrid Experiential-Heuristic Cognitive Radio Engine Architecture and Implementation
Directory of Open Access Journals (Sweden)
Ashwin Amanna
2012-01-01
Full Text Available The concept of cognitive radio (CR focuses on devices that can sense their environment, adapt configuration parameters, and learn from past behaviors. Architectures tend towards simplified decision-making algorithms inspired by human cognition. Initial works defined cognitive engines (CEs founded on heuristics, such as genetic algorithms (GAs, and case-based reasoning (CBR experiential learning algorithms. This hybrid architecture enables both long-term learning, faster decisions based on past experience, and capability to still adapt to new environments. This paper details an autonomous implementation of a hybrid CBR-GA CE architecture on a universal serial radio peripheral (USRP software-defined radio focused on link adaptation. Details include overall process flow, case base structure/retrieval method, estimation approach within the GA, and hardware-software lessons learned. Unique solutions to realizing the concept include mechanisms for combining vector distance and past fitness into an aggregate quantification of similarity. Over-the-air performance under several interference conditions is measured using signal-to-noise ratio, packet error rate, spectral efficiency, and throughput as observable metrics. Results indicate that the CE is successfully able to autonomously change transmit power, modulation/coding, and packet size to maintain the link while a non-cognitive approach loses connectivity. Solutions to existing shortcomings are proposed for improving case-base searching and performance estimation methods.
Lunar architecture and urbanism
Sherwood, Brent
1992-01-01
Human civilization and architecture have defined each other for over 5000 years on Earth. Even in the novel environment of space, persistent issues of human urbanism will eclipse, within a historically short time, the technical challenges of space settlement that dominate our current view. By adding modern topics in space engineering, planetology, life support, human factors, material invention, and conservation to their already renaissance array of expertise, urban designers can responsibly apply ancient, proven standards to the exciting new opportunities afforded by space. Inescapable facts about the Moon set real boundaries within which tenable lunar urbanism and its component architecture must eventually develop.
Instructional design considerations promoting engineering design self-efficacy
Jackson, Andrew M.
Engineering design activities are frequently included in technology and engineering classrooms. These activities provide an open-ended context for practicing critical thinking, problem solving, creativity, and innovation---collectively part of the 21st Century Skills which are increasingly needed for success in the workplace. Self-efficacy is a perceptual belief that impacts learning and behavior. It has been shown to directly impact each of these 21st Century Skills but its relation to engineering design is only recently being studied. The purpose of this study was to examine how instructional considerations made when implementing engineering design activities might affect student self-efficacy outcomes in a middle school engineering classroom. Student responses to two self-efficacy inventories related to design, the Engineering Design Self-Efficacy Instrument and Creative Thinking Self-Efficacy Inventory, were collected before and after participation in an engineering design curriculum. Students were also answered questions on specific factors of their experience during the curriculum which teachers may exhibit control over: teamwork and feedback. Results were analyzed using Pearson's correlation coefficients, paired and independent t-tests, and structural equation modeling to better understand patterns for self-efficacy beliefs in students. Results suggested that design self-efficacy and creative thinking self-efficacy are significantly correlated, r(1541) = .783, p classroom strategies for increasing self-efficacy and given specific recommendations related to teamwork and feedback to support students. Finally, although there were weaknesses in the study related to the survey administration, future research opportunities are presented which may build from this work.
A "Knowledge Trading Game" for Collaborative Design Learning in an Architectural Design Studio
Wang, Wan-Ling; Shih, Shen-Guan; Chien, Sheng-Fen
2010-01-01
Knowledge-sharing and resource exchange are the key to the success of collaborative design learning. In an architectural design studio, design knowledge entails learning efforts that need to accumulate and recombine dispersed and complementary pieces of knowledge. In this research, firstly, "Knowledge Trading Game" is proposed to be a way for…
Design of Network Architectures: Role of Game Theory and Economics
Shetty, Nikhil
2010-01-01
The economics of the market that a network architecture enables has a important bearing on its success and eventual adoption. Some of these economic issues are tightly coupled with the design of the network architecture. A poor design could end up making certain markets very difficult to enable, even if they are in the better interest of society. Theanalysis of these cross-disciplinary problems requires understanding both the technology and the economic aspects. This thesis introduces three m...
Information Architecture without Internal Theory: An Inductive Design Process.
Haverty, Marsha
2002-01-01
Suggests that information architecture design is primarily an inductive process, partly because it lacks internal theory and partly because it is an activity that supports emergent phenomena (user experiences) from basic design components. Suggests a resemblance to Constructive Induction, a design process that locates the best representational…
Modern housing design: prefabricated and modular design in Frank Lloyd Wright's architecture
Directory of Open Access Journals (Sweden)
Ana Tagliari
2011-12-01
Full Text Available This paper investigates the residential architecture of Frank Lloyd Wright, especially the designs which were conceived from an idea of prefabricated, modular, low-cost, and high-scale construction. Wright's organic designs originated from a material-based grid, which at the same time organized and provided freedom to create spaces and forms. This study reviews Wright's work, from his first Midwest designs that relied on brick, through an intermediary phase in California when he made intense use of concrete blocks, until his last phase, the usonian houses, which featured wood paneling. During his early career, the concept and the methodology of Wright's ideas greatly contributed to a better understanding of his architecture, his apprentices and his followers. The economy and rationalization found in the projects reviewed are of great importance as the analysis of historical proposals helps us understand the topic in question.
Barros, O.; Seguel Pérez, R.E.; Quezada, A.; Dijkman, R.; Hofstetter, J.; Koehler, J.
2011-01-01
An Enterprise Architecture (EA) comprises different models at different levels of abstraction. Since existing EA design approaches, e.g. MDA, use UML for modeling, the design of the architecture becomes complex and time consuming. In this paper, we present an integrated and lightweight design
Experiencing a Problem-Based Learning Approach for Teaching Reconfigurable Architecture Design
Directory of Open Access Journals (Sweden)
Erwan Fabiani
2009-01-01
Full Text Available This paper presents the “reconfigurable computing” teaching part of a computer science master course (first year on parallel architectures. The practical work sessions of this course rely on active pedagogy using problem-based learning, focused on designing a reconfigurable architecture for the implementation of an application class of image processing algorithms. We show how the successive steps of this project permit the student to experiment with several fundamental concepts of reconfigurable computing at different levels. Specific experiments include exploitation of architectural parallelism, dataflow and communicating component-based design, and configurability-specificity tradeoffs.
Khoshgoftar, M.; Donkelaar, van C.C.; Ito, K.
2011-01-01
The load-bearing capacity of today's tissue-engineered (TE) cartilage is insufficient. The arcade-like collagen network in native cartilage plays an important role in its load-bearing properties. Inducing the formation of such collagen architecture in engineered cartilage can, therefore, enhance
Building Design Guidelines for Interior Architecture Concerned with Animal Researches Facilities
International Nuclear Information System (INIS)
ElDib, A.A. E.
2014-01-01
This paper discusses the most important design guidelines elements and characteristics for animal facilities, in order to achieve and maintain highest efficiency can be, with respect to the pivot role of Interior Architecture as one of the accurate specializations for completing the Architectural Sciences, for designer/s concerned with those types of facilities, (specially those using radioactive materials). These building types known as vivariums, are specially designed, accommodating and having sophisticated controlled environments for the care and maintenance of experimental animals, and are related to, but distinct from other research laboratories premises
Design of complex architectures using a three dimension approach : the crosswork case
Seguel Pérez, R.E.; Grefen, P.W.P.J.; Eshuis, H.
2010-01-01
In this paper, we present a three dimensional design approach of complex information systems architectures. Key element of this approach is the model transformation cube, which consists of three dimensions along which architecture models can be positioned. Industry architecture frameworks to guide
Computational Design Tools for Integrated Design
DEFF Research Database (Denmark)
Holst, Malene Kirstine; Kirkegaard, Poul Henning
2010-01-01
In an architectural conceptual sketching process, where an architect is working with the initial ideas for a design, the process is characterized by three phases: sketching, evaluation and modification. Basically the architect needs to address three areas in the conceptual sketching phase......: aesthetical, functional and technical requirements. The aim of the present paper is to address the problem of a vague or not existing link between digital conceptual design tools used by architects and designers and engineering analysis and simulation tools. Based on an analysis of the architectural design...... process different digital design methods are related to tasks in an integrated design process....
Phonon Spectrum Engineering in Rolled-up Micro- and Nano-Architectures
Directory of Open Access Journals (Sweden)
Vladimir M. Fomin
2015-10-01
Full Text Available We report on a possibility of efficient engineering of the acoustic phonon energy spectrum in multishell tubular structures produced by a novel high-tech method of self-organization of micro- and nano-architectures. The strain-driven roll-up procedure paved the way for novel classes of metamaterials such as single semiconductor radial micro- and nano-crystals and multi-layer spiral micro- and nano-superlattices. The acoustic phonon dispersion is determined by solving the equations of elastodynamics for InAs and GaAs material systems. It is shown that the number of shells is an important control parameter of the phonon dispersion together with the structure dimensions and acoustic impedance mismatch between the superlattice layers. The obtained results suggest that rolled up nano-architectures are promising for thermoelectric applications owing to a possibility of significant reduction of the thermal conductivity without degradation of the electronic transport.
How Juries Assess Universal Design in Norwegian Architectural School Competitions.
Houck, Leif D
2016-01-01
This paper investigates how architectural school competition juries assess Universal Design. The method used is a case study of 18 recent architectural school competitions in Norway. The results show that most competition briefs ask for Universal Designed buildings. In 8 of the 18 cases, Universal Design is mentioned as an assessment criterion. In 11 of the 18 cases, Universal Design is commented on by the juries in the jury reports, but only in 3 of the cases, do the juries assess this aspect consistently on every competition project. The overall impression is that some amount of uncertainty looms concerning how Universal Design should be assessed in the competition stage. Based on the findings, future juries should concentrate on orientation and overview prior to technicalities and details.
Architectural design with simple shape grammars and learning
Directory of Open Access Journals (Sweden)
Eduardo Jiménez-Morales
2014-12-01
Full Text Available This work presents a proposal for the automatic generation of architectural design. This scheme is based on the training of simple shape grammars through reinforcement learning technics. Finally, the results of the implemented system by this technic for the generation of dwelling design with certain restrictions are presented and analyzed.
Design of Carborane Molecular Architectures via Electronic Structure Computations
International Nuclear Information System (INIS)
Oliva, J.M.; Serrano-Andres, L.; Klein, D.J.; Schleyer, P.V.R.; Mich, J.
2009-01-01
Quantum-mechanical electronic structure computations were employed to explore initial steps towards a comprehensive design of poly carborane architectures through assembly of molecular units. Aspects considered were (i) the striking modification of geometrical parameters through substitution, (ii) endohedral carboranes and proposed ejection mechanisms for energy/ion/atom/energy storage/transport, (iii) the excited state character in single and dimeric molecular units, and (iv) higher architectural constructs. A goal of this work is to find optimal architectures where atom/ion/energy/spin transport within carborane superclusters is feasible in order to modernize and improve future photo energy processes.
Towards an architectural design system based on generic representations
Pranovich, S.; Achten, H.H.; Wijk, van J.J.; Gero, J.S.
2002-01-01
Computer Aided Architectural Design systems offer a broad scope of drawing and modeling techniques for the designer. Nevertheless, they offer limited support for the early phases of the design process. One reason is that the level of abstraction is too low: the user can define walls and such in
AN ARCHITECTURE FOR AUTISM: CONCEPTS OF DESIGN INTERVENTION FOR THE AUTISTIC USER
Directory of Open Access Journals (Sweden)
Magda Mostafa
2008-03-01
Full Text Available One in every 150 children is estimated to fall within the autistic spectrum, regardless of socio-cultural and economic aspects, with a 4:1 prevalence of males over females (ADDM, 2007. Architecture, as a profession, is responsible for creating environments that accommodate the needs of all types of users. Special needs individuals should not be exempt from such accommodation. Despite this high incidence of autism, there are yet to be developed architectural design guidelines catering specifically to the scope of autistic needs. The primary goal of this research is to correct this exclusion by developing a preliminary framework of architectural design guidelines for autism. This will be done through a two phase study. The first phase will determine, through a questionnaire of first hand caregivers of autistic children, the impact of architectural design elements on autistic behaviour, to determine the most influential. The second phase, based on the findings of the first, will test the conclusive highest ranking architectural elements in an intervention study on autistic children in their school environment. Specific behavioural indicators, namely attention span, response time and behavioural temperament, will be tracked to determine each child’s progress pre and post intervention, for a control and study group. This study concludes in outlining the findings of both phases of the study, the first being the determination of the most influential architectural design elements on autistic behaviour, according to the sample surveyed. The second group of findings outlines design strategies for autism in three points. The first is the presentation of a "sensory design matrix" which matches architectural elements with autistic sensory issues and is used to generate suggested design guidelines. The second is the presentation of these hypothetical guidelines, two of which are tested in the presented study. These guidelines are presented as possible
Rumbaugh, Roy N.; Grealish, Kevin; Kacir, Tom; Arsenault, Barry; Murphy, Robert H.; Miller, Scott
2003-09-01
A new 4th generation MicroIR architecture is introduced as the latest in the highly successful Standard Camera Core (SCC) series by BAE SYSTEMS to offer an infrared imaging engine with greatly reduced size, weight, power, and cost. The advanced SCC500 architecture provides great flexibility in configuration to include multiple resolutions, an industry standard Real Time Operating System (RTOS) for customer specific software application plug-ins, and a highly modular construction for unique physical and interface options. These microbolometer based camera cores offer outstanding and reliable performance over an extended operating temperature range to meet the demanding requirements of real-world environments. A highly integrated lens and shutter is included in the new SCC500 product enabling easy, drop-in camera designs for quick time-to-market product introductions.
Laursen, Esben Skov; Møller, Louise
2015-01-01
This paper describes a case study comparing the understanding of design intent between industrial designers and design engineers. The study is based on the hypothesis that it is not all aspects of the design intent that are equally difficult to share between industrial designers and design engineers in the product development process. The study builds on five semi-structured interviews, where two industrial designers and three design engineers were interviewed about different aspects of the design intent. Based on our results, there seem to be indications that the more complex and abstract elements of industrial design knowledge such as the meaning, semantics, values, emotions and social aspects of the product are less shared by the design engineers. Moreover, the results also indicate that the different aspects of the design intent are perceived separately, rather than as part of a whole by the design engineers. The connection between the different aspects of the design intent is not shared between the industrial designer and design engineer making the shared knowledge less meaningful to the design engineers. The results of this study cannot be claimed to be conclusive due to the limited empirical material. Further investigation and analytically richer data are required in order to verify and broaden the findings. More case studies have therefore been planned in order to understand the area better.
Zhu, Dongming; Miller, Robert A.; Kuczmarski, Maria A.
2012-01-01
Thermal barrier coatings will be more aggressively designed to protect gas turbine engine hot-section components in order to meet future rotorcraft engine higher fuel efficiency and lower emission goals. For thermal barrier coatings designed for rotorcraft turbine airfoil applications, further improved erosion and impact resistance are crucial for engine performance and durability, because the rotorcraft are often operated in the most severe sand erosive environments. Advanced low thermal conductivity and erosion-resistant thermal barrier coatings are being developed, with the current emphasis being placed on thermal barrier coating toughness improvements using multicomponent alloying and processing optimization approaches. The performance of the advanced thermal barrier coatings has been evaluated in a high temperature erosion burner rig and a laser heat-flux rig to simulate engine erosion and thermal gradient environments. The results have shown that the coating composition and architecture optimizations can effectively improve the erosion and impact resistance of the coating systems, while maintaining low thermal conductivity and cyclic oxidation durability
Biomedical laboratories: architecture and radioprotection principles
International Nuclear Information System (INIS)
Lapa, Renata
2005-01-01
In institutions where biological research are made and some technologies make use of radioisotope, the radiation protection is an issue of biosecurity for conceptual reasons. In the process of architectural design of Biomedical Laboratories, engineering and architecture reveal interfaces with other areas of knowledge and specific concepts. Exploring the role of architectural design in favor of personal and environmental protection in biological containment laboratories that handle non-sealed sources in research, the work discusses the triad that compose the principle of containment in health environments: best practices, protective equipment, physical facilities, with greater emphasis on the latter component. The shortcomings of the design process are reflected in construction and in use-operation and maintenance of these buildings, with direct consequences on the occupational health and safety, environmental and credibility of work processes. In this context, the importance of adoption of alternatives to improve the design process is confirmed, taking into account the early consideration of several variables involved and providing subsidies to the related laboratories . The research, conducted at FIOCRUZ - a Brazilian health institution, developed from the analysis of the participants in the architectural project, aiming at the formulation of design guidelines which could contribute to the rationalisation of this kind of building construction
Decision-Based Design Integrating Consumer Preferences into Engineering Design
Chen, Wei; Wassenaar, Henk Jan
2013-01-01
Building upon the fundamental principles of decision theory, Decision-Based Design: Integrating Consumer Preferences into Engineering Design presents an analytical approach to enterprise-driven Decision-Based Design (DBD) as a rigorous framework for decision making in engineering design. Once the related fundamentals of decision theory, economic analysis, and econometrics modelling are established, the remaining chapters describe the entire process, the associated analytical techniques, and the design case studies for integrating consumer preference modeling into the enterprise-driven DBD framework. Methods for identifying key attributes, optimal design of human appraisal experiments, data collection, data analysis, and demand model estimation are presented and illustrated using engineering design case studies. The scope of the chapters also provides: •A rigorous framework of integrating the interests from both producer and consumers in engineering design, •Analytical techniques of consumer choice model...
DEFF Research Database (Denmark)
Schrøder, Anne Lise
2006-01-01
In various branches of society there is focus on the need for design skills and innovation potential as a means of communicating and handling constant change. In this context, the traditional idea of the engineer as a poly-technician inventing solutions by understanding the laws of nature...... concept of diagrammatic reasoning to some extent incarnates the very method of engineering and design. On this background, it is argued how the work field and techniques of the engineer and the engineering scientist could be characterized in a broader creative context of learning and communication....... This leads to considering the fundamental skills of the engineering practice as basic abilities to see the structures and dynamics of the world, to model it, and to create new solutions concerning practical as well as theoretical matters. Finally, it is assumed that the essence of engineering “bildung...
Explorations in Teaching Sustainable Design: A Studio Experience in Interior Design/Architecture
Gurel, Meltem O.
2010-01-01
This article argues that a design studio can be a dynamic medium to explore the creative potential of the complexity of sustainability from its technological to social ends. The study seeks to determine the impact of an interior design/architecture studio experience that was initiated to teach diverse meanings of sustainability and to engage the…
Education in Environmental Sustainable Architecture for the Future? - For a Joint Climate Action
DEFF Research Database (Denmark)
Knudstrup, Mary-Ann; Eriksen, Kaare; Petersen, Mads Dines
2009-01-01
will present a teaching method used for the Architecture specialization at the Architecture & Design education. It is tailored to deal with current societal/technological, environmental and sustainable issues. In terms of both research and teaching, Aalborg University utilizes an interdisciplinary approach......&D) as an engineering education with specialization in Architecture. Since 2005 the master has been offered in English. The curriculum is organized so that lecturers of architecture and design from the new and more aesthetically oriented Department of Architecture & Design would teach the core competencies...... and architecture in a more complex and holistic design process where the integration of both technical and aesthetic aspects is important. One of the major obstacles in today's education at A&D is the lack of tools, which allows the designers to use their knowledge about how to reduce energy consumption...
Engineers: Designers--No Alibis.
Stevens, Susan A. R.; Wilkins, Linda C.
Engineering is the science, art, and business of designing and getting things done; engineers are required to make things happen through interpersonal relationships. At Monash University (Australia), a new course, Management for Engineers, was set up in 1990 to encourage a more holistic approach to the process of engineering. The course included…
The Phenomenon of Touch in Architectural Design and a Field Study on Haptic Mapping
Directory of Open Access Journals (Sweden)
Pınar ÖKTEM ERKARTAL
2015-02-01
Full Text Available Ocular-centrism is the utilitarian-aesthetic perspective which dominates the perception of spatial quality and architectural success in the West. In locating vision as the dominant discourse in architectural design, this perspective has been criticized for ignoring the physical and psychological relation created between subject and space during the spatial experience, sensual memory, movement and time. The phenomenon of touch, which may be defined as the interaction between architecture and subject dependent on physical and cognitive perception, offers another way of thinking and interpreting architecture, and constitutes an alternative starting point for design. The aim of this study was three-fold: to research and describe the phenomenon of touch in design concepts, to present the effects of hapticity in spatial experience on the user, and to present a visualization study for this phenomenon which is quite challenging to express. For the fieldwork, five buildings designed by Peter Zumthor were chosen. Zumthor stresses the importance of sensation, materiality and atmosphere in the architectural design process. Zumthor’s abstract design elements, their use in architectural space and the effect were determined using physical measurement. The findings were represented in “haptic mapping”. This visualization study consisted of a “haptic scatter chart”, “materiality- affect analysis” and “sensation analysis” and revealed that the phenomenon of touch and concepts identified it such as sensations, influence, materiality and mental associations are not abstract and inaccessible assumptions, but tools which can be included in the architectural design process.
Documenting the Engineering Design Process
Hollers, Brent
2017-01-01
Documentation of ideas and the engineering design process is a critical, daily component of a professional engineer's job. While patent protection is often cited as the primary rationale for documentation, it can also benefit the engineer, the team, company, and stakeholders through creating a more rigorously designed and purposeful solution.…
Engineering Encounters: Minding Design Missteps
Crismond, David; Gellert, Laura; Cain, Ryan; Wright, Shequana
2013-01-01
The "Next Generation Science Standards" (NGSS) (Achieve Inc. 2013) asks teachers to give engineering design equal standing with scientific inquiry in their science lessons. This article asks the following questions: What do engineering design practices look like, and how do you assess them? How similar and different is engineering design…
Understanding the Lunar System Architecture Design Space
Arney, Dale C.; Wilhite, Alan W.; Reeves, David M.
2013-01-01
Based on the flexible path strategy and the desire of the international community, the lunar surface remains a destination for future human exploration. This paper explores options within the lunar system architecture design space, identifying performance requirements placed on the propulsive system that performs Earth departure within that architecture based on existing and/or near-term capabilities. The lander crew module and ascent stage propellant mass fraction are primary drivers for feasibility in multiple lander configurations. As the aggregation location moves further out of the lunar gravity well, the lunar lander is required to perform larger burns, increasing the sensitivity to these two factors. Adding an orbit transfer stage to a two-stage lunar lander and using a large storable stage for braking with a one-stage lunar lander enable higher aggregation locations than Low Lunar Orbit. Finally, while using larger vehicles enables a larger feasible design space, there are still feasible scenarios that use three launches of smaller vehicles.
Robotic fabrication in architecture, art, and design
Braumann, Johannes
2013-01-01
Architects, artists, and designers have been fascinated by robots for many decades, from Villemard’s utopian vision of an architect building a house with robotic labor in 1910, to the design of buildings that are robots themselves, such as Archigram’s Walking City. Today, they are again approaching the topic of robotic fabrication but this time employing a different strategy: instead of utopian proposals like Archigram’s or the highly specialized robots that were used by Japan’s construction industry in the 1990s, the current focus of architectural robotics is on industrial robots. These robotic arms have six degrees of freedom and are widely used in industry, especially for automotive production lines. What makes robotic arms so interesting for the creative industry is their multi-functionality: instead of having to develop specialized machines, a multifunctional robot arm can be equipped with a wide range of end-effectors, similar to a human hand using various tools. Therefore, architectural researc...
Murtagh, N. M.; Roberts, A.; Hind, R.
2016-01-01
Research on sustainability in construction design has tended to focus on technological, institutional and economic drivers but there has been little change in the industry. Social scientific approaches offer insights on the lack of progress. However, few previous studies have investigated psychological factors despite the pivotal role of the individual professional decision-maker. The aim was to understand what personal motivations drive architectural designers to pursue sustainable design in...
Using UML Modeling to Facilitate Three-Tier Architecture Projects in Software Engineering Courses
Mitra, Sandeep
2014-01-01
This article presents the use of a model-centric approach to facilitate software development projects conforming to the three-tier architecture in undergraduate software engineering courses. Many instructors intend that such projects create software applications for use by real-world customers. While it is important that the first version of these…
Hisarligil, Beyhan Bolak
2012-01-01
This article demonstrates the outcomes of taking a hermeneutic phenomenological approach to architectural design and discusses the potentials for imaginative reasoning in design education. This study tests the use of literature as a verbal form of art and design and the contribution it can make to imaginative design processes--which are all too…
Bottom-up, top-down? Connecting software architecture design with use
DEFF Research Database (Denmark)
Büscher, Monika; Christensen, Michael; Hansen, Klaus Marius
2009-01-01
Participatory design has traditinally focused on the design of technology applications or the co-realisation of a more holostic socio-technical bricolage of new and existing technologies and pratices. 'Infrastructural' design issues like software architectures, programming languages, communicatio...
Automated Improvement of Software Architecture Models for Performance and Other Quality Attributes
Koziolek, Anne
2013-01-01
Quality attributes, such as performance or reliability, are crucial for the success of a software system and largely influenced by the software architecture. Their quantitative prediction supports systematic, goal-oriented software design and forms a base of an engineering approach to software design. This thesis proposes a method and tool to automatically improve component-based software architecture (CBA) models based on such quantitative quality prediction techniques.
APPLICATION FRAMEWORK IN ENGINEERING SERVICE ORIENTED ARCHITECTURE SYSTEM SERVICE
Directory of Open Access Journals (Sweden)
Ade Hodijah
2017-03-01
Full Text Available The Service Engineering (SE is understood as a framework to create innovative services in application development of information technology approach to Service Oriented Architecture (SOA. Implementing SOA is required methodology to identify services that can be used again in the application and organization of a company. in this research, software development model used is object-oriented methodologies, SOA itself is a collection consisting of tools, technologies, frameworks, and best practices that facilitate the implementation of a service quickly. in a study this uses the tools of Business Process Management System (BPMS to support the implementation of service-oriented software. the purpose of this study is to produce a model of activities and artifacts of the application software development models of the SE with a case study Rate Loans. Validation to the design of the model is done through testing of the software produced. The results showed that the application of the SE in the development of service-oriented software can use the object-oriented methodology by providing additional value-added analysis and redesign of business processes to be implemented on a BPMS. BPMS usage of the application of the SE on the SOA has the advantage of visualization in the management of business processes.
Revolution of Chinese architectural design at the new-type urbanization stage
Directory of Open Access Journals (Sweden)
Jianguo Wang
2015-09-01
Full Text Available Witnessing more than three decades of sustained and rapid economic growth, China has become a nation that enjoys the fastest rate of urbanization; the largest quantities of civil construction; the most obvious urban development to be “newer”, “bigger” and “higher”; and the most prosperous architectural design market worldwide. In terms of the construction industry, housing construction totals 31.3 billion square meters, occupying more than 70% of the floor area of the existing stock houses. It is safe to say that the construction industry significantly boosts GDP growth, expands the number of jobs, and improves the living conditions of the masses. Related to this is the need to end the architectural guidelines of the planned economy era economizing on food and clothing and instead step into a new historic stage allowing bold pursuit of architectural aesthetics and individuality. A group of architects who have social ideals, adhere faithfully to professional standards and pledge to pursue architectural innovation are active in China. Instead of simply converting the overwhelming opportunities brought to carry economic benefits, they dare to embrace the challenges imposed on enhancing architectural connotation and create a batch of high-level work that lives up to expectations of the era, marking the achievement of reform and rapid urbanization in China. Yet, as time goes on, the promotion of national development concepts, ideas of social consumption and the masses’ cultural level establishes new requirements for the architectural design industry, architects and architectural design works. An appeal is thus made against Chinese architects for a summary, reflection and proactive anticipation to respond to the new requirements of the era.
Research and Design in Unified Coding Architecture for Smart Grids
Directory of Open Access Journals (Sweden)
Gang Han
2013-09-01
Full Text Available Standardized and sharing information platform is the foundation of the Smart Grids. In order to improve the dispatching center information integration of the power grids and achieve efficient data exchange, sharing and interoperability, a unified coding architecture is proposed. The architecture includes coding management layer, coding generation layer, information models layer and application system layer. Hierarchical design makes the whole coding architecture to adapt to different application environments, different interfaces, loosely coupled requirements, which can realize the integration model management function of the power grids. The life cycle and evaluation method of survival of unified coding architecture is proposed. It can ensure the stability and availability of the coding architecture. Finally, the development direction of coding technology of the Smart Grids in future is prospected.
Switch/router architectures shared-bus and shared-memory based systems
Aweya, James
2018-01-01
A practicing engineer's inclusive review of communication systems based on shared-bus and shared-memory switch/router architectures. This book delves into the inner workings of router and switch design in a comprehensive manner that is accessible to a broad audience. It begins by describing the role of switch/routers in a network, then moves on to the functional composition of a switch/router. A comparison of centralized versus distributed design of the architecture is also presented. The author discusses use of bus versus shared-memory for communication within a design, and also covers Quality of Service (QoS) mechanisms and configuration tools. Written in a simple style and language to allow readers to easily understand and appreciate the material presented, Switch/Router Architectures: Shared-Bus and Shared-Memory Based Systems discusses the design of multilayer switches—starting with the basic concepts and on to the basic architectures. It describes the evolution of multilayer switch designs and highli...
INTELLIGENCE-BASED DESIGN: A SUSTAINABLE FOUNDATION FOR WORLDWIDE ARCHITECTURAL EDUCATION
Directory of Open Access Journals (Sweden)
Nikos A. Salingaros
2008-03-01
Full Text Available Architectural theory as currently taught in modern universities throughout the world no longer provides a plausible basis for the discipline and practice of architecture. Students studying within this model are left to their own inventions if they hope to gain an architectural degree. Forced to formulate a body of work constrained by the paradigm of contemporary design, students learn to copy fashionable images without understanding their geometry; or simply invent forms that look as if they possess a contemporary sense of architecture. By their very nature, such forms are irrelevant to human needs and sensibilities. Contrary to what students are led to believe, this practice does not provide a broader base for creativity, but instead effectively restricts choices to a very narrow design vocabulary. Most architectural institutions continue to propagate a curricular model that has sustained their particular ideals and ideologies for decades. While many innovative didactic materials and ideas for revising the architectural curriculum are available today, they are often overlooked or ignored. If implemented, these new ideas could drastically improve the educational model, allowing students the world over to participate in a learning experience specific to their immediate and local context. By re-situating the education of an architect in more practical and contextual terms, we emphasize components of building design that relate directly to human existence, human perception, and the human values and beliefs that have for millennia served to establish culture and identity. A new model of learning is developed here for students wanting to make real architecture, and for educators and practitioners that seek the same. The following proposal is predicated on the knowledge of human interaction with the physical world and the necessity of corporeal engagement with the built environment. Furthermore, our model re-institutes values in the practice and
Architectural design of the science complex at Elizabeth City State University
Jahromi, Soheila
1993-01-01
This paper gives an overall view of the architectural design process and elements in taking an idea from conception to execution. The project presented is an example for this process. Once the need for a new structure is established, an architect studies the requirements, opinions and limits in creating a structure that people will exist in, move through, and use. Elements in designing a building include factors such as volume and surface, light and form changes of scale and view, movement and stasis. Some of the other factors are functions and physical conditions of construction. Based on experience, intuition, and boundaries, an architect will utilize all elements in creating a new building. In general, the design process begins with studying the spatial needs which develop into an architectural program. A comprehensive and accurate architectural program is essential for having a successful building. The most attractive building which does not meet the functional needs of its users has failed at the primary reason for its existence. To have a good program an architect must have a full understanding of the daily functions that will take place in the building. The architectural program along with site characteristics are among a few of the important guidelines in studying the form, adjacencies, and circulation for the structure itself and also in relation to the adjacent structures. Conceptual studies are part of the schematic design, which is the first milestone in the design process. The other reference points are design development and construction documents. At each milestone, review and coordination with all the consultants is established, and the user is essential in refining the project. In design development phase, conceptual diagrams take shape, and architectural, structural, mechanical, and electrical systems are developed. The final phase construction documents convey all the information required to construct the building. The design process and elements
Buhnova, Barbora; Happe, Lucia; Kofroň, Jan
2013-01-01
These are the proceedings of the 10th International Workshop on Formal Engineering approaches to Software Components and Architectures (FESCA). The workshop was held on March 23, 2013 in Rome (Italy) as a satellite event to the European Joint Conference on Theory and Practice of Software (ETAPS'13). The aim of the FESCA workshop is to bring together both young and senior researchers from formal methods, software engineering, and industry interested in the development and application of formal...
Directory of Open Access Journals (Sweden)
Umut Durmus
2010-12-01
Full Text Available Proposal: Architectural design companies increasingly recognize that time spent on management is not at the expense of their production and there are always better ways to organize business. Although architects have long placed a traditional emphasis on quality, quality management is still a new concept for the majority of architectural design companies, which have to organize relatively more complicated operations nowadays to meet their clients’ expectations. This study aims to understand how architectural design companies define quality and explores the extent to which Total Quality Management (TQM principles like continual improvement, employee involvement, customer satisfaction and others can be pertinent in these companies. Adopting a qualitative research strategy, the authors interviewed with the owner-managers of 10 widely-recognized architectural design companies of different size in Istanbul. The results from the content analysis of semi-structured interview data suggest that i TQM principles cannot be directly applied in architectural design companies without an appropriate translation; ii special characteristics of design services are important to explain quality-related perceptions of owner-managers; iii the owner-managers feel the pressure from the changing internal and external environmental conditions, however few of them adopt a systematic and documented approach to quality management. Architectural design offices which aim to establish a quality management system can benefit from this study to understand potential problem areas on their road.
Directory of Open Access Journals (Sweden)
Krstić Hristina
2016-01-01
Full Text Available Different spatial layers in the architectural structure of a building can create particular spatial relations and an architectural space that cannot be defined as an inner space or as an outer space, but one which has the characteristics of both. This space, which can be called “in between space”, appears as the result of a specific design concept in which the architectural composition is created by gradual insertion of volumes one inside another, like a box that is placed inside a box, inside of which is placed another smaller box and so on. The incorporation of various layers in the spatial arrangement of volumes in certain architectural compositions can be conceived as a possible approach in connecting the interior and exterior. This kind of conceptual design distinguishes itself from the common approach by its specific architecture that offers richness, variety, complexity and unique perception of space, thereby increasing its value. The paper investigates this particular concept through the analysis of four residential houses (Villa Le Lac by Le Corbusier, Solar House by Oswald Mathias Ungers, House N by Sou Fujimoto and Guerrero House by Alberto Campo Baeza, and it strives to find out the concept’s use and advantages, all with the aim of opening up new possibilities in the design of buildings and enriching the design process.
Engineering design: A cognitive process approach
Strimel, Greg Joseph
The intent of this dissertation was to identify the cognitive processes used by advanced pre-engineering students to solve complex engineering design problems. Students in technology and engineering education classrooms are often taught to use an ideal engineering design process that has been generated mostly by educators and curriculum developers. However, the review of literature showed that it is unclear as to how advanced pre-engineering students cognitively navigate solving a complex and multifaceted problem from beginning to end. Additionally, it was unclear how a student thinks and acts throughout their design process and how this affects the viability of their solution. Therefore, Research Objective 1 was to identify the fundamental cognitive processes students use to design, construct, and evaluate operational solutions to engineering design problems. Research Objective 2 was to determine identifiers within student cognitive processes for monitoring aptitude to successfully design, construct, and evaluate technological solutions. Lastly, Research Objective 3 was to create a conceptual technological and engineering problem-solving model integrating student cognitive processes for the improved development of problem-solving abilities. The methodology of this study included multiple forms of data collection. The participants were first given a survey to determine their prior experience with engineering and to provide a description of the subjects being studied. The participants were then presented an engineering design challenge to solve individually. While they completed the challenge, the participants verbalized their thoughts using an established "think aloud" method. These verbalizations were captured along with participant observational recordings using point-of-view camera technology. Additionally, the participant design journals, design artifacts, solution effectiveness data, and teacher evaluations were collected for analysis to help achieve the
Launch Vehicle Control Center Architectures
Watson, Michael D.; Epps, Amy; Woodruff, Van; Vachon, Michael Jacob; Monreal, Julio; Williams, Randall; McLaughlin, Tom
2014-01-01
This analysis is a survey of control center architectures of the NASA Space Launch System (SLS), United Launch Alliance (ULA) Atlas V and Delta IV, and the European Space Agency (ESA) Ariane 5. Each of these control center architectures have similarities in basic structure, and differences in functional distribution of responsibilities for the phases of operations: (a) Launch vehicles in the international community vary greatly in configuration and process; (b) Each launch site has a unique processing flow based on the specific configurations; (c) Launch and flight operations are managed through a set of control centers associated with each launch site, however the flight operations may be a different control center than the launch center; and (d) The engineering support centers are primarily located at the design center with a small engineering support team at the launch site.
Design and Analysis of Architectures for Structural Health Monitoring Systems
Mukkamala, Ravi; Sixto, S. L. (Technical Monitor)
2002-01-01
During the two-year project period, we have worked on several aspects of Health Usage and Monitoring Systems for structural health monitoring. In particular, we have made contributions in the following areas. 1. Reference HUMS architecture: We developed a high-level architecture for health monitoring and usage systems (HUMS). The proposed reference architecture is shown. It is compatible with the Generic Open Architecture (GOA) proposed as a standard for avionics systems. 2. HUMS kernel: One of the critical layers of HUMS reference architecture is the HUMS kernel. We developed a detailed design of a kernel to implement the high level architecture.3. Prototype implementation of HUMS kernel: We have implemented a preliminary version of the HUMS kernel on a Unix platform.We have implemented both a centralized system version and a distributed version. 4. SCRAMNet and HUMS: SCRAMNet (Shared Common Random Access Memory Network) is a system that is found to be suitable to implement HUMS. For this reason, we have conducted a simulation study to determine its stability in handling the input data rates in HUMS. 5. Architectural specification.
Implementing Change in Architectural Design in Elementary School Art Education in Slovenia
Batic, Janja
2014-01-01
This article reports on a study of the effects of an action research project that aimed to improve the practice of teaching art in elementary schools in Slovenia. The specific focus was on the planning and execution of art tasks relating to architectural design. The planned improvements were based on the process of architectural design from…
Advanced Topology Optimization Methods for Conceptual Architectural Design
DEFF Research Database (Denmark)
Aage, Niels; Amir, Oded; Clausen, Anders
2015-01-01
This paper presents a series of new, advanced topology optimization methods, developed specifically for conceptual architectural design of structures. The proposed computational procedures are implemented as components in the framework of a Grasshopper plugin, providing novel capacities...
Advanced Topology Optimization Methods for Conceptual Architectural Design
DEFF Research Database (Denmark)
Aage, Niels; Amir, Oded; Clausen, Anders
2014-01-01
This paper presents a series of new, advanced topology optimization methods, developed specifically for conceptual architectural design of structures. The proposed computational procedures are implemented as components in the framework of a Grasshopper plugin, providing novel capacities...
Rouphael, Tony J
2014-01-01
Wireless Receiver Architectures and Design presents the various designs and architectures of wireless receivers in the context of modern multi-mode and multi-standard devices. This one-stop reference and guide to designing low-cost low-power multi-mode, multi-standard receivers treats analog and digital signal processing simultaneously, with equal detail given to the chosen architecture and modulating waveform. It provides a complete understanding of the receiver's analog front end and the digital backend, and how each affects the other. The book explains the design process in great detail, s
Architecture Design Approaches and Issues in Cross Layer Systems
DEFF Research Database (Denmark)
Cattoni, Andrea Fabio; Sørensen, Troels Bundgaard; Mogensen, Preben
2012-01-01
the traditional protocol stack design methodology. However, Cross Layer also carries a risk due to possibly unexpected and undesired effects. In this chapter we want to provide architecture designers with a set of tools and recommendations synthesized from an analysis of the state of art, but enriched...
Nagel, Thomas; Kelly, Daniel J
2013-04-01
The biomechanical functionality of articular cartilage is derived from both its biochemical composition and the architecture of the collagen network. Failure to replicate this normal Benninghoff architecture in regenerating articular cartilage may in turn predispose the tissue to failure. In this article, the influence of the maturity (or functionality) of a tissue-engineered construct at the time of implantation into a tibial chondral defect on the likelihood of recapitulating a normal Benninghoff architecture was investigated using a computational model featuring a collagen remodeling algorithm. Such a normal tissue architecture was predicted to form in the intact tibial plateau due to the interplay between the depth-dependent extracellular matrix properties, foremost swelling pressures, and external mechanical loading. In the presence of even small empty defects in the articular surface, the collagen architecture in the surrounding cartilage was predicted to deviate significantly from the native state, indicating a possible predisposition for osteoarthritic changes. These negative alterations were alleviated by the implantation of tissue-engineered cartilage, where a mature implant was predicted to result in the formation of a more native-like collagen architecture than immature implants. The results of this study highlight the importance of cartilage graft functionality to maintain and/or re-establish joint function and suggest that engineering a tissue with a native depth-dependent composition may facilitate the establishment of a normal Benninghoff collagen architecture after implantation into load-bearing defects.
Developing Distributed System With Service Resource Oriented Architecture
Directory of Open Access Journals (Sweden)
Hermawan Hermawan
2012-06-01
Full Text Available Service Oriented Architecture is a design paradigm in software engineering with which a distributed system is built for an enterprise. This paradigm aims at providing the system as a service through a protocol in web service technology, namely Simple Object Access Protocol (SOAP. However, SOA is service level agreements of webservice. For this reason, this reasearch aims at combining SOA with Resource Oriented Architecture in order to expand scalability of services. This combination creates Sevice Resource Oriented Architecture (SROA with which a distributed system is developed that integrates services within project management software. Following this design, the software is developed according to a framework of Agile Model Driven Development which can reduce complexities of the whole process of software development.
Fitz, Rhonda; Whitman, Gerek
2016-01-01
Research into complexities of software systems Fault Management (FM) and how architectural design decisions affect safety, preservation of assets, and maintenance of desired system functionality has coalesced into a technical reference (TR) suite that advances the provision of safety and mission assurance. The NASA Independent Verification and Validation (IV&V) Program, with Software Assurance Research Program support, extracted FM architectures across the IV&V portfolio to evaluate robustness, assess visibility for validation and test, and define software assurance methods applied to the architectures and designs. This investigation spanned IV&V projects with seven different primary developers, a wide range of sizes and complexities, and encompassed Deep Space Robotic, Human Spaceflight, and Earth Orbiter mission FM architectures. The initiative continues with an expansion of the TR suite to include Launch Vehicles, adding the benefit of investigating differences intrinsic to model-based FM architectures and insight into complexities of FM within an Agile software development environment, in order to improve awareness of how nontraditional processes affect FM architectural design and system health management. The identification of particular FM architectures, visibility, and associated IV&V techniques provides a TR suite that enables greater assurance that critical software systems will adequately protect against faults and respond to adverse conditions. Additionally, the role FM has with regard to strengthened security requirements, with potential to advance overall asset protection of flight software systems, is being addressed with the development of an adverse conditions database encompassing flight software vulnerabilities. Capitalizing on the established framework, this TR suite provides assurance capability for a variety of FM architectures and varied development approaches. Research results are being disseminated across NASA, other agencies, and the
Passive solar energy-efficient architectural building Design ...
African Journals Online (AJOL)
In this paper analyses have been done on the climate data for various climatic regions in North Cyprus to obtain physical architectural building design specification with a view to develop passive solar energy-efficient building. It utilizes a computer program, ARCHIPAK, together with climate data (for 25 year period) to get ...
Energy Technology Data Exchange (ETDEWEB)
Suh, Yong Suk; Keum, Jong Yong [SMART Technology Validation Division, Korea Atomic Energy Research Institute, 150-1 Dukjin-dong, Yuseong-gu, Daejon (Korea, Republic of); Kim, Hyeon Soo, E-mail: hskim401@cnu.ac.kr [Department of Computer Science and Engineering, Chungnam Nat' l Univ., 220 Gung-dong, Yuseong-gu, Daejon (Korea, Republic of)
2011-12-15
This paper presents the architecture for upgrading the instrumentation and control (I and C) systems of a Korean standard nuclear power plant (KSNP) as an operating nuclear power plant. This paper uses the analysis results of KSNP's I and C systems performed in a previous study. This paper proposes a Preparation-Decision-Design-Assessment (PDDA) process that focuses on quality oriented development, as a cyclical process to develop the architecture. The PDDA was motivated from the practice of architecture-based development used in software engineering fields. In the preparation step of the PDDA, the architecture of digital-based I and C systems was setup for an architectural goal. Single failure criterion and determinism were setup for architectural drivers. In the decision step, defense-in-depth, diversity, redundancy, and independence were determined as architectural tactics to satisfy the single failure criterion, and sequential execution was determined as a tactic to satisfy the determinism. After determining the tactics, the primitive digital-based I and C architecture was determined. In the design step, 17 systems were selected from the KSNP's I and C systems for the upgrade and functionally grouped based on the primitive architecture. The overall architecture was developed to show the deployment of the systems. The detailed architecture of the safety systems was developed by applying a 2-out-of-3 voting logic, and the detailed architecture of the non-safety systems was developed by hot-standby redundancy. While developing the detailed architecture, three ways of signal transmission were determined with proper rationales: hardwire, datalink, and network. In the assessment step, the required network performance, considering the worst-case of data transmission was calculated: the datalink was required by 120 kbps, the safety network by 5 Mbps, and the non-safety network by 60 Mbps. The architecture covered 17 systems out of 22 KSNP's I and C
Protein design for pathway engineering.
Eriksen, Dawn T; Lian, Jiazhang; Zhao, Huimin
2014-02-01
Design and construction of biochemical pathways has increased the complexity of biosynthetically-produced compounds when compared to single enzyme biocatalysis. However, the coordination of multiple enzymes can introduce a complicated set of obstacles to overcome in order to achieve a high titer and yield of the desired compound. Metabolic engineering has made great strides in developing tools to optimize the flux through a target pathway, but the inherent characteristics of a particular enzyme within the pathway can still limit the productivity. Thus, judicious protein design is critical for metabolic and pathway engineering. This review will describe various strategies and examples of applying protein design to pathway engineering to optimize the flux through the pathway. The proteins can be engineered for altered substrate specificity/selectivity, increased catalytic activity, reduced mass transfer limitations through specific protein localization, and reduced substrate/product inhibition. Protein engineering can also be expanded to design biosensors to enable high through-put screening and to customize cell signaling networks. These strategies have successfully engineered pathways for significantly increased productivity of the desired product or in the production of novel compounds. Copyright © 2013 Elsevier Inc. All rights reserved.
Urban Design - Architectural Workshop Nova Gorica
Directory of Open Access Journals (Sweden)
Anja Planišček
2012-01-01
Full Text Available The workshop ran through the 2008-09 academic year. The main themes were a thorough design of Magistrala, the main city street, and research of the spatial and programmatic development alongside it. The research was based on the original urban plan of Nova Gorica designed by architect Edvard Ravnikar in 1949.The workshop was divided into two phases. In the first phase, students researched the possibilities of an overall design for Magistrala (traffic arrangement, relations between built and vacant space, green spaces, public and private domain etc.. In the second phase, they proposed urban architectural interventions in the open spaces along Magistrala (university campus in the northern part of the city, student housing, residential areas, main square, law court, hotel etc..
Mechanical design engineering handbook
Childs, Peter R N
2013-01-01
Mechanical Design Engineering Handbook is a straight-talking and forward-thinking reference covering the design, specification, selection, use and integration of machine elements fundamental to a wide range of engineering applications. Develop or refresh your mechanical design skills in the areas of bearings, shafts, gears, seals, belts and chains, clutches and brakes, springs, fasteners, pneumatics and hydraulics, amongst other core mechanical elements, and dip in for principles, data and calculations as needed to inform and evaluate your on-the-job decisions. Covering the full spectrum
Barriers and Challenges in the Integrated Design Process Approcach
DEFF Research Database (Denmark)
Knudstrup, Mary-Ann
2006-01-01
ABSTRACT: In the future, it will be a huge challenge to make sustainable building design by using a more holistic and innovative approach in order to be able to decrease or reduce the use of energy for heating and cooling in new building projects. This is seen in the perspective of the Kyoto agre....... It also describes the barriers and the challenges that must be overcome when trying to cross the borders between the two fields of engineering and architecture to design sustainable architecture....... agreement for reducing the global heating. This paper will briefly present the method of the Integrated Design Process, IDP [1]. It describes the background and means for developing a new method for designing integrated architecture in an interdisciplinary approach between architecture and engineering...
Nova control system: goals, architecture, and system design
International Nuclear Information System (INIS)
Suski, G.J.; Duffy, J.M.; Gritton, D.G.; Holloway, F.W.; Krammen, J.R.; Ozarski, R.G.; Severyn, J.R.; Van Arsdall, P.J.
1982-01-01
The control system for the Nova laser must operate reliably in a harsh pulse power environment and satisfy requirements of technical functionality, flexibility, maintainability and operability. It is composed of four fundamental subsystems: Power Conditioning, Alignment, Laser Diagnostics, and Target Diagnostics, together with a fifth, unifying subsystem called Central Controls. The system architecture utilizes a collection of distributed microcomputers, minicomputers, and components interconnected through high speed fiber optic communications systems. The design objectives, development strategy and architecture of the overall control system and each of its four fundamental subsystems are discussed. Specific hardware and software developments in several areas are also covered
"Education in Sustainable Architecture for the future - For a joint climate action!"
DEFF Research Database (Denmark)
Knudstrup, Mary-Ann; Eriksen, Kaare; Petersen, Mads Dines
2009-01-01
approaches designing buildings. When using this IDP method designing sustainable buildings we can bring down the energy use in the building with a considerable amount. The IDP focuses on combining the architectural approach with engineering parameters in order to achieve a more holistic approach...... to sustainable environmental architecture. The goal is to reduce the use of energy for heating and cooling and thereby bringing down the emission of CO2 by reducing the amount of fossil fuel consumed by the built environment already in the early stages of the design process. Since 2005 the Master of Science...... Sustainable Architecture from the master program and discusses barriers and benefits from that approach....
Directory of Open Access Journals (Sweden)
Egorova Ol'ga Vladimirovna
2012-07-01
Full Text Available This article covers the history of Moscow Manege, a masterpiece of engineering science and architecture. It was designated for the accommodation of the military parade in commemoration of the 5th anniversary of the great victory over Napoleon. It was solemnly opened for visitors in 1817 to become a majestic monument commemorating Russia's triumph in the Patriotic war of 1812. Agustin de Betancourt, an ingenious Spanish engineer, was the designer of Manege. Having completed his excellent education in Madrid, Paris, and London by the end of 1790, he became one of the most well-known engineers not only in Spain, but also in Europe. In 1808, the engineer moved to Russia following a personal invitation extended by Emperor Alexander I. Betancourt proposed an exclusively original design of Manege overlapping. It was made of wooden trussed rafters. The arena had no internal column; therefore, rafters that were 44.86 meters long, were spanned all over the space. Back then, this engineering structure was unique. Now we know that foreign engineers made special visits to Moscow to study Betancourt's wooden roof. The Manege was also remarkable from the architectural point of view: a high rustic socle emphasized the massiveness of the building; a vigorous rhythm of columns, enchasing the walls to assure special solemnity; skillfully interspersed ornaments representing military stories were to identify the purpose of the premises.
Using Container Structures in Architecture and Urban Design
Grębowski, Karol; Kałdunek, Daniel
2017-10-01
The paper presents the use of shipping containers in architecture and urban design. Even today, houses and apartments are still too expensive. Since 1923 architects have been improving the living conditions of citizens by building very simple, repeatable forms. With prefabrication technology it became possible to build quicker, causing house prices to decrease. Apartments in block of flats became affordable to more and more people. Modernism had great impact on the quality of living spaces, despite the detrimental effect of large panel technology on social life. It gave people their own bathrooms, and gifted them with simple solutions we now consider indispensable. The ambition to build cheaply but effectively is still here. The future of housing lies in prefabricated apartment modules. A well optimized creation process is the key, but taking into consideration the mistakes made by past generations should be the second most important factor. Studies show that large panel buildings were too monumental and solid for a housing structure, and offered no public spaces between them. Lack of urban design transformed a great idea into blocks that are considered to be ugly and unfriendly. Diversity is something that large panel structures were missing. While most block of flats were being constructed out of the same module (Model 770), differentiated architecture was difficult to achieve. Nowadays, increasing numbers of shipping containers are being used for housing purposes. These constructions show that it is possible to create astonishing housing with modules. Shipping containers were not designed to be a building material, but in contrast to large panel modules, there are many more possibilities of their transformation. In this paper the authors propose a set of rules that, if followed, would result in cheaper apartments, while keeping in consideration both tremendous architecture and friendly urban design. What is more, the proposed solution is designed to adapt to
Koszalka, Tiffany A.; Wu, Yiyan
2010-01-01
Changes in engineering practices have spawned changes in engineering education and prompted the use of distributed learning environments. A distributed collaborative engineering design (CED) course was designed to engage engineering students in learning about and solving engineering design problems. The CED incorporated an advanced interactive…
A Priori Knowledge and Heuristic Reasoning in Architectural Design.
Rowe, Peter G.
1982-01-01
It is proposed that the various classes of a priori knowledge incorporated in heuristic reasoning processes exert a strong influence over architectural design activity. Some design problems require exercise of some provisional set of rules, inference, or plausible strategy which requires heuristic reasoning. A case study illustrates this concept.…
Model-Based Systems Engineering in Concurrent Engineering Centers
Iwata, Curtis; Infeld, Samantha; Bracken, Jennifer Medlin; McGuire, Melissa; McQuirk, Christina; Kisdi, Aron; Murphy, Jonathan; Cole, Bjorn; Zarifian, Pezhman
2015-01-01
Concurrent Engineering Centers (CECs) are specialized facilities with a goal of generating and maturing engineering designs by enabling rapid design iterations. This is accomplished by co-locating a team of experts (either physically or virtually) in a room with a narrow design goal and a limited timeline of a week or less. The systems engineer uses a model of the system to capture the relevant interfaces and manage the overall architecture. A single model that integrates other design information and modeling allows the entire team to visualize the concurrent activity and identify conflicts more efficiently, potentially resulting in a systems model that will continue to be used throughout the project lifecycle. Performing systems engineering using such a system model is the definition of model-based systems engineering (MBSE); therefore, CECs evolving their approach to incorporate advances in MBSE are more successful in reducing time and cost needed to meet study goals. This paper surveys space mission CECs that are in the middle of this evolution, and the authors share their experiences in order to promote discussion within the community.
DEFF Research Database (Denmark)
Chauhan, Muhammad Aufeef; Babar, Muhammad Ali; Sheng, Quan Z.
2016-01-01
Software Architecture (SA) plays a critical role in designing, developing and evolving cloud-based platforms that can be used to provision different types of services to consumers on demand. In this paper, we present a Reference Architecture (RA) for designing cloud-based Tools as a service SPACE...... (TSPACE) for provisioning a bundled suite of tools by following the Software as a Service (SaaS) model. The reference architecture has been designed by leveraging information structuring approaches and by using well-known architecture design principles and patterns. The RA has been documented using view...
Earthquake engineering for nuclear facilities
Kuno, Michiya
2017-01-01
This book is a comprehensive compilation of earthquake- and tsunami-related technologies and knowledge for the design and construction of nuclear facilities. As such, it covers a wide range of fields including civil engineering, architecture, geotechnical engineering, mechanical engineering, and nuclear engineering, for the development of new technologies providing greater resistance against earthquakes and tsunamis. It is crucial both for students of nuclear energy courses and for young engineers in nuclear power generation industries to understand the basics and principles of earthquake- and tsunami-resistant design of nuclear facilities. In Part I, "Seismic Design of Nuclear Power Plants", the design of nuclear power plants to withstand earthquakes and tsunamis is explained, focusing on buildings, equipment's, and civil engineering structures. In Part II, "Basics of Earthquake Engineering", fundamental knowledge of earthquakes and tsunamis as well as the dynamic response of structures and foundation ground...
Architecture design of reconfigurable accelerators for demanding apllications.
Jozwiak, L.; Jan, Y.
2010-01-01
This paper focuses on mastering the architecture development of reconfigurable hardware accelerators for highly demanding applications. It presents the results of our analysis of the main issues that have to be addressed when designing accelerators for demanding applications, when using as an
Technology System Architecture for Web–Based Education
Directory of Open Access Journals (Sweden)
A. Canales–Cruz
2009-04-01
Full Text Available In this paper a new architecture for development of Web–Based Education systems is presented. The se systems are centered in the learner and adapted to their personals needs in intelligent form. The architecture is based on the IEEE 1484 LTSA (Learning Technology System Architecture specification and it assembles to software development and instructional design patterns. On the one hand, the software development pattern is supported under a Multi–Agents System, it employs the methods and technical of the Domain Engineering for development of IRLCOO (Intelligent Reusable Learning Components Object Oriented. IRLCOO are a special type of Sharable Content Object according to SCORM (Sharable Content Object Reusable Model. On the other hand, the instructional design pattern incorporates a mental model as the Conceptual Maps to transmit, build and generate appropriate knowledge to this educational environment type.
A relational approach to support software architecture analysis
Feijs, L.M.G.; Krikhaar, R.L.; van Ommering, R.C.
1998-01-01
This paper reports on our experience with a relational approach to support the analysis of existing software architectures. The analysis options provide for visualization and view calculation. The approach has been applied for reverse engineering. It is also possible to check concrete designs
Energy Technology Data Exchange (ETDEWEB)
Laurell, Mats; Sjoers, Johan; Wernlund, Bjoern [Volvo Car Corporation, Goeteborg (Sweden); Brueck, Rolf [Emitec Gesellschaft fuer Emissionstechnologie mbH, Lohmar (Germany). Forschung, Entwicklung und Applikation
2013-11-01
Volvo Cars has developed a standardised catalytic converter architecture for diesel and gasoline engines - the scalable so-called Compact Cat. The system covers both Euro 6 and SULEV applications for gasoline engines as well as Euro 6 applications for diesel engines. The standardised design using shared parts results in a considerable reduction in unit costs and tooling requirements. (orig.)
Introduction to the Special Issue on Software Architecture for Language Engineering
Cunningham, Hamish; Scott, Donia
2004-01-01
Every building, and every computer program, has an architecture: structural and organisational principles that underpin its design and construction. The garden shed\\ud once built by one of the authors had an ad hoc architecture, extracted (somewhat painfully) from the imagination during a slow and non-deterministic process that, luckily, resulted in a structure which keeps the rain on the outside and the mower on the inside (at least for the time being). As well as being ad hoc (i.e. not info...
Educating engineering designers for a multidisciplinary future
DEFF Research Database (Denmark)
engineering design education. Educating engineering designers today significantly differs from traditional engineering education (McAloone, et.al., 2007). However, a broader view of design activities gains little attention. The project course Product/Service-Systems, which is coupled to the lecture based...... course Product life and Environmental issues at the Technical University of Denmark (DTU) and the master programme Product Development Processes at the Luleå University of Technology (LTU), Sweden, are both curriculums with a broader view than traditional (mechanical) engineering design. Based...... on these two representatives of a Scandinavian approach, the purpose in this presentation is to describe two ways of educating engineering designers to enable them to develop these broader competencies of socio-technical aspects of engineering design. Product Development Processes at LTU A process, called...
Engineering test facility design center
International Nuclear Information System (INIS)
Anon.
1980-01-01
The vehicle by which the fusion program would move into the engineering testing phase of fusion power development is designated the Engineering Test Facility (ETF). The ETF would provide a test bed for reactor components in the fusion environment. In order to initiate preliminary planning for the ETF decision, the Office of Fusion Energy established the ETF Design Center activity to prepare the design of the ETF. This section describes the status of this design
Introduction to adoption of lean canvas in software test architecture design
Directory of Open Access Journals (Sweden)
Padmaraj Nidagundi
2017-01-01
Full Text Available The growth of the software dependent businesses, as well as the use of electronic devices in daily life, brings new challenges requiring the software to work error free all the time, to achieve this goal software needs to be sufficiently and effectively tested during various development phases. Most software development companies make great efforts in testing; it is even more difficult to reach the error-free software goal. Different software development methodologies (e.g. traditional waterfall, agile brought in a new dimension for both - development and testing - introducing new technologies and tools. In software test automation the test architecture design plays a key role in managing written test cases and effectively executing them. Having the more effective software test automation architecture design in test process saves resources, efforts and reduces the technical depth. This paper provides the new dimension and possibilities of using lean canvas in the design of the software test architecture.
SUSTAINABLE ARCHITECTURE : WHAT ARCHITECTURE STUDENTS THINK
SATWIKO, PRASASTO
2013-01-01
Sustainable architecture has become a hot issue lately as the impacts of climate change become more intense. Architecture educations have responded by integrating knowledge of sustainable design in their curriculum. However, in the real life, new buildings keep coming with designs that completely ignore sustainable principles. This paper discusses the results of two national competitions on sustainable architecture targeted for architecture students (conducted in 2012 and 2013). The results a...
Engineering Design of ITER Prototype Fast Plant System Controller
Goncalves, B.; Sousa, J.; Carvalho, B.; Rodrigues, A. P.; Correia, M.; Batista, A.; Vega, J.; Ruiz, M.; Lopez, J. M.; Rojo, R. Castro; Wallander, A.; Utzel, N.; Neto, A.; Alves, D.; Valcarcel, D.
2011-08-01
The ITER control, data access and communication (CODAC) design team identified the need for two types of plant systems. A slow control plant system is based on industrial automation technology with maximum sampling rates below 100 Hz, and a fast control plant system is based on embedded technology with higher sampling rates and more stringent real-time requirements than that required for slow controllers. The latter is applicable to diagnostics and plant systems in closed-control loops whose cycle times are below 1 ms. Fast controllers will be dedicated industrial controllers with the ability to supervise other fast and/or slow controllers, interface to actuators and sensors and, if necessary, high performance networks. Two prototypes of a fast plant system controller specialized for data acquisition and constrained by ITER technological choices are being built using two different form factors. This prototyping activity contributes to the Plant Control Design Handbook effort of standardization, specifically regarding fast controller characteristics. Envisaging a general purpose fast controller design, diagnostic use cases with specific requirements were analyzed and will be presented along with the interface with CODAC and sensors. The requirements and constraints that real-time plasma control imposes on the design were also taken into consideration. Functional specifications and technology neutral architecture, together with its implications on the engineering design, were considered. The detailed engineering design compliant with ITER standards was performed and will be discussed in detail. Emphasis will be given to the integration of the controller in the standard CODAC environment. Requirements for the EPICS IOC providing the interface to the outside world, the prototype decisions on form factor, real-time operating system, and high-performance networks will also be discussed, as well as the requirements for data streaming to CODAC for visualization and
Metaheuristics for Engineering and Architectural Design of Hospitals
DEFF Research Database (Denmark)
Holst, Malene Kirstine Østergaard; Kirkegaard, Poul Henning
2014-01-01
This paper presents an approach for optimized hospital layout design based on metaheuristics. Through the use of metaheuristics the hospital functionalities are decomposed into geometric units. The units define the baseline for the design of the hospital, as the units are based on correlations of...
Integrating ergonomic knowledge into engineering design processes
DEFF Research Database (Denmark)
Hall-Andersen, Lene Bjerg
Integrating ergonomic knowledge into engineering design processes has been shown to contribute to healthy and effective designs of workplaces. However, it is also well-recognized that, in practice, ergonomists often have difficulties gaining access to and impacting engineering design processes...... employed in the same company, constituted a supporting factor for the possibilities to integrate ergonomic knowledge into the engineering design processes. However, the integration activities remained discrete and only happened in some of the design projects. A major barrier was related to the business...... to the ergonomic ambitions of the clients. The ergonomists’ ability to navigate, act strategically, and compromise on ergonomic inputs is also important in relation to having an impact in the engineering design processes. Familiarity with the engineering design terminology and the setup of design projects seems...
Sustainable Product Design, Engineering and Management Education for Industrial Design Engineering
Boks, C.; Diehl, J.C.; Wever, R.
2006-01-01
Developments in the field of sustainable product design are manifold, which means that education in this field is rapidly evolving as well. In this paper, the continuously evolving portfolio of courses offered at Delft University of Technology’s Industrial Design Engineering faculty is
DEFF Research Database (Denmark)
Lauring, Gert Michael
2016-01-01
Sustainable Housing Design. Integrating technical and housing quality aspects of sustainable architecture in civil engineering education. Summary An integrated design approach to sustainable architecture is outlined that combines concerns for zero energy building, good indoor climate and adequate...... phases. The outcome shows that integrated design further solutions where sustainable urban forms of settlement can be highly energy efficient while also attractive from a user perspective. Key words: Sustainable architecture, integrated design, zero-energy-housing, dense urban living. 1. Introduction...... When designing sustainable housing, energy optimization and satisfactory indoor climates are central issues that need to be incorporated from early design phases if to reach a coherent design. It might also be argued that the energy consumption of contemporary buildings only plays a rela-tively minor...
Comparative design of structures concepts and methodologies
Lin, Shaopei
2016-01-01
This book presents comparative design as an approach to the conceptual design of structures. Primarily focusing on reasonable structural performance, sustainable development and architectural aesthetics, it features detailed studies of structural performance through the composition and de-composition of these elements for a variety of structures, such as high-rise buildings, long-span crossings and spatial structures. The latter part of the book addresses the theoretical basis and practical implementation of knowledge engineering in structural design, and a case-based fuzzy reasoning method is introduced to illustrate the concept and method of intelligent design. The book is intended for civil engineers, structural designers and architects, as well as senior undergraduate and graduate students in civil engineering and architecture. Shaopei Lin and Zhen Huang are both Professors at the Department of Civil Engineering, Shanghai Jiao Tong University, China.
Directory of Open Access Journals (Sweden)
Lu Man
2017-01-01
Full Text Available Presently ecologicalization has become a key aspect of architecture designs. As studies deepen, the bountiful ecological ideas and design methods represented in Chinese traditional architectural culture are increasingly valued. There remain as of today quite a few residence mansions built in Ming and Qing dynasties in Yangzhou-a city famous for its history and culture. The distinctive regional culture and architectural style that those architectures embody has received worldwide attention in the academic field. By weighing on the shaping of architectural forms, choice in connection genres and structuring measures as well as sorting through and studying on the ecologicalized architectural design practices such as reemploying local materials, deeper understanding of traditional architecture will be achieved for us to better inherit and develop traditional architectural culture. Hence in-depth studies on contemporary ecological architecture and sustainable development will be of greater practical significance. Furthermore, it is beneficial to expand ideas on ecological design, truly integrate architectures into the setting to give expression to the ecological and culture features of them and provide theoretic support for creating new architecture with diversified culture implications and ecological features in light of actual conditions in an orderly manner.
Directory of Open Access Journals (Sweden)
Zhogoleva Anna
2017-01-01
Full Text Available The purpose of the author’s research is to determine the actual content of sustainable architectural design for suburban residential development. In accordance with the methodology of area sustainable development the traditional architectural design according to the rules and regulations is completed with additional approaches and methods. As a result, methods of bio-positive design of buildings have been studied and defined, including: the principle of planning transformations, the use of environmentally friendly, local building materials and design concepts, energy-efficient architectural design, the use of alternative energy in building operation, the design of the energy intake and accumulationsystems, the architectural and landscape design that ensures stable functioning of autonomous, sustainable biosystems on the site, non-waste functioning of architectural objects, introduction of waste disposal systems in the project.
Supercharging system behavior for high altitude operation of an aircraft 2-stroke Diesel engine
International Nuclear Information System (INIS)
Carlucci, Antonio Paolo; Ficarella, Antonio; Laforgia, Domenico; Renna, Alessandro
2015-01-01
Highlights: • Different supercharging architectures have been compared for an aircraft 2T engine. • The supercharging architectures are compared to minimize the fuel consumption. • The architecture with the highest conversion efficiency was determined. - Abstract: Different studies on both 2- and 4-stroke engines have shown how the choice of different supercharging architectures can influence engine performance. Among them, architectures coupling one turbocharger with a mechanical compressor or two turbochargers are found to be the most performing in terms of engine output power and efficiency. However, defining the best supercharging architecture for aircraft 2-stroke engines is a quite complex task because the supercharging system as well as the ambient conditions influence the engine performance/efficiency. This is due to the close interaction between supercharging, trapping, scavenging and combustion processes. The aim of the present work is the comparison between different architectures (single turbocharger, double turbocharger, single turbocharger combined with a mechanical compressor, single turbocharger with an electrically-assisted turbocharger, with intercooler or aftercooler) designed to supercharge an aircraft 2-stroke Diesel engine for general aviation and unmanned aerial vehicles characterized by a very high altitude operation and long fuel distance. A 1D model of the engine purposely designed has been used to compare the performance of the different supercharging systems in terms of power, fuel consumption, and their effect on trapping and scavenging efficiency at different altitudes. The analysis shows that the engine target power is reached by a 2 turbochargers architecture; in this way, in fact, the cylinder filling, and consequently the engine performance, are maximized. Moreover, it is shown that the performance of a 2 turbochargers architecture performance can be further improved connecting electrically and not mechanically the low
Energizing architecture. Design and photovoltaics
Energy Technology Data Exchange (ETDEWEB)
Lueling, Claudia (ed.)
2009-07-01
Power generation by photovoltaic systems and buildings is much more than just an alternative to traditional electric power generation. As the planning and design of photovoltaics is increasingly shifting to the forefront, it is rapidly becoming a new challenge for architecture. This book describes the whole spectrum of possible applications - from inspiration to detail - of photovoltaics as an integral part of building envelopes and introduces groundbreaking examples and visions for the future, in which photovoltaic elements work as a successful part of exterior facades - combined with highly luminous and economical illuminated wallpaper and curtains inside buildings. Its range extends from early designs by artists such as Daniel Hausig to aspects of material selection to detail drawings of implemented solutions. The enormous variety of possible applications of this new (building) material demonstrates the huge potential it possesses. (orig.)
Architectural design drives the biogeography of indoor bacterial communities.
Kembel, Steven W; Meadow, James F; O'Connor, Timothy K; Mhuireach, Gwynne; Northcutt, Dale; Kline, Jeff; Moriyama, Maxwell; Brown, G Z; Bohannan, Brendan J M; Green, Jessica L
2014-01-01
Architectural design has the potential to influence the microbiology of the built environment, with implications for human health and well-being, but the impact of design on the microbial biogeography of buildings remains poorly understood. In this study we combined microbiological data with information on the function, form, and organization of spaces from a classroom and office building to understand how design choices influence the biogeography of the built environment microbiome. Sequencing of the bacterial 16S gene from dust samples revealed that indoor bacterial communities were extremely diverse, containing more than 32,750 OTUs (operational taxonomic units, 97% sequence similarity cutoff), but most communities were dominated by Proteobacteria, Firmicutes, and Deinococci. Architectural design characteristics related to space type, building arrangement, human use and movement, and ventilation source had a large influence on the structure of bacterial communities. Restrooms contained bacterial communities that were highly distinct from all other rooms, and spaces with high human occupant diversity and a high degree of connectedness to other spaces via ventilation or human movement contained a distinct set of bacterial taxa when compared to spaces with low occupant diversity and low connectedness. Within offices, the source of ventilation air had the greatest effect on bacterial community structure. Our study indicates that humans have a guiding impact on the microbial biodiversity in buildings, both indirectly through the effects of architectural design on microbial community structure, and more directly through the effects of human occupancy and use patterns on the microbes found in different spaces and space types. The impact of design decisions in structuring the indoor microbiome offers the possibility to use ecological knowledge to shape our buildings in a way that will select for an indoor microbiome that promotes our health and well-being.
Analysis and Design of a Context Adaptable SAD/MSE Architecture
Directory of Open Access Journals (Sweden)
Arvind Sudarsanam
2009-01-01
Full Text Available Design of flexible multimedia accelerators that can cater to multiple algorithms is being aggressively pursued in the media processors community. Such an approach is justified in the era of sub-45 nm technology where an increasingly dominating leakage power component is forcing designers to make the best possible use of on-chip resources. In this paper we present an analysis of two commonly used window-based operations (sum of absolute differences and mean squared error across a variety of search patterns and block sizes (2×3, 5×5, etc.. We propose a context adaptable architecture that has (i configurable 2D systolic array and (ii 2D Configurable Register Array (CRA. CRA can cater to variable pixel access patterns while reusing fetched pixels across search windows. Benefits of proposed architecture when compared to 15 other published architectures are adaptability, high throughput, and low latency at a cost of increased footprint, when ported on a Xilinx FPGA.
Assessment Focus in Studio: What Is Most Prominent in Architecture, Art and Design?
de La Harpe, Barbara; Peterson, J. Fiona; Frankham, Noel; Zehner, Robert; Neale, Douglas; Musgrave, Elizabeth; McDermott, Ruth
2009-01-01
What can be learned about assessment from what educators in the creative practices focus their studio publications on? What should form the focus of assessment in architecture, art and design studios? In this article we draw on 118 journal articles on studio published over the last decade in three disciplines; architecture, art and design to…
Design and "restoration": the Roots of Architecture Porject for the Built
Campanella, C.
2017-05-01
It is absolutely essential now to prepare a project of pre-critical understanding of the building which will be the object of action, free from preconceived notions of value, fully committed to the implementation of a variety of useful and indispensable to determine that operating margin and freedom that each architecture has not being linked uniquely to the precise original function. This is the margin that you can leverage to implement the architectural project for the built that mutuerà inside knowledge, conservation and innovation at the same time. The binomials in the lead paragraph as all sides of the same coin that merge into one integrated design process that takes charge of design including those different styles of drafting of survey (in all aspects) of an existing architecture.
Design and Training of Limited-Interconnect Architectures
1991-07-16
and signal processing. Neuromorphic (brain like) models, allow an alternative for achieving real-time operation tor such tasks, while having a...compact and robust architecture. Neuromorphic models consist of interconnections of simple computational nodes. In this approach, each node computes a...operational performance. I1. Research Objectives The research objectives were: 1. Development of on- chip local training rules specifically designed for
The Status of Graphical Presentation in Interior/Architectural Design Education
Gurel, Meltem O.; Basa, Inci
2004-01-01
This article argues that interior/architectural design education favours a dominance of final presentation over the design process in the studio environment, particularly in the evaluation of a project. It suggests that the appeal of design juries for pleasant drawings, which may shift the emphasis from the project itself to its representation,…
DEFF Research Database (Denmark)
Bardram, Jakob Eyvind; Christensen, Henrik Bærbak; Hansen, Klaus Marius
2004-01-01
A major part of software architecture design is learning how specific architectural designs balance the concerns of stakeholders. We explore the notion of "architectural prototypes", correspondingly architectural prototyping, as a means of using executable prototypes to investigate stakeholders...
An architecture pattern for safety critical automated driving applications: Design and analysis
Luo, Y.; Saberi, A.K.; Bijlsma, T.; Lukkien, J.J.; Brand, M. van den
2017-01-01
Introduction of automated driving increases complexity of automotive systems. As a result, architecture design becomes a major concern for ensuring non-functional requirements such as safety, and modifiability. In the ISO 26262 standard, architecture patterns are recommended for system development.
An architecture pattern for safety critical automated driving applications : design and analysis
Luo, Y.; Khabbaz Saberi, A.; Bijlsma, T.; Lukkien, J.J.; van den Brand, M.G.J.
2017-01-01
Introduction of automated driving increases complexity of automotive systems. As a result, architecture design becomes a major concern for ensuring non-functional requirements such as safety, and modifiability. In the ISO 26262 standard, architecture patterns are recommended for system development.
HyperCell : A Bio-inspired Design Framework for Real-time Interactive Architectures
Chang, J.R.
2018-01-01
This pioneering research focuses on Biomimetic Interactive Architecture using “Computation”, “Embodiment”, and “Biology” to generate an intimate embodied convergence to propose a novel rule-based design framework for creating organic architectures composed of swarm-based intelligent components.
Lammi, Matthew; Becker, Kurt
2013-01-01
Engineering design thinking is "a complex cognitive process" including divergence-convergence, a systems perspective, ambiguity, and collaboration (Dym, Agogino, Eris, Frey, & Leifer, 2005, p. 104). Design is often complex, involving multiple levels of interacting components within a system that may be nested within or connected to other systems.…
Architectural visualisation toolkit for 3D Studio Max users
Cooper , Neil
2012-01-01
Architectural Visualisation has become a vital part of the design process for architects and engineers. The process of modelling and rendering an architectural visualisation can be complex and time consuming with only a few tools available to assist novice modellers. This paper looks at available solutions for visualisation specialists including AutoCAD, 3D Studio Max and Google SketchUp as well as available solutions which attempt to automate the process including Batzal Roof ...
Design and optimizing factors of PACS network architecture
International Nuclear Information System (INIS)
Tao Yonghao; Miao Jingtao
2001-01-01
Objective: Exploring the design and optimizing factors of picture archiving and communication system (PACS) network architecture. Methods: Based on the PACS of shanghai first hospital to performed the measurements and tests on the requirements of network bandwidth and transmitting rate for different PACS functions and procedures respectively in static and dynamic network traffic situation, utilizing the network monitoring tools which built-in workstations and provided by Windows NT. Results: No obvious difference between switch equipment and HUB when measurements and tests implemented in static situation except route which slow down the rate markedly. In dynamic environment Switch is able to provide higher bandwidth utilizing than HUB and local system scope communication achieved faster transmitting rate than global system. Conclusion: The primary optimizing factors of PACS network architecture design include concise network topology and disassemble tremendous global traffic to multiple distributed local scope network communication to reduce the traffic of network backbone. The most important issue is guarantee essential bandwidth for diagnosis procedure of medical imaging
Planning and architectural safety considerations in designing nuclear power plants
International Nuclear Information System (INIS)
Konsowa, Ahmed A.
2009-01-01
To achieve optimum safety and to avoid possible hazards in nuclear power plants, considering architectural design fundamentals and all operating precautions is mandatory. There are some planning and architectural precautions should be considered to achieve a high quality design and construction of nuclear power plant with optimum safety. This paper highlights predicted hazards like fire, terrorism, aircraft crash attacks, adversaries, intruders, and earthquakes, proposing protective actions against these hazards that vary from preventing danger to evacuating and sheltering people in-place. For instance; using safeguards program to protect against sabotage, theft, and diversion. Also, site and building well design focusing on escape pathways, emergency exits, and evacuation zones, and the safety procedures such as; evacuation exercises and sheltering processes according to different emergency classifications. In addition, this paper mentions some important codes and regulations that control nuclear power plants design, and assessment methods that evaluate probable risks. (author)
Engineering design skills coverage in K-12 engineering program curriculum materials in the USA
Chabalengula, Vivien M.; Mumba, Frackson
2017-11-01
The current K-12 Science Education framework and Next Generation Science Standards (NGSS) in the United States emphasise the integration of engineering design in science instruction to promote scientific literacy and engineering design skills among students. As such, many engineering education programmes have developed curriculum materials that are being used in K-12 settings. However, little is known about the nature and extent to which engineering design skills outlined in NGSS are addressed in these K-12 engineering education programme curriculum materials. We analysed nine K-12 engineering education programmes for the nature and extent of engineering design skills coverage. Results show that developing possible solutions and actual designing of prototypes were the highly covered engineering design skills; specification of clear goals, criteria, and constraints received medium coverage; defining and identifying an engineering problem; optimising the design solution; and demonstrating how a prototype works, and making iterations to improve designs were lowly covered. These trends were similar across grade levels and across discipline-specific curriculum materials. These results have implications on engineering design-integrated science teaching and learning in K-12 settings.
Iteration in Early-Elementary Engineering Design
McFarland Kendall, Amber Leigh
2017-01-01
K-12 standards and curricula are beginning to include engineering design as a key practice within Science Technology Engineering and Mathematics (STEM) education. However, there is little research on how the youngest students engage in engineering design within the elementary classroom. This dissertation focuses on iteration as an essential aspect…
Walker, Carrie K.
1991-01-01
A technique has been developed for combining features of a systems architecture design and assessment tool and a software development tool. This technique reduces simulation development time and expands simulation detail. The Architecture Design and Assessment System (ADAS), developed at the Research Triangle Institute, is a set of computer-assisted engineering tools for the design and analysis of computer systems. The ADAS system is based on directed graph concepts and supports the synthesis and analysis of software algorithms mapped to candidate hardware implementations. Greater simulation detail is provided by the ADAS functional simulator. With the functional simulator, programs written in either Ada or C can be used to provide a detailed description of graph nodes. A Computer-Aided Software Engineering tool developed at the Charles Stark Draper Laboratory (CSDL CASE) automatically generates Ada or C code from engineering block diagram specifications designed with an interactive graphical interface. A technique to use the tools together has been developed, which further automates the design process.
Mallam, Steven C; Lundh, Monica; MacKinnon, Scott N
2017-03-01
Computer-aided solutions are essential for naval architects to manage and optimize technical complexities when developing a ship's design. Although there are an array of software solutions aimed to optimize the human element in design, practical ergonomics methodologies and technological solutions have struggled to gain widespread application in ship design processes. This paper explores how a new ergonomics technology is perceived by naval architecture students using a mixed-methods framework. Thirteen Naval Architecture and Ocean Engineering Masters students participated in the study. Overall, results found participants perceived the software and its embedded ergonomics tools to benefit their design work, increasing their empathy and ability to understand the work environment and work demands end-users face. However, participant's questioned if ergonomics could be practically and efficiently implemented under real-world project constraints. This revealed underlying social biases and a fundamental lack of understanding in engineering postgraduate students regarding applied ergonomics in naval architecture. Copyright © 2016 Elsevier Ltd. All rights reserved.
Designing from cinema: Film as trigger of the creative process in architecture
Directory of Open Access Journals (Sweden)
Iñaki Bergera
2018-05-01
Full Text Available The present paper examines, in a case study format, the use of films, short films and audiovisual documentaries as reasoning and references for design assignments during the first years of an architectural degree course. The aim of this fruitful and comparable experience is not so much to study and verify the well-known synergies between film and architecture, but to emphasize the methodological importance of endowing students in their first experience of design projects with realistic and feasible support – through visual references – that can increase their awareness of the desire for realism to which every project aspires. Project simulacrum finds an ally in the realistic fiction present in film. The association between film and architecture is thus placed at the service of learning and design methodology, as a means, not as an end.
Architectural freedom and industrialized architecture
DEFF Research Database (Denmark)
Vestergaard, Inge
2012-01-01
to explain that architecture can be thought as a complex and diverse design through customization, telling exactly the revitalized storey about the change to a contemporary sustainable and better performing expression in direct relation to the given context. Through the last couple of years we have...... expression in the specific housing area. It is the aim of this article to expand the different design strategies which architects can use – to give the individual project attitudes and designs with architectural quality. Through the customized component production it is possible to choose different...... for retrofit design. If we add the question of the installations e.g. ventilation to this systematic thinking of building technique we get a diverse and functional architecture, thereby creating a new and clearer story telling about new and smart system based thinking behind architectural expression....
Design and implementation of dynamic microservice discovery solution in cloud architectures
Malc, Urban
2017-01-01
Microservice architecture offers many advantages over monolithic application design, but at the same time presents challenges, not present in traditional architectures. One of the challenges is handling dynamic allocation of microservice addresses. Modern applications, built in microservice arhitecture typically run in containerized environments, which enable simple deployment and horizontal scaling of microservices. Containerized environments usually allocate microservice addresses dynamical...
ICT-ENABLED BOTTOM-UP ARCHITECTURAL DESIGN
Directory of Open Access Journals (Sweden)
Burak Pak
2016-04-01
Full Text Available This paper aims at discussing the potentials of bottom-up design practices in relation to the latest developments in Information and Communication Technologies (ICT by making an in-depth review of inaugural cases. The first part of the study involves a literature study and the elaboration of basic strategies from the case study. The second part reframes the existing ICT tools and strategies and elaborates on their potentials to support the modes of participation performed in these cases. As a result, by distilling the created knowledge, the study reveals the potentials of novel modes of ICT-enabled design participation which exploit a set of collective action tools to support sustainable ways of self-organization and bottom-up design. The final part explains the relevance of these with solid examples and presents a hypothetical case for future implementation. The paper concludes with a brief reflection on the implications of the findings for the future of architectural design education.
Making room in engineering design practices
DEFF Research Database (Denmark)
Petersen, Rikke Premer; Buch, Anders
2016-01-01
This article aims to explore the challenges that occur from a practice perspective when a new approach to engineering design enters an existing ecology of professional practices in a workplace. Using four empirical episodes, the article illustrates a concrete effort to challenge what counts...... as ‘real engineering’ or what is recognized as part of the engineering expertise. Using an ethnographic, case-studybased research design the article documentshowholistically minded professionals do engineering design ‘by other means’, in ways that strive to promote user experience approaches. The article...... aims to show how engineering practices do not exist in isolation within an organization and how ambitions to transform professional engineering work practices require a change in the very ecologies of practices that exist across an organization...
Stanley, Timothy D.; Wong, Lap Kei; Prigmore, Daniel; Benson, Justin; Fishler, Nathan; Fife, Leslie; Colton, Don
2007-01-01
Students learn better when they both hear and do. In computer architecture courses "doing" can be difficult in small schools without hardware laboratories hosted by computer engineering, electrical engineering, or similar departments. Software solutions exist. Our success with George Mills' Multimedia Logic (MML) is the focus of this paper. MML…
Dismantling the Built Drawing: Working with Mood in Architectural Design
Teal, Randall
2010-01-01
From the late Middle Ages onward an emphasis on the rational and the technical aspects of design and design drawing gained hold of architectural practice. In this transformation, the phenomenon of mood has been frequently overlooked or seen as something to be added on to a design; yet the fundamental grounding of mood, as described in Martin…
A Knowledge Conversion Model Based on the Cognitive Load Theory for Architectural Design Education
Wu, Yun-Wu; Liao, Shin; Wen, Ming-Hui; Weng, Kuo-Hua
2017-01-01
The education of architectural design requires balanced curricular arrangements of respectively theoretical knowledge and practical skills to really help students build their knowledge structures, particularly helping them in solving the problems of cognitive load. The purpose of this study is to establish an architectural design knowledge…
Stirling engine design manual, 2nd edition
Martini, W. R.
1983-01-01
This manual is intended to serve as an introduction to Stirling cycle heat engines, as a key to the available literature on Stirling engines and to identify nonproprietary Stirling engine design methodologies. Two different fully described Stirling engines are discussed. Engine design methods are categorized as first order, second order, and third order with increased order number indicating increased complexity. FORTRAN programs are listed for both an isothermal second order design program and an adiabatic second order design program. Third order methods are explained and enumerated. In this second edition of the manual the references are updated. A revised personal and corporate author index is given and an expanded directory lists over 80 individuals and companies active in Stirling engines.
NDARC-NASA Design and Analysis of Rotorcraft Theoretical Basis and Architecture
Johnson, Wayne
2010-01-01
The theoretical basis and architecture of the conceptual design tool NDARC (NASA Design and Analysis of Rotorcraft) are described. The principal tasks of NDARC are to design (or size) a rotorcraft to satisfy specified design conditions and missions, and then analyze the performance of the aircraft for a set of off-design missions and point operating conditions. The aircraft consists of a set of components, including fuselage, rotors, wings, tails, and propulsion. For each component, attributes such as performance, drag, and weight can be calculated. The aircraft attributes are obtained from the sum of the component attributes. NDARC provides a capability to model general rotorcraft configurations, and estimate the performance and attributes of advanced rotor concepts. The software has been implemented with low-fidelity models, typical of the conceptual design environment. Incorporation of higher-fidelity models will be possible, as the architecture of the code accommodates configuration flexibility, a hierarchy of models, and ultimately multidisciplinary design, analysis and optimization.
Urban landscape architecture design under the view of sustainable development
Chen, WeiLin
2017-08-01
The concept of sustainable development in modern city landscape design advocates landscape architecture, which is the main development direction in the field of landscape design. They are also effective measures to promote the sustainable development of city garden. Based on this, combined with the connotation of sustainable development and sustainable design, this paper analyzes and discusses the design of urban landscape under the concept of sustainable development.
Service Oriented Robotic Architecture for Space Robotics: Design, Testing, and Lessons Learned
Fluckiger, Lorenzo Jean Marc E; Utz, Hans Heinrich
2013-01-01
This paper presents the lessons learned from six years of experiments with planetary rover prototypes running the Service Oriented Robotic Architecture (SORA) developed by the Intelligent Robotics Group (IRG) at the NASA Ames Research Center. SORA relies on proven software engineering methods and technologies applied to space robotics. Based on a Service Oriented Architecture and robust middleware, SORA encompasses on-board robot control and a full suite of software tools necessary for remotely operated exploration missions. SORA has been eld tested in numerous scenarios of robotic lunar and planetary exploration. The experiments conducted by IRG with SORA exercise a large set of the constraints encountered in space applications: remote robotic assets, ight relevant science instruments, distributed operations, high network latencies and unreliable or intermittent communication links. In this paper, we present the results of these eld tests in regard to the developed architecture, and discuss its bene ts and limitations.
Nonlinear machine learning in soft materials engineering and design
Ferguson, Andrew
The inherently many-body nature of molecular folding and colloidal self-assembly makes it challenging to identify the underlying collective mechanisms and pathways governing system behavior, and has hindered rational design of soft materials with desired structure and function. Fundamentally, there exists a predictive gulf between the architecture and chemistry of individual molecules or colloids and the collective many-body thermodynamics and kinetics. Integrating machine learning techniques with statistical thermodynamics provides a means to bridge this divide and identify emergent folding pathways and self-assembly mechanisms from computer simulations or experimental particle tracking data. We will survey a few of our applications of this framework that illustrate the value of nonlinear machine learning in understanding and engineering soft materials: the non-equilibrium self-assembly of Janus colloids into pinwheels, clusters, and archipelagos; engineering reconfigurable ''digital colloids'' as a novel high-density information storage substrate; probing hierarchically self-assembling onjugated asphaltenes in crude oil; and determining macromolecular folding funnels from measurements of single experimental observables. We close with an outlook on the future of machine learning in soft materials engineering, and share some personal perspectives on working at this disciplinary intersection. We acknowledge support for this work from a National Science Foundation CAREER Award (Grant No. DMR-1350008) and the Donors of the American Chemical Society Petroleum Research Fund (ACS PRF #54240-DNI6).
Incorporating Engineering Design Challenges into STEM Courses
Householder, Daniel L.; Hailey, Christine E.
2012-01-01
Successful strategies for incorporating engineering design challenges into science, technology, engineering, and mathematics (STEM) courses in American high schools are presented in this paper. The developers have taken the position that engineering design experiences should be an important component of the high school education of all American youth. In most instances, these experiences in engineering design are infused into instruction programs in standards-based courses in science, technol...
Designing Next Generation Massively Multithreaded Architectures for Irregular Applications
Energy Technology Data Exchange (ETDEWEB)
Tumeo, Antonino; Secchi, Simone; Villa, Oreste
2012-08-31
Irregular applications, such as data mining or graph-based computations, show unpredictable memory/network access patterns and control structures. Massively multi-threaded architectures with large node count, like the Cray XMT, have been shown to address their requirements better than commodity clusters. In this paper we present the approaches that we are currently pursuing to design future generations of these architectures. First, we introduce the Cray XMT and compare it to other multithreaded architectures. We then propose an evolution of the architecture, integrating multiple cores per node and next generation network interconnect. We advocate the use of hardware support for remote memory reference aggregation to optimize network utilization. For this evaluation we developed a highly parallel, custom simulation infrastructure for multi-threaded systems. Our simulator executes unmodified XMT binaries with very large datasets, capturing effects due to contention and hot-spotting, while predicting execution times with greater than 90% accuracy. We also discuss the FPGA prototyping approach that we are employing to study efficient support for irregular applications in next generation manycore processors.
Building Design Guidelines of Interior Architecture for Bio safety Levels of Biology Laboratories
International Nuclear Information System (INIS)
ElDib, A.A.
2014-01-01
This paper discusses the pivotal role of the Interior Architecture As one of the scientific disciplines minute to complete the Architectural Sciences, which relied upon the achievement and development of facilities containing scientific research laboratories, in terms of planning and design, particularly those containing biological laboratories using radioactive materials, adding to that, the application of the materials or raw materials commensurate with each discipline of laboratory and its work nature, and by the discussion the processing of design techniques and requirements of interior architecture dealing with Research Laboratory for electronic circuits an their applications with the making of its prototypes
Information Architecture the Design of Digital Information Spaces
Ding, Wei
2009-01-01
Information Architecture is about organizing and simplifying information, designing and integrating information spaces/systems, and creating ways for people to find and interact with information content. Its goal is to help people understand and manage information and make right decisions accordingly. In the ever-changing social, organizational and technological contexts, Information Architects not only design individual information spaces (e.g., individual websites, software applications, and mobile devices), but also tackle strategic aggregation and integration of multiple information spaces
DESIGN QUALITY IN MECHANICAL ENGINEERING APPLICATION
Directory of Open Access Journals (Sweden)
Ayşegül Akdogan Eker
2010-09-01
Full Text Available There is a close relationship between material chose and quality in mechanical engineering application like there is in all the other engineering applications. If this relation is balanced then engineering success increases. Material chose comes to fore in the design process most of the time. The two most important responsibilities of the design engineer in here is to chose suitable material and to know the production processes about design. The chose of material of a design that will fulfill the needs all through its life has great importance. It is needed to limit the material applicants by choosing the most suitable ones among variable material. Choosing materials that were examined before and whose behavior is well known provides the designer to feel confident. However since using highly successful materials would increase the competitive power of the designs; designers should follow the developments in materials and know the features of new materials. The description of these features can be interpreted within quality. Quality from the point of engineer is the total fulfillment of expectations.Engineer today are faced with very important problems such as fast technological innovations, a dynamic socio-economical environment, global rivalry. One of the life buoys they stick while trying to solve these problems is total method of quality control. Total Quality model which can provide higher competitive power compared to classical management model brings success only when applied with its whole components. "Approach toward prevention" and "measurement and statistics" have an important place among these elements. The first step of the approach toward prevention composes of design quality and Quality Function Deployment (QFD, or in other words The House of Quality method that will provide this. In this paper; considering the quality function deployment, how the chose of material are done in mechanical engineering applications will be explained.
'Living' Architecture Overviews - Supporting the Design of Evolutionary Complex Systems (CD ROM)
Borches Juzgado, P.D.; Bonnema, Gerrit Maarten; van Houten, F.J.A.M.; Miedema, J.; Lutters, D.
2008-01-01
When dealing with complex systems, it is essential that designers and system architects have a clear understanding of the system as a whole. The main ‘tool’ for this is the so-called ‘system architecture description’ or ‘reference architecture’. Although the concept of system architecture
Architecture design in global and model-centric software development
Heijstek, Werner
2012-01-01
This doctoral dissertation describes a series of empirical investigations into representation, dissemination and coordination of software architecture design in the context of global software development. A particular focus is placed on model-centric and model-driven software development.
Design of automotive engine coolant hoses
Directory of Open Access Journals (Sweden)
Hrishikesh D BACHCHHAV
2018-03-01
Full Text Available In this paper, we are present the performance of engine coolant hoses (radiator hoses used in passenger cars by checking various physical behaviours such as hose leakage, hose burst, hose collapse or any mechanical damage as studied-thru design guidelines, CFD analysis and product validation testing and also check pressure drop of the hoses when engine will be running. The design term is more likely used for technical part modelling using CAD tool. Later on, we will focus on the transformation of the part design to process design. The process design term is more likely used for "tooling design" for manufacturing of the product using CAD Tool. Then inlet hose carries coolant from engine to radiator inlet tank, then coolant circulated in radiator and passed through radiator outlet tank to water pump of engine with the help of outlet hose. After that nding any leakage, Burst, damage or collapse of hose and pressure drop of the hose with the help of design checklist, CFD Analysis and product validation testing.
Interdisciplinary parametric design : The XXL experience
Turrin, M.; Sariyildiz, I.S.; Paul, J.C.
2015-01-01
Focusing on large span structures for sport buildings, the paper tackles the role of parametric modelling and performance simulations, to enhance the integration between architectural and engineering design. The general approach contrasts post-engineering processes. In post-engineering, technical
Hay, Laura; Duffy, Alex H.B.; McTeague, Chris; Pidgeon, Laura M.; Vuletic, Tijana; Grealy, Madeleine
2017-01-01
This paper reports findings from the first systematic review of protocol studies focusing specifically on conceptual design cognition, aiming to answer the following research question: What is our current understanding of the cognitive processes involved in conceptual design tasks carried out by individual designers? We reviewed 47 studies on architectural design, engineering design and product design engineering. This paper reports 24 cognitive processes investigated in a subset of 33 studie...
Integrated Design Process in Problem-Based Learning
DEFF Research Database (Denmark)
Knudstrup, Mary-Ann
2004-01-01
This article reports and reflects on the learning achievements and the educational experiences in connection with the first years of the curriculum in Architecture at Aalborg University ?s Civil Engineer Education in Architecture & Design. In the article I will focus on the learning activity and ...... the students need in order to concentrate, mobilize creativity and find the personal design language which is a precondition for making good architecture....... and the method that are developed during the semester when working with an Integrated Design Process combining architecture, design, functional aspects, energy consumption, indoor environment, technology, and construction. I will emphasize the importance of working with different tools in the design process, e...
A Systematic Hardware Sharing Method for Unified Architecture Design of H.264 Transforms
Directory of Open Access Journals (Sweden)
Po-Hung Chen
2015-01-01
Full Text Available Multitransform techniques have been widely used in modern video coding and have better compression efficiency than the single transform technique that is used conventionally. However, every transform needs a corresponding hardware implementation, which results in a high hardware cost for multiple transforms. A novel method that includes a five-step operation sharing synthesis and architecture-unification techniques is proposed to systematically share the hardware and reduce the cost of multitransform coding. In order to demonstrate the effectiveness of the method, a unified architecture is designed using the method for all of the six transforms involved in the H.264 video codec: 2D 4 × 4 forward and inverse integer transforms, 2D 4 × 4 and 2 × 2 Hadamard transforms, and 1D 8 × 8 forward and inverse integer transforms. Firstly, the six H.264 transform architectures are designed at a low cost using the proposed five-step operation sharing synthesis technique. Secondly, the proposed architecture-unification technique further unifies these six transform architectures into a low cost hardware-unified architecture. The unified architecture requires only 28 adders, 16 subtractors, 40 shifters, and a proposed mux-based routing network, and the gate count is only 16308. The unified architecture processes 8 pixels/clock-cycle, up to 275 MHz, which is equal to 707 Full-HD 1080 p frames/second.