WorldWideScience

Sample records for deposits crystal-chemical characterisation

  1. Microscopic characterisation of suspended graphene grown by chemical vapour deposition

    NARCIS (Netherlands)

    Bignardi, L.; Dorp, W.F. van; Gottardi, S.; Ivashenko, O.; Dudin, P.; Barinov, A.; de Hosson, J.T.M.; Stöhr, M.; Rudolf, P.

    2013-01-01

    We present a multi-technique characterisation of graphene grown by chemical vapour deposition (CVD) and thereafter transferred to and suspended on a grid for transmission electron microscopy (TEM). The properties of the electronic band structure are investigated by angle-resolved photoelectron

  2. Chemical bath ZnSe thin films: deposition and characterisation

    Science.gov (United States)

    Lokhande, C. D.; Patil, P. S.; Ennaoui, A.; Tributsch, H.

    1998-01-01

    The zinc selenide (ZnSe) thin films have been deposited by a simple and inexpensive chemical bath deposition (CBD) method. The selenourea was used as a selenide ion source. The ZnSe films have been characterised by X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM), energy dispersive X-ray spectroscopy (EDAX), Rutherford back scattering (RBS), and optical absorption. The as-deposited ZnSe films on various substrates are found to be amorphous and contain O2 and N2 in addition to Zn and Se. The optical band gap of the film is estimated to be 2.9 eV. The films are photoactive as evidenced by time resolved microwave conductivity (TRMC).

  3. Thermoluminescence characterisation of chemical vapour deposited diamond films

    CERN Document Server

    Mazzocchi, S; Bucciolini, M; Cuttone, G; Pini, S; Sabini, M G; Sciortino, S

    2002-01-01

    The thermoluminescence (TL) characteristics of a set of six chemical vapour deposited diamond films have been studied with regard to their use as off-line dosimeters in radiotherapy. The structural characterisation has been performed by means of Raman spectroscopy. Their TL responses have been tested with radiotherapy beams ( sup 6 sup 0 Co photons, photons and electrons from a linear accelerator (Linac), 26 MeV protons from a TANDEM accelerator) in the dose range 0.1-7 Gy. The dosimetric characterisation has yielded a very good reproducibility, a very low dependence of the TL response on the type of particle and independence of the radiation energy. The TL signal is not influenced by the dose rate and exhibits a very low thermal fading. Moreover, the sensitivity of the diamond samples compares favourably with that of standard TLD100 dosimeters.

  4. Characterisation of silicon carbide films deposited by plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Iliescu, Ciprian; Chen Bangtao; Wei Jiashen; Pang, A.J.

    2008-01-01

    The paper presents a characterisation of amorphous silicon carbide films deposited in plasma-enhanced chemical vapour deposition (PECVD) reactors for MEMS applications. The main parameter was optimised in order to achieve a low stress and high deposition rate. We noticed that the high frequency mode (13.56 MHz) gives a low stress value which can be tuned from tensile to compressive by selecting the correct power. The low frequency mode (380 kHz) generates high compressive stress (around 500 MPa) due to ion bombardment and, as a result, densification of the layer achieved. Temperature can decrease the compressive value of the stress (due to annealing effect). A low etching rate of the amorphous silicon carbide layer was noticed for wet etching in KOH 30% at 80 o C (around 13 A/min) while in HF 49% the layer is practically inert. A very slow etching rate of amorphous silicon carbide layer in XeF 2 -7 A/min- was observed. The paper presents an example of this application: PECVD-amorphous silicon carbide cantilevers fabricated using surface micromachining by dry-released technique in XeF 2

  5. Aluminium phosphate sulphate minerals (APS) associated with proterozoic unconformity-type uranium deposits: crystal-chemical characterisation and petrogenetic significance

    International Nuclear Information System (INIS)

    Gaboreau, St.

    2005-01-01

    Aluminium phosphate sulfate minerals (APS) are particularly widespread and spatially associated with hydrothermal clay alteration in both the East Alligator River Uranium Field (Northern Territory, Australia) and the Athabasca basin (Saskatchewan, Canada), in the environment of proterozoic unconformity-related uranium deposits (URUD). The purpose of this study is both: 1) to characterize the nature and the origin of the APS minerals on both sides of the middle proterozoic unconformity between the overlying sandstones and the underlying metamorphic basement rocks that host the uranium ore bodies, 2) to improve our knowledge on the suitability of these minerals to indicate the paleo-conditions (redox, pH) at which the alteration processes relative to the uranium deposition operated. The APS minerals result from the interaction of oxidising and relatively acidic fluids with aluminous host rocks enriched in monazite. Several APS-bearing clay assemblages and APS crystal-chemistry have also been distinguished as a function of the distance from the uranium ore bodies or from the structural discontinuities which drained the hydrothermal solutions during the mineralisation event. One of the main results of this study is that the index mineral assemblages, used in the recent literature to describe the alteration zones around the uranium ore bodies, can be theoretically predicted by a set of thermodynamic calculations which simulate different steps of fluid-rock interaction processes related to a downward penetrating of hyper-saline, oxidizing and acidic diagenetic fluids through the lower sandstone units of the basins and then into the metamorphic basement rocks. The above considerations and the fact that APS with different crystal-chemical compositions crystallized in a range of fO 2 and pH at which uranium can either be transported in solution or precipitated as uraninite in the host-rocks make these minerals not only good markers of the degree of alteration of the

  6. Surface morphology and preferential orientation growth of TaC crystals formed by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Xiong Xiang, E-mail: Xiong228@sina.co [State Key Lab for Powder Metallurgy, Central South University, Changsha 410083 (China); Chen Zhaoke; Huang Baiyun; Li Guodong [State Key Lab for Powder Metallurgy, Central South University, Changsha 410083 (China); Zheng Feng [School of Material Science and Engineering, Central South University, Changsha 410083 (China); Xiao Peng; Zhang Hongbo [State Key Lab for Powder Metallurgy, Central South University, Changsha 410083 (China)

    2009-04-02

    TaC film was deposited on (002) graphite sheet by isothermal chemical vapor deposition using TaCl{sub 5}-Ar-C{sub 3}H{sub 6} mixtures, with deposition temperature 1200 {sup o}C and pressure about 200 Pa. The influence of deposition position (or deposition rate) on preferential orientation and surface morphology of TaC crystals were investigated by X-ray diffraction and scanning electron microscopy methods. The deposits are TaC plus trace of C. The crystals are large individual columns with pyramidal-shape at deposition rate of 32.4-37.3 {mu}m/h, complex columnar at 37.3-45.6 {mu}m/h, lenticular-like at 45.6-54.6 {mu}m/h and cauliflower-like at 54.6-77.3 {mu}m/h, with <001>, near <001>, <110> and no clear preferential orientation, respectively. These results agree in part with the preditions of the Pangarov's model of the relationship between deposition rate and preferential growth orientation. The growth mechanism of TaC crystals in <001>, near <001>, <111> and no clear preferential orientation can be fairly explained by the growth parameter {alpha} with Van der Drift's model, deterioration model and Meakin model. Furthermore, a nucleation and coalescence model is also proposed to explain the formation mechanism of <110> lenticular-like crystals.

  7. Development of Single Crystal Chemical Vapor Deposition Diamonds for Detector Applications

    International Nuclear Information System (INIS)

    Kagan, Harris; Gan, K.K.; Kass, Richard

    2009-01-01

    Diamond was studied as a possible radiation hard technology for use in future high radiation environments. With the commissioning of the LHC expected in 2009, and the LHC upgrades expected in 2013, all LHC experiments are planning for detector upgrades which require radiation hard technologies. Chemical Vapor Deposition (CVD) diamond has now been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle and CDF and is installed in all LHC experiments. As a result, this material is now being discussed as an alternative sensor material for tracking very close to the interaction region of the super-LHC where the most extreme radiation conditions will exist. Our work addressed the further development of the new material, single-crystal Chemical Vapor Deposition diamond, towards reliable industrial production of large pieces and new geometries needed for detector applications.

  8. Raman spectroscopy for in-situ characterisation of steam generator deposits

    International Nuclear Information System (INIS)

    Rochefort, P.A.; Guzonas, D.A.; Turner, C.W.

    1997-12-01

    This report describes the effort to develop in-situ characterisation of steam generator deposits using remote raman spectroscopy to determine the chemical composition and semi-quantitative measurement of their concentrations. Information on the composition of the deposits is necessary in order to establish the optimal cleaning conditions and procedures. Furthermore, the composition of the deposits also provides information on the conditions that exist within the steam generator and the feedtrain. The raman spectra of the three most common iron oxide phases found in the CANDU deposits (hematite, magnetite and nickel ferrite) are shown

  9. On the tungsten single crystal coatings achieved by chemical vapor transportation deposition

    Energy Technology Data Exchange (ETDEWEB)

    Shi, J.Q.; Shen, Y.B.; Yao, S.Y.; Zhang, P.J.; Zhou, Q.; Guo, Y.Z. [School of Materials Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China); Tan, C.W., E-mail: tanchengwen@bit.edu.cn [School of Materials Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China); China Astronaut Research and Training Center, Beijing 100094 (China); Yu, X.D. [School of Materials Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China); China Astronaut Research and Training Center, Beijing 100094 (China); Nie, Z.H. [School of Materials Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China); Ma, H.L. [China Astronaut Research and Training Center, Beijing 100094 (China); Cai, H.N. [School of Materials Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China)

    2016-12-15

    The tungsten single crystal has many excellent properties, namely a high melting point, high anti-creeping strength. Chemical vapor transportation deposition (CVTD) is a possible approach to achieve large-sized W single crystals for high-temperature application such as the cathode of a thermionic energy converter. In this work, CVTD W coatings were deposited on the monocrystalline molybdenum substrate (a tube with < 111 > axial crystalline orientation) using WCl{sub 6} as a transport medium. The microstructures of the coatings were investigated by a scanning electron microscope (SEM) and electron backscatter diffraction (EBSD). The as-deposited coatings are hexagonal prisms—rough surfaces perpendicular to < 110 > with alternating hill-like bulges and pits at the side edges of the prisms, and flat surfaces perpendicular to < 112 > with arc-shaped terraces at the side faces. This can be explained by two-dimensional nucleation -mediated lateral growth model. Some parts of the coatings contain hillocks of an exotic morphology (noted as “abnormal growth”). The authors hypothesize that the abnormal growth is likely caused by the defects of the Mo substrate, which facilitate W nucleation sites, cause orientation difference, and may even form boundaries in the coatings. A dislocation density of 10{sup 6} to 10{sup 7} (counts/cm{sup 2}) was revealed by an etch-pit method and synchrotron X-ray diffraction. As the depositing temperature rises, the dislocation density decreases, and no sub-boundaries are found on samples deposited over 1300 °C, as a result of atom diffusion and dislocation climbing. - Highlights: •The varied growth rate causes the different morphologies of different planes. •The W coating is a single crystal when only single hillocks appear. •The (110) plane tends to have the lowest dislocation density. •The dislocation density tends to decrease as the temperature increases.

  10. On the tungsten single crystal coatings achieved by chemical vapor transportation deposition

    International Nuclear Information System (INIS)

    Shi, J.Q.; Shen, Y.B.; Yao, S.Y.; Zhang, P.J.; Zhou, Q.; Guo, Y.Z.; Tan, C.W.; Yu, X.D.; Nie, Z.H.; Ma, H.L.; Cai, H.N.

    2016-01-01

    The tungsten single crystal has many excellent properties, namely a high melting point, high anti-creeping strength. Chemical vapor transportation deposition (CVTD) is a possible approach to achieve large-sized W single crystals for high-temperature application such as the cathode of a thermionic energy converter. In this work, CVTD W coatings were deposited on the monocrystalline molybdenum substrate (a tube with < 111 > axial crystalline orientation) using WCl 6 as a transport medium. The microstructures of the coatings were investigated by a scanning electron microscope (SEM) and electron backscatter diffraction (EBSD). The as-deposited coatings are hexagonal prisms—rough surfaces perpendicular to < 110 > with alternating hill-like bulges and pits at the side edges of the prisms, and flat surfaces perpendicular to < 112 > with arc-shaped terraces at the side faces. This can be explained by two-dimensional nucleation -mediated lateral growth model. Some parts of the coatings contain hillocks of an exotic morphology (noted as “abnormal growth”). The authors hypothesize that the abnormal growth is likely caused by the defects of the Mo substrate, which facilitate W nucleation sites, cause orientation difference, and may even form boundaries in the coatings. A dislocation density of 10 6 to 10 7 (counts/cm 2 ) was revealed by an etch-pit method and synchrotron X-ray diffraction. As the depositing temperature rises, the dislocation density decreases, and no sub-boundaries are found on samples deposited over 1300 °C, as a result of atom diffusion and dislocation climbing. - Highlights: •The varied growth rate causes the different morphologies of different planes. •The W coating is a single crystal when only single hillocks appear. •The (110) plane tends to have the lowest dislocation density. •The dislocation density tends to decrease as the temperature increases.

  11. Near-equilibrium chemical vapor deposition of high-quality single-crystal graphene directly on various dielectric substrates.

    Science.gov (United States)

    Chen, Jianyi; Guo, Yunlong; Jiang, Lili; Xu, Zhiping; Huang, Liping; Xue, Yunzhou; Geng, Dechao; Wu, Bin; Hu, Wenping; Yu, Gui; Liu, Yunqi

    2014-03-05

    By using near-equilibrium chemical vapor deposition, it is demonstrated that high-quality single-crystal graphene can be grown on dielectric substrates. The maximum size is about 11 μm. The carrier mobility can reach about 5650 cm(2) V(-1) s(-1) , which is comparable to those of some metal-catalyzed graphene crystals, reflecting the good quality of the graphene lattice. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Breakthrough to Non-Vacuum Deposition of Single-Crystal, Ultra-Thin, Homogeneous Nanoparticle Layers: A Better Alternative to Chemical Bath Deposition and Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Yu-Kuang Liao

    2017-04-01

    Full Text Available Most thin-film techniques require a multiple vacuum process, and cannot produce high-coverage continuous thin films with the thickness of a few nanometers on rough surfaces. We present a new ”paradigm shift” non-vacuum process to deposit high-quality, ultra-thin, single-crystal layers of coalesced sulfide nanoparticles (NPs with controllable thickness down to a few nanometers, based on thermal decomposition. This provides high-coverage, homogeneous thickness, and large-area deposition over a rough surface, with little material loss or liquid chemical waste, and deposition rates of 10 nm/min. This technique can potentially replace conventional thin-film deposition methods, such as atomic layer deposition (ALD and chemical bath deposition (CBD as used by the Cu(In,GaSe2 (CIGS thin-film solar cell industry for decades. We demonstrate 32% improvement of CIGS thin-film solar cell efficiency in comparison to reference devices prepared by conventional CBD deposition method by depositing the ZnS NPs buffer layer using the new process. The new ZnS NPs layer allows reduction of an intrinsic ZnO layer, which can lead to severe shunt leakage in case of a CBD buffer layer. This leads to a 65% relative efficiency increase.

  13. Effects of supporting electrolyte on galvanic deposition of Cu2O crystals

    International Nuclear Information System (INIS)

    Wang Lida; Liu Guichang; Xue Dongfeng

    2011-01-01

    Highlights: → The effects of electrolyte on the galvanic deposition of Cu 2 O crystals have been investigated. → The chemical nature of supporting electrolyte plays important roles in the galvanic deposition of Cu 2 O crystals. → Cubic Cu 2 O crystals are formed in chloride electrolytes. → Truncated octahedral Cu 2 O crystals are produced in nitrate, sulfate and fluoride electrolytes. - Abstract: The effects of introduced supporting electrolyte on the galvanic deposition of Cu 2 O crystals have been investigated using scanning electron microscopy (SEM), energy-dispersive X-ray (EDX), X-ray diffraction (XRD). The results show that the chemical nature of supporting electrolytes plays very important roles in the galvanic deposition of Cu 2 O crystals. The chloride stabilizes the (1 0 0) planes of Cu 2 O crystals, resulting in the formation of cubic crystals, while nitrate, sulfate and fluoride stabilize the (1 1 1) planes of Cu 2 O crystals, leading to the deposition of truncated octahedral and octahedral Cu 2 O crystals. It provides a facile way to control the morphology of galvanically obtained Cu 2 O crystals by indirectly adjusting the inorganic adsorption agents.

  14. Chemical vapour deposition of thin-film dielectrics

    International Nuclear Information System (INIS)

    Vasilev, Vladislav Yu; Repinsky, Sergei M

    2005-01-01

    Data on the chemical vapour deposition of thin-film dielectrics based on silicon nitride, silicon oxynitride and silicon dioxide and on phosphorus- and boron-containing silicate glasses are generalised. The equipment and layer deposition procedures are described. Attention is focussed on the analysis and discussion of the deposition kinetics and on the kinetic models for film growth. The film growth processes are characterised and data on the key physicochemical properties of thin-film covalent dielectric materials are given.

  15. The atmospheric chemical vapour deposition of coatings on glass

    International Nuclear Information System (INIS)

    Sanderson, Kevin David

    1996-01-01

    The deposition of thin films of indium oxide, tin doped indium oxide (ITO) and titanium nitride for solar control applications have been investigated by Atmospheric Chemical Vapour Deposition (APCVD). Experimental details of the deposition system and the techniques used to characterise the films are presented. Results from investigations into the deposition parameters, the film microstructure and film material properties are discussed. A range of precursors were investigated for the deposition of indium oxide. The effect of pro-mixing the vaporised precursor with an oxidant source and the deposition temperature has been studied. Polycrystalline In 2 O 3 films with a resistivity of 1.1 - 3x10 -3 Ω cm were obtained with ln(thd) 3 , oxygen and nitrogen. The growth of ITO films from ln(thd) 3 , oxygen and a range of tin dopants is also presented. The effect of the dopant precursor, the doping concentration, deposition temperature and the effect of additives on film growth and microstructure is discussed. Control over the preferred orientation growth of ITO has been achieved by the addition of acetate species during film growth. Insitu infra-red spectroscopy has been used to identify the gas phase species and identify the species responsible for the film modification. ITO films with a resistivities of 1.5 - 4x10 -4 Ω cm have been achieved. The deposition of titanium nitride by the APCVD of Ti(NMe 2 ) 4 and a mixture of Ti(NMe 2 ) 4 and ammonia is reported. Contamination of the films and pro-reaction between the precursors in the gas phase is discussed, and the synthesis of new precursors for the deposition of titanium nitride is reported. New precursors have been synthesised under anaerobic conditions and characterised by infra-red spectroscopy, 1 H and 13 C NMR, mass spectrometry, thermal gravemetric analysis and three by single crystal X-ray diffraction. Deposition of titanium nitride utilising two new precursors is reported. (author)

  16. In situ Spectroscopy of Solid-State Chemical Reaction in PbBr2-Deposited CsBr Crystals

    Science.gov (United States)

    Kondo, Shin-ichi; Matsunaga, Toshihiro; Saito, Tadaaki; Asada, Hiroshi

    2003-09-01

    It is possible to measure the fundamental optical absorption spectra of CsPbBr3 and Cs4PbBr6, whose stability is predicted by the study of phase diagram in the binary system CsBr-PbBr2, by means of in situ optical absorption and reflection spectroscopy of thermally induced solid-state chemical reaction in PbBr2-deposited CsBr crystals. On heavy annealing of the crystals, the Pb2+ ions are uniformly dispersed in the crystal matrix. The present experiment provides a novel method for measuring intrinsic optical absorption of ternary metal halides and also for in situ monitoring of doping metal halide crystal with impurities (metal ions or halogen ions).

  17. Reduced-Pressure Chemical Vapor Deposition Growth of Isolated Ge Crystals and Suspended Layers on Micrometric Si Pillars.

    Science.gov (United States)

    Skibitzki, Oliver; Capellini, Giovanni; Yamamoto, Yuji; Zaumseil, Peter; Schubert, Markus Andreas; Schroeder, Thomas; Ballabio, Andrea; Bergamaschini, Roberto; Salvalaglio, Marco; Miglio, Leo; Montalenti, Francesco

    2016-10-05

    In this work, we demonstrate the growth of Ge crystals and suspended continuous layers on Si(001) substrates deeply patterned in high aspect-ratio pillars. The material deposition was carried out in a commercial reduced-pressure chemical vapor deposition reactor, thus extending the "vertical-heteroepitaxy" technique developed by using the peculiar low-energy plasma-enhanced chemical vapor deposition reactor, to widely available epitaxial tools. The growth process was thoroughly analyzed, from the formation of small initial seeds to the final coalescence into a continuous suspended layer, by means of scanning and transmission electron microscopy, X-ray diffraction, and μ-Raman spectroscopy. The preoxidation of the Si pillar sidewalls and the addition of hydrochloric gas in the reactants proved to be key to achieve highly selective Ge growth on the pillars top only, which, in turn, is needed to promote the formation of a continuous Ge layer. Thanks to continuum growth models, we were able to single out the different roles played by thermodynamics and kinetics in the deposition dynamics. We believe that our findings will open the way to the low-cost realization of tens of micrometers thick heteroepitaxial layer (e.g., Ge, SiC, and GaAs) on Si having high crystal quality.

  18. Single crystal diamond detectors grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Tuve, C.; Angelone, M.; Bellini, V.; Balducci, A.; Donato, M.G.; Faggio, G.; Marinelli, M.; Messina, G.; Milani, E.; Morgada, M.E.; Pillon, M.; Potenza, R.; Pucella, G.; Russo, G.; Santangelo, S.; Scoccia, M.; Sutera, C.; Tucciarone, A.; Verona-Rinati, G.

    2007-01-01

    The detection properties of heteropitaxial (polycrystalline, pCVD) and homoepitaxial (single crystal, scCVD) diamond films grown by microwave chemical vapor deposition (CVD) in the Laboratories of Roma 'Tor Vergata' University are reported. The pCVD diamond detectors were tested with α-particles from different sources and 12 C ions produced by 15MV Tandem accelerator at Southern National Laboratories (LNS) in Catania (Italy). pCVDs were also used to monitor 14MeV neutrons produced by the D-T plasma at Joint European Torus (JET), Culham, U.K. The limit of pCVDs is the poor energy resolution. To overcome this problem, we developed scCVD diamonds using the same reactor parameters that optimized pCVD diamonds. scCVD were grown on a low cost (100) HPHT single crystal substrate. A detector 110μm thick was tested under α-particles and under 14MeV neutron irradiation. The charge collection efficiency spectrum measured under irradiation with a triple α-particle source shows three clearly resolved peaks, with an energy resolution of about 1.1%. The measured spectra under neutron irradiation show a well separated C(n,α 0 ) 9 Be12 reaction peak with an energy spread of 0.5MeV for 14.8MeV neutrons and 0.3MeV for 14.1MeV neutrons, which are fully compatible with the energy spread of the incident neutron beams

  19. Controlling single and few-layer graphene crystals growth in a solid carbon source based chemical vapor deposition

    International Nuclear Information System (INIS)

    Papon, Remi; Sharma, Subash; Shinde, Sachin M.; Vishwakarma, Riteshkumar; Tanemura, Masaki; Kalita, Golap

    2014-01-01

    Here, we reveal the growth process of single and few-layer graphene crystals in the solid carbon source based chemical vapor deposition (CVD) technique. Nucleation and growth of graphene crystals on a polycrystalline Cu foil are significantly affected by the injection of carbon atoms with pyrolysis rate of the carbon source. We observe micron length ribbons like growth front as well as saturated growth edges of graphene crystals depending on growth conditions. Controlling the pyrolysis rate of carbon source, monolayer and few-layer crystals and corresponding continuous films are obtained. In a controlled process, we observed growth of large monolayer graphene crystals, which interconnect and merge together to form a continuous film. On the other hand, adlayer growth is observed with an increased pyrolysis rate, resulting few-layer graphene crystal structure and merged continuous film. The understanding of monolayer and few-layer crystals growth in the developed CVD process can be significant to grow graphene with controlled layer numbers.

  20. Growth and characterisation of lead iodide single crystals

    International Nuclear Information System (INIS)

    Tonn, Justus

    2012-01-01

    The work in hand deals with the growth and characterisation of lead iodide (PbI 2 ) single crystals. PbI 2 is regarded as a promising candidate for low-noise X- and gamma ray detection at room temperature. Its benefits if compared to conventional materials like HgI 2 , CdTe, Si, or GaAs lie in a band gap energy of 2.32 eV, an excellent ability to absorb radiation, and a high electrical resistivity. For an application of PbI 2 as detector material the growth and characterisation of crystals with high chemical and structural quality is extremely challenging. In light of this, the effectiveness of zone purification of the PbI 2 used for crystal growth was confirmed by spectroscopic analysis. Furthermore, technological aspects during processing of purified PbI 2 were investigated. With the help of thermal analysis, a correlation was found between the degree of exposing the source material to oxygen from the air and the structural quality of the resulting crystals. A hydrogen treatment was applied to PbI 2 as an effective method for the removal of oxidic pollutions, which resulted in a significant reduction of structural defects like polytypic growth and stress-induced cracking. The growth of PbI 2 single crystals was, among others, carried out by the Bridgman-Stockbarger method. In this context, much effort was put on the investigation of influences resulting from the design and preparation of ampoules. For the first time, crystal growth of PbI 2 was also carried out by the Czochralski method. If compared to the Bridgman-Stockbarger method, the Czochralski technique allowed a significantly faster growth of nearly crack-free crystals with a reproducible predetermination of crystallographic orientation. By an optimised sample preparation of PbI 2 , surface orientations perpendicular to the usually cleaved (0001) plane were realised. It is now possible to determine the material properties along directions which were so far not accessible. Thus, for example, the ratio of

  1. Iron-sulfide crystals in probe deposits

    DEFF Research Database (Denmark)

    Laursen, Karin; Frandsen, Flemming

    1998-01-01

    Iron-sulfides were observed in deposits collected on a probe inserted at the top of the furnace of a coal-fired power station in Denmark. The chemical composition of the iron-sulfides is equivalent to pyrrhotite (FeS). The pyrrhotites are present as crystals and, based on the shape of the crystals......: (1) impact of low viscous droplets of iron sulfide; and (2) sulfur diffusion. Previous research on the influence of pyrite on slagging focused on the decomposition of pyrite into pyrrhotite and especially on the oxidation stage of this product during impact on the heat transfer surfaces...

  2. Passivated graphene transistors fabricated on a millimeter-sized single-crystal graphene film prepared with chemical vapor deposition

    International Nuclear Information System (INIS)

    Lin, Meng-Yu; Lee, Si-Chen; Lin, Shih-Yen; Wang, Cheng-Hung; Chang, Shu-Wei

    2015-01-01

    In this work, we first investigate the effects of partial pressures and flow rates of precursors on the single-crystal graphene growth using chemical vapor depositions on copper foils. These factors are shown to be critical to the growth rate, seeding density and size of graphene single crystals. The prepared graphene films in millimeter sizes are then bubbling transferred to silicon-dioxide/silicon substrates for high-mobility graphene transistor fabrications. After high-temperature annealing and hexamethyldisilazane passivation, the water attachment is removed from the graphene channel. The elimination of uncontrolled doping and enhancement of carrier mobility accompanied by these procedures indicate that they are promising for fabrications of graphene transistors. (paper)

  3. Physical and optical characterisation of carbon-silicon layers produced by rapid thermal chemical vapour deposition

    International Nuclear Information System (INIS)

    McBride, G.M.

    1994-04-01

    The Quplas II reactor is a novel chemical vapour deposition (CVD) system, which was recently designed and built at The Queen's University of Belfast. The system was intended to produce layers of Silicon (Si) for application in advanced bipolar transistor manufacture. It became clear that the system was capable of depositing novel materials such as Silicon-Carbon (Si-C) films which could have application as the emitter material in heterojunction bipolar transistors (HBT's) formed on silicon substrates. This work focuses mainly on the development of analytical techniques to allow characterisation of the deposited layers of Si-C and permit optimisation of both the process conditions and the deposition system. The techniques that were developed to characterise the Si-C films in terms of their physical and optical properties included: Secondary Ion Mass Spectroscopy (SIMS), X-Ray Diffractometry (XRD), Transmission and Scanning Electron Microscopy (TEM and SEM), Near Infrared (NIR) and Ultraviolet/Visible/Near Infrared (UV/VIS/NIR) Spectroscopy. From assessing the data obtained from the analysis of the samples using the techniques mentioned above, it was possible to characterise the Si-C films in terms of: stoichiometry, crystallinity, degree of oxygen contamination, thickness, optical roughness of the film/air and film/substrate interfaces, and energy bandgap. In the fabrication of Si-C films it was found to be necessary to use low process pressures in order to ensure that the film deposition was slow enough to allow for a more ordered growth process. This led to the formation of polycrystalline Si-C films which had greatly reduced levels of oxygen compared to earlier amorphous films. In addition the polycrystalline Si-C films tended to have optically rough film/air and film/substrate interfaces. For most samples it was possible to obtain the thickness of their Si-C films from their SIMS profiles. Based on the method of interferometry, the thickness of the Si-C films

  4. Direct growth of large grain polycrystalline silicon films on aluminum-induced crystallization seed layer using hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Bing-Rui; Lo, Shih-Yung; Wuu, Dong-Sing; Ou, Sin-Liang; Mao, Hsin-Yuan; Wang, Jui-Hao; Horng, Ray-Hua

    2012-01-01

    Large grain polycrystalline silicon (poly-Si) films on glass substrates have been deposited on an aluminum-induced crystallization (AIC) seed layer using hot-wire chemical vapor deposition (HWCVD). A poly-Si seed layer was first formed by the AIC process and a thicker poly-Si film was subsequently deposited upon the seed layer using HWCVD. The effects of AIC annealing parameters on the structural and electrical properties of the poly-Si seed layers were characterized by Raman scattering spectroscopy, field-emission scanning electron microscopy, and Hall measurements. It was found that the crystallinity of seed layer was enhanced with increasing the annealing duration and temperature. The poly-Si seed layer formed at optimum annealing parameters can reach a grain size of 700 nm, hole concentration of 3.5 × 10 18 cm −3 , and Hall mobility of 22 cm 2 /Vs. After forming the seed layer, poly-Si films with good crystalline quality and high growth rate (> 1 nm/s) can be obtained using HWCVD. These results indicated that the HWCVD-deposited poly-Si film on an AIC seed layer could be a promising candidate for thin-film Si photovoltaic applications. - Highlights: ►Poly-Si seed layers are formed by aluminum-induced crystallization (AIC) process. ►Poly-Si on AIC seed layers are prepared by hot-wire chemical vapor deposition. ►AIC process parameters affect structural properties of poly-Si films. ►Increasing the annealing duration and temperature increases the film crystallinity.

  5. Advanced deposition model for thermal activated chemical vapor deposition

    Science.gov (United States)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  6. Laser detritiation and co-deposited layer characterisation for future ITER Installation

    International Nuclear Information System (INIS)

    Semerok, Alexandre; Brygo, Francois; Fomichev, Sergey V.; Champonnois, Francois; Weulersse, Jean-Marc; Thro, Pierre-Yves; Fichet, Pascal; Grisolia, Christian

    2006-01-01

    The experimental equipment in combination with pulsed Nd-YAG lasers was developed and applied to investigate co-deposited layer characterisation and ablation. Heating and ablation regimes were distinguished by ablation threshold fluence that was determined experimentally for graphite samples from TexTor (Germany) and TORE SUPRA (France) tokamaks. With 100 ns pulses, the ablation threshold for graphite substrate (2.5±0.5 J/cm 2 ) was much higher than the one for co-deposited layer (0.4±0.1 J cm -2 ). These threshold features are very promising to ensure self-controlled laser cleaning without substrate surface damage. The obtained optimal conditions (laser fluence F=1-2 J/cm 2 , 10-20 kHz repetition rate) were applied for co-deposited layer cleaning. The TexTor 50 μm thickness layer was almost completely removed after a single scanning without any damage of the graphite substrate. Cleaning rate of 0.2 m 2 /hour was demonstrated experimentally for 20 W mean laser power. A theoretical model of a complex surface heating (graphite or metal with a co-deposited layer) was developed to explain the experimental results and to obtain laser cleaning optimisation. A good agreement of the theoretical data with the experimental results was obtained. The studies on LIBS method for co-deposited layer characterisation have determined the analytical spectral lines for hydrogen, carbon, and other impurities (B, Fe, Si, and Cu) in TexTor graphite tile. The obtained results should be regarded optimistic for co-deposited layers characterisation by LIBS method. The development of certain laser methods and their application for in-situ detritiation and co-deposited layer characterisation are presented and discussed. (authors)

  7. Obsidian from volcanic sequences and rent alluvial deposits, Erzurum district, north-eastern Anatolia: Chemical characterisation and archaeological implications

    International Nuclear Information System (INIS)

    Brennan, P.; Sagona, T.

    1997-01-01

    Full text: Recent geoarchaeological research in the Erzurum district, north-eastern Anatolia, has revealed an abundance of obsidian at numerous neolithic and Bronze Age sites. Geochemical characterisation using neutron activation analysis indicates that the obsidian was obtained from several sources that are chemically distinct from the major sources already known from Central Anatolia an the Lake Van area. Multiple sources are represented in the samples collected from at least two of the sites, namely the sites of Sos and Pulur. The primary source of some of the obsidian utilised at the site of Sos has been located in the volcanic sequence outcropping tot he north-west of Pasinler. Field survey however has shown that the alluvial deposits along the main rivers and some of their tributaries were the main sources of the obsidian utilised at the sites near Erzurum. Trade or exchange of obsidian with sites outside the Erzurum area seems to have been limited

  8. Microscopic characterisation of TIG-deposition and -welding

    International Nuclear Information System (INIS)

    Groot, P.

    1992-11-01

    In the framework of the European Fusion Technology Programme austenitic RVS AISI 316LN is considered as candidate material for the First Wall. In this report, among others, tungsten-arc (TIG) welding connections are investigated as a part of the ECN project 1.653. It concerns respectively; the deposition of TIG-electrode-material and the welding connection. The connections are fabricated by the Danish Welding Institute Svejsecentrals in Broendby. This study is supposed to give a welding qualification by microscopic characterisation of a TIG-deposition and a TIG-weld. 3 refs., 33 figs., 5 tabs

  9. Characterisation of waste derived biochar added biocomposites: chemical and thermal modifications

    Energy Technology Data Exchange (ETDEWEB)

    Das, Oisik [Department of Civil and Environmental Engineering, University of Auckland, Auckland 1142 (New Zealand); Sarmah, Ajit K., E-mail: a.sarmah@auckland.ac.nz [Department of Civil and Environmental Engineering, University of Auckland, Auckland 1142 (New Zealand); Zujovic, Zoran [School of Chemical Sciences, University of Auckland, Auckland 1142 (New Zealand); Bhattacharyya, Debes [Centre for Advanced Composite Materials, Department of Mechanical Engineering, University of Auckland, Auckland 1142 (New Zealand)

    2016-04-15

    A step towards sustainability was taken by incorporating waste based pyrolysed biochar in wood and polypropylene biocomposites. The effect of biochar particles on the chemistry and thermal makeup of the composites was determined by characterising them through an array of characterisation techniques such as 3D optical profiling, X-ray diffraction, transmission electron microscopy, electron spin/nuclear magnetic resonance spectroscopy, and differential scanning calorimetry. It was observed that addition of biochar increased the presence of free radicals in the composite while also improving its thermal conductivity. Biochar particles did not interfere with the melting behaviour of polymer in the thermal regime. However, wood and biochar acted as nucleation agents consequently increasing the crystallisation temperature. The crystal structure of polypropylene was not disrupted by biochar inclusion in composite. Transmission electron microscopy images illustrated the aggregated nature of the biochar particles at higher loading levels. Nuclear magnetic resonance studies revealed the aromatic nature of biochar and the broadening of peak intensities of composites with increasing biochar levels due to its amorphous nature and presence of free radicals. Thus, this insight into the chemical and thermal modification of biochar added composites would allow effective engineering to optimise their properties while simultaneously utilising wastes. - Highlights: • Waste derived biochars were used to make polymer based biocomposites. • Composites were characterised by NMR, ESR, DSC, XRD, TEM etc. • Biochar increased the thermal conductivity of composites. • Biochar did not disrupt the crystal structure of polypropylene. • NMR revealed aromatic nature of biochar in composites.

  10. Characterisation of waste derived biochar added biocomposites: chemical and thermal modifications

    International Nuclear Information System (INIS)

    Das, Oisik; Sarmah, Ajit K.; Zujovic, Zoran; Bhattacharyya, Debes

    2016-01-01

    A step towards sustainability was taken by incorporating waste based pyrolysed biochar in wood and polypropylene biocomposites. The effect of biochar particles on the chemistry and thermal makeup of the composites was determined by characterising them through an array of characterisation techniques such as 3D optical profiling, X-ray diffraction, transmission electron microscopy, electron spin/nuclear magnetic resonance spectroscopy, and differential scanning calorimetry. It was observed that addition of biochar increased the presence of free radicals in the composite while also improving its thermal conductivity. Biochar particles did not interfere with the melting behaviour of polymer in the thermal regime. However, wood and biochar acted as nucleation agents consequently increasing the crystallisation temperature. The crystal structure of polypropylene was not disrupted by biochar inclusion in composite. Transmission electron microscopy images illustrated the aggregated nature of the biochar particles at higher loading levels. Nuclear magnetic resonance studies revealed the aromatic nature of biochar and the broadening of peak intensities of composites with increasing biochar levels due to its amorphous nature and presence of free radicals. Thus, this insight into the chemical and thermal modification of biochar added composites would allow effective engineering to optimise their properties while simultaneously utilising wastes. - Highlights: • Waste derived biochars were used to make polymer based biocomposites. • Composites were characterised by NMR, ESR, DSC, XRD, TEM etc. • Biochar increased the thermal conductivity of composites. • Biochar did not disrupt the crystal structure of polypropylene. • NMR revealed aromatic nature of biochar in composites.

  11. Optical characterisation of photonic wire and photonic crystal waveguides fabricated using nanoimprint lithography

    DEFF Research Database (Denmark)

    Borel, Peter Ingo; Frandsen, Lars Hagedorn; Lavrinenko, Andrei

    2006-01-01

    We have characterised photonic-crystal and photonic-wire waveguides fabricated by thermal nanoimprint lithography. The structures, with feature sizes down below 20 nm, are benchmarked against similar structures defined by direct electron beam lithography.......We have characterised photonic-crystal and photonic-wire waveguides fabricated by thermal nanoimprint lithography. The structures, with feature sizes down below 20 nm, are benchmarked against similar structures defined by direct electron beam lithography....

  12. Spectroscopic characterisation of iodine deposits on 18%Cr/8%Ni and mild steel surfaces oxidised in CO2/CH3I gas mixtures

    International Nuclear Information System (INIS)

    Tyler, J.W.

    1987-08-01

    An understanding and quantification of iodine-131 attenuation within the gas circuit of a Commercial Advanced Gas-cooled Reactor is required for reactor safety assessments. To this end it is desirable to identify the chemical state of iodine in the gas phase or when deposited on reactor surfaces. Samples of 18%Cr/8%Ni and mild steel pipe, with iodine deposited on their surfaces following oxidation in CO 2 /CH 3 I gas mixtures, have been characterised in the present work using a variety of different spectroscopic techniques including X-ray photoelectron spectroscopy, scanning electron microscopy, energy dispersive X-ray analysis, scanning Auger microscopy and X-ray diffraction. The chemical nature of the deposited iodine has been determined by X-ray photoelectron spectroscopy to be a metal iodide by correlating I 3d binding energies with those obtained from well characterised standards; the binding energies of the ejected I 3d photoelectrons being sensitive to the chemical environment experienced by the iodine atoms. The distribution of iodine throughout the oxide layers formed on these steels was determined by repeated cycles of argon-ion bombardment and analysis to build up an elemental depth profile whilst at the same time determining the chemical state of the elements present. Differences in oxide composition and morphology are discussed in relation to the deposition behaviour observed on 18%Cr/8%Ni and mild steel and it is suggested that gradual incorporation of the iodine occurs throughout the oxidation/deposition period. (U.K.)

  13. Ammonia-free chemical bath method for deposition of microcrystalline cadmium selenide films

    International Nuclear Information System (INIS)

    Lokhande, C.D.; Lee, Eun-Ho; Jung, Kwang-Deog; Joo, Oh-Shim

    2005-01-01

    Chemical deposition of cadmium selenide (CdSe) films has been carried out from alkaline aqueous solution containing Cd 2+ and Se 2- ions. In general, the alkaline pH of the CdSe deposition bath has been adjusted by addition of liquid ammonia. However, the use of ammonia in large-scale chemical deposition method represents an environmental problem due to its volatility and toxicity. The volatility of ammonia changes the pH of deposition bath and results into irreproducible film properties. In the present paper, ammonia-free and weak alkaline (pH < 9.0) chemical method for cadmium selenide film has been developed. The cadmium selenide films are microcrystalline (grain size 0.5-0.7 μm) with hexagonal crystal structure. These films are photoactive and therefore, useful in photo conversion of light into electrical power

  14. Hydrothermal crystallization of amorphous titania films deposited using low temperature atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)], E-mail: drm@ansto.gov.au; Triani, G.; Zhang, Z. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)

    2008-10-01

    A two stage process (atomic layer deposition, followed by hydrothermal treatment) for producing crystalline titania thin films at temperatures compatible with polymeric substrates (< 130 deg. C) has been assessed. Titania thin films were deposited at 80 deg. C using atomic layer deposition. They were extremely flat, uniform and almost entirely amorphous. They also contained relatively high levels of residual Cl from the precursor. After hydrothermal treatment at 120 deg. C for 1 day, > 50% of the film had crystallized. Crystallization was complete after 10 days of hydrothermal treatment. Crystallization of the film resulted in the formation of coarse grained anatase. Residual Cl was completely expelled from the film upon crystallization. As a result of the amorphous to crystalline transformation voids formed at the crystallization front. Inward and lateral crystal growth resulted in voids being localized to the film/substrate interface and crystallite perimeters resulting in pinholing. Both these phenomena resulted in films with poor adhesion and film integrity was severely compromised.

  15. Chemical characterisation of zircon-cadmium sulfoselenide ceramic pigments

    International Nuclear Information System (INIS)

    Gazulla Barreda, M. F.; Rodrigo Edo, M.; Blasco Roca, E.; Orduna Cordero, M.

    2013-01-01

    The present paper addresses the development of a methodology that allows the complete chemical characterisation of zircon cadmium sulfoselenide ceramic pigments including minor and major elements. To develop the methodology, five zircon-cadmium sulfoselenide pigments with different hues were selected, studying the different measurement process steps, from sample preparation to the optimisation of the measurement of the different components of the pigments by spectroscopic techniques (WD-XRF and elemental analysis by combustion and IR detection). The chemical characterisation method developed was validated with synthetic standards prepared from the mixture of certified reference materials and pure oxides because no certified referenced materials of this type of pigments were commercially available. The developed method can be used for a complete chemical characterization of zircon-cadmium sulfoselenide ceramic pigments with a very low uncertainty for all the elements analysed. (Author)

  16. Phase Equilibrium of TiO2 Nanocrystals in Flame-Assisted Chemical Vapor Deposition.

    Science.gov (United States)

    Liu, Changran; Camacho, Joaquin; Wang, Hai

    2018-01-19

    Nano-scale titanium oxide (TiO 2 ) is a material useful for a wide range of applications. In a previous study, we showed that TiO 2 nanoparticles of both rutile and anatase crystal phases could be synthesized over the size range of 5 to 20 nm in flame-assisted chemical vapor deposition. Rutile was unexpectedly dominant in oxygen-lean synthesis conditions, whereas anatase is the preferred phase in oxygen-rich gases. The observation is in contrast to the 14 nm rutile-anatase crossover size derived from the existing crystal-phase equilibrium model. In the present work, we made additional measurements over a wider range of synthesis conditions; the results confirm the earlier observations. We propose an improved model for the surface energy that considers the role of oxygen desorption at high temperatures. The model successfully explains the observations made in the current and previous work. The current results provide a useful path to designing flame-assisted chemical vapor deposition of TiO 2 nanocrystals with controllable crystal phases. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Characterisation of DLC films deposited using titanium isopropoxide (TIPOT) at different flow rates.

    Science.gov (United States)

    Said, R; Ali, N; Ghumman, C A A; Teodoro, O M N D; Ahmed, W

    2009-07-01

    In recent years, there has been growing interest in the search for advanced biomaterials for biomedical applications, such as human implants and surgical cutting tools. It is known that both carbon and titanium exhibit good biocompatibility and have been used as implants in the human body. It is highly desirable to deposit biocompatible thin films onto a range of components in order to impart biocompatibility and to minimise wear in implants. Diamond like carbon (DLC) is a good candidate material for achieving biocompatibility and low wear rates. In this study, thin films of diamond-like-carbon DLC were deposited onto stainless steel (316) substrates using C2H2, argon and titanium isopropoxide (TIPOT) precursors. Argon was used to generate the plasma in the plasma enhanced vapour deposition (PECVD) system. A critical coating feature governing the performance of the component during service is film thickness. The as-grown films were in the thickness range 90-100 nm and were found to be dependent on TIPOT flow rate. Atomic force microscopy (AFM) was used to characterise the surface roughness of the samples. As the flow rate of TIPOT increased the average roughness was found to increase in conjunction with the film thickness. Raman spectroscopy was used to investigate the chemical structure of amorphous carbon matrix. Surface tension values were calculated using contact angle measurements. In general, the trend of the surface tension results exhibited an opposite trend to that of the contact angle. The elemental composition of the samples was characterised using a VG ToF SIMS (IX23LS) instrument and X-ray photoelectron spectroscopy (XPS). Surprisingly, SIMS and XPS results showed that the DLC samples did not show evidence of titanium since no peaks representing to titanium appeared on the SIMS/XPS spectra.

  18. Effects of deposition time in chemically deposited ZnS films in acidic solution

    Energy Technology Data Exchange (ETDEWEB)

    Haddad, H.; Chelouche, A., E-mail: azeddinechelouche@gmail.com; Talantikite, D.; Merzouk, H.; Boudjouan, F.; Djouadi, D.

    2015-08-31

    We report an experimental study on the synthesis and characterization of zinc sulfide (ZnS) single layer thin films deposited on glass substrates by chemical bath deposition technique in acidic solution. The effect of deposition time on the microstructure, surface morphology, optical absorption, transmittance, and photoluminescence (PL) was investigated by X-ray diffraction (XRD), scanning electronic microscopy (SEM), UV-Vis–NIR spectrophotometry and photoluminescence (PL) spectroscopy. The results showed that the samples exhibit wurtzite structure and their crystal quality is improved by increasing deposition time. The latter, was found to affect the morphology of the thin films as showed by SEM micrographs. The optical measurements revealed a high transparency in the visible range and a dependence of absorption edge and band gap on deposition time. The room temperature PL spectra indicated that all ZnS grown thin films emit a UV and blue light, while the band intensities are found to be dependent on deposition times. - Highlights: • Single layer ZnS thin films were deposited by CBD in acidic solution at 95 °C. • The effect of deposition time was investigated. • Coexistence of ZnS and ZnO hexagonal structures for time deposition below 2 h • Thicker ZnS films were achieved after monolayer deposition for 5 h. • The highest UV-blue emission observed in thin film deposited at 5 h.

  19. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  20. Effects of deposition period on the chemical bath deposited Cu4SnS4 thin films

    International Nuclear Information System (INIS)

    Kassim, Anuar; Wee Tee, Tan; Soon Min, Ho.; Nagalingam, Saravanan

    2010-01-01

    Cu 4 SnS 4 thin films were prepared by simple chemical bath deposition technique. The influence of deposition period on the structural, morphological and optical properties of films was studied. The films were characterized using X-ray diffraction, atomic force microscopy and UV-Vis Spectrophotometer. X-ray diffraction patterns indicated that the films were polycrystalline with prominent peak attributed to (221) plane of orthorhombic crystal structure. The films prepared at 80 min showed significant increased in the intensity of all diffractions. According to AFM images, these films indicated that the surface of substrate was covered completely. The obtained films also produced higher absorption characteristics when compared to the films prepared at other deposition periods based on optical absorption studies. The band gap values of films deposited at different deposition periods were in the range of 1.6-2.1 eV. Deposition for 80 min was found to be the optimum condition to produce good quality thin films under the current conditions. (author).

  1. Physico-chemical characterisation of Indian biomass ashes

    Energy Technology Data Exchange (ETDEWEB)

    K. Umamaheswaran; Vidya S. Batra [Energy and Resources Institute (TERI), New Delhi (India)

    2008-05-15

    India stands fourth in biomass utilisation for various purposes like domestic, commercial and industrial applications. While extensive studies have been made for coal ash characterisation and utilisation, studies on characterisation of biomass ash and its utilisation has not been addressed. In this paper, biomass ash from five sources i.e. rice husk, bagasse, groundnut shell, cashewnut shell, and arecanut shell have been characterised. Chemical composition analysis, particle size analysis, thermal analysis, and microstructure analysis were carried out. Results show that in all ashes silica is the major compound with particle size ranging from 15 to 30 {mu}m and having irregular shape. Ash powders originating from cashewnut shell, arecanut shell and groundnut shell also have compounds of calcium, magnesium and potassium. Bagasse and cashewnut shell ashes have high LOI due to presence of unburnt carbon, P{sub 2}O{sub 5} and other volatiles. 16 refs., 22 figs., 3 tabs.

  2. Transparent conducting oxide films of group V doped titania prepared by aqueous chemical solution deposition

    International Nuclear Information System (INIS)

    Elen, Ken; Capon, Boris; De Dobbelaere, Christopher; Dewulf, Daan; Peys, Nick; Detavernier, Christophe; Hardy, An; Van Bael, Marlies K.

    2014-01-01

    Transparent conducting oxide (TCO) films of titania doped with vanadium (V), niobium (Nb) and tantalum (Ta) are obtained by aqueous Chemical Solution Deposition (CSD). The effect of the dopant on the crystallization and microstructure of the resulting films is examined by means of X-ray diffraction and electron microscopy. During annealing of the thin films, in-situ characterization of the crystal structure and sheet resistance is carried out. Niobium doped anatase films, obtained after annealing in forming gas, show a resistivity of 0,28 Ohm cm, which is the lowest resistivity reported for a solution deposited anatase-based TCO so far. Here, we demonstrate that aqueous CSD may provide a strategy for scalable TCO production in the future. - Highlights: • Aqueous chemical solution deposition of doped titanium dioxide • Doping delays the phase transition from anatase to rutile • Lowest resistivity after doping with niobium and annealing in Forming Gas • Transparency higher than 80% in the visible range of optical spectrum

  3. Transparent conducting oxide films of group V doped titania prepared by aqueous chemical solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Elen, Ken [Inorganic and Physical Chemistry, Institute for Materials Research, Hasselt University, Agoralaan Building D, B-3590 Diepenbeek (Belgium); IMEC vzw division IMOMEC, Agoralaan Building D, B-3590 Diepenbeek (Belgium); Strategisch Initiatief Materialen (SIM), SoPPoM Program (Belgium); Capon, Boris [Strategisch Initiatief Materialen (SIM), SoPPoM Programm (Belgium); Coating and Contacting of Nanostructures, Ghent University, Krijgslaan 281 S1, B-9000 Ghent (Belgium); De Dobbelaere, Christopher [Inorganic and Physical Chemistry, Institute for Materials Research, Hasselt University, Agoralaan Building D, B-3590 Diepenbeek (Belgium); Dewulf, Daan [Inorganic and Physical Chemistry, Institute for Materials Research, Hasselt University, Agoralaan Building D, B-3590 Diepenbeek (Belgium); IMEC vzw division IMOMEC, Agoralaan Building D, B-3590 Diepenbeek (Belgium); Peys, Nick [Inorganic and Physical Chemistry, Institute for Materials Research, Hasselt University, Agoralaan Building D, B-3590 Diepenbeek (Belgium); IMEC vzw, Kapeldreef 75, B-3001 Heverlee (Belgium); Detavernier, Christophe [Coating and Contacting of Nanostructures, Ghent University, Krijgslaan 281 S1, B-9000 Ghent (Belgium); Hardy, An [Inorganic and Physical Chemistry, Institute for Materials Research, Hasselt University, Agoralaan Building D, B-3590 Diepenbeek (Belgium); IMEC vzw division IMOMEC, Agoralaan Building D, B-3590 Diepenbeek (Belgium); Van Bael, Marlies K., E-mail: marlies.vanbael@uhasselt.be [Inorganic and Physical Chemistry, Institute for Materials Research, Hasselt University, Agoralaan Building D, B-3590 Diepenbeek (Belgium); IMEC vzw division IMOMEC, Agoralaan Building D, B-3590 Diepenbeek (Belgium)

    2014-03-31

    Transparent conducting oxide (TCO) films of titania doped with vanadium (V), niobium (Nb) and tantalum (Ta) are obtained by aqueous Chemical Solution Deposition (CSD). The effect of the dopant on the crystallization and microstructure of the resulting films is examined by means of X-ray diffraction and electron microscopy. During annealing of the thin films, in-situ characterization of the crystal structure and sheet resistance is carried out. Niobium doped anatase films, obtained after annealing in forming gas, show a resistivity of 0,28 Ohm cm, which is the lowest resistivity reported for a solution deposited anatase-based TCO so far. Here, we demonstrate that aqueous CSD may provide a strategy for scalable TCO production in the future. - Highlights: • Aqueous chemical solution deposition of doped titanium dioxide • Doping delays the phase transition from anatase to rutile • Lowest resistivity after doping with niobium and annealing in Forming Gas • Transparency higher than 80% in the visible range of optical spectrum.

  4. Operation condition for continuous anti-solvent crystallization of CBZ-SAC cocrystal considering deposition risk of undesired crystals

    Science.gov (United States)

    Nishimaru, Momoko; Nakasa, Miku; Kudo, Shoji; Takiyama, Hiroshi

    2017-07-01

    Crystallization operation of cocrystal production has deposition risk of undesired crystals. Simultaneously, continuous manufacturing processes are focused on. In this study, conditions for continuous cocrystallization considering risk reduction of undesired crystals deposition were investigated on the view point of thermodynamics and kinetics. The anti-solvent cocrystallization was carried out in four-component system of carbamazepine, saccharin, methanol and water. From the preliminary batch experiment, the relationships among undesired crystal deposition, solution composition decided by mixing ratio of solutions, and residence time for the crystals were considered, and then the conditions of continuous experiment were decided. Under these conditions, the continuous experiment was carried out. The XRD patterns of obtained crystals in the continuous experiment showed that desired cocrystals were obtained without undesired crystals. This experimental result was evaluated by using multi-component phase diagrams from the view point of the operation point's movement. From the evaluation, it was found that there is a certain operation condition which the operation point is fixed with time in the specific domain without the deposition risk of undesired single component crystals. It means the possibility of continuous production of cocrystals without deposition risk of undesired crystals was confirmed by using multi-component phase diagrams.

  5. SEM/EDS characterisation of dusty deposits in precipitation and assessment of their origin

    Directory of Open Access Journals (Sweden)

    Miloš Miler

    2014-07-01

    Full Text Available Detailed scanning electron microscopy/energy dispersive spectroscopy (SEM/EDS analysis of dusty material in rainfall residue, deposited and collected on February 19th 2014 in Ljubljana, was carried out with the intention to characterise it according to its chemical and mineral composition and to assess its origin. The material consists of poorly sorted and sharp-edged particles of mostly very fine-grained silt and clay fractions, which is consistent with long-range aerial transport. Particles are represented by illite, chlorite and kaolinite group clay minerals, quartz, feldspars, carbonates, accessory minerals and secondary Fe-oxy-hydroxide minerals. Quantities of minerals and illite/ kaolinite ratio (4.5 correspond to dusts in rainfall residues originating from Moroccan Atlas, while chlorite/kaolinite ratio (2.8 agrees better with dust from central Libya. The element ratios Al/Si, Ca/Al, K/Ca, Mg/Al, Fe/Al and (Ca+Mg/Fe in the studied dusty deposit are in good agreement with ratios in dusts from rainfall residues originating from Morocco and northern Mauritania. This was also confirmed by the trajectories of cloud movement that caused precipitation with dusty deposit, although the back trajectory HYSPLIT simulation of air masses indicated northern Mauritania, central Niger, southern Algeria, southwestern and central Libya as the most possible source regions.

  6. Properties of amorphous silicon thin films synthesized by reactive particle beam assisted chemical vapor deposition

    International Nuclear Information System (INIS)

    Choi, Sun Gyu; Wang, Seok-Joo; Park, Hyeong-Ho; Jang, Jin-Nyoung; Hong, MunPyo; Kwon, Kwang-Ho; Park, Hyung-Ho

    2010-01-01

    Amorphous silicon thin films were formed by chemical vapor deposition of reactive particle beam assisted inductively coupled plasma type with various reflector bias voltages. During the deposition, the substrate was heated at 150 o C. The effects of reflector bias voltage on the physical and chemical properties of the films were systematically studied. X-ray diffraction and Raman spectroscopy results showed that the deposited films were amorphous and the films under higher reflector voltage had higher internal energy to be easily crystallized. The chemical state of amorphous silicon films was revealed as metallic bonding of Si atoms by using X-ray photoelectron spectroscopy. An increase in reflector voltage induced an increase of surface morphology of films and optical bandgap and a decrease of photoconductivity.

  7. Simplified fate modelling in respect to ecotoxicological and human toxicological characterisation of emissions of chemical compounds

    DEFF Research Database (Denmark)

    Birkved, Morten; Heijungs, Reinout

    2011-01-01

    The impact assessment of chemical compounds in Life Cycle Impact Assessment (LCIA) and Environmental Risk Assessment (ERA) requires a vast amount of data on the properties of the chemical compounds being assessed. The purpose of the present study is to explore statistical options for reduction...... of the data demand associated with characterisation of chemical emissions in LCIA and ERA.Based on a USEtox™ characterisation factor set consisting of 3,073 data records, multi-dimensional bilinear models for emission compartment specific fate characterisation of chemical emissions were derived by application...... the independent chemical input parameters from the minimum data set, needed for characterisation in USEtox™, according to general availability, importance and relevance for fate factor prediction.Each approach (63% and 75% of the minimum data set needed for characterisation in USEtox™) yielded 66 meta...

  8. Optimization of chemical displacement deposition of copper on porous silicon.

    Science.gov (United States)

    Bandarenka, Hanna; Redko, Sergey; Nenzi, Paolo; Balucani, Marco; Bondarenko, Vitaly

    2012-11-01

    Copper (II) sulfate was used as a source of copper to achieve uniform distribution of Cu particles deposited on porous silicon. Layers of the porous silicon were formed by electrochemical anodization of Si wafers in a mixture of HF, C3H7OH and deionized water. The well-known chemical displacement technique was modified to grow the copper particles of specific sizes. SEM and XRD analysis revealed that the outer surface of the porous silicon was covered with copper particles of the crystal orientation inherited from the planes of porous silicon skeleton. The copper crystals were found to have the cubic face centering elementary cell. In addition, the traces of Cu2O cubic primitive crystalline phases were identified. The dimensions of Cu particles were determined by the Feret's analysis of the SEM images. The sizes of the particles varied widely from a few to hundreds of nanometers. A phenomenological model of copper deposition was proposed.

  9. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J. [Geophysical Laboratory, Carnegie Institution of Washington, 5251 Broad Branch Rd., NW, Washington, DC 20015 (United States)

    2015-11-02

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH{sub 4}/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H{sub 2} into the deposition gas chemistry. Electronically excited species of CN, C{sub 2}, Ar, N{sub 2}, CH, H{sub β}, and H{sub α} were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T{sub 2g} phonon at 1333 cm{sup −1} peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  10. Chemical vapour deposition - a promising method for production of different kinds of carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Leonhardt, A.; Ritschel, M.; Bartsch, K.; Graff, A.; Taeschner, C.; Fink, J. [Institut fuer Festkoerper- und Werkstofforschung Dresden e.V. (Germany)

    2001-08-01

    Carbon nanostructures (fibres, multi and single walled tubes) have been synthesized by catalytic chemical vapour deposition. The catalyst material, deposition temperature and the used hydrocarbon are the main parameters responsible for the formation of the desired structure. In dependence on these parameters and by optimising the deposition process nanofibres with herringbone structure and tubular multiwalled nanotubes were deposited in large amounts and high purity. In the case of single wall nanotubes synthesis an aftertreatment and process is absolutely necessary to obtain material with high percentage of tubes. Layers of disordered and aligned multiwalled nanotubes were deposited on oxidised silicon substrates coated with thin sputtered metal layers (Co, permalloy) by using the micro-wave assisted plasma CVD process or the bias supported hot filament CVD method. The latter method allows relatively low deposition temperatures (550 - 750 C). The obtained carbon modifications were characterised by scanning and transmission electron microscopy. Furthermore, the electron field emission of the CNT's layers were investigated. (orig.)

  11. Characterisation of irradiation-induced defects in ZnO single crystals

    International Nuclear Information System (INIS)

    Prochazka, I; Cizek, J; Lukac, F; Melikhova, O; Valenta, J; Havranek, V; Anwand, W; Skuratov, V A; Strukova, T S

    2016-01-01

    Positron annihilation spectroscopy (PAS) combined with optical methods was employed for characterisation of defects in the hydrothermally grown ZnO single crystals irradiated by 167 MeV Xe 26+ ions to fluences ranged from 3×10 12 to 1×10 14 cm -2 . The positron lifetime (LT), Doppler broadening as well as slow-positron implantation spectroscopy (SPIS) techniques were involved. The ab-initio theoretical calculations were utilised for interpretation of LT results. The optical transmission and photoluminescence measurements were conducted, too. The virgin ZnO crystal exhibited a single component LT spectrum with a lifetime of 182 ps which is attributed to saturated positron trapping in Zn vacancies associated with hydrogen atoms unintentionally introduced into the crystal during the crystal growth. The Xe ion irradiated ZnO crystals have shown an additional component with a longer lifetime of ≈ 360 ps which comes from irradiation-induced larger defects equivalent in size to clusters of ≈10 to 12 vacancies. The concentrations of these clusters were estimated on the basis of combined LT and SPIS data. The PAS data were correlated with irradiation induced changes seen in the optical spectroscopy experiments. (paper)

  12. Characterisation of irradiation-induced defects in ZnO single crystals

    Science.gov (United States)

    Prochazka, I.; Cizek, J.; Lukac, F.; Melikhova, O.; Valenta, J.; Havranek, V.; Anwand, W.; Skuratov, V. A.; Strukova, T. S.

    2016-01-01

    Positron annihilation spectroscopy (PAS) combined with optical methods was employed for characterisation of defects in the hydrothermally grown ZnO single crystals irradiated by 167 MeV Xe26+ ions to fluences ranged from 3×1012 to 1×1014 cm-2. The positron lifetime (LT), Doppler broadening as well as slow-positron implantation spectroscopy (SPIS) techniques were involved. The ab-initio theoretical calculations were utilised for interpretation of LT results. The optical transmission and photoluminescence measurements were conducted, too. The virgin ZnO crystal exhibited a single component LT spectrum with a lifetime of 182 ps which is attributed to saturated positron trapping in Zn vacancies associated with hydrogen atoms unintentionally introduced into the crystal during the crystal growth. The Xe ion irradiated ZnO crystals have shown an additional component with a longer lifetime of ≈ 360 ps which comes from irradiation-induced larger defects equivalent in size to clusters of ≈10 to 12 vacancies. The concentrations of these clusters were estimated on the basis of combined LT and SPIS data. The PAS data were correlated with irradiation induced changes seen in the optical spectroscopy experiments.

  13. Surface engineering of biaxial Gd2Zr2O7 thin films deposited on Ni–5at%W substrates by a chemical solution method

    DEFF Research Database (Denmark)

    Yue, Zhao; Grivel, Jean-Claude; Liu, Min

    2012-01-01

    crystal structure along the film thickness observed by a transmission electron microscope. On the basis of the enhanced understanding of the crystallization processes, we demonstrate a possibility of engineering the surface morphology and texture in the film deposited on technical substrates using...... a chemical solution deposition route....

  14. Morphology and growth behavior of O_2-free chemical bath deposited ZnS thin films

    International Nuclear Information System (INIS)

    Jet Meitzner, K.; Tillotson, Brock M.; Siedschlag, Amanda T.; Moore, Frederick G.; Kevan, Stephen D.; Richmond, Geraldine L.

    2015-01-01

    We investigate the role of reagent concentrations and ambient O_2 on the morphology and growth behavior of ZnS thin films grown with the chemical bath deposition method. We investigate the role of substrate on film morphology, and find significant differences between films deposited on SiO_2 versus Si. The films are also sensitive to dissolved O_2 in the bath, as it causes a layer of SiO_2 to form at the ZnS/Si interface during deposition. Degassing of solutions and an N_2 atmosphere are effective to minimize this oxidation, allowing deposition of ZnS films directly onto Si. Under these conditions, we examine film properties as they relate to reagent bath concentrations. As the reagent concentrations are decreased, both the film roughness and growth rate decrease linearly. We also observe deformation and shifting of X-ray diffraction peaks that increases with decreasing reagent concentrations. The shifts are characteristic of lattice compression (caused by the substitution of oxygen for sulfur), and the deformation is characteristic of distortion of the lattice near crystal grain interfaces (caused by tensile stress from interatomic forces between neighboring crystal grains). At the weakest concentrations, the low roughness suggests a mixed growth mode in which both clusters and individual ZnS nanocrystallites contribute to film growth. With increasing reagent concentrations, the growth mode shifts and becomes dominated by deposition of clusters. - Highlights: • We deposit ZnS thin films by chemical bath deposition in an O_2-free environment. • The O_2-free environment is effective to minimize oxidation of the Si substrate. • The dominant growth mechanism changes with reagent concentrations. • Film morphology and composition change with reagent concentrations. • X-ray diffraction reveals tensile stress between ZnS crystal grains.

  15. Improved crystal quality of a-plane GaN with high- temperature 3-dimensional GaN buffer layers deposited by using metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Park, Sung Hyun; Moon, Dae Young; Kim, Bum Ho; Kim, Dong Uk; Chang, Ho Jun; Jeon, Heon Su; Yoon, Eui Joon; Joo, Ki Su; You, Duck Jae; Nanishi, Yasushi

    2012-01-01

    a-plane GaN on r-plane sapphire substrates suffers from high density defects and rough surfaces. To obtain pit-free a-plane GaN by metal-organic chemical vapor deposition, we intentionally grew high-temperature (HT) 3-dimensional (3D) GaN buffer layers on a GaN nucleation layer. The effects of the HT 3D GaN buffer layers on crystal quality and the surface morphology of a-plane GaN were studied. The insertion of a 3D GaN buffer layer with an optimum thickness was found to be an effective method to obtain pit-free a-plane GaN with improved crystalline quality on r-plane sapphire substrates. An a-plane GaN light emitting diode (LED) at an emission wavelength around 480 nm with negligible peak shift was successfully fabricated.

  16. Physico-chemical characterisation of material fractions in household waste

    DEFF Research Database (Denmark)

    Götze, Ramona; Boldrin, Alessio; Scheutz, Charlotte

    2016-01-01

    State-of-the-art environmental assessment of waste management systems rely on data for the physico-chemical composition of individual material fractions comprising the waste in question. To derive the necessary inventory data for different scopes and systems, literature data from different sources...... and backgrounds are consulted and combined. This study provides an overview of physico-chemical waste characterisation data for individual waste material fractions available in literature and thereby aims to support the selection of data fitting to a specific scope and the selection of uncertainty ranges related...... to the data selection from literature. Overall, 97 publications were reviewed with respect to employed characterisation method, regional origin of the waste, number of investigated parameters and material fractions and other qualitative aspects. Descriptive statistical analysis of the reported physico...

  17. Construction of simple quartz crystal microbalance

    Energy Technology Data Exchange (ETDEWEB)

    Ristov, Milcho [Center of Energy, Informatics and Materials of the Macedonian Academy fo Science and Arts, Skopje (Macedonia, The Former Yugoslav Republic of); Mitrevski, Mitre [Institute of Physics, Faculty of natural Science and Mathematics, Ss Cyril and Methodius University, Skopje (Macedonia, The Former Yugoslav Republic of)

    2003-07-01

    A very simple Quartz Crystal Microbalance (QCM) was constructed for the measurement of thickness of chemically deposited thin films. QCM consisted of two active elements: one dual-gate MOSFET and one bipolar transistor, and as usually two AT-cut quartz crystal. The beat frequency oscillation generated as a result of loading of the sensor crystal by the deposited thin film, was measured by HP-multimeter, set as a low frequency meter. The sensitivity was found to be high and satisfactory for the study of growth rate of thin films, mainly deposited by methods of chemical deposition.

  18. Construction of simple quartz crystal microbalance

    International Nuclear Information System (INIS)

    Ristov, Milcho; Mitrevski, Mitre

    2002-01-01

    A very simple Quartz Crystal Microbalance (QCM) was constructed for the measurement of thickness of chemically deposited thin films. QCM consisted of two active elements: one dual-gate MOSFET and one bipolar transistor, and as usually two AT-cut quartz crystal. The beat frequency oscillation generated as a result of loading of the sensor crystal by the deposited thin film, was measured by HP-multimeter, set as a low frequency meter. The sensitivity was found to be high and satisfactory for the study of growth rate of thin films, mainly deposited by methods of chemical deposition.

  19. Chemical Vapour Deposition of Large Area Graphene

    DEFF Research Database (Denmark)

    Larsen, Martin Benjamin Barbour Spanget

    Chemical Vapor Deposition (CVD) is a viable technique for fabrication of large areas of graphene. CVD fabrication is the most prominent and common way of fabricating graphene in industry. In this thesis I have attempted to optimize a growth recipe and catalyst layer for CVD fabrication of uniform......, single layer, and high carrier mobility large area graphene. The main goals of this work are; (1) explore the graphene growth mechanics in a low pressure cold-wall CVD system on a copper substrate, and (2) optimize the process of growing high quality graphene in terms of carrier mobility, and crystal...... structure. Optimization of a process for graphene growth on commercially available copper foil is limited by the number of aluminium oxide particles on the surface of the catalyst. By replacing the copper foil with a thin deposited copper film on a SiO2/Si or c-plane sapphire wafer the particles can...

  20. Sputter deposition and characterisation of hard wear-resistant Ti/TiN multilayers

    Energy Technology Data Exchange (ETDEWEB)

    Simmonds, M.C.; Swygenhoven, H. van [Paul Scherrer Inst. (PSI), Villigen (Switzerland)

    1997-09-01

    Multilayered Ti/TiN thin films have been synthesized by magnetron sputter deposition. Alternating layers of Ti and TiN with layer thickness in the 5-50 nm range are sequentially deposited. The structure of the films have been characterised by atomic force microscopy (AFM), X-ray diffraction and reflection and Auger depth profiling. The mechanical properties have been investigated using pin-on-disc wear rate testing, nanoindentation determination of hardness and micro scratch testing. (author) 1 fig., 3 refs.

  1. The development of chemically vapor deposited mullite coatings for the corrosion protection of SiC

    Energy Technology Data Exchange (ETDEWEB)

    Auger, M.; Hou, P.; Sengupta, A.; Basu, S.; Sarin, V. [Boston Univ., MA (United States)

    1998-05-01

    Crystalline mullite coatings have been chemically vapor deposited onto SiC substrates to enhance the corrosion and oxidation resistance of the substrate. Current research has been divided into three distinct areas: (1) Development of the deposition processing conditions for increased control over coating`s growth rate, microstructure, and morphology; (2) Analysis of the coating`s crystal structure and stability; (3) The corrosion resistance of the CVD mullite coating on SiC.

  2. Pulsed injection metal organic chemical vapour deposition and characterisation of thin CaO films

    International Nuclear Information System (INIS)

    Borges, R.P.; Ferreira, P.; Saraiva, A.; Goncalves, R.; Rosa, M.A.; Goncalves, A.P.; Silva, R.C. da; Magalhaes, S.; Lourenco, M.J.V.; Santos, F.J.V.; Godinho, M.

    2009-01-01

    Thin films of CaO were grown on silicon (Si) and lanthanum aluminate (LaAlO 3 ) substrates by pulsed injection metal-organic chemical vapour deposition in a vertical injection MOCVD system. Growth parameters were systematically varied to study their effect on film growth and quality and to determine the optimal growth conditions for this material. Film quality and growth rate were evaluated by atomic force microscopy, X-ray diffraction and Rutherford Backscattering Spectroscopy measurements. Optimised conditions allowed growing transparent, single phase films textured along the (0 0 l) direction.

  3. Direct Growth of Graphene on Silicon by Metal-Free Chemical Vapor Deposition

    Science.gov (United States)

    Tai, Lixuan; Zhu, Daming; Liu, Xing; Yang, Tieying; Wang, Lei; Wang, Rui; Jiang, Sheng; Chen, Zhenhua; Xu, Zhongmin; Li, Xiaolong

    2018-06-01

    The metal-free synthesis of graphene on single-crystal silicon substrates, the most common commercial semiconductor, is of paramount significance for many technological applications. In this work, we report the growth of graphene directly on an upside-down placed, single-crystal silicon substrate using metal-free, ambient-pressure chemical vapor deposition. By controlling the growth temperature, in-plane propagation, edge-propagation, and core-propagation, the process of graphene growth on silicon can be identified. This process produces atomically flat monolayer or bilayer graphene domains, concave bilayer graphene domains, and bulging few-layer graphene domains. This work would be a significant step toward the synthesis of large-area and layer-controlled, high-quality graphene on single-crystal silicon substrates. [Figure not available: see fulltext.

  4. Fabrication and characterisation of ligand-functionalised ultrapure monodispersed metal nanoparticle nanoassemblies employing advanced gas deposition technique

    Science.gov (United States)

    Geremariam Welearegay, Tesfalem; Cindemir, Umut; Österlund, Lars; Ionescu, Radu

    2018-02-01

    Here, we report for the first time the fabrication of ligand-functionalised ultrapure monodispersed metal nanoparticles (Au, Cu, and Pt) from their pure metal precursors using the advanced gas deposition technique. The experimental conditions during nanoparticle formation were adjusted in order to obtain ultrafine isolated nanoparticles on different substrates. The morphology and surface analysis of the as-deposited metal nanoparticles were investigated using scanning electron microscopy, x-ray diffraction and Fourier transform infra-red spectroscopy, which demonstrated the formation of highly ordered pure crystalline nanoparticles with a relatively uniform size distribution of ∼10 nm (Au), ∼4 nm (Cu) and ∼3 nm (Pt), respectively. A broad range of organic ligands containing thiol or amine functional groups were attached to the nanoparticles to form continuous networks of nanoparticle-ligand nanoassemblies, which were characterised by scanning electron microscopy and x-ray photoelectron spectroscopy. The electrical resistance of the functional nanoassemblies deposited in the gap spacing of two microfabricated parallel Au electrodes patterned on silicon substrates ranged between tens of kΩ and tens of MΩ, which is suitable for use in many applications including (bio)chemical sensors, surface-enhanced Raman spectroscopy and molecular electronic rectifiers.

  5. Chemical bath deposited and dip coating deposited CuS thin films - Structure, Raman spectroscopy and surface study

    Science.gov (United States)

    Tailor, Jiten P.; Khimani, Ankurkumar J.; Chaki, Sunil H.

    2018-05-01

    The crystal structure, Raman spectroscopy and surface microtopography study on as-deposited CuS thin films were carried out. Thin films deposited by two techniques of solution growth were studied. The thin films used in the present study were deposited by chemical bath deposition (CBD) and dip coating deposition techniques. The X-ray diffraction (XRD) analysis of both the as-deposited thin films showed that both the films possess covellite phase of CuS and hexagonal unit cell structure. The determined lattice parameters of both the films are in agreement with the standard JCPDS as well as reported data. The crystallite size determined by Scherrer's equation and Hall-Williamsons relation using XRD data for both the as-deposited thin films showed that the respective values were in agreement with each other. The ambient Raman spectroscopy of both the as-deposited thin films showed major emission peaks at 474 cm-1 and a minor emmision peaks at 265 cm-1. The observed Raman peaks matched with the covellite phase of CuS. The atomic force microscopy of both the as-deposited thin films surfaces showed dip coating thin film to be less rough compared to CBD deposited thin film. All the obtained results are presented and deliberated in details.

  6. Synthesis of few layer single crystal graphene grains on platinum by chemical vapour deposition

    Directory of Open Access Journals (Sweden)

    S. Karamat

    2015-08-01

    Full Text Available The present competition of graphene electronics demands an efficient route which produces high quality and large area graphene. Chemical vapour deposition technique, where hydrocarbons dissociate in to active carbon species and form graphene layer on the desired metal catalyst via nucleation is considered as the most suitable method. In this study, single layer graphene with the presence of few layer single crystal graphene grains were grown on Pt foil via chemical vapour deposition. The higher growth temperature changes the surface morphology of the Pt foil so a delicate process of hydrogen bubbling was used to peel off graphene from Pt foil samples with the mechanical support of photoresist and further transferred to SiO2/Si substrates for analysis. Optical microscopy of the graphene transferred samples showed the regions of single layer along with different oriented graphene domains. Two type of interlayer stacking sequences, Bernal and twisted, were observed in the graphene grains. The presence of different stacking sequences in the graphene layers influence the electronic and optical properties; in Bernal stacking the band gap can be tunable and in twisted stacking the overall sheet resistance can be reduced. Grain boundaries of Pt provides low energy sites to the carbon species, therefore the nucleation of grains are more at the boundaries. The stacking order and the number of layers in grains were seen more clearly with scanning electron microscopy. Raman spectroscopy showed high quality graphene samples due to very small D peak. 2D Raman peak for single layer graphene showed full width half maximum (FWHM value of 30 cm−1. At points A, B and C, Bernal stacked grain showed FWHM values of 51.22, 58.45 and 64.72 cm−1, while twisted stacked grain showed the FWHM values of 27.26, 28.83 and 20.99 cm−1, respectively. FWHM values of 2D peak of Bernal stacked grain showed an increase of 20–30 cm−1 as compare to single layer graphene

  7. Manufacture of Bi-cuprate thin films on MgO single crystal substrates by chemical solution deposition

    DEFF Research Database (Denmark)

    Grivel, Jean-Claude; Bertelsen, Christian Vinther; Andersen, Niels Hessel

    2014-01-01

    Bi2Sr2CaCu2O8 thin films have been deposited on MgO single crystal substrates by spin-coating a solution based on 2-ethylhexanoate precursors dissolved in xylene. Pyrolysis takes place between 200°C and 450°C and is accompanied by the release of 2-ethylhexanoic acid, CO2 and H2O vapour. Highly c...

  8. Growth of different phases and morphological features of MnS thin films by chemical bath deposition: Effect of deposition parameters and annealing

    Energy Technology Data Exchange (ETDEWEB)

    Hannachi, Amira, E-mail: amira.hannachi88@gmail.com; Maghraoui-Meherzi, Hager

    2017-03-15

    Manganese sulfide thin films have been deposited on glass slides by chemical bath deposition (CBD) method. The effects of preparative parameters such as deposition time, bath temperature, concentration of precursors, multi-layer deposition, different source of manganese, different complexing agent and thermal annealing on structural and morphological film properties have been investigated. The prepared thin films have been characterized using the X-ray diffraction (XRD), scanning electron microscopy (SEM) and energy dispersive X-ray analysis (EDX). It exhibit the metastable forms of MnS, the hexagonal γ-MnS wurtzite phase with preferential orientation in the (002) plane or the cubic β-MnS zinc blende with preferential orientation in the (200) plane. Microstructural studies revealed the formation of MnS crystals with different morphologies, such as hexagons, spheres, cubes or flowers like. - Graphical Abstract: We report the preparation of different phases of manganese sulfide thin films (γ, β and α-MnS) by chemical bath deposition method. The effects of deposition parameters such as deposition time and temperature, concentrations of precursors and multi-layer deposition on MnS thin films structure and morphology were investigated. The influence of thermal annealing under nitrogen atmosphere at different temperature on MnS properties was also studied. Different manganese precursors as well as different complexing agent were also used. - Highlights: • γ and β-MnS films were deposited on substrate using the chemical bath deposition. • The effect of deposition parameters on MnS film properties has been investigated. • Multi-layer deposition was also studied to increase film thickness. • The effect of annealing under N{sub 2} at different temperature was investigated.

  9. Chemical solution deposition of YBCO thin film by different polymer additives

    International Nuclear Information System (INIS)

    Wang, W.T.; Li, G.; Pu, M.H.; Sun, R.P.; Zhou, H.M.; Zhang, Y.; Zhang, H.; Yang, Y.; Cheng, C.H.; Zhao, Y.

    2008-01-01

    A polymer-assisted chemical solution deposition approach has been proposed for the preparation of YBCO thin film. Different additives like PVB (polyvinyl butyral), PEG (polyethylene glycol) and PVP (polyvinylpyrrolidone) have been used to adjust the final viscosity of the precursor solution and thus the film formation. In this fluorine-free approach, YBCO has been deposited on single crystal substrates with metal acetates being starting materials. Biaxially textured YBCO thin films have been obtained. However, different additives lead to different microstructure. Dense, smooth and crack-free YBCO film prepared with PVB as additive yields sharp superconducting transition around T c = 90 K as well as high J c (0 T, 77 K) over 3 MA/cm 2

  10. Chemical solution deposition of YBCO thin film by different polymer additives

    Energy Technology Data Exchange (ETDEWEB)

    Wang, W.T.; Li, G.; Pu, M.H.; Sun, R.P.; Zhou, H.M.; Zhang, Y. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); Zhang, H. [Department of Physics, Peking University, Beijing 100871 (China); Yang, Y. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); Cheng, C.H. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); School of Materials Science and Engineering, University of New South Wale, Sydney, 2052 NSW (Australia); Zhao, Y. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); School of Materials Science and Engineering, University of New South Wale, Sydney, 2052 NSW (Australia)], E-mail: yzhao@swjtu.edu.cn

    2008-09-15

    A polymer-assisted chemical solution deposition approach has been proposed for the preparation of YBCO thin film. Different additives like PVB (polyvinyl butyral), PEG (polyethylene glycol) and PVP (polyvinylpyrrolidone) have been used to adjust the final viscosity of the precursor solution and thus the film formation. In this fluorine-free approach, YBCO has been deposited on single crystal substrates with metal acetates being starting materials. Biaxially textured YBCO thin films have been obtained. However, different additives lead to different microstructure. Dense, smooth and crack-free YBCO film prepared with PVB as additive yields sharp superconducting transition around T{sub c} = 90 K as well as high J{sub c} (0 T, 77 K) over 3 MA/cm{sup 2}.

  11. Characterisation of the Ionian-Lucanian coastal plain aquifer

    OpenAIRE

    Polemio, M.; Limoni, P.P.; Mitolo, D.; Santaloia, F.

    2002-01-01

    This paper deals with a Southern Italy area, 40 km by 10 km wide, located where four river valleys anastomose themselves in the coastal plain. The geological and hydrogeological features of the study area and the chemical-physical groundwater characterisation have been inferred from the data analysis of 1130 boreholes. Some aquifers, connected among them, constituted by soils of different geological origin -marine terraces deposits, river valley alluvial deposits and alluvial and coastal depo...

  12. Carbonized tantalum catalysts for catalytic chemical vapor deposition of silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Cheng Shimin [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Graduate University of Chinese Academy of Sciences, Beijing 100049 (China); Gao Huiping; Ren Tong; Ying Pinliang [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Li Can, E-mail: canli@dicp.ac.cn [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China)

    2012-06-01

    Catalytic chemical vapor deposition (Cat-CVD) has been demonstrated as a promising way to prepare device-quality silicon films. However, catalyst ageing due to Si contamination is an urgency to be solved for the practical application of the technique. In this study, the effect of carbonization of tantalum catalyst on its structure and performance was investigated. The carbonized Ta catalyst has a TaC surface layer which is preserved over the temperature range between 1450 and 1750 Degree-Sign C and no Si contamination occurs on the catalyst after long-term use. Si film prepared using the carbonized Ta catalyst has a similar crystal structure to that prepared by uncarbonized Ta catalyst. Formation of the TaC surface layer can alleviate the ageing problem of the catalyst, which shows great potential as a stable catalyst for Cat-CVD of Si films. - Highlights: Black-Right-Pointing-Pointer Si films prepared by catalytic chemical vapor deposition. Black-Right-Pointing-Pointer Carbonized Ta with a TaC surface layer used as catalyst. Black-Right-Pointing-Pointer TaC surface structure preserved after long-term use in a wide temperature range. Black-Right-Pointing-Pointer Help to solve the ageing problem of metal catalysts. Black-Right-Pointing-Pointer Si film obtained has a similar crystal structure to that prepared by Ta catalyst.

  13. Single crystal structure analyses of scheelite-powellite CaW1-xMoxO4 solidsolutions and unique occurrence in Jisyakuyama skarn deposits

    Science.gov (United States)

    Yamashita, K.; Yoshiasa, A.; Miyazaki, H.; Tokuda, M.; Tobase, T.; Isobe, H.; Nishiyama, T.; Sugiyama, K.; Miyawaki, R.

    2017-12-01

    Jisyakuyama skarn deposit, Fukuchi, Fukuoka, Japan, shows a simple occurrenceformed by penetration of hot water into limestone cracks. A unique occurrence of scheelite-powellite CaW1-xMoxO4 minerals is observed in the skarn deposit. Many syntheticexperiments for scheelite-powellite solid solutions have been reported as research onfluorescent materials. In this system it is known that a complete continuous solid solution isformed even at room temperature. In this study, we have carried out the chemical analyses,crystal structural refinements and detail description of occurrence on scheelite-powelliteminerals. We have also attempted synthesis of single crystal of solid solution in a widecomposition range. The chemical compositions were determined by JEOL scanningelectron microscope and EDS, INCA system. We have performed the crystal structurerefinements of the scheelite-powellite CaW1-xMoxO4 solid solutions (x=0.0-1.0) byRIGAKU single-crystal structure analysis system RAPID. The R and S values are around0.0s and 1.03. As the result of structural refinements of natural products and many solidsolutions, we confirm that most large natural single crystals have compositions at bothendmembers, and large solid solution crystals are rare. The lattice constants, interatomicdistances and other crystallographic parameters for the solid solution change uniquely withcomposition and it was confirmed as a continuous solid solution. Single crystals of scheeliteendmember + powellite endmember + solid solution with various compositions form anaggregate in the deposit (Figure 1). Crystal shapes of powellite and scheelite arehypidiomorphic and allotriomorphic, respectively. Many solid solution crystals areaccompanied by scheelite endmember and a compositional gap is observed betweenpowellite and solid-solution crystals. The presence of several penetration solutions withsignificantly different W and Mo contents may be assumed. This research can be expectedto lead to giving restrictive

  14. A chemical bath deposition route to facet-controlled Ag{sub 3}PO{sub 4} thin films with improved visible light photocatalytic activity

    Energy Technology Data Exchange (ETDEWEB)

    Gunjakar, Jayavant L.; Jo, Yun Kyung; Kim, In Young; Lee, Jang Mee; Patil, Sharad B. [Department of Chemistry and Nanoscience, College of Natural Sciences, Ewha Womans University, Seoul 03760 (Korea, Republic of); Pyun, Jae-Chul [Department of Materials Science and Engineering, College of Engineering, Yonsei University, Seoul (Korea, Republic of); Hwang, Seong-Ju, E-mail: hwangsju@ewha.ac.kr [Department of Chemistry and Nanoscience, College of Natural Sciences, Ewha Womans University, Seoul 03760 (Korea, Republic of)

    2016-08-15

    A facile, economic, and reproducible chemical bath deposition (CBD) method is developed for the fabrication of facet-controlled Ag{sub 3}PO{sub 4} thin films with enhanced visible light photocatalytic activity. The fine-control of bath temperature, precursor, complexing agent, substrate, and solution pH is fairly crucial in preparing the facet-selective thin film of Ag{sub 3}PO{sub 4} nanocrystal. The change of precursor from silver nitrate to silver acetate makes possible the tailoring of the crystal shape of Ag{sub 3}PO{sub 4} from cube to rhombic dodecahedron and also the bandgap tuning of the deposited films. The control of [Ag{sup +}]/[phosphate] ratio enables to maximize the loading amount of Ag{sub 3}PO{sub 4} crystals per the unit area of the deposited film. All the fabricated Ag{sub 3}PO{sub 4} thin films show high photocatalytic activity for visible light-induced degradation of organic molecules, which can be optimized by tailoring the crystal shape of the deposited crystals. This CBD method is also useful in preparing the facet-controlled hybrid film of Ag{sub 3}PO{sub 4}–ZnO photocatalyst. The present study clearly demonstrates the usefulness of the present CBD method for fabricating facet-controlled thin films of metal oxosalt and its nanohybrid. - Highlights: • The crystal facet of Ag{sub 3}PO{sub 4} films can be tuned by chemical bath deposition. • The crystal shape of Ag{sub 3}PO{sub 4} is tailorable from cube to rhombic dodecahedron. • Facet-tuned Ag{sub 3}PO{sub 4} film shows enhanced visible light photocatalyst activity.

  15. In situ crystallization of sputter-deposited TiNi by ion irradiation

    International Nuclear Information System (INIS)

    Ikenaga, Noriaki; Kishi, Yoichi; Yajima, Zenjiro; Sakudo, Noriyuki

    2013-01-01

    Highlights: ► We developed a sputtering deposition process equipped with an ion irradiation system. ► Ion irradiation enables crystallization at lower substrate temperature. ► Ion fluence has an effective range for low-temperature crystallization. ► Crystallized films made on polyimide by the process show the shape memory effect. -- Abstract: TiNi is well known as a typical shape-memory alloy, and the shape-memory property appears only when the structure is crystalline. Until recently, the material has been formed as amorphous film by single-target sputtering deposition at first and then crystallized by being annealed at high temperature over 500 °C. Therefore, it has been difficult to make crystalline TiNi film directly on a substrate of polymer-based material because of the low heat resistance of substrate. In order to realize an actuator from the crystallized TiNi film on polymer substrates, the substrate temperature should be kept below 200 °C throughout the whole process. In our previous studies we have found that deposited film can be crystallized at very low temperature without annealing but with simultaneous irradiation of Ar ions during sputter-deposition. And we have also demonstrated the shape-memory effect with the TiNi film made by the new process. In order to investigate what parameters of the process contribute to the low-temperature crystallization, we have focused to the ion fluence of the ion irradiation. Resultantly, it was found that the transition from amorphous structure to crystal one has a threshold range of ion fluence

  16. Evaluation of physical and chemical properties and their interactions in fat, oil, and grease (FOG) deposits.

    Science.gov (United States)

    Gross, Martin A; Jensen, Jeanette L; Gracz, Hanna S; Dancer, Jens; Keener, Kevin M

    2017-10-15

    Fat, oil and grease (FOG) blockages in sewer systems are a substantial problem in the United States. It has been estimated that over 50% of sewer overflows are a result of FOG blockages. In this work, a thorough laboratory study was undertaken to examine key variables that contribute to FOG deposit formation under controlled conditions. Physical and chemical properties and their interactions were evaluated and conditions that generated deposits that mimicked field FOG deposits were identified. It was found that 96 of the of 128 reaction conditions tested in the laboratory formed FOG deposits with similar physical and chemical characteristics as field FOG deposits. It was also found that FOG deposits can be created through fatty acid crystallization and not just saponification. Furthermore FOG deposits were found to be more complex than previously documented and contain free fatty acids, fatty acid metal salts, triacylglycerol's, diacylglycerol's and, monoacylglycerol's. Lastly it was found that FOG deposits that only contained saturated fatty acids were on average 2.1 times higher yield strength than deposits that contained unsaturated fatty acids. Copyright © 2017 Elsevier Ltd. All rights reserved.

  17. Compositional and structural characterisation of Ni-phyllosilicates in hydrous silicate type Ni-laterite deposits

    OpenAIRE

    Villanova de Benavent, Cristina

    2015-01-01

    Ni-bearing Mg-phyllosilicates (commonly known as garnierites) are significant ore minerals in many Ni-laterite deposits worldwide. However, the characterisation of these mineral phases is complex, as well as their classification and nomenclature, due to their fine-grained nature, low crystallinity and frequent occurrence as mixtures. The aim of this study is to shed some light to the nature of the Ni-bearing Mg-phyllosilicates occurring at the Falcondo Ni-laterite. In this deposit, these ...

  18. Laser-induced chemical vapor deposition reactions

    International Nuclear Information System (INIS)

    Teslenko, V.V.

    1990-01-01

    The results of investigation of chemical reactions of deposition of different substances from the gas phase when using the energy of pulse quasicontinuous and continuous radiation of lasers in the wave length interval from 0.193 to 10.6 μm are generalized. Main attetion is paid to deposition of inorganic substances including nonmetals (C, Si, Ge and others), metals (Cu, Au, Zn, Cd, Al, Cr, Mo, W, Ni) and some simple compounds. Experimental data on the effect of laser radiation parameters and reagent nature (hydrides, halogenides, carbonyls, alkyl organometallic compounds and others) on the deposition rate and deposit composition are described in detail. Specific features of laser-chemical reactions of deposition and prospects of their application are considered

  19. Deposition barium titanate (BaTiO3) doped lanthanum with chemical solution deposition

    International Nuclear Information System (INIS)

    Iriani, Y.; Nurhadi, N.; Jamaludin, A.

    2016-01-01

    Deposition of Barium Titanate (BaTiO 3 ) thin films used Chemical Solution Deposition (CSD) method and prepared with spin coater. BaTiO 3 is doped with lanthanum, 1%, 2%, and 3%. The thermal process use annealing temperature 900°C and holding time for 3 hours. The result of characterization with x-ray diffraction (XRD) equipment show that the addition of La 3+ doped on Barium Titanate caused the change of angle diffraction.The result of refine with GSAS software shows that lanthanum have been included in the structure of BaTiO 3 . Increasing mol dopant La 3+ cause lattice parameter and crystal volume become smaller. Characterization result using Scanning Electron Microscopy (SEM) equipment show that grain size (grain size) become smaller with increasing mole dopant (x) La 3+ . The result of characterization using Sawyer Tower methods show that all the samples (Barium Titanante and Barium Titanate doped lanthanum) are ferroelectric material. Increasing of mole dopant La 3+ cause smaller coercive field and remanent polarization increases. (paper)

  20. Nano sized bismuth oxy chloride by metal organic chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Jagdale, Pravin, E-mail: pravin.jagdale@polito.it [Department of Applied Science and Technology (DISAT), Politecnico di Torino, 10129 (Italy); Castellino, Micaela [Center for Space Human Robotics, Istituto Italiano di Tecnologia, Corso Trento 21, 10129 Torino (Italy); Marrec, Françoise [Laboratory of Condensed Matter Physics, University of Picardie Jules Verne (UPJV), Amiens 80039 (France); Rodil, Sandra E. [Instituto de Investigaciones en Materiales, Universidad Nacional Autonoma de Mexicom (UNAM), Mexico D.F. 04510 (Mexico); Tagliaferro, Alberto [Department of Applied Science and Technology (DISAT), Politecnico di Torino, 10129 (Italy)

    2014-06-01

    Metal organic chemical vapour deposition (MOCVD) method was used to prepare thin films of bismuth based nano particles starting from bismuth salts. Nano sized bismuth oxy chloride (BiOCl) crystals were synthesized from solution containing bismuth chloride (BiCl{sub 3}) in acetone (CH{sub 3}-CO-CH{sub 3}). Self-assembly of nano sized BiOCl crystals were observed on the surface of silicon, fused silica, copper, carbon nanotubes and aluminium substrates. Various synthesis parameters and their significant impact onto the formation of self-assembled nano-crystalline BiOCl were investigated. BiOCl nano particles were characterized by X-ray diffraction, X-ray photoelectron spectroscopy, field emission scanning electron microscopy, energy-dispersive X-ray spectroscopy and Micro-Raman spectroscopy. These analyses confirm that bismuth nanometer-sized crystal structures showing a single tetragonal phase were indeed bismuth oxy chloride (BiOCl) square platelets 18–250 nm thick and a few micrometres wide.

  1. Valorisation of chicken feathers: Characterisation of chemical properties.

    Science.gov (United States)

    Tesfaye, Tamrat; Sithole, Bruce; Ramjugernath, Deresh; Chunilall, Viren

    2017-10-01

    The characterisation of the chemical properties of the whole chicken feather and its fractions (barb and rachis), was undertaken to identify opportunities for valorizing this waste product. The authors have described the physical, morphological, mechanical, electrical and thermal properties of the chicken feathers and related them to potential valorisation routes of the waste. However, identification of their chemical properties is necessary to complete a comprehensive description of chicken feather fractions. Hence, the chicken feathers were thoroughly characterised by proximate and ultimate analyses, elemental composition, spectroscopic analyses, durability in different solvents, burning test, and hydrophobicity. The proximate analysis of chicken feathers revealed the following compositions: crude lipid (0.83%), crude fibre (2.15%), crude protein (82.36%), ash (1.49%), NFE (1.02%) and moisture content (12.33%) whereas the ultimate analyses showed: carbon (64.47%), nitrogen (10.41%), oxygen (22.34%), and sulphur (2.64%). FTIR analysis revealed that the chicken feather fractions contain amide and carboxylic groups indicative of proteinious functional groups; XRD showed a crystallinity index of 22. Durability and burning tests confirmed that feathers behaved similarly to animal fibre. This reveals that chicken feather can be a valuable raw material in textile, plastic, cosmetics, pharmaceuticals, biomedical and bioenergy industries. Copyright © 2017 Elsevier Ltd. All rights reserved.

  2. Chemical solution deposition of LaMnO3-based films for coated conductors

    International Nuclear Information System (INIS)

    Shi, D Q; Zhu, X B; Kim, J H; Wang, L; Zeng, R; Dou, S X; Lei, H C; Sun, Y P

    2008-01-01

    LaMnO 3 -based films were prepared using the chemical solution deposition method. It was found that the films on perovskite oxide single crystal substrates are highly (h00)-oriented when the annealing atmosphere is oxygen or air; however, when the substrate is yttrium-stabilized ZrO 2 , only the La 1-x Na x MnO 3 films are highly (h00)-oriented, and other LaMnO 3 -based films are (110)-oriented. Under a reducing annealing atmosphere, the atmosphere must be wet in order to create a suitable oxygen partial pressure to crystallize the LaMnO 3 -based films. After annealing under a wet reducing atmosphere the LaMnO 3 -based films are (110)-oriented when the films are directly deposited on Ni tapes; however, when SrTiO 3 -buffered Ni tapes are used, the LaMnO 3 films are (h00)-oriented, which is suitable for subsequent growth of YBCO. The results suggest that it is possible to tune the orientation of buffer layers using suitable templates, which can widen the selection of buffer layers for coated conductors in the all metallorganic deposition approach

  3. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kumar, A.; Voevodin, A.A.; Paul, R.; Altfeder, I.; Zemlyanov, D.; Zakharov, D.N.; Fisher, T.S.

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface

  4. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, A., E-mail: kumar50@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Voevodin, A.A. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Paul, R. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Altfeder, I. [Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Zemlyanov, D.; Zakharov, D.N. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Fisher, T.S., E-mail: tsfisher@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States)

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface.

  5. Characterisation by optical spectroscopy of a plasma of depositions of thins layers

    International Nuclear Information System (INIS)

    Chouan, Yannick

    1984-01-01

    This research thesis reports a work which, by correlating emission and absorption spectroscopic measurements with properties of deposited thin layers, aimed at being a complement to works undertaken by a team in charge of the realisation of a flat screen. In a first part, the author reports the study of a cathodic pulverisation of a silicon target. He describes the experimental set-up, presents correlations obtained between plasma electric properties (target self-polarisation voltage), emission spectroscopic measurements (line profile and intensity) and absorption spectroscopic measurements (density of metastables), and the composition of deposited thin layers for two reactive pulverisation plasmas (Ar-H_2 and Ar-CH_4). The second part addresses the relationship between experimental conditions and spectroscopic characteristics (emission and absorption lines, excitation and rotation temperature) of a He-SiH_4 plasma. The author also determined the most adapted spectroscopic measurements to the 'control' of deposition, and which result in an optimisation of electronic properties and of the deposition rate for the hydrogenated amorphous silicon. The third part reports the characterisation of depositions. Electric and optic measurements are reported. Then, for both deposition techniques, the author relates the influence of experimental conditions to deposition properties and to spectroscopic diagnosis. The author finally presents static characteristics of a thin-layer-based transistor

  6. Crystallization process of zircon and fergusonite during hydrothermal alteration in Nechalacho REE deposit, Thor Lake, Canada

    Science.gov (United States)

    Hoshino, M.; Watanabe, Y.; Murakami, H.; Kon, Y.; Tsunematsu, M.

    2012-04-01

    The core samples of two drill holes, which penetrate sub-horizontal mineralized horizons at Nechalacho REE deposit in the Proterozoic Thor Lake syenite, Canada, were studied in order to clarify magmatic and hydrothermal processes that enriched HFSE (e.g. Zr, Nb, Y and REE). Zircon is the most common REE minerals in Nechalacho REE deposit. The zircon is divided into five types as follows: Type-1 zircon occurs as single grain in phlogopite and the chondrite-normalized REE pattern is characterized by a steeply-rising slope from the LREE to the HREE with a positive Ce-anomaly and negative Eu-anomaly. This chemical characteristic is similar to that of igneous zircon. Type-2 zircon consists of HREE-rich magmatic porous core and LREE-Nb-F-rich hydrothermal rim. This type zircon is mostly included in phlogopite and fluorite, and occasionally in microcline. Type-3 zircon is characterized by euhedral to anhedral crystal, occurring in a complex intergrowth with REE fluorocarbonates. Type-3 zircons have high contents of REE, Nb and fluorine. Type-4 zircon consists of porous-core and -rim zones, but their chemical compositions are similar to each other. This type zircon is a subhedral crystal rimmed by fergusonite. Type-5 zircon is characterized by smaller, porous and subhedral to anhedral crystals. The interstices between small zircons are filled by fergusonite. Type-4 and -5 zircons show low REE and Nb contents. Occurrences of these five types of zircon are different according to the depth and degree of the alteration by hydrothermal solutions rich in F- and CO3 of the two drill holes, which permit a model for evolution of the zircon crystallization in Nechalacho REE deposit as follows: (1) type-1 (single magmatic zircon) is formed in miaskitic syenite. (2) LREE-Nb-F-rich hydrothermal zircon formed around HREE-rich magmatic zircon (type-2 zircon); (3) type-3 zircon crystallized thorough F and CO3-rich hydrothermal alteration of type-2 zircon which formed the complex

  7. In-situ epitaxial growth of heavily phosphorus doped SiGe by low pressure chemical vapor deposition

    CERN Document Server

    Lee, C J

    1998-01-01

    We have studied epitaxial crystal growth of Si sub 1 sub - sub x Ge sub x films on silicon substrates at 550 .deg. C by low pressure chemical vapor deposition. In a low PH sub 3 partial pressure region such as below 1.25x10 sup - sup 3 Pa, both the phosphorus and carrier concentrations increased with increasing PH sub 3 partial pressure, but the deposition rate and the Ge fraction remained constant. In a higher PH sub 3 partial pressure region, the deposition rate, the phosphorus concentration, and the carrier concentration decreased, while the Ge fraction increased. These suggest that high surface coverage of phosphorus suppresses both SiH sub 4 and GeH sub 4 adsorption/reactions on the surfaces, and its suppression effect on SiH sub 4 is actually much stronger than on GeH sub 4. In particular, epitaxial crystal growth is largely controlled by surface coverage effect of phosphorus in a higher PH sub 3 partial pressure region.

  8. Deposition of highly (111)-oriented PZT thin films by using metal organic chemical deposition

    CERN Document Server

    Bu, K H; Choi, D K; Seong, W K; Kim, J D

    1999-01-01

    Lead zirconate titanate (PZT) thin films have been grown on Pt/Ta/SiNx/Si substrates by using metal organic chemical vapor deposition with Pb(C sub 2 H sub 5) sub 4 , Zr(O-t-C sub 4 H sub 9) sub 4 , and Ti(O-i-C sub 3 H sub 7) sub 4 as source materials and O sub 2 as an oxidizing gas. The Zr fraction in the thin films was controlled by varying the flow rate of the Zr source material. The crystal structure and the electrical properties were investigated as functions of the composition. X-ray diffraction analysis showed that at a certain range of Zr fraction, highly (111)-oriented PZT thin films with no pyrochlore phases were deposited. On the other hand, at low Zr fractions, there were peaks from Pb-oxide phases. At high Zr fractions, peaks from pyrochlore phase were seen. The films also showed good electrical properties, such as a high dielectric constant of more than 1200 and a low coercive voltage of 1.35 V.

  9. Subaqueous ice-contact fans: Depositional systems characterised by highly aggradational supercritical flow conditions

    Science.gov (United States)

    Lang, Joerg; Winsemann, Jutta

    2015-04-01

    Subaqueous ice-contact fans are deposited by high-energy plane-wall jets from subglacial conduits into standing water bodies. Highly aggradational conditions during flow expansion and deceleration allow for the preservation of bedforms related to supercritical flows, which are commonly considered rare in the depositional record. We present field examples from gravelly and sandy subaqueous ice-contact fan successions, which indicate that deposition by supercritical flows might be considered as a characteristic feature of these depositional systems. The studied successions were deposited in deep ice-dammed lakes, which formed along the margins of the Middle Pleistocene Scandinavian ice sheets across Northern Germany. The gravel-rich subaqueous fan deposits are dominated by large scour-fills (up to 25 m wide and 3 m) deep and deposits of turbulent hyperconcentrated flows, which are partly attributed to supercritical flow conditions (Winsemann et al., 2009). Scours (up to 4.5 m wide and 0.9 m deep) infilled by gravelly backsets are observed above laterally extensive erosional surfaces and are interpreted as deposits of cyclic steps. Laterally discontinuous beds of low-angle cross-stratified gravel are interpreted as antidune deposits. Downflow and up-section the gravel-rich deposits pass into sand-rich successions, which include deposits of chutes-and-pools, breaking antidunes, stationary antidunes and humpback dunes (Lang and Winsemann, 2013). Deposits of chutes-and-pools and breaking antidunes are characterised by scour-fills (up to 4 m wide and 1.2 m deep) comprising backsets or gently dipping sigmoidal foresets. Stationary antidune deposits consist of laterally extensive sinusoidal waveforms with long wavelengths (1-12 m) and low amplitudes (0.1-0.5 m), which formed under quasi-steady flows at the lower limit of the supercritical flow stage and high rates of sedimentation. Humpback dunes are characterised by divergent sigmoidal foresets and are interpreted as

  10. Epitaxial crystal growth by sputter deposition: Applications to semiconductors. Part 2

    International Nuclear Information System (INIS)

    Greene, J.E.

    1984-01-01

    The understanding of the physics of ion-surface interactions has progressed sufficiently to allow sputter depositinn to be used as a crystal growth technique for depositing a wide variety of single crystal elemental, compound, alloy, and superlattice semiconductors. In many cases, films with essentially bulk values of carrier concentrations and mobilities have been obtained. The controlled use of low energy particle bombardment of the growing film during sputter deposition has been shown to affect all stages of crystal growth ranging from adatom mobilities and nucleation kinetics to elemental incorporation probabilities. Such effects provide inherent advantages for sputter deposition over other vapor phase techniques for the low temperature growth of compound and alloy semiconductors and are essential in allowing the growth of new and unique single crystal metastable semiconductors. Part 1 of this review includes sections on experimental techniques, the physics of ion-surface interactions, and ion bombardment effects on film nucleation and growth, while Part 2 presents a discussion of recent results in the growth of elemental, III-V, II-VI, IV-VI, metastable, and other compound semiconductors

  11. Growth and characterization of yttrium iron garnet films on Si substrates by Chemical Solution Deposition (CSD) technique

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Xin; Chen, Ying; Wang, Genshui [Key Laboratory of Inorganic Function Materials and Devices, Shanghai Institute of Ceramics, Chinese Academy of Sciences, University of Chinese Academy of Sciences, 1295 Dingxi Rd., Shanghai 200050 (China); Zhang, Yuanyuan [Key Laboratory of Polar Materials and Devices, Ministry of Education, Department of Electronic Engineering, East China Normal University, 500 Dongchuan Rd., Shanghai 200241 (China); Ge, Jun [Key Laboratory of Inorganic Function Materials and Devices, Shanghai Institute of Ceramics, Chinese Academy of Sciences, University of Chinese Academy of Sciences, 1295 Dingxi Rd., Shanghai 200050 (China); Tang, Xiaodong [Key Laboratory of Polar Materials and Devices, Ministry of Education, Department of Electronic Engineering, East China Normal University, 500 Dongchuan Rd., Shanghai 200241 (China); Ponchel, Freddy; Rémiens, Denis [Institute of Electronics, Microelectronics and Nanotechnology (IEMN)–DOAE, UMR CNRS 8520, Université des Sciences et Technologies de Lille, 59652 Villeneuve d’Ascq Cedex (France); Dong, Xianlin, E-mail: xldong@mail.sic.ac.cn [Key Laboratory of Inorganic Function Materials and Devices, Shanghai Institute of Ceramics, Chinese Academy of Sciences, University of Chinese Academy of Sciences, 1295 Dingxi Rd., Shanghai 200050 (China)

    2016-06-25

    Yttrium Iron Garnet (YIG) films were prepared on Si substrates by Chemical Solution Deposition (CSD) technique using acetic acid and deionized water as solvents. Well-crystallized and crack-free YIG films were obtained when annealed at 750 °C and 850 °C respectively, showing a low surface roughness of several nanometers. When annealed at 750 °C for 30 min, the saturated magnetization (Ms) and coercive field (Hc) of YIG films were 0.121 emu/mm{sup 3} (4πMs = 1.52 kGs) and 7 Oe respectively, which were similar to that prepared by PLD technique. The peak-to-peak linewidth of ferromagnetic resonance (FMR) was 220 Oe at 9.10 GHz. The results demonstrated that CSD was an excellent technique to prepare high quality yttrium iron garnet (YIG) films on silicon, which could provide a lower-cost way for large-scale production on Si-based integrated devices. - Highlights: • The preparation of YIG films by Chemical Solution Deposition are demonstrated. • Well-crystallized and crack-free YIG films can be obtained on Si substrate by CSD. • YIG films can be crystallized in 750 °C with good magnetic performances. • It's beneficial to large-scale production of YIG films on Si integrated devices.

  12. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  13. Hybrid chemical vapour and nanoceramic aerosol assisted deposition for multifunctional nanocomposite thin films

    Energy Technology Data Exchange (ETDEWEB)

    Warwick, Michael E.A.; Dunnill, Charles W.; Goodall, Josie; Darr, Jawwad A.; Binions, Russell, E-mail: uccarbi@ucl.ac.uk

    2011-07-01

    Hybrid atmospheric pressure chemical vapour and aerosol assisted deposition via the reaction of vanadium acetylacetonate and a suspension of preformed titanium dioxide or cerium dioxide nanoparticles, led to the production of vanadium dioxide nanocomposite thin films on glass substrates. The preformed nanoparticle oxides used for the aerosol were synthesised using a continuous hydrothermal flow synthesis route involving the rapid reaction of a metal salt solution with a flow of supercritical water in a flow reactor. Multifunctional nanocomposite thin films from the hybrid deposition process were characterised using scanning electron microscopy, X-ray diffraction and X-ray photoelectron spectroscopy. The functional properties of the films were evaluated using variable temperature optical measurements to assess thermochromic behaviour and methylene blue photodecolourisation experiments to assess photocatalytic activity. The tests show that the films are multifunctional in that they are thermochromic (having a large change in infra-red reflectivity upon exceeding the thermochromic transition temperature) and have significant photocatalytic activity under irradiation with 254 nm light.

  14. Electro-chemical deposition of zinc oxide nanostructures by using two electrodes

    Directory of Open Access Journals (Sweden)

    B. A. Taleatu

    2011-09-01

    Full Text Available One of the most viable ways to grow nanostructures is electro deposition. However, most electrodeposited samples are obtained by three-electrode electrochemical cell. We successfully use a much simpler two-electrode cell to grow different ZnO nanostructures from common chemical reagents. Concentration, pH of the electrolytes and growth parameters like potentials at the electrodes, are tailored to allow fast growth without complexity. Morphology and surface roughness are investigated by Scanning Electron and Air Force Microscopy (SEM and AFM respectively, crystal structure by X-Ray Diffraction measurements (XRD and ZnO stoichiometry by core level photoemission spectroscopy (XPS.

  15. Fat, oil and grease deposits in sewers: characterisation of deposits and formation mechanisms.

    Science.gov (United States)

    Williams, J B; Clarkson, C; Mant, C; Drinkwater, A; May, E

    2012-12-01

    Fat, oil and grease deposits (FOG) in sewers are a major problem and can cause sewer overflows, resulting in environmental damage and health risks. Often simplistically portrayed as cooling of fats, recent research has suggested that saponification may be involved in FOG formation. However there are still questions about the mechanisms effecting transformations in sewers and the role and source of metal cations involved in saponification. This study characterises FOG deposits from pumping stations, sewers and sewage works from different water hardness zones across the UK. The sites all had previous problems with FOG and most catchments contained catering and food preparation establishments. The FOG deposits were highly variable with moisture content ranging from 15 to 95% and oil content from 0 to 548 mg/g. Generally the pumping stations had lower moisture content and higher fat content, followed by the sewers then the sewage works. The water in contact with the FOG had high levels of oil (mean of about 800 mg/L) and this may indicate poor kitchen FOG management practices. FOG fatty acid profiles showed a transformation from unsaturated to saturated forms compared to typical cooking oils. This seems to relate to ageing in the sewer network or the mechanism of formation, as samples from pumping stations had higher proportions of C18:1 compared to C16. This may be due to microbial transformations by bacteria such as Clostridium sp. in a similar process to adipocere formation. There was an association between water hardness and increased Ca levels in FOG along with harder deposits and higher melting points. A link between FOG properties and water hardness has not been previously reported for field samples. This may also be due to microbial processes, such as biocalcification. By developing the understanding of these mechanisms it may be possible to more effectively control FOG deposits, especially when combined with promotion of behavioural change. Copyright © 2012

  16. Ternary Ag-In-S polycrystalline films deposited using chemical bath deposition for photoelectrochemical applications

    International Nuclear Information System (INIS)

    Chang, Wen-Sheng; Wu, Ching-Chen; Jeng, Ming-Shan; Cheng, Kong-Wei; Huang, Chao-Ming; Lee, Tai-Chou

    2010-01-01

    This paper describes the preparation and characterization of ternary Ag-In-S thin films deposited on indium tin oxide (ITO)-coated glass substrates using chemical bath deposition (CBD). The composition of the thin films was varied by changing the concentration ratio of [Ag]/[In] in the precursor solutions. The crystal structure, optical properties, and surface morphology of the thin films were analyzed by grazing incidence X-ray diffraction (GIXRD), UV-vis spectroscopy, and field-emission scanning electron microscopy (FE-SEM). GIXRD results indicate that the samples consisted of AgInS 2 and/or AgIn 5 S 8 crystal phases, depending on the composition of the precursor solutions. The film thicknesses, electrical resistivity, flat band potentials, and band gaps of the samples were between 1.12 and 1.37 μm, 3.73 x 10 -3 and 4.98 x 10 4 Ω cm, -0.67 and -0.90 V vs. NHE, and 1.83 and 1.92 eV, respectively. The highest photocurrent density was observed in the sample with [Ag]/[In] = 4. A photocurrent density of 9.7 mA cm -2 was obtained with an applied potential of 0.25 V vs. SCE in the three-electrode system. The photoresponse experiments were conducted in 0.25 M K 2 SO 3 and 0.35 M Na 2 S aqueous electrolyte solutions under irradiation by a 300 W Xe light (100 mW cm -2 ). The results show that ternary Ag-In-S thin film electrodes have potential in water splitting applications.

  17. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  18. Textured indium tin oxide thin films by chemical solution deposition and rapid thermal processing

    International Nuclear Information System (INIS)

    Mottern, Matthew L.; Tyholdt, Frode; Ulyashin, Alexander; Helvoort, Antonius T.J. van; Verweij, Henk; Bredesen, Rune

    2007-01-01

    The microstructure of state-of-the-art chemical solution deposited indium tin oxide thin films typically consists of small randomly oriented grains, high porosity and poor homogeneity. The present study demonstrates how the thin film microstructure can be improved significantly by tailoring the precursor solutions and deposition conditions to be kinetically and thermodynamically favorable for generation of homogeneous textured thin films. This is explained by the occurrence of a single heterogeneous nucleation mechanism. The as-deposited thin films, crystallized at 800 deg. C, have a high apparent density, based on a refractive index of ∼ 1.98 determined by single wavelength ellipsometry at 633 nm. The microstructure of the films consists of columnar grains with preferred orientation as determined by X-ray diffraction and transmission electron microscopy. The resistivity, measured by the four point probe method, is ∼ 2 x 10 -3 Ω cm prior to post-deposition treatments

  19. Chemical vapour deposition of carbon nanotubes

    CSIR Research Space (South Africa)

    Arendse, CJ

    2006-02-01

    Full Text Available , effective, more versatile and easily scalable to large substrate sizes. In this paper, we present a design of the hot-wire CVD system constructed at the CSIR for the deposition of CNTs. Additionally, we will report on the structure of CNTs deposited... exhibit exceptional chemical and physical properties related to toughness, chemical inertness, magnetism, and electrical and thermal conductivity. A variety of preparation methods to synthesise CNTs are known, e.g. carbon-arc discharge, laser ablation...

  20. Microstructural and conductivity changes induced by annealing of ZnO:B thin films deposited by chemical vapour deposition

    International Nuclear Information System (INIS)

    David, C; Girardeau, T; Paumier, F; Eyidi, D; Guerin, P; Marteau, M; Lacroix, B; Papathanasiou, N; Tinkham, B P

    2011-01-01

    Zinc oxide (ZnO) thin films have attracted much attention in recent years due to progress in crystal growth for a large variety of technological applications including optoelectronics and transparent electrodes in solar cells. Boron (B)-doped ZnO thin films are deposited by low pressure chemical vapour deposition (LPCVD) on Si(100). These films exhibit a strong (002) texture with a pyramidal grain structure. The ZnO films were annealed after growth; the annealing temperature and the atmosphere appear to strongly impact the layer conductivity. This work will first present the modification of the physical properties (carrier concentration, mobility) extracted from the simulation of layer reflection in the infrared range. At low annealing temperatures the mobility increases slightly before decreasing drastically above a temperature close to 250 deg. C. The chemical and structural evolution (XPS, x-ray diffraction) of the films was also studied to identify the relationship between microstructural modifications and the variations observed in the film conductivity. An in situ XRD study during annealing has been performed under air and low pressure conditions. As observed for electrical properties, the microstructural modifications shift to higher temperatures for vacuum annealing.

  1. Influence of in-situ deposited SiNx interlayer on crystal quality of GaN epitaxial films

    Science.gov (United States)

    Fan, Teng; Jia, Wei; Tong, Guangyun; Zhai, Guangmei; Li, Tianbao; Dong, Hailiang; Xu, Bingshe

    2018-05-01

    GaN epitaxial films with SiNx interlayers were prepared by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The influences of deposition times and locations of SiNx interlayers on crystal quality of GaN epitaxial films were studied. Under the optimal growth time of 120 s for the SiNx interlayer, the dislocation density of GaN film is reduced to 4.05 × 108 cm-2 proved by high resolution X-ray diffraction results. It is found that when the SiNx interlayer deposits on the GaN nucleation islands, the subsequent GaN film has the lowest dislocation density of only 2.89 × 108 cm-2. Moreover, a model is proposed to illustrate the morphological evolution and associated propagation processes of TDs in GaN epi-layers with SiNx interlayers for different deposition times and locations.

  2. Selenization of CIS and CIGS layers deposited by chemical spray pyrolysis

    Energy Technology Data Exchange (ETDEWEB)

    Babu, B. J.; Egaas, B.; Velumani, S.

    2018-03-21

    Cu(In1-xGax)Se2 (CIGS) thin films with x=0 (CIS) and x=0.3 (CIGS) were prepared on Mo-coated glass substrate by using chemical spray pyrolysis at a substrate temperature of 350 degrees C, followed by selenization treatment at 550 degrees C in selenium environment under N2 gas flow. X-ray diffraction patterns of as-deposited CIGS layers on Mo showed polycrystalline chalcopyrite phase with an intense (112) plane. Splitting of (204)/(220) and (116)/(312) planes for the film with x=0.3 reveals deviation of tetragonal nature. Field emission scanning electron microscopy cross-sectional images of selenized films showed clear re-crystallization of grains. During the selenization process of the CIGS absorber, a thin interface layer of MoSe2 is formed. Line mapping of Mo/CIGS layer showed more gallium segregation at the interface of back contact resulting in band gap grading. Chemical composition and mapping of the as-deposited and selenized samples were determined by energy dispersive analysis of X-rays. This work leads to fabrication of low cost and large scale Mo/CIGS/CdS/ZnO/ZnO:Al device structure.

  3. Tandem solar cells deposited using hot-wire chemical vapor deposition

    NARCIS (Netherlands)

    Veen, M.K. van

    2003-01-01

    In this thesis, the application of the hot-wire chemical vapor deposition (HWCVD) technique for the deposition of silicon thin films is described. The HWCVD technique is based on the dissociation of silicon-containing gasses at the catalytic surface of a hot filament. Advantages of this technique

  4. Improvements in the preparation and areal characterisation of {sup 10}B and {sup 6}LiF reference deposits

    Energy Technology Data Exchange (ETDEWEB)

    Pauwels, J. [Commission of the European Communities, Geel (Belgium). Joint Research Centre; Scott, R.D. [Commission of the European Communities, Geel (Belgium). Joint Research Centre; Eykens, R. [Commission of the European Communities, Geel (Belgium). Joint Research Centre; Robouch, P. [Commission of the European Communities, Geel (Belgium). Joint Research Centre; Van Gestel, J. [Commission of the European Communities, Geel (Belgium). Joint Research Centre; Verdonck, J. [Commission of the European Communities, Geel (Belgium). Joint Research Centre; Gilliam, D.M. [National Inst. of Standards and Technology, Gaithersburg, MD (United States); Greene, G. [National Inst. of Standards and Technology, Gaithersburg, MD (United States)

    1995-08-01

    The preparation and characterisation of a new series of {sup 10}B and {sup 6}LiF reference deposits for accurate neutron fluence rate measurements are described and compared to those of an earlier campaign. Important improvements have been made by designing and building a new planetary rotating substrate holder and by using an optimized vacuum deposition set-up. Both deposition homogeneity and edge sharpness were significantly improved. However, a hitherto unrecognized source of border effect, i.e. mask expansion during the vacuum deposition process, was observed and discussed. (orig.).

  5. Hot-wire chemical vapour deposition of carbon nanotubes

    CSIR Research Space (South Africa)

    Cummings, FR

    2006-07-01

    Full Text Available ablation of graphite, carbon-arc discharge and chemical vapour deposition (CVD). However, some of these techniques have been shown to be expensive due to high deposition temperatures and are not easily controllable. Recently hot-wire chemical vapour...

  6. Chemically deposited Sb2S3 thin films for optical recording

    International Nuclear Information System (INIS)

    Shaji, S; Arato, A; Castillo, G Alan; Palma, M I Mendivil; Roy, T K Das; Krishnan, B; O'Brien, J J; Liu, J

    2010-01-01

    Laser induced changes in the properties of Sb 2 S 3 thin films prepared by chemical bath deposition are described in this paper. Sb 2 S 3 thin films of thickness 550 nm were deposited from a solution containing SbCl 3 and Na 2 S 2 O 3 at 27 0 C for 5 h. These thin films were irradiated by a 532 nm continuous wave laser beam under different conditions at ambient atmosphere. X-ray diffraction analysis showed amorphous to polycrystalline transformation due to laser exposure of these thin films. Morphology and composition of these films were described. Optical properties of these films before and after laser irradiation were analysed. The optical band gap of the material was decreased due to laser induced crystallization. The results obtained confirm that there is further scope for developing this material as an optical recording media.

  7. In-situ synchrotron x-ray study of the crystallization behavior of Ce0.9La0.1O2−x thin films deposited on NiW alloy substrates by chemical solution method

    DEFF Research Database (Denmark)

    Yue, Zhao; Grivel, Jean-Claude; Abrahamsen, Asger Bech

    2011-01-01

    The phase and texture formation of La doped CeO2 (CLO) films deposited by the chemical solution method are studied by in situ synchrotron x-ray diffraction. It is found that the CLO crystallites forms excellent in-plane texture as soon as the phase appears at 860°C, indicating that interfacial nu...... by diffusion. The success of this work demonstrates the possibility of studying crystallization behaviors of solution derived films using a non-destructive method, which has the potential of being applicable to most types of thin film samples.......The phase and texture formation of La doped CeO2 (CLO) films deposited by the chemical solution method are studied by in situ synchrotron x-ray diffraction. It is found that the CLO crystallites forms excellent in-plane texture as soon as the phase appears at 860°C, indicating that interfacial...

  8. ZnO crystal growth on microelectrode by electrochemical deposition method

    International Nuclear Information System (INIS)

    Kondo, Y; Ashida, A; Nouzu, N; Fujimura, N

    2011-01-01

    Zinc Oxide crystals were grown by constant potential electrochemical deposition method on the substrate with the Pt working electrode which consists of Pt film with large area and μm-sized line and space structured area. In case of depositions with cathodic potential of -0.3V, ZnO crystal is not observed on the micro electrode, but observed on the electrode with large area (0.2 cm 2 ). By using electrolyte with higher pH, ZnO crystal grows on both areas. In case of lower pH, ZnO crystal does not grow on either. From these results, the pH range for growth of ZnO on the microelectrode seems to be higher than that on the electrode with large area. And, it is expected that the pH just on the surface of μm-sized electrode is lower than that in the bulk of electrolyte. Based on these results, it can be concluded that control of the pH in vicinity of the surface is very important to ECD method for micro- and nano-scaled devices.

  9. Morphology and structure of Ti-doped diamond films prepared by microwave plasma chemical vapor deposition

    Science.gov (United States)

    Liu, Xuejie; Lu, Pengfei; Wang, Hongchao; Ren, Yuan; Tan, Xin; Sun, Shiyang; Jia, Huiling

    2018-06-01

    Ti-doped diamond films were deposited through a microwave plasma chemical vapor deposition (MPCVD) system for the first time. The effects of the addition of Ti on the morphology, microstructure and quality of diamond films were systematically investigated. Secondary ion mass spectrometry results show that Ti can be added to diamond films through the MPCVD system using tetra n-butyl titanate as precursor. The spectra from X-ray diffraction, Raman spectroscopy, and X-ray photoelectron spectroscopy and the images from scanning electron microscopy of the deposited films indicate that the diamond phase clearly exists and dominates in Ti-doped diamond films. The amount of Ti added obviously influences film morphology and the preferred orientation of the crystals. Ti doping is beneficial to the second nucleation and the growth of the (1 1 0) faceted grains.

  10. Characterisation of magnetron sputtered SmCo5 thin films

    International Nuclear Information System (INIS)

    Wang, Y.; Sood, D.K.; Kothari

    1999-01-01

    SmCo 5 thin films were deposited using DC magnetron sputtering on single crystal silicon substrate with chromium and SiO 2 top layers. Deposition was carried out at three different substrate temperatures: room temperature, 400 deg C and 600 deg C. Films were characterised by using Rutherford Backscattering Spectroscopy (RBS), X-ray Diffraction (XRD), Secondary Ion Mass Spectrometry (SIMS) and SQUID magnetometer. RBS analysis indicated that the films have excellent stoichiometry with the Sm to Co ratio of 1:5. This analysis also showed that the films deposited or annealed at high temperatures (≥600 deg C) indicated significant inter-diffusion at the interface between the barrier layer and the film. Oxygen was found to be the major impurity in the films. XRD data indicated that the films formed 1:5 and 2:17 phases under different deposition conditions. The preliminary studies of these films using magnetic force microscopy revealed the presence of magnetic domains

  11. Ternary Ag-In-S polycrystalline films deposited using chemical bath deposition for photoelectrochemical applications

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Wen-Sheng [Energy and Environmental Laboratories, Industrial Technology Research Institute, 195 Sec. 4, Chung-Hsing Road, Hsin-Chu 310, Taiwan (China); Wu, Ching-Chen [Department of Chemical Engineering, National Chung Cheng University, 168 University Road, Min-Hsiung, Chia-Yi 621, Taiwan (China); Jeng, Ming-Shan [Energy and Environmental Laboratories, Industrial Technology Research Institute, 195 Sec. 4, Chung-Hsing Road, Hsin-Chu 310, Taiwan (China); Cheng, Kong-Wei [Department of Chemical and Materials Engineering, Chang Gung University, 259 Wen-Hwa 1st Road, Kwei-Shan, Tao-Yuan 333, Taiwan (China); Huang, Chao-Ming [Department of Environmental Engineering, Kun Shan University, 949 Da Wan Road, Yung-Kang City, Tainan Hsien 710, Taiwan (China); Lee, Tai-Chou, E-mail: chmtcl@ccu.edu.tw [Department of Chemical Engineering, National Chung Cheng University, 168 University Road, Min-Hsiung, Chia-Yi 621, Taiwan (China)

    2010-04-15

    This paper describes the preparation and characterization of ternary Ag-In-S thin films deposited on indium tin oxide (ITO)-coated glass substrates using chemical bath deposition (CBD). The composition of the thin films was varied by changing the concentration ratio of [Ag]/[In] in the precursor solutions. The crystal structure, optical properties, and surface morphology of the thin films were analyzed by grazing incidence X-ray diffraction (GIXRD), UV-vis spectroscopy, and field-emission scanning electron microscopy (FE-SEM). GIXRD results indicate that the samples consisted of AgInS{sub 2} and/or AgIn{sub 5}S{sub 8} crystal phases, depending on the composition of the precursor solutions. The film thicknesses, electrical resistivity, flat band potentials, and band gaps of the samples were between 1.12 and 1.37 {mu}m, 3.73 x 10{sup -3} and 4.98 x 10{sup 4} {Omega} cm, -0.67 and -0.90 V vs. NHE, and 1.83 and 1.92 eV, respectively. The highest photocurrent density was observed in the sample with [Ag]/[In] = 4. A photocurrent density of 9.7 mA cm{sup -2} was obtained with an applied potential of 0.25 V vs. SCE in the three-electrode system. The photoresponse experiments were conducted in 0.25 M K{sub 2}SO{sub 3} and 0.35 M Na{sub 2}S aqueous electrolyte solutions under irradiation by a 300 W Xe light (100 mW cm{sup -2}). The results show that ternary Ag-In-S thin film electrodes have potential in water splitting applications.

  12. Crystallization kinetics of GeTe phase-change thin films grown by pulsed laser deposition

    Science.gov (United States)

    Sun, Xinxing; Thelander, Erik; Gerlach, Jürgen W.; Decker, Ulrich; Rauschenbach, Bernd

    2015-07-01

    Pulsed laser deposition was employed to the growth of GeTe thin films on Silicon substrates. X-ray diffraction measurements reveal that the critical crystallization temperature lies between 220 and 240 °C. Differential scanning calorimetry was used to investigate the crystallization kinetics of the as-deposited films, determining the activation energy to be 3.14 eV. Optical reflectivity and in situ resistance measurements exhibited a high reflectivity contrast of ~21% and 3-4 orders of magnitude drop in resistivity of the films upon crystallization. The results show that pulsed laser deposited GeTe films can be a promising candidate for phase-change applications.

  13. Crystallization kinetics of GeTe phase-change thin films grown by pulsed laser deposition

    International Nuclear Information System (INIS)

    Sun, Xinxing; Thelander, Erik; Gerlach, Jürgen W; Decker, Ulrich; Rauschenbach, Bernd

    2015-01-01

    Pulsed laser deposition was employed to the growth of GeTe thin films on Silicon substrates. X-ray diffraction measurements reveal that the critical crystallization temperature lies between 220 and 240 °C. Differential scanning calorimetry was used to investigate the crystallization kinetics of the as-deposited films, determining the activation energy to be 3.14 eV. Optical reflectivity and in situ resistance measurements exhibited a high reflectivity contrast of ∼21% and 3–4 orders of magnitude drop in resistivity of the films upon crystallization. The results show that pulsed laser deposited GeTe films can be a promising candidate for phase-change applications. (paper)

  14. Chemical and physical characterisation of biomass-based pyrolysis oils. Literature view

    Energy Technology Data Exchange (ETDEWEB)

    Fagernaes, L [VTT Energy, Espoo (Finland). Energy Production Technologies

    1996-12-31

    Biomass-based pyrolysis oils are complex mixtures of mainly organic compounds and water. The determination of their physical and chemical properties and chemical composition is a challenge for researchers. Characterisation of biomass pyrolysis oils has been studied at many universities in North America and Europe in the 1980s and 1990s. The existing literature on the analytical methods used for these oils is reviewed in this report. For characterising the chemical composition, the bio-oils have first been mainly fractionated into different classes. Solvent extraction and adsorption chromatography are the most general methods used. In adsorption chromatography, the oils have been fractionated into different hydrocarbon and polar fractions. The fractions obtained have been analysed with various chromatographic and spectroscopic methods. Gas chromatography/mass spectrometry (GC/MS) technique is the analytical method most widely used and well adaptable for the fractions. For high-molecular-mass and highly polar compounds liquid chromatographic (LC) techniques as well as infrared (FT-IR) and nuclear magnetic resonance (1H NMR and 13C NMR) spectroscopies are more suitable due to the low volatility of pyrolysis oils. For whole pyrolysis oils, LC techniques, primarily size exclusion chromatography and FT-IR and FT-NMR spectroscopies have proved to be useful methods

  15. Chemical and physical characterisation of biomass-based pyrolysis oils. Literature view

    Energy Technology Data Exchange (ETDEWEB)

    Fagernaes, L. [VTT Energy, Espoo (Finland). Energy Production Technologies

    1995-12-31

    Biomass-based pyrolysis oils are complex mixtures of mainly organic compounds and water. The determination of their physical and chemical properties and chemical composition is a challenge for researchers. Characterisation of biomass pyrolysis oils has been studied at many universities in North America and Europe in the 1980s and 1990s. The existing literature on the analytical methods used for these oils is reviewed in this report. For characterising the chemical composition, the bio-oils have first been mainly fractionated into different classes. Solvent extraction and adsorption chromatography are the most general methods used. In adsorption chromatography, the oils have been fractionated into different hydrocarbon and polar fractions. The fractions obtained have been analysed with various chromatographic and spectroscopic methods. Gas chromatography/mass spectrometry (GC/MS) technique is the analytical method most widely used and well adaptable for the fractions. For high-molecular-mass and highly polar compounds liquid chromatographic (LC) techniques as well as infrared (FT-IR) and nuclear magnetic resonance (1H NMR and 13C NMR) spectroscopies are more suitable due to the low volatility of pyrolysis oils. For whole pyrolysis oils, LC techniques, primarily size exclusion chromatography and FT-IR and FT-NMR spectroscopies have proved to be useful methods

  16. A cell for the controllable thermal treatment and electrochemical characterisation of single crystal alloy electrodes

    DEFF Research Database (Denmark)

    Bondarenko, Alexander S.; Stephens, Ifan E.L.; Chorkendorff, Ib

    2012-01-01

    be performed in this cell. These include preparation and basic voltammetric characterisation of Cu/Pt(111) near-surface and surface alloys where monolayer amounts of Cu are located in the 1st and 2nd layers, respectively. The cell can also be useful for “electrochemical atomic layer epitaxy” to assemble...... multilayers using repetitive underpotential deposition....

  17. Comparative Study of Furnace and Flash Lamp Annealed Silicon Thin Films Grown by Plasma Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Maheshwar Shrestha

    2018-03-01

    Full Text Available Low-temperature growth of microcrystalline silicon (mc-Si is attractive for many optoelectronic device applications. This paper reports a detailed comparison of optical properties, microstructure, and morphology of amorphous silicon (a-Si thin films crystallized by furnace annealing and flash lamp annealing (FLA at temperatures below the softening point of glass substrate. The initial a-Si films were grown by plasma enhanced chemical vapor deposition (PECVD. Reflectance measurement indicated characteristic peak in the UV region ~280 nm for the furnace annealed (>550 °C and flash lamp annealed films, which provided evidence of crystallization. The film surface roughness increased with increasing the annealing temperature as well as after the flash lamp annealing. X-ray diffraction (XRD measurement indicated that the as-deposited samples were purely amorphous and after furnace crystallization, the crystallites tended to align in one single direction (202 with uniform size that increased with the annealing temperature. On the other hand, the flash lamp crystalized films had randomly oriented crystallites with different sizes. Raman spectroscopy showed the crystalline volume fraction of 23.5%, 47.3%, and 61.3% for the samples annealed at 550 °C, 650 °C, and with flash lamp, respectively. The flash lamp annealed film was better crystallized with rougher surface compared to furnace annealed ones.

  18. Cr2O3 thin films grown at room temperature by low pressure laser chemical vapour deposition

    International Nuclear Information System (INIS)

    Sousa, P.M.; Silvestre, A.J.; Conde, O.

    2011-01-01

    Chromia (Cr 2 O 3 ) has been extensively explored for the purpose of developing widespread industrial applications, owing to the convergence of a variety of mechanical, physical and chemical properties in one single oxide material. Various methods have been used for large area synthesis of Cr 2 O 3 films. However, for selective area growth and growth on thermally sensitive materials, laser-assisted chemical vapour deposition (LCVD) can be applied advantageously. Here we report on the growth of single layers of pure Cr 2 O 3 onto sapphire substrates at room temperature by low pressure photolytic LCVD, using UV laser radiation and Cr(CO) 6 as chromium precursor. The feasibility of the LCVD technique to access selective area deposition of chromia thin films is demonstrated. Best results were obtained for a laser fluence of 120 mJ cm -2 and a partial pressure ratio of O 2 to Cr(CO) 6 of 1.0. Samples grown with these experimental parameters are polycrystalline and their microstructure is characterised by a high density of particles whose size follows a lognormal distribution. Deposition rates of 0.1 nm s -1 and mean particle sizes of 1.85 μm were measured for these films.

  19. Effect of deposition temperature on electron-beam evaporated polycrystalline silicon thin-film and crystallized by diode laser

    Energy Technology Data Exchange (ETDEWEB)

    Yun, J., E-mail: j.yun@unsw.edu.au; Varalmov, S.; Huang, J.; Green, M. A. [School of Photovoltaic and Renewable Energy Engineering, University of New South Wales, Sydney, New South Wales 2052 (Australia); Kim, K. [School of Photovoltaic and Renewable Energy Engineering, University of New South Wales, Sydney, New South Wales 2052 (Australia); Suntech R and D Australia, Botany, New South Wales 2019 (Australia)

    2014-06-16

    The effects of the deposition temperature on the microstructure, crystallographic orientation, and electrical properties of a 10-μm thick evaporated Si thin-film deposited on glass and crystallized using a diode laser, are investigated. The crystallization of the Si thin-film is initiated at a deposition temperature between 450 and 550 °C, and the predominant (110) orientation in the normal direction is found. Pole figure maps confirm that all films have a fiber texture and that it becomes stronger with increasing deposition temperature. Diode laser crystallization is performed, resulting in the formation of lateral grains along the laser scan direction. The laser power required to form lateral grains is higher in case of films deposited below 450 °C for all scan speeds. Pole figure maps show 75% occupancies of the (110) orientation in the normal direction when the laser crystallized film is deposited above 550 °C. A higher density of grain boundaries is obtained when the laser crystallized film is deposited below 450 °C, which limits the solar cell performance by n = 2 recombination, and a performance degradation is expected due to severe shunting.

  20. Aluminium phosphate sulphate minerals (APS) associated with proterozoic unconformity-type uranium deposits: crystal-chemical characterisation and petrogenetic significance; Les sulfates phosphates d'aluminium hydrates (APS) dans l'environnement des gisements d'uranium associes a une discordance proterozoique: caracterisation cristallochimique et signification petrogenetique

    Energy Technology Data Exchange (ETDEWEB)

    Gaboreau, St

    2005-07-01

    Aluminium phosphate sulfate minerals (APS) are particularly widespread and spatially associated with hydrothermal clay alteration in both the East Alligator River Uranium Field (Northern Territory, Australia) and the Athabasca basin (Saskatchewan, Canada), in the environment of proterozoic unconformity-related uranium deposits (URUD). The purpose of this study is both: 1) to characterize the nature and the origin of the APS minerals on both sides of the middle proterozoic unconformity between the overlying sandstones and the underlying metamorphic basement rocks that host the uranium ore bodies, 2) to improve our knowledge on the suitability of these minerals to indicate the paleo-conditions (redox, pH) at which the alteration processes relative to the uranium deposition operated. The APS minerals result from the interaction of oxidising and relatively acidic fluids with aluminous host rocks enriched in monazite. Several APS-bearing clay assemblages and APS crystal-chemistry have also been distinguished as a function of the distance from the uranium ore bodies or from the structural discontinuities which drained the hydrothermal solutions during the mineralisation event. One of the main results of this study is that the index mineral assemblages, used in the recent literature to describe the alteration zones around the uranium ore bodies, can be theoretically predicted by a set of thermodynamic calculations which simulate different steps of fluid-rock interaction processes related to a downward penetrating of hyper-saline, oxidizing and acidic diagenetic fluids through the lower sandstone units of the basins and then into the metamorphic basement rocks. The above considerations and the fact that APS with different crystal-chemical compositions crystallized in a range of fO{sub 2} and pH at which uranium can either be transported in solution or precipitated as uraninite in the host-rocks make these minerals not only good markers of the degree of alteration of the

  1. Copper-vapor-catalyzed chemical vapor deposition of graphene on dielectric substrates

    Science.gov (United States)

    Yang, Chao; Wu, Tianru; Wang, Haomin; Zhang, Xuefu; Shi, Zhiyuan; Xie, Xiaoming

    2017-07-01

    Direct synthesis of high-quality graphene on dielectric substrates is important for its application in electronics. In this work, we report the process of copper-vapor-catalyzed chemical vapor deposition of high-quality and large graphene domains on various dielectric substrates. The copper vapor plays a vital role on the growth of transfer-free graphene. Both single-crystal domains that are much larger than previous reports and high-coverage graphene films can be obtained by adjusting the growth duration. The quality of the obtained graphene was verified to be comparable with that of graphene grown on Cu foil. The progress reported in this work will aid the development of the application of transfer-free graphene in the future.

  2. CdS films deposited by chemical bath under rotation

    International Nuclear Information System (INIS)

    Oliva-Aviles, A.I.; Patino, R.; Oliva, A.I.

    2010-01-01

    Cadmium sulfide (CdS) films were deposited on rotating substrates by the chemical bath technique. The effects of the rotation speed on the morphological, optical, and structural properties of the films were discussed. A rotating substrate-holder was fabricated such that substrates can be taken out from the bath during the deposition. CdS films were deposited at different deposition times (10, 20, 30, 40 and 50 min) onto Corning glass substrates at different rotation velocities (150, 300, 450, and 600 rpm) during chemical deposition. The chemical bath was composed by CdCl 2 , KOH, NH 4 NO 3 and CS(NH 2 ) 2 as chemical reagents and heated at 75 deg. C. The results show no critical effects on the band gap energy and the surface roughness of the CdS films when the rotation speed changes. However, a linear increase on the deposition rate with the rotation energy was observed, meanwhile the stoichiometry was strongly affected by the rotation speed, resulting a better 1:1 Cd/S ratio as speed increases. Rotation effects may be of interest in industrial production of CdTe/CdS solar cells.

  3. CdS films deposited by chemical bath under rotation

    Energy Technology Data Exchange (ETDEWEB)

    Oliva-Aviles, A.I., E-mail: aoliva@mda.cinvestav.mx [Centro de Investigacion y de Estudios Avanzados Unidad Merida, Departamento de Fisica Aplicada. A.P. 73-Cordemex, 97310 Merida, Yucatan (Mexico); Patino, R.; Oliva, A.I. [Centro de Investigacion y de Estudios Avanzados Unidad Merida, Departamento de Fisica Aplicada. A.P. 73-Cordemex, 97310 Merida, Yucatan (Mexico)

    2010-08-01

    Cadmium sulfide (CdS) films were deposited on rotating substrates by the chemical bath technique. The effects of the rotation speed on the morphological, optical, and structural properties of the films were discussed. A rotating substrate-holder was fabricated such that substrates can be taken out from the bath during the deposition. CdS films were deposited at different deposition times (10, 20, 30, 40 and 50 min) onto Corning glass substrates at different rotation velocities (150, 300, 450, and 600 rpm) during chemical deposition. The chemical bath was composed by CdCl{sub 2}, KOH, NH{sub 4}NO{sub 3} and CS(NH{sub 2}){sub 2} as chemical reagents and heated at 75 deg. C. The results show no critical effects on the band gap energy and the surface roughness of the CdS films when the rotation speed changes. However, a linear increase on the deposition rate with the rotation energy was observed, meanwhile the stoichiometry was strongly affected by the rotation speed, resulting a better 1:1 Cd/S ratio as speed increases. Rotation effects may be of interest in industrial production of CdTe/CdS solar cells.

  4. Short review on chemical bath deposition of thin film and characterization

    Energy Technology Data Exchange (ETDEWEB)

    Mugle, Dhananjay, E-mail: dhananjayforu@gmail.com; Jadhav, Ghanshyam, E-mail: ghjadhav@rediffmail.com [Depertment of Physics, Shri Chhatrapati Shivaji College, Omerga-413606 (India)

    2016-05-06

    This reviews the theory of early growth of the thin film using chemical deposition methods. In particular, it critically reviews the chemical bath deposition (CBD) method for preparation of thin films. The different techniques used for characterizations of the chemically films such as X-ray diffractometer (XRD), Scanning electron microscopy (SEM), Transmission electron microscopy (TEM), Electrical conductivity and Energy Dispersive Spectroscopy (EDS) are discussed. Survey shows the physical and chemical properties solely depend upon the time of deposition, temperature of deposition.

  5. Chemical vapour deposition synthetic diamond: materials, technology and applications

    International Nuclear Information System (INIS)

    Balmer, R S; Brandon, J R; Clewes, S L; Dhillon, H K; Dodson, J M; Friel, I; Inglis, P N; Madgwick, T D; Markham, M L; Mollart, T P; Perkins, N; Scarsbrook, G A; Twitchen, D J; Whitehead, A J; Wilman, J J; Woollard, S M

    2009-01-01

    Substantial developments have been achieved in the synthesis of chemical vapour deposition (CVD) diamond in recent years, providing engineers and designers with access to a large range of new diamond materials. CVD diamond has a number of outstanding material properties that can enable exceptional performance in applications as diverse as medical diagnostics, water treatment, radiation detection, high power electronics, consumer audio, magnetometry and novel lasers. Often the material is synthesized in planar form; however, non-planar geometries are also possible and enable a number of key applications. This paper reviews the material properties and characteristics of single crystal and polycrystalline CVD diamond, and how these can be utilized, focusing particularly on optics, electronics and electrochemistry. It also summarizes how CVD diamond can be tailored for specific applications, on the basis of the ability to synthesize a consistent and engineered high performance product.

  6. The structure and growth mechanism of Si nanoneedles prepared by plasma-enhanced chemical vapor deposition

    Czech Academy of Sciences Publication Activity Database

    Červenka, Jiří; Ledinský, Martin; Stuchlík, Jiří; Stuchlíková, The-Ha; Bakardjieva, Snejana; Hruška, Karel; Fejfar, Antonín; Kočka, Jan

    2010-01-01

    Roč. 21, č. 41 (2010), 415604/1-415604/7 ISSN 0957-4484 R&D Projects: GA MŠk(CZ) LC06040; GA AV ČR KAN400100701; GA MŠk LC510 EU Projects: European Commission(XE) 240826 - PolySiMode Institutional research plan: CEZ:AV0Z10100521; CEZ:AV0Z40320502 Keywords : nanoneedles * nanowires * silicon * plasma * chemical vapor deposition * crystal structure * growth * phonon * SEM * Raman Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.644, year: 2010

  7. Folding two dimensional crystals by swift heavy ion irradiation

    International Nuclear Information System (INIS)

    Ochedowski, Oliver; Bukowska, Hanna; Freire Soler, Victor M.; Brökers, Lara; Ban-d'Etat, Brigitte; Lebius, Henning; Schleberger, Marika

    2014-01-01

    Ion irradiation of graphene, the showcase model of two dimensional crystals, has been successfully applied to induce various modifications in the graphene crystal. One of these modifications is the formation of origami like foldings in graphene which are created by swift heavy ion irradiation under glancing incidence angle. These foldings can be applied to locally alter the physical properties of graphene like mechanical strength or chemical reactivity. In this work we show that the formation of foldings in two dimensional crystals is not restricted to graphene but can be applied for other materials like MoS 2 and hexagonal BN as well. Further we show that chemical vapour deposited graphene forms foldings after swift heavy ion irradiation while chemical vapour deposited MoS 2 does not

  8. Effect of vapor-phase oxygen on chemical vapor deposition growth of graphene

    Science.gov (United States)

    Terasawa, Tomo-o.; Saiki, Koichiro

    2015-03-01

    To obtain a large-area single-crystal graphene, chemical vapor deposition (CVD) growth on Cu is considered the most promising. Recently, the surface oxygen on Cu has been found to suppress the nucleation of graphene. However, the effect of oxygen in the vapor phase was not elucidated sufficiently. Here, we investigate the effect of O2 partial pressure (PO2) on the CVD growth of graphene using radiation-mode optical microscopy. The nucleation density of graphene decreases monotonically with PO2, while its growth rate reaches a maximum at a certain pressure. Our results indicate that PO2 is an important parameter to optimize in the CVD growth of graphene.

  9. The preparation and cathodoluminescence of ZnS nanowires grown by chemical vapor deposition

    Science.gov (United States)

    Huang, Meng-Wen; Cheng, Yin-Wei; Pan, Ko-Ying; Chang, Chen-Chuan; Shieu, F. S.; Shih, Han C.

    2012-11-01

    Single crystal ZnS nanowires were successfully synthesized in large quantities on Si (1 0 0) substrates by simple thermal chemical vapor deposition without using any catalyst. The morphology, composition, and crystal structure were characterized by field emission scanning electron microscopy (FESEM), X-ray diffraction (XRD), high-resolution transmission electron microscopy (HRTEM), energy-dispersive X-ray spectroscopy (EDX), X-ray photoelectron spectroscopy (XPS), and cathodoluminescence (CL) spectroscopy. SEM observations show that the nanowires have diameters about 20-50 nm and lengths up to several tens of micrometers. XRD and TEM results confirmed that the nanowires exhibited both wurtzite and zinc blende structures with growth directions aligned along [0 0 0 2] and [1 1 1], respectively. The CL spectrum revealed emission bands in the UV and blue regions. The blue emissions at 449 and ˜581 nm were attributed to surface states and impurity-related defects of the nanowires, respectively. The perfect crystal structure of the nanowires indicates their potential applications in nanotechnology and in the fabrication of nanodevices.

  10. CHEMICAL VAPOUR DEPOSITION FROM A RADIATION-SENSITIVE PRECURSOR

    DEFF Research Database (Denmark)

    2017-01-01

    The present invention relates in one aspect to a method of depositing a thin film on a substrate by chemical vapour deposition (CVD) from a radiation-sensitive precursor substance. The method comprises the steps of: (i) placing the substrate in a reaction chamber of a CVD system; (ii) heating...... heating pulse followed by an idle period; (iii) during at least one of the idle periods, providing a pressure pulse of precursor substance inside the reaction chamber by feeding at least one precursor substance to the reaction chamber so as to establish a reaction partial pressure for thin film deposition...... is formed. According to a further aspect, the invention relates to a chemical vapour deposition (CVD) system for depositing a thin film onto a substrate using precursor substances containing at least one radiation sensitive species....

  11. Understanding the chemical vapor deposition of diamond: recent progress

    International Nuclear Information System (INIS)

    Butler, J E; Mankelevich, Y A; Cheesman, A; Ma, Jie; Ashfold, M N R

    2009-01-01

    In this paper we review and provide an overview to the understanding of the chemical vapor deposition (CVD) of diamond materials with a particular focus on the commonly used microwave plasma-activated chemical vapor deposition (MPCVD). The major topics covered are experimental measurements in situ to diamond CVD reactors, and MPCVD in particular, coupled with models of the gas phase chemical and plasma kinetics to provide insight into the distribution of critical chemical species throughout the reactor, followed by a discussion of the surface chemical process involved in diamond growth.

  12. Deposition of controllable preferred orientation silicon films on glass by inductively coupled plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Junshuai; Wang Jinxiao; Yin Min; Gao Pingqi; He Deyan; Chen Qiang; Li Yali; Shirai, Hajime

    2008-01-01

    An inductively coupled plasma (ICP) system with the adjustable distance between the inductance coil and substrates was designed to effectively utilize the spatial confinement of ICP discharge, and then control the gas-phase transport process. The effects of the gas phase processes on the crystallinity and preferred orientation of silicon films deposited on glass were systematically investigated. The investigation was conducted in the ICP-chemical vapor deposition process with the precursor gas of a SiH 4 /H 2 mixture at a substrate temperature of 350 deg. Highly crystallized silicon films with different preferred orientations, (111) or (220), could be selectively deposited by adjusting the SiH 4 dilution ratio [R=[SiH 4 ]/([SiH 4 ]+[H 2 ])] or total working pressure. When the total working pressure is 20 Pa, the crystallinity of the silicon films increases with the increase of the SiH 4 dilution ratio, while the preferred orientation was changed from (111) to (220). In the case of the fixed SiH 4 dilution (10%), the silicon film with I (220) /I (111) of about 3.5 and Raman crystalline fraction of about 89.6% has been deposited at 29.7 nm/min when the total working pressure was increased to 40 Pa. At the fixed SiH 4 partial pressure of 2 Pa, the film crystallinity decreases and the preferred orientation is always (111) with increasing the H 2 partial pressure from 18 to 58 Pa. Atomic force microscope reveals that the film deposited at a relatively high H 2 partial pressure has a very rough surface caused by the devastating etching of H atoms to the silicon network

  13. Towards UV imaging sensors based on single-crystal diamond chips for spectroscopic applications

    Energy Technology Data Exchange (ETDEWEB)

    De Sio, A. [Department of Astronomy and Space Science, University of Firenze, Largo E. Fermi 2, 50125 Florence (Italy)], E-mail: desio@arcetri.astro.it; Bocci, A. [Department of Astronomy and Space Science, University of Firenze, Largo E. Fermi 2, 50125 Florence (Italy); Bruno, P.; Di Benedetto, R.; Greco, V.; Gullotta, G. [INAF-Astrophysical Observatory of Catania (Italy); Marinelli, M. [INFN-Department of Mechanical Engineering, University of Roma ' Tor Vergata' (Italy); Pace, E. [Department of Astronomy and Space Science, University of Firenze, Largo E. Fermi 2, 50125 Florence (Italy); Rubulotta, D.; Scuderi, S. [INAF-Astrophysical Observatory of Catania (Italy); Verona-Rinati, G. [INFN-Department of Mechanical Engineering, University of Roma ' Tor Vergata' (Italy)

    2007-12-11

    The recent improvements achieved in the Homoepitaxial Chemical Vapour Deposition technique have led to the production of high-quality detector-grade single-crystal diamonds. Diamond-based detectors have shown excellent performances in UV and X-ray detection, paving the way for applications of diamond technology to the fields of space astronomy and high-energy photon detection in harsh environments or against strong visible light emission. These applications are possible due to diamond's unique properties such as its chemical inertness and visible blindness, respectively. Actually, the development of linear array detectors represents the main issue for a full exploitation of diamond detectors. Linear arrays are a first step to study bi-dimensional sensors. Such devices allow one to face the problems related to pixel miniaturisation and of signal read-out from many channels. Immediate applications would be in spectroscopy, where such arrays are preferred. This paper reports on the development of imaging detectors made by our groups, starting from the material growth and characterisation, through the design, fabrication and packaging of 2xn pixel arrays, to their electro-optical characterisation in terms of UV sensitivity, uniformity of the response and to the development of an electronic circuit suitable to read-out very low photocurrent signals. The detector and its electronic read-out were then tested using a 2x5 pixel array based on a single-crystal diamond. The results will be discussed in the framework of the development of an imager device for X-UV astronomy applications in space missions.

  14. Effect of nickel oxide seed layers on annealed-amorphous titanium oxide thin films prepared using plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Cheng-Yang; Hong, Shao-Chyang; Hwang, Fu-Tsai; Lai, Li-Wen; Lin, Tan-Wei; Liu, Day-Shan

    2011-01-01

    The effect of a nickel oxide (NiO x ) seed layer on the crystallization and photocatalytic activity of the sequentially plasma-enhanced chemical vapor deposited amorphous titanium oxide (TiO x ) thin film processed by a post-annealing process was investigated. The evolution of the crystalline structures, chemical bond configurations, and surface/cross-sectional morphologies of the annealed TiO x films, with and without a NiO x seed layer, was examined using X-ray diffractometer, Fourier transform infrared spectrometry, X-ray photoelectron spectroscopy, atomic force microscopy, and field emission scanning electron microscope measurements. Thermo- and photo-induced hydrophilicity was determined by measuring the contact angle of water droplet. Photocatalytic activity after UV light irradiation was evaluated from the decolorization of a methylene blue solution. The crystallization temperature of the TiO x film, deposited on a NiO x seed layer, was found to be lower than that of a pure TiO x film, further improving the thermo- and photo-induced surface super-hydrophilicity. The TiO x film deposited onto the NiO x seed layer, resulting in significant cluster boundaries, showed a rough surface morphology and proved to alleviate the anatase crystal growth by increasing the post-annealing temperature, which yielded a more active surface area and prohibited the recombination of photogenerated electrons and holes. The photocatalytic activity of the NiO x /TiO x system with such a textured surface therefore was enhanced and optimized through an adequate post-annealing process.

  15. Effect of nickel oxide seed layers on annealed-amorphous titanium oxide thin films prepared using plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Cheng-Yang; Hong, Shao-Chyang [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China); Hwang, Fu-Tsai [Department of Electro-Optical Engineering, National United University, Miao-Li, 36003, Taiwan (China); Lai, Li-Wen [ITRI South, Industrial Technology Research Institute, Liujia, Tainan, 73445, Taiwan (China); Lin, Tan-Wei [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China); Liu, Day-Shan, E-mail: dsliu@sunws.nfu.edu.tw [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China)

    2011-10-31

    The effect of a nickel oxide (NiO{sub x}) seed layer on the crystallization and photocatalytic activity of the sequentially plasma-enhanced chemical vapor deposited amorphous titanium oxide (TiO{sub x}) thin film processed by a post-annealing process was investigated. The evolution of the crystalline structures, chemical bond configurations, and surface/cross-sectional morphologies of the annealed TiO{sub x} films, with and without a NiO{sub x} seed layer, was examined using X-ray diffractometer, Fourier transform infrared spectrometry, X-ray photoelectron spectroscopy, atomic force microscopy, and field emission scanning electron microscope measurements. Thermo- and photo-induced hydrophilicity was determined by measuring the contact angle of water droplet. Photocatalytic activity after UV light irradiation was evaluated from the decolorization of a methylene blue solution. The crystallization temperature of the TiO{sub x} film, deposited on a NiO{sub x} seed layer, was found to be lower than that of a pure TiO{sub x} film, further improving the thermo- and photo-induced surface super-hydrophilicity. The TiO{sub x} film deposited onto the NiO{sub x} seed layer, resulting in significant cluster boundaries, showed a rough surface morphology and proved to alleviate the anatase crystal growth by increasing the post-annealing temperature, which yielded a more active surface area and prohibited the recombination of photogenerated electrons and holes. The photocatalytic activity of the NiO{sub x}/TiO{sub x} system with such a textured surface therefore was enhanced and optimized through an adequate post-annealing process.

  16. Physico-chemical properties of manufactured nanomaterials - Characterisation and relevant methods. An outlook based on the OECD Testing Programme.

    NARCIS (Netherlands)

    Rasmussen, Kirsten; Rauscher, Hubert; Mech, Agnieszka; Riego Sintes, Juan; Gilliland, Douglas; González, Mar; Kearns, Peter; Moss, Kenneth; Visser, Maaike; Groenewold, Monique; Bleeker, Eric A J

    Identifying and characterising nanomaterials require additional information on physico-chemical properties and test methods, compared to chemicals in general. Furthermore, regulatory decisions for chemicals are usually based upon certain toxicological properties, and these effects may not be

  17. Composition and crystal structure of N doped TiO2 film deposited at different O2 flow rate by direct current sputtering.

    Science.gov (United States)

    Ding, Wanyu; Ju, Dongying; Chai, Weiping

    2011-06-01

    N doped Ti02 films were deposited by direct current pulse magnetron sputtering system at room temperature. The influence of 02 flow rate on the crystal structure of deposited films was studied by Stylus profilometer, X-ray photoelectron spectroscopy, and X-ray diffractometer. The results indicate that the 02 flow rate strongly controls the growth behavior and crystal structure of N doped Ti02 film. It is found that N element mainly exists as substitutional doped state and the chemical stiochiometry is near to TiO1.68±0.06N0.11±0.01 for all film samples. N doped Ti02 film deposited with 2 sccm (standard-state cubic centimeter per minute) 02 flow rate is amorphous structure with high growth rate, which contains both anatase phase and rutile phase crystal nucleuses. In this case, the film displays the mix-phase of anatase and rutile after annealing treatment. While N doped Ti02 film deposited with 12 cm(3)/min 02 flow rate displays anatase phase before and after annealing treatment. And it should be noticed that no TiN phase appears for all samples before and after annealing treatment. Copyright © 2011 The Research Centre for Eco-Environmental Sciences, Chinese Academy of Sciences. Published by Elsevier B.V. All rights reserved.

  18. H2-dependent attachment kinetics and shape evolution in chemical vapor deposition graphene growth

    Science.gov (United States)

    Meca, Esteban; Shenoy, Vivek B.; Lowengrub, John

    2017-09-01

    Experiments on graphene growth through chemical vapor deposition (CVD) involving methane (CH4) and hydrogen (H2) gases reveal a complex shape evolution and a non-monotonic dependence on the partial pressure of H2 ({{p}{{\\text{H}2}}} ). To explain these intriguing observations, we develop a microkinetic model for the stepwise decomposition of CH4 into mobile radicals and consider two possible mechanisms of attachment to graphene crystals: CH radicals to hydrogen-decorated edges of the crystals and C radicals to bare crystal edges. We derive an effective mass flux and an effective kinetic coefficient, both of which depend on {{p}{{\\text{H}2}}} , and incorporate these into a phase field model. The model reproduces both the non-monotonic dependence on {{p}{{\\text{H}2}}} and the characteristic shapes of graphene crystals observed in experiments. At small {{p}{{\\text{H}2}}} , growth is limited by the kinetics of attachment while at large {{p}{{\\text{H}2}}} growth is limited because the effective mass flux is small. We also derive a simple analytical model that captures the non-monotone behavior, enables the two mechanisms of attachment to be distinguished and provides guidelines for CVD growth of defect-free 2D crystals.

  19. Folding two dimensional crystals by swift heavy ion irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Ochedowski, Oliver; Bukowska, Hanna [Fakultät für Physik and CENIDE, Universität Duisburg-Essen, D-47048 Duisburg (Germany); Freire Soler, Victor M. [Fakultät für Physik and CENIDE, Universität Duisburg-Essen, D-47048 Duisburg (Germany); Departament de Fisica Aplicada i Optica, Universitat de Barcelona, E08028 Barcelona (Spain); Brökers, Lara [Fakultät für Physik and CENIDE, Universität Duisburg-Essen, D-47048 Duisburg (Germany); Ban-d' Etat, Brigitte; Lebius, Henning [CIMAP (CEA-CNRS-ENSICAEN-UCBN), 14070 Caen Cedex 5 (France); Schleberger, Marika, E-mail: marika.schleberger@uni-due.de [Fakultät für Physik and CENIDE, Universität Duisburg-Essen, D-47048 Duisburg (Germany)

    2014-12-01

    Ion irradiation of graphene, the showcase model of two dimensional crystals, has been successfully applied to induce various modifications in the graphene crystal. One of these modifications is the formation of origami like foldings in graphene which are created by swift heavy ion irradiation under glancing incidence angle. These foldings can be applied to locally alter the physical properties of graphene like mechanical strength or chemical reactivity. In this work we show that the formation of foldings in two dimensional crystals is not restricted to graphene but can be applied for other materials like MoS{sub 2} and hexagonal BN as well. Further we show that chemical vapour deposited graphene forms foldings after swift heavy ion irradiation while chemical vapour deposited MoS{sub 2} does not.

  20. Effects of heat treatment on the microstructure of amorphous boron carbide coating deposited on graphite substrates by chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Siwei; Zeng Bin; Feng Zude; Liu Yongsheng; Yang Wenbin; Cheng Laifei; Zhang Litong

    2010-01-01

    A two-layer boron carbide coating is deposited on a graphite substrate by chemical vapor deposition from a CH 4 /BCl 3 /H 2 precursor mixture at a low temperature of 950 o C and a reduced pressure of 10 KPa. Coated substrates are annealed at 1600 o C, 1700 o C, 1800 o C, 1900 o C and 2000 o C in high purity argon for 2 h, respectively. Structural evolution of the coatings is explored by electron microscopy and spectroscopy. Results demonstrate that the as-deposited coating is composed of pyrolytic carbon and amorphous boron carbide. A composition gradient of B and C is induced in each deposition. After annealing, B 4 C crystallites precipitate out of the amorphous boron carbide and grow to several hundreds nanometers by receiving B and C from boron-doped pyrolytic carbon. Energy-dispersive spectroscopy proves that the crystallization is controlled by element diffusion activated by high temperature annealing, after that a larger concentration gradient of B and C is induced in the coating. Quantified Raman spectrum identifies a graphitization enhancement of pyrolytic carbon. Transmission electron microscopy exhibits an epitaxial growth of B 4 C at layer/layer interface of the annealed coatings. Mechanism concerning the structural evolution on the basis of the experimental results is proposed.

  1. Microstructural characterization of CPPD and hydroxyapatite crystal depositions on human menisci

    Energy Technology Data Exchange (ETDEWEB)

    Katsamenis, Orestis L. [Bioengineering Research Group, University of Southampton, Southampton, SO17 1BJ (United Kingdom); Department of Materials Science, University of Patras, 26504 Rio, Patras (Greece); Karoutsos, Vagelis [Department of Materials Science, University of Patras, 26504 Rio, Patras (Greece); Kontostanos, Konstantinos; Panagiotopoulos, Elias C. [Department of Orthopaedics, School of Medicine, University of Patras, 26500 Rio, Patras (Greece); Papadaki, Helen [Department of Anatomy-Histology-Embryology, School of Medicine, University of Patras, 26500 Rio, Patras (Greece); Bouropoulos, Nikolaos [Department of Materials Science, University of Patras, 26504 Rio, Patras (Greece); Foundation for Research and Technology, Hellas-Institute of Chemical Engineering and High Temperature Chemical Processes - FORTH/ICE-HT, P.O. Box 1414, GR-26504 Patras (Greece)

    2012-11-15

    Meniscus is a fibrocartilaginous tissue composed mainly of water and a dense elaborate collagen network with a predominantly circumferential alignment. Crystal formation and accumulation on meniscal tissue is frequently observed especially in elderly. In this study, we used X-ray diffraction (XRD), FTIR and FT-Raman for the structural identification of the depositions and Optical microscopy, Scanning Electron microscopy (SEM/EDX) and Atomic Force microscopy (AFM), in order to investigate the structural relationship between the crystal deposits and the collagen fibers of human meniscal tissues. We are reporting on the formation of intercalary ''colonies'' of Calcium Pyrophosphate Dihydrate (CPPD) crystals with two distinct morphologies corresponding to the monoclinic and the triclinic phase, as well as the formation of micro-aggregations composed of nano-crystalline HAP aggregations which are developed along the longitudinal axis of collagen fibers without extensively disturbing the collagens arrangement. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  2. Epitaxial Oxide Thin Films Grown by Solid Source Metal-Organic Chemical Vapor Deposition.

    Science.gov (United States)

    Lu, Zihong

    1995-01-01

    The conventional liquid source metal-organic chemical vapor deposition (MOCVD) technique is capable of producing large area, high quality, single crystal semiconductor films. However, the growth of complex oxide films by this method has been hampered by a lack of suitable source materials. While chemists have been actively searching for new source materials, the research work reported here has demonstrated the successful application of solid metal-organic sources (based on tetramethylheptanedionate) to the growth of high quality thin films of binary compound cerium dioxide (CeO_2), and two more complex materials, the ternary compound lithium niobate (LiNbO_3), with two cations, and the quaternary compound strontium barium niobate (SBN), with three cations. The growth of CeO_2 thin films on (1012)Al_2O_3 substrates has been used as a model to study the general growth behavior of oxides. Factors affecting deposition rate, surface morphology, out-of-plane mosaic structure, and film orientation have been carefully investigated. A kinetic model based on gas phase prereaction is proposed to account for the substrate temperature dependence of film orientation found in this system. Atomically smooth, single crystal quality cerium dioxide thin films have been obtained. Superconducting YBCO films sputtered on top of solid source MOCVD grown thin cerium dioxide buffer layers on sapphire have been shown to have physical properties as good as those of YBCO films grown on single crystal MgO substrates. The thin film growth of LiNbO_3 and Sr_{1-x}Ba _{x}Nb_2 O_6 (SBN) was more complex and challenging. Phase purity, transparency, in-plane orientation, and the ferroelectric polarity of LiNbO _3 films grown on sapphire substrates was investigated. The first optical quality, MOCVD grown LiNbO _3 films, having waveguiding losses of less than 2 dB/cm, were prepared. An important aspect of the SBN film growth studies involved finding a suitable single crystal substrate material. Mg

  3. Low-pressure chemical vapor deposition as a tool for deposition of thin film battery materials

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    Low Pressure Chemical Vapor Deposition was utilized for the deposition of LiCoO2 cathode materials for all-solid-state thin-film micro-batteries. To obtain insight in the deposition process, the most important process parameters were optimized for the deposition of crystalline electrode films on

  4. Chemical characterisation of MOX grinder sludge and process evaluation for its dry recycling

    Energy Technology Data Exchange (ETDEWEB)

    Mallik, G K; Fulzele, A K; Kothari, M; Bhargava, V K; Kamath, H S [Bhabha Atomic Research Centre, Tarapur (India). Advanced Fuel Fabrication Facility

    1997-09-01

    A large quantity of sludge (approximately 5%) is generated as a result of centreless grinding of MOX pellets. Plutonium and uranium are recovered from such sludge, consisting of coolant, resin and some metallic impurities, by a wet chemical route. A case has been made for the recycling of the sludge by an optimum dry route on the basis of chemical characterisation of sludge generated at Advanced Fuel Fabrication Facility using diamond grinding wheel. (author). 2 tabs.

  5. Chemical characterisation of MOX grinder sludge and process evaluation for its dry recycling

    International Nuclear Information System (INIS)

    Mallik, G.K.; Fulzele, A.K.; Kothari, M.; Bhargava, V.K.; Kamath, H.S.

    1997-01-01

    A large quantity of sludge (approximately 5%) is generated as a result of centreless grinding of MOX pellets. Plutonium and uranium are recovered from such sludge, consisting of coolant, resin and some metallic impurities, by a wet chemical route. A case has been made for the recycling of the sludge by an optimum dry route on the basis of chemical characterisation of sludge generated at Advanced Fuel Fabrication Facility using diamond grinding wheel. (author). 2 tabs

  6. Time variant layer control in atmospheric pressure chemical vapor deposition based growth of graphene

    KAUST Repository

    Qaisi, Ramy M.; Smith, Casey; Hussain, Muhammad Mustafa

    2013-01-01

    Graphene is a semi-metallic, transparent, atomic crystal structure material which is promising for its high mobility, strength and transparency - potentially applicable for radio frequency (RF) circuitry and energy harvesting and storage applications. Uniform (same number of layers), continuous (not torn or discontinuous), large area (100 mm to 200 mm wafer scale), low-cost, reliable growth are the first hand challenges for its commercialization prospect. We show a time variant uniform (layer control) growth of bi- to multi-layer graphene using atmospheric chemical vapor deposition system. We use Raman spectroscopy for physical characterization supported by electrical property analysis. © 2013 IEEE.

  7. Time variant layer control in atmospheric pressure chemical vapor deposition based growth of graphene

    KAUST Repository

    Qaisi, Ramy M.

    2013-04-01

    Graphene is a semi-metallic, transparent, atomic crystal structure material which is promising for its high mobility, strength and transparency - potentially applicable for radio frequency (RF) circuitry and energy harvesting and storage applications. Uniform (same number of layers), continuous (not torn or discontinuous), large area (100 mm to 200 mm wafer scale), low-cost, reliable growth are the first hand challenges for its commercialization prospect. We show a time variant uniform (layer control) growth of bi- to multi-layer graphene using atmospheric chemical vapor deposition system. We use Raman spectroscopy for physical characterization supported by electrical property analysis. © 2013 IEEE.

  8. Microstructural, chemical and textural characterization of ZnO nanorods synthesized by aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sáenz-Trevizo, A.; Amézaga-Madrid, P.; Fuentes-Cobas, L.; Pizá-Ruiz, P.; Antúnez-Flores, W.; Ornelas-Gutiérrez, C. [Centro de Investigación en Materiales Avanzados, S.C., Chihuahua, Chihuahua 31109 (Mexico); Pérez-García, S.A. [Centro de Investigación en Materiales Avanzados, S.C., Unidad Monterrey, Apodaca, Nuevo León 66600 (Mexico); Miki-Yoshida, M., E-mail: mario.miki@cimav.edu.mx [Centro de Investigación en Materiales Avanzados, S.C., Chihuahua, Chihuahua 31109 (Mexico)

    2014-12-15

    ZnO nanorods were synthesized by aerosol assisted chemical vapor deposition onto TiO{sub 2} covered borosilicate glass substrates. Deposition parameters were optimized and kept constant. Solely the effect of different nozzle velocities on the growth of ZnO nanorods was evaluated in order to develop a dense and uniform structure. The crystalline structure was characterized by conventional X-ray diffraction in grazing incidence and Bragg–Brentano configurations. In addition, two-dimensional grazing incidence synchrotron radiation diffraction was employed to determine the preferred growth direction of the nanorods. Morphology and growth characteristics analyzed by electron microscopy were correlated with diffraction outcomes. Chemical composition was established by X-ray photoelectron spectroscopy. X-ray diffraction results and X-ray photoelectron spectroscopy showed the presence of wurtzite ZnO and anatase TiO{sub 2} phases. Morphological changes noticed when the deposition velocity was lowered to the minimum, indicated the formation of relatively vertically oriented nanorods evenly distributed onto the TiO{sub 2} buffer film. By coupling two-dimensional X-ray diffraction and computational modeling with ANAELU it was proved that a successful texture determination was achieved and confirmed by scanning electron microscopy analysis. Texture analysis led to the conclusion of a preferred growth direction in [001] having a distribution width Ω = 20° ± 2°. - Highlights: • Uniform and pure single-crystal ZnO nanorods were obtained by AACVD technique. • Longitudinal and transversal axis parallel to the [001] and [110] directions, respectively. • Texture was determined by 2D synchrotron diffraction and electron microscopy analysis. • Nanorods have its [001] direction distributed close to the normal of the substrate. • Angular spread about the preferred orientation is 20° ± 2°.

  9. Cr{sub 2}O{sub 3} thin films grown at room temperature by low pressure laser chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sousa, P.M. [Universidade de Lisboa, Faculdade de Ciencias, Departamento de Fisica and ICEMS, Campo Grande, Ed. C8, 1749-016 Lisboa (Portugal); Silvestre, A.J., E-mail: asilvestre@deq.isel.ipl.p [Instituto Superior de Engenharia de Lisboa and ICEMS, R. Conselheiro Emidio Navarro 1, 1959-007 Lisboa (Portugal); Conde, O. [Universidade de Lisboa, Faculdade de Ciencias, Departamento de Fisica and ICEMS, Campo Grande, Ed. C8, 1749-016 Lisboa (Portugal)

    2011-03-31

    Chromia (Cr{sub 2}O{sub 3}) has been extensively explored for the purpose of developing widespread industrial applications, owing to the convergence of a variety of mechanical, physical and chemical properties in one single oxide material. Various methods have been used for large area synthesis of Cr{sub 2}O{sub 3} films. However, for selective area growth and growth on thermally sensitive materials, laser-assisted chemical vapour deposition (LCVD) can be applied advantageously. Here we report on the growth of single layers of pure Cr{sub 2}O{sub 3} onto sapphire substrates at room temperature by low pressure photolytic LCVD, using UV laser radiation and Cr(CO){sub 6} as chromium precursor. The feasibility of the LCVD technique to access selective area deposition of chromia thin films is demonstrated. Best results were obtained for a laser fluence of 120 mJ cm{sup -2} and a partial pressure ratio of O{sub 2} to Cr(CO){sub 6} of 1.0. Samples grown with these experimental parameters are polycrystalline and their microstructure is characterised by a high density of particles whose size follows a lognormal distribution. Deposition rates of 0.1 nm s{sup -1} and mean particle sizes of 1.85 {mu}m were measured for these films.

  10. Annealing effect on structural and optical properties of chemical bath deposited MnS thin film

    Energy Technology Data Exchange (ETDEWEB)

    Ulutas, Cemal, E-mail: cemalulutas@hakkari.edu.tr [Faculty of Education, Hakkari Universty, 30000, Hakkari (Turkey); Gumus, Cebrail [Faculty of Science and Letters, Cukurova University, 01330, Adana (Turkey)

    2016-03-25

    MnS thin film was prepared by the chemical bath deposition (CBD) method on commercial microscope glass substrate deposited at 30 °C. The as-deposited film was given thermal annealing treatment in air atmosphere at various temperatures (150, 300 and 450 °C) for 1 h. The MnS thin film was characterized by using X-ray diffraction (XRD), UV-vis spectrophotometer and Hall effect measurement system. The effect of annealing temperature on the structural, electrical and optical properties such as optical constants of refractive index (n) and energy band gap (E{sub g}) of the film was determined. XRD measurements reveal that the film is crystallized in the wurtzite phase and changed to tetragonal Mn{sub 3}O{sub 4} phase after being annealed at 300 °C. The energy band gap of film decreased from 3.69 eV to 3.21 eV based on the annealing temperature.

  11. Comparison of lanthanum substituted bismuth titanate (BLT) thin films deposited by sputtering and pulsed laser deposition

    International Nuclear Information System (INIS)

    Besland, M.P.; Djani-ait Aissa, H.; Barroy, P.R.J.; Lafane, S.; Tessier, P.Y.; Angleraud, B.; Richard-Plouet, M.; Brohan, L.; Djouadi, M.A.

    2006-01-01

    Bi 4-x La x Ti 3 O 12 (BLT x ) (x = 0 to 1) thin films were grown on silicon (100) and platinized substrates Pt/TiO 2 /SiO 2 /Si using RF diode sputtering, magnetron sputtering and pulsed laser deposition (PLD). Stoichiometric home-synthesized targets were used. Reactive sputtering was investigated in argon/oxygen gas mixture, with a pressure ranging from 0.33 to 10 Pa without heating the substrate. PLD was investigated in pure oxygen, at a chamber pressure of 20 Pa for a substrate temperature of 400-440 deg. C. Comparative structural, chemical, optical and morphological characterizations of BLT thin films have been performed by X-ray diffraction (XRD), Scanning Electron Microscopy (SEM), Energy Dispersive Spectroscopy (EDS), X-Ray Photoelectron Spectroscopy (XPS), Spectro-ellipsometric measurements (SE) and Atomic Force Microscopy (AFM). Both sputtering techniques allow to obtain uniform films with thickness ranging from 200 to 1000 nm and chemical composition varying from (Bi,La) 2 Ti 3 O 12 to (Bi,La) 4.5 Ti 3 O 12 , depending on deposition pressure and RF power. In addition, BLT films deposited by magnetron sputtering, at a pressure deposition ranging from 1.1 to 5 Pa, were well-crystallized after a post-deposition annealing at 650 deg. C in oxygen. They exhibit a refractive index and optical band gap of 2.7 and 3.15 eV, respectively. Regarding PLD, single phase and well-crystallized, 100-200 nm thick BLT films with a stoichiometric (Bi,La) 4 Ti 3 O 12 chemical composition were obtained, exhibiting in addition a preferential orientation along (200). It is worth noting that BLT films deposited by magnetron sputtering are as well-crystallized than PLD ones

  12. Structural and optical properties of nano-structured CdS thin films prepared by chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Bai, Rekha, E-mail: rekha.mittal07@gmail.com; Kumar, Dinesh; Chaudhary, Sujeet; Pandya, Dinesh K. [Thin Film Laboratory, Physics Department, Indian Institute of Technology Delhi, New Delhi-110016 (India)

    2016-05-06

    Cadmium sulfide (CdS) thin films have been deposited on conducting glass substrates by chemical bath deposition (CBD) technique. The effect of precursor concentration on the structural, morphological, compositional, and optical properties of the CdS films has been studied. Crystal structure of these CdS films is characterized by X-ray diffraction (XRD) and it reveals polycrystalline structure with mixture of cubic and wurtzite phases with grain size decreasing as precursor concentration is increased. Optical studies reveal that the CdS thin films have high transmittance in visible spectral region reaching 90% and the films possess direct optical band gap that decreases from 2.46 to 2.39 eV with decreasing bath concentration. Our study suggests that growth is nucleation controlled.

  13. Structural and optical properties of nano-structured CdS thin films prepared by chemical bath deposition

    International Nuclear Information System (INIS)

    Bai, Rekha; Kumar, Dinesh; Chaudhary, Sujeet; Pandya, Dinesh K.

    2016-01-01

    Cadmium sulfide (CdS) thin films have been deposited on conducting glass substrates by chemical bath deposition (CBD) technique. The effect of precursor concentration on the structural, morphological, compositional, and optical properties of the CdS films has been studied. Crystal structure of these CdS films is characterized by X-ray diffraction (XRD) and it reveals polycrystalline structure with mixture of cubic and wurtzite phases with grain size decreasing as precursor concentration is increased. Optical studies reveal that the CdS thin films have high transmittance in visible spectral region reaching 90% and the films possess direct optical band gap that decreases from 2.46 to 2.39 eV with decreasing bath concentration. Our study suggests that growth is nucleation controlled.

  14. Tuning the morphology of metastable MnS films by simple chemical bath deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Dhandayuthapani, T. [Directorate of Distance Education, Alagappa University, Karaikudi 630004 (India); Girish, M. [Department of Physics, Alagappa University, Karaikudi 630004 (India); Sivakumar, R., E-mail: krsivakumar1979@yahoo.com [Directorate of Distance Education, Alagappa University, Karaikudi 630004 (India); Sanjeeviraja, C. [Department of Physics, Alagappa Chettiar College of Engineering and Technology, Karaikudi 630004 (India); Gopalakrishnan, R. [Department of Physics, Anna University, Chennai 600025 (India)

    2015-10-30

    Graphical abstract: - Highlights: • MnS films with diverse morphological features were prepared without any complexing agent. • The change in morphology of MnS films may be due to the “oriented aggregation”. • The dual role (as sulfur source and structure directing agent) of thiourea was observed. • Sulfur source concentration induced enhancement in the crystallization of films. - Abstract: In the present investigation, we have prepared the spherical particles, almond-like, and cauliflower-like morphological structures of metastable MnS films on glass substrate by chemical bath deposition technique at low temperature without using any complexing or chelating agent. The morphological change of MnS films with molar ratio may be due to the oriented aggregation of adjacent particles. The compositional purity of deposited film was confirmed by the EDAX study. X-ray diffraction and micro-Raman studies confirm the sulfur source concentration induced enhancement in the crystallization of films with metastable MnS phase (zinc-blende β-MnS, and wurtzite γ-MnS). The shift in PL emission peak with molar ratio may be due to the change in optical energy band gap of the MnS, which was further confirmed by the optical absorbance study. The paramagnetic behavior of the sample was confirmed by the M–H plot.

  15. Structural, chemical and electrical characterisation of conductive graphene-polymer composite films

    Energy Technology Data Exchange (ETDEWEB)

    Brennan, Barry; Spencer, Steve J.; Belsey, Natalie A. [National Physical Laboratory, Teddington, TW11 0LW (United Kingdom); Faris, Tsegie [DZP Technologies Ltd., Future Business Centre, Cambridge, CB4 2HY (United Kingdom); Cronin, Harry [DZP Technologies Ltd., Future Business Centre, Cambridge, CB4 2HY (United Kingdom); Advanced Technology Institute (ATI), University of Surrey, Guildford, GU2 7XH (United Kingdom); Silva, S. Ravi P. [Advanced Technology Institute (ATI), University of Surrey, Guildford, GU2 7XH (United Kingdom); Sainsbury, Toby; Gilmore, Ian S. [National Physical Laboratory, Teddington, TW11 0LW (United Kingdom); Stoeva, Zlatka [DZP Technologies Ltd., Future Business Centre, Cambridge, CB4 2HY (United Kingdom); Pollard, Andrew J., E-mail: andrew.pollard@npl.co.uk [National Physical Laboratory, Teddington, TW11 0LW (United Kingdom)

    2017-05-01

    Graphical abstract: Secondary Ion Mass Spectrometry (SIMS) imaging of the dispersion of graphene within graphene-polymer composites using the Na{sup +} signal. - Highlights: • Relation of properties of graphene flakes with electrical properties of composite. • Standardised characterisation method for structural properties of graphene flakes. • Structural and chemical characterisation of commercial graphene flakes. • ToF-SIMS used to determine dispersion of graphene in polymer. - Abstract: Graphene poly-acrylic and PEDOT:PSS nanocomposite films were produced using two alternative commercial graphene powders to explore how the graphene flake dimensions and chemical composition affected the electrical performance of the film. A range of analytical techniques, including scanning electron microscopy (SEM), atomic force microscopy (AFM), Raman spectroscopy, X-ray photoelectron spectroscopy (XPS) and time-of-flight secondary ion mass spectrometry (ToF-SIMS), were employed to systematically analyse the initial graphene materials as well as the nanocomposite films. Electrical measurements indicated that the sheet resistance of the films was affected by the properties of the graphene flakes used. To further explore the composition of the films, ToF-SIMS mapping was employed and provided a direct means to elucidate the nature of the graphene dispersion in the films and to correlate this with the electrical analysis. These results reveal important implications for how the dispersion of the graphene material in films produced from printable inks can be affected by the type of graphene powder used and the corresponding effect on electrical performance of the nanocomposites. This work provides direct evidence for how accurate and comparable characterisation of the graphene material is required for real-world graphene materials to develop graphene enabled films and proposes a measurement protocol for comparing graphene materials that can be used for international

  16. Chemical deposition methods using supercritical fluid solutions

    Science.gov (United States)

    Sievers, Robert E.; Hansen, Brian N.

    1990-01-01

    A method for depositing a film of a desired material on a substrate comprises dissolving at least one reagent in a supercritical fluid comprising at least one solvent. Either the reagent is capable of reacting with or is a precursor of a compound capable of reacting with the solvent to form the desired product, or at least one additional reagent is included in the supercritical solution and is capable of reacting with or is a precursor of a compound capable of reacting with the first reagent or with a compound derived from the first reagent to form the desired material. The supercritical solution is expanded to produce a vapor or aerosol and a chemical reaction is induced in the vapor or aerosol so that a film of the desired material resulting from the chemical reaction is deposited on the substrate surface. In an alternate embodiment, the supercritical solution containing at least one reagent is expanded to produce a vapor or aerosol which is then mixed with a gas containing at least one additional reagent. A chemical reaction is induced in the resulting mixture so that a film of the desired material is deposited.

  17. Chemically deposited Sb{sub 2}S{sub 3} thin films for optical recording

    Energy Technology Data Exchange (ETDEWEB)

    Shaji, S; Arato, A; Castillo, G Alan; Palma, M I Mendivil; Roy, T K Das; Krishnan, B [Facultad de IngenierIa Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P- 66450 (Mexico); O' Brien, J J; Liu, J, E-mail: bkrishnan@fime.uanl.m [Center for Nanoscience and Department of Chemistry and Biochemistry, University of Missouri-St. Louis, One Univ. Blvd., St. Louis, MO - 63121 (United States)

    2010-02-24

    Laser induced changes in the properties of Sb{sub 2}S{sub 3} thin films prepared by chemical bath deposition are described in this paper. Sb{sub 2}S{sub 3} thin films of thickness 550 nm were deposited from a solution containing SbCl{sub 3} and Na{sub 2}S{sub 2}O{sub 3} at 27 {sup 0}C for 5 h. These thin films were irradiated by a 532 nm continuous wave laser beam under different conditions at ambient atmosphere. X-ray diffraction analysis showed amorphous to polycrystalline transformation due to laser exposure of these thin films. Morphology and composition of these films were described. Optical properties of these films before and after laser irradiation were analysed. The optical band gap of the material was decreased due to laser induced crystallization. The results obtained confirm that there is further scope for developing this material as an optical recording media.

  18. Measurement of noise in YBCO bi-crystal junctions

    International Nuclear Information System (INIS)

    Kuznik, J.; Hao, L.; Macfarlane, J.C.; Pegrum, C.M.; Fischer, G.M.; Mygind, J.; Pedersen, N.F.; Beck, A.; Gross, R.

    1993-01-01

    This paper describes collaborative work between three institutions as part of an ESPRIT programme to fabricate and characterise grain-boundary junctions. Bi-crystal junctions were fabricated at Tuebingen on SrTiO 3 substrates with a 24 misorientation angle and a-b tilt. 200nm of c-axis YBCO was sputter-deposited using a hollow-cathode magnetron, and the films patterned with optical lithography and Ar ion beam etching (3). For test purposes junctions with a range of sizes were made, with widths between 4 and 20μm. These have been characterised for noise properties at 0.3 - 1kHz and 60kHz at Strathclyde, and at 70GHz at Lyngby. (orig.)

  19. Seawater spray injury to Quercus acutissima leaves: crystal deposition, stomatal clogging, and chloroplast degeneration.

    Science.gov (United States)

    Kim, Ki Woo; Koo, Kyosang; Kim, Pan-Gi

    2011-05-01

    Effects of seawater spray on leaf structure were investigated in Quercus acutissima by electron microscopy and X-ray microanalysis. Two-year-old seedlings of Q. acutissima were sprayed with seawater and kept in a greenhouse maintained at 25°C. The most recognizable symptoms of seawater-sprayed seedlings included leaf necrosis, crystal deposition, stomatal clogging, and chloroplast degeneration. Field emission scanning electron microscopy revealed that the leaf surface was covered with additional layers of remnants of seawater spray. Composed of sodium and chloride, cube-shaped crystals (halite) were prevalently found on trichomes and epidermis, and formed aggregates. Meanwhile, wedge-shaped crystals were deposited on epidermis and consisted of calcium and sulfur. As a result of stomatal clogging by crystal deposition on the abaxial surface, it was conceivable that plant respiration became severely hampered. Transmission electron microscopy showed degenerated cytoplasm of seawater-sprayed leaves. It was common to observe severe plasmolysis and disrupted chloroplasts with a reduced number of thylakoids in grana. These results indicate that foliar applications of seawater were sufficient to induce necrosis of Q. acutissima seedlings as an abiotic disturbance factor. Copyright © 2010 Wiley-Liss, Inc.

  20. Nickel-induced crystallization of amorphous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Schmidt, J A; Arce, R D; Buitrago, R H [INTEC (CONICET-UNL), Gueemes 3450, S3000GLN Santa Fe (Argentina); Budini, N; Rinaldi, P, E-mail: jschmidt@intec.unl.edu.a [FIQ - UNL, Santiago del Estero 2829, S3000AOM Santa Fe (Argentina)

    2009-05-01

    The nickel-induced crystallization of hydrogenated amorphous silicon (a-Si:H) is used to obtain large grained polycrystalline silicon thin films on glass substrates. a-Si:H is deposited by plasma enhanced chemical vapour deposition at 200 deg. C, preparing intrinsic and slightly p-doped samples. Each sample was divided in several pieces, over which increasing Ni concentrations were sputtered. Two crystallization methods are compared, conventional furnace annealing (CFA) and rapid thermal annealing (RTA). The crystallization was followed by optical microscopy and scanning electron microscopy observations, X-ray diffraction, and reflectance measurements in the UV region. The large grain sizes obtained - larger than 100{mu}m for the samples crystallized by CFA - are very encouraging for the preparation of low-cost thin film polycrystalline silicon solar cells.

  1. Controlling the resistivity gradient in chemical vapor deposition-deposited aluminum-doped zinc oxide

    NARCIS (Netherlands)

    Ponomarev, M. V.; Verheijen, M. A.; Keuning, W.; M. C. M. van de Sanden,; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO: Al layers by focusing on the control

  2. Atom interaction propensities of oxygenated chemical functions in crystal packings

    Directory of Open Access Journals (Sweden)

    Christian Jelsch

    2017-03-01

    Full Text Available The crystal contacts of several families of hydrocarbon compounds substituted with one or several types of oxygenated chemical groups were analyzed statistically using the Hirshfeld surface methodology. The propensity of contacts to occur between two chemical types is described with the contact enrichment descriptor. The systematic large enrichment ratios of some interactions like the O—H...O hydrogen bonds suggests that these contacts are a driving force in the crystal packing formation. The same statement holds for the weaker C—H...O hydrogen bonds in ethers, esters and ketones, in the absence of polar H atoms. The over-represented contacts in crystals of oxygenated hydrocarbons are generally of two types: electrostatic attractions (hydrogen bonds and hydrophobic interactions. While Cl...O interactions are generally avoided, in a minority of chloro-oxygenated hydrocarbons, significant halogen bonding does occur. General tendencies can often be derived for many contact types, but outlier compounds are instructive as they display peculiar or rare features. The methodology also allows the detection of outliers which can be structures with errors. For instance, a significant number of hydroxylated molecules displaying over-represented non-favorable oxygen–oxygen contacts turned out to have wrongly oriented hydroxyl groups. Beyond crystal packings with a single molecule in the asymmetric unit, the behavior of water in monohydrate compounds and of crystals with Z′ = 2 (dimers are also investigated. It was found in several cases that, in the presence of several oxygenated chemical groups, cross-interactions between different chemical groups (e.g. water/alcohols; alcohols/phenols are often favored in the crystal packings. While some trends in accordance with common chemical principles are retrieved, some unexpected results can however appear. For example, in crystals of alcohol–phenol compounds, the strong O—H...O hydrogen bonds between

  3. Growth of highly oriented carbon nanotubes by plasma-enhanced hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Z.P.; Xu, J.W.; Ren, Z.F.; Wang, J.H. [Materials Synthesis Laboratory, Departments of Physics and Chemistry, and Center for Advanced Photonic and Electronic Materials (CAPEM), State University of New York at Buffalo, Buffalo, New York 14260 (United States); Siegal, M.P.; Provencio, P.N. [Sandia National Laboratories, Albuquerque, New Mexico 87185-1421 (United States)

    1998-12-01

    Highly oriented, multiwalled carbon nanotubes were grown on polished polycrystalline and single crystal nickel substrates by plasma enhanced hot filament chemical vapor deposition at temperatures below 666 {degree}C. The carbon nanotubes range from 10 to 500 nm in diameter and 0.1 to 50 {mu}m in length depending on growth conditions. Acetylene is used as the carbon source for the growth of the carbon nanotubes and ammonia is used for dilution gas and catalysis. The plasma intensity, acetylene to ammonia gas ratio, and their flow rates, etc. affect the diameters and uniformity of the carbon nanotubes. {copyright} {ital 1998 American Institute of Physics.}

  4. Characterisation of nanocrystalline CdS thin films deposited by CBD

    International Nuclear Information System (INIS)

    Devi, R.; Sarma, B.K.

    2006-01-01

    Nanocrystalline thin films of CdS are deposited on glass substrates by chemical bath deposition using polyvinyl alcohol (PVA) matrix solution. Crystallite sizes of the films are determined from X-ray diffraction and are found to vary from 5.4 nm to 7 nm. The band gaps of the nanocrystalline material is determined from the U-V spectrograph and are found to be within the range from 2.6 eV to 2.8 eV as grain size decreases. The band gaps are also determined from the dependence of electrical conductivity of the films with temperature. An increase of molarity decreases the grain size which in turn increases the band gap. (author)

  5. Hazard characterisation of chemicals in food and diet : dose response, mechanisms and extrapolation issues

    NARCIS (Netherlands)

    Dybing, E.; Doe, J.; Groten, J.; Kleiner, J.; O'Brien, J.; Renwick, A.G.; Schlatter, J.; Steinberg, P.; Tritscher, A.; Walker, R.; Younes, M.

    2002-01-01

    Hazard characterisation of low molecular weight chemicals in food and diet generally use a no-observed-adverse-effect level (NOAEL) or a benchmark dose as the starting point. For hazards that are considered not to have thresholds for their mode of action, low-dose extrapolation and other modelling

  6. Crystal Growth Technology

    Science.gov (United States)

    Scheel, Hans J.; Fukuda, Tsuguo

    2004-06-01

    This volume deals with the technologies of crystal fabrication, of crystal machining, and of epilayer production and is the first book on industrial and scientific aspects of crystal and layer production. The major industrial crystals are treated: Si, GaAs, GaP, InP, CdTe, sapphire, oxide and halide scintillator crystals, crystals for optical, piezoelectric and microwave applications and more. Contains 29 contributions from leading crystal technologists covering the following topics: General aspects of crystal growth technology Silicon Compound semiconductors Oxides and halides Crystal machining Epitaxy and layer deposition Scientific and technological problems of production and machining of industrial crystals are discussed by top experts, most of them from the major growth industries and crystal growth centers. In addition, it will be useful for the users of crystals, for teachers and graduate students in materials sciences, in electronic and other functional materials, chemical and metallurgical engineering, micro-and optoelectronics including nanotechnology, mechanical engineering and precision-machining, microtechnology, and in solid-state sciences.

  7. Role of hydrogen in Sb film deposition and characterization of Sb and GexSby films deposited by cyclic plasma enhanced chemical vapor deposition using metal-organic precursors

    International Nuclear Information System (INIS)

    Kim, Hyung Keun; Jung, Jin Hwan; Choi, Doo Jin

    2012-01-01

    To meet increasing demands for chemical vapor deposition methods for high performance phase-change memory, cyclic plasma enhanced chemical vapor deposition of Sb and Ge x Sb y phase-change films and characterization of their properties were performed. Two cycle sequences were designed to investigate the role of hydrogen gas as a reduction gas during Sb film deposition. Hydrogen gas was not introduced into the reaction chamber during the purge step in cycle sequence A and was introduced during the purge step for cycle sequence B. The role of hydrogen gas was investigated by comparing the results obtained from these two cycle sequences and was concluded to exert an effect by a combination of precursor decomposition, surface maintenance as a hydrogen termination agent, and surface etching. These roles of hydrogen gas are discussed through consideration of changes in deposition rates, the oxygen concentration on the surface of the Sb film, and observations of film surface morphology. Based on these results, Ge x Sb y phase-change films were deposited with an adequate flow rate of hydrogen gas. The Ge and Sb composition of the film was controlled with the designed cycle sequences. A strong oxygen affinity for Ge was observed during the X-ray photoelectron spectroscopy analysis of Sb 3d, Sb 4d, and Ge 3d orbitals. Based on the XPS results, the ratios of Ge to Sb were calculated to be Ge 0.32 Sb 0.68 , Ge 0.38 Sb 0.62 , Ge 0.44 Sb 0.56 , Ge 0.51 Sb 0.49 and Ge 0.67 Sb 0.33 for the G1S7, G1S3, G1S2, G1S1, and G2S1 cycles, respectively. Crystal structures of Sb, Ge, and the GeSb metastable phase were observed with various Ge x Sb y film compositions. Sb crystallinity decreased with respect to Ge crystallinity by increasing the Ge fraction. A current–voltage curve was introduced, and an electro-switching phenomenon was clearly generated at a typical voltage, V th . V th values increased in conjunction with an increased proportion of Ge. The Sb crystallinity decrease and V

  8. Chemical characterisation of fine particles from biomass burning

    Energy Technology Data Exchange (ETDEWEB)

    Saarnio, K.

    2013-10-15

    Biomass burning has lately started to attract attention because there is a need to decrease the carbon dioxide (CO{sub 2}) emissions from the combustion of fossil fuels. Biomass is considered as CO{sub 2} neutral fuel. However, the burning of biomass is one of the major sources of fine particles both at the local and global scale. In addition to the use of biomass as a fuel for heat energy production, biomass burning emissions can be caused, e.g. by slash-and-burn agriculture and wild open-land fires. Indeed, the emissions from biomass burning are crucially important for the assessment of the potential impacts on global climate and local air quality and hence on human health. The chemical composition of fine particles has a notable influence on these impacts. The overall object of this thesis was to gain knowledge on the chemistry of fine particles that originate from biomass burning as well as on the contribution of biomass burning emissions to the ambient fine particle concentrations. For this purpose novel analytical methods were developed and tested in this thesis. Moreover, the thesis is based on ambient aerosol measurements that were carried out in six European countries at 12 measurement sites during 2002-2011. Additionally, wood combustion experiments were conducted in a laboratory. The measurements included a wide range of techniques: filter and impactor samplings, offline chemical analyses (chromatographic and mass spectrometric techniques, thermal-optical method), and online measurements of particles' physical properties and chemical composition (incl. particle number and mass concentrations and size distributions, concentrations of carbonaceous components, water-soluble ions, and tracer compounds). This thesis presents main results of different studies aimed towards chemical characterisation of fine particle emissions from biomass burning. It was found that wood combustion had a significant influence on atmospheric fine particle concentrations in

  9. AB stacked few layer graphene growth by chemical vapor deposition on single crystal Rh(1 1 1) and electronic structure characterization

    International Nuclear Information System (INIS)

    Kordatos, Apostolis; Kelaidis, Nikolaos; Giamini, Sigiava Aminalragia; Marquez-Velasco, Jose; Xenogiannopoulou, Evangelia; Tsipas, Polychronis; Kordas, George; Dimoulas, Athanasios

    2016-01-01

    Highlights: • Growth of non-defective few layer graphene on Rh(1 1 1) substrates using an ambient- pressure CVD method. • Control of graphene stacking order via the cool-down rate. • Graphene is grown with a mainly AB-stacking geometry on single-crystalline Rhodium for a slow cool-down rate and non-AB for a very fast cool-down. • Good epitaxial orientation of the surface is presented through the RHEED data and confirmed with ARPES characterization for the lower cool-down rate, where graphene's ΓK direction a perfectly aligned with the ΓK direction of the Rh(1 1 1) single crystal. - Abstract: Graphene synthesis on single crystal Rh(1 1 1) catalytic substrates is performed by Chemical Vapor Deposition (CVD) at 1000 °C and atmospheric pressure. Raman analysis shows full substrate coverage with few layer graphene. It is found that the cool-down rate strongly affects the graphene stacking order. When lowered, the percentage of AB (Bernal) -stacked regions increases, leading to an almost full AB stacking order. When increased, the percentage of AB-stacked graphene regions decreases to a point where almost a full non AB-stacked graphene is grown. For a slow cool-down rate, graphene with AB stacking order and good epitaxial orientation with the substrate is achieved. This is indicated mainly by Raman characterization and confirmed by Reflection high-energy electron diffraction (RHEED) imaging. Additional Scanning Tunneling Microscopy (STM) topography data confirm that the grown graphene is mainly an AB-stacked structure. The electronic structure of the graphene/Rh(1 1 1) system is examined by Angle resolved Photo-Emission Spectroscopy (ARPES), where σ and π bands of graphene, are observed. Graphene's ΓK direction is aligned with the ΓK direction of the substrate, indicating no significant contribution from rotated domains.

  10. AB stacked few layer graphene growth by chemical vapor deposition on single crystal Rh(1 1 1) and electronic structure characterization

    Energy Technology Data Exchange (ETDEWEB)

    Kordatos, Apostolis [National Center for Scientific Research “Demokritos”, Athens, 15310 (Greece); Kelaidis, Nikolaos, E-mail: n.kelaidis@inn.demokritos.gr [National Center for Scientific Research “Demokritos”, Athens, 15310 (Greece); Giamini, Sigiava Aminalragia [National Center for Scientific Research “Demokritos”, Athens, 15310 (Greece); University of Athens, Department of Physics, Section of Solid State Physics, Athens, 15684 Greece (Greece); Marquez-Velasco, Jose [National Center for Scientific Research “Demokritos”, Athens, 15310 (Greece); National Technical University of Athens, Department of Physics, Athens, 15784 Greece (Greece); Xenogiannopoulou, Evangelia; Tsipas, Polychronis; Kordas, George; Dimoulas, Athanasios [National Center for Scientific Research “Demokritos”, Athens, 15310 (Greece)

    2016-04-30

    Highlights: • Growth of non-defective few layer graphene on Rh(1 1 1) substrates using an ambient- pressure CVD method. • Control of graphene stacking order via the cool-down rate. • Graphene is grown with a mainly AB-stacking geometry on single-crystalline Rhodium for a slow cool-down rate and non-AB for a very fast cool-down. • Good epitaxial orientation of the surface is presented through the RHEED data and confirmed with ARPES characterization for the lower cool-down rate, where graphene's ΓK direction a perfectly aligned with the ΓK direction of the Rh(1 1 1) single crystal. - Abstract: Graphene synthesis on single crystal Rh(1 1 1) catalytic substrates is performed by Chemical Vapor Deposition (CVD) at 1000 °C and atmospheric pressure. Raman analysis shows full substrate coverage with few layer graphene. It is found that the cool-down rate strongly affects the graphene stacking order. When lowered, the percentage of AB (Bernal) -stacked regions increases, leading to an almost full AB stacking order. When increased, the percentage of AB-stacked graphene regions decreases to a point where almost a full non AB-stacked graphene is grown. For a slow cool-down rate, graphene with AB stacking order and good epitaxial orientation with the substrate is achieved. This is indicated mainly by Raman characterization and confirmed by Reflection high-energy electron diffraction (RHEED) imaging. Additional Scanning Tunneling Microscopy (STM) topography data confirm that the grown graphene is mainly an AB-stacked structure. The electronic structure of the graphene/Rh(1 1 1) system is examined by Angle resolved Photo-Emission Spectroscopy (ARPES), where σ and π bands of graphene, are observed. Graphene's ΓK direction is aligned with the ΓK direction of the substrate, indicating no significant contribution from rotated domains.

  11. Image analysis for material characterisation

    Science.gov (United States)

    Livens, Stefan

    In this thesis, a number of image analysis methods are presented as solutions to two applications concerning the characterisation of materials. Firstly, we deal with the characterisation of corrosion images, which is handled using a multiscale texture analysis method based on wavelets. We propose a feature transformation that deals with the problem of rotation invariance. Classification is performed with a Learning Vector Quantisation neural network and with combination of outputs. In an experiment, 86,2% of the images showing either pit formation or cracking, are correctly classified. Secondly, we develop an automatic system for the characterisation of silver halide microcrystals. These are flat crystals with a triangular or hexagonal base and a thickness in the 100 to 200 nm range. A light microscope is used to image them. A novel segmentation method is proposed, which allows to separate agglomerated crystals. For the measurement of shape, the ratio between the largest and the smallest radius yields the best results. The thickness measurement is based on the interference colours that appear for light reflected at the crystals. The mean colour of different thickness populations is determined, from which a calibration curve is derived. With this, the thickness of new populations can be determined accurately.

  12. Materials characterisation

    International Nuclear Information System (INIS)

    Azali Muhammad

    2005-01-01

    Various nuclear techniques have been developed and employed by technologies and scientists worldwide to physically and chemically characterise the material particularly those that have applications in industry. These include small angle neutron scattering (SANS), x-ray diffraction (XRD), scanning electron microscope (SEM) and transmission electron microscope (TEM) for the internal structural study of material, whereas, the x-ray fluorescence (XRF) for the chemical analysis, while the Moessbauer spectroscopy for the study on the magnetic properties and structural identity of material. Basic principle and instrumentations of the techniques are discussed in this chapter. Example of their applications in various disciplines particularly in characterisation of industrial materials also described

  13. A systematic study of atmospheric pressure chemical vapor deposition growth of large-area monolayer graphene.

    Science.gov (United States)

    Liu, Lixin; Zhou, Hailong; Cheng, Rui; Chen, Yu; Lin, Yung-Chen; Qu, Yongquan; Bai, Jingwei; Ivanov, Ivan A; Liu, Gang; Huang, Yu; Duan, Xiangfeng

    2012-01-28

    Graphene has attracted considerable interest as a potential material for future electronics. Although mechanical peel is known to produce high quality graphene flakes, practical applications require continuous graphene layers over a large area. The catalyst-assisted chemical vapor deposition (CVD) is a promising synthetic method to deliver wafer-sized graphene. Here we present a systematic study on the nucleation and growth of crystallized graphene domains in an atmospheric pressure chemical vapor deposition (APCVD) process. Parametric studies show that the mean size of the graphene domains increases with increasing growth temperature and CH 4 partial pressure, while the density of domains decreases with increasing growth temperature and is independent of the CH 4 partial pressure. Our studies show that nucleation of graphene domains on copper substrate is highly dependent on the initial annealing temperature. A two-step synthetic process with higher initial annealing temperature but lower growth temperature is developed to reduce domain density and achieve high quality full-surface coverage of monolayer graphene films. Electrical transport measurements demonstrate that the resulting graphene exhibits a high carrier mobility of up to 3000 cm 2 V -1 s -1 at room temperature.

  14. Growth of GaN micro/nanolaser arrays by chemical vapor deposition.

    Science.gov (United States)

    Liu, Haitao; Zhang, Hanlu; Dong, Lin; Zhang, Yingjiu; Pan, Caofeng

    2016-09-02

    Optically pumped ultraviolet lasing at room temperature based on GaN microwire arrays with Fabry-Perot cavities is demonstrated. GaN microwires have been grown perpendicularly on c-GaN/sapphire substrates through simple catalyst-free chemical vapor deposition. The GaN microwires are [0001] oriented single-crystal structures with hexagonal cross sections, each with a diameter of ∼1 μm and a length of ∼15 μm. A possible growth mechanism of the vertical GaN microwire arrays is proposed. Furthermore, we report room-temperature lasing in optically pumped GaN microwire arrays based on the Fabry-Perot cavity. Photoluminescence spectra exhibit lasing typically at 372 nm with an excitation threshold of 410 kW cm(-2). The result indicates that these aligned GaN microwire arrays may offer promising prospects for ultraviolet-emitting micro/nanodevices.

  15. Large-scale Fabrication of 2D Materials by Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Shivayogimath, Abhay

    . This thesis aims to address some of the challenges associated with materials fabrication in order to lay the groundwork for commercial implementation of 2D materials. To improve graphene implementation in electronic applications, copper catalyst foils were engineered to reduce surface roughness, wrinkles...... this vast range of materials - without the lattice mismatch constraints of conventional 3D materials - into atomically engineered, artificial 3D crystals that pave the way for new physics, and subsequently, for new applications. 2D materials are expected to disrupt a number of industries in the future......, such as electronics, displays, energy, and catalysis. The key bottleneck for commercial implementation is in large-scale synthesis and subsequent fabrication of high quality devices. Chemical vapor deposition is considered to be the most economically feasible synthesis method to this end. In the case of graphene...

  16. Structural and chemical transformations in SnS thin films used in chemically deposited photovoltaic cells

    International Nuclear Information System (INIS)

    Avellaneda, David; Delgado, Guadalupe; Nair, M.T.S.; Nair, P.K.

    2007-01-01

    Chemically deposited SnS thin films possess p-type electrical conductivity. We report a photovoltaic structure: SnO 2 :F-CdS-SnS-(CuS)-silver print, with V oc > 300 mV and J sc up to 5 mA/cm 2 under 850 W/m 2 tungsten halogen illumination. Here, SnO 2 :F is a commercial spray-CVD (Pilkington TEC-8) coating, and the rest deposited from different chemical baths: CdS (80 nm) at 333 K, SnS (450 nm) and CuS (80 nm) at 293-303 K. The structure may be heated in nitrogen at 573 K, before applying the silver print. The photovoltaic behavior of the structure varies with heating: V oc ∼ 400 mV and J sc 2 , when heated at 423 K in air, but V oc decreases and J sc increases when heated at higher temperatures. These photovoltaic structures have been found to be stable over a period extending over one year by now. The overall cost of materials, simplicity of the deposition process, and possibility of easily varying the parameters to improve the cell characteristics inspire further work. Here we report two different baths for the deposition of SnS thin films of about 500 nm by chemical deposition. There is a considerable difference in the nature of growth, crystalline structure and chemical stability of these films under air-heating at 623-823 K or while heating SnS-CuS layers, evidenced in XRF and grazing incidence angle XRD studies. Heating of SnS-CuS films results in the formation of SnS-Cu x SnS y . 'All-chemically deposited photovoltaic structures' involving these materials are presented

  17. Phosphorus doping and deposition pressure effects on optical and electrical properties of polysilicon

    International Nuclear Information System (INIS)

    Zaghdoudi, M.; Abdelkrim, M.M.; Fathallah, M.; Mohammed-Brahim, T.; Rogel, R.

    2006-01-01

    The optical and electrical properties of amorphously deposited and then post-crystallized silicon films are studied as a function of the deposition pressure and the phosphorus doping. Amorphous silicon films are deposited in a high pressure regime by SAPCVD (Sub-Atmospheric Pressure Chemical Vapour Deposition) to study the effect of the deposition pressure. They are also deposited in a low pressure regime by LPCVD (Low Pressure Chemical Vapour Deposition) to study the effect of a low phosphorus doping. Both types of amorphous films are then crystallized in the solid phase at 600 deg. C. Using different optical and electrical characterization techniques, the beneficial effect of a high pressure as well as of a weak phosphorus doping on the decrease of the defect density is highlighted. These results give some ways to improve the quality of polysilicon enough to be used in photovoltaic or in thin film electronic devices

  18. Structural, mechanical and light yield characterisation of heat treated LYSO:Ce single crystals for medical imaging applications

    CERN Document Server

    Mengucci, P; Auffray, E; Barucca, G; Cecchi, C; Chipaux, R; Cousson, A; Davì, F; Di Vara, N; Rinaldi, D; Santecchia, E

    2015-01-01

    Five single crystals of cerium-doped lutetium yttrium oxyorthosilicate (LYSO:Ce) grown by the Czochralski method were submitted to structural characterisation by X-ray (XRD) and neutron (ND) diffraction, scanning (SEM) and transmission (TEM) electron microscopy and energy dispersive microanalysis (EDS). The Ultimate Tensile Strength (UTS), the Young Modulus (YM) and the Light Yield (LY) of the samples were also measured in order to correlate the mechanical and the optical behaviour of the crystals with the characteristics of their microstructure. Two of the samples analysed were also heat treated at 300 °C for 10 h to evidence possible variations induced by the temperature in the optical and mechanical response of the crystals. Results showed that the mean compositional variations evidenced by the structural analyses do not affect the mechanical and optical behaviour of the samples. On the contrary, the thermal treatment could induce the formation of coherent spherical particles (size 10 to 15 nm), not unifo...

  19. Comparison of precursors for pulsed metal-organic chemical vapor deposition of HfO2 high-K dielectric thin films

    International Nuclear Information System (INIS)

    Teren, Andrew R.; Thomas, Reji; He, Jiaqing; Ehrhart, Peter

    2005-01-01

    Hafnium oxide films were deposited on Si(100) substrates using pulsed metal-organic chemical vapor deposition (CVD) and evaluated for high-K dielectric applications. Three types of precursors were tested: two oxygenated ones, Hf butoxide-dmae and Hf butoxide-mmp, and an oxygen-free one, Hf diethyl-amide. Depositions were carried out in the temperature range of 350-650 deg. C, yielding different microstructures ranging from amorphous to crystalline, monoclinic, films. The films were compared on the basis of growth rate, phase development, density, interface characteristics, and electrical properties. Some specific features of the pulsed injection technique are considered. For low deposition temperatures the growth rate for the amide precursor was significantly higher than for the mixed butoxide precursors. A thickness-dependent amorphous to crystalline phase transition temperature was found for all precursors. There is an increase of the film density along with the deposition temperature from values as low as 5 g/cm 3 at 350 deg. C to values close to the bulk value of 9.7 g/cm 3 at 550 deg. C. Crystallization is observed in the same temperature range for films of typically 10-20 nm thickness. However, annealing studies show that this density increase is not simply related to the crystallization of the films. Similar electrical properties could be observed for all precursors and the dielectric constant of the films reaches values similar to the best values reported for bulk crystalline HfO 2

  20. Calcific tendinitis of the shoulder in basic calcium phosphate crystal deposition disease

    International Nuclear Information System (INIS)

    Scutellari, P.N.; Mazzilli, M.P.; Orzincolo, C.

    1986-01-01

    Basic calcium phosphate (BCP) crystal deposition can lead to periarticular collections associated with typical radiographic findings, most frequently observed in the shoulder. Moreover, these deposits may be revealed in other articular sites (i.e.,wrist, hand, foot, elbow, hip, etc.). Initially, the calcium deposits may appear poorly defined (cloudlike); sequently, they may reveal different patterns (linear, triangular or circular areas), changing in size, configuration and site. Adjacent bone may be normal, altough osteoporosis, cystic lesions and reactive sclerosis are reported. The radiographic appearance of calcifications will depend upon the exact location of the deposits in the specific tendon of the rotator cuff, the adjacent bursae and the soft tissues

  1. Advances in chemical physics advances in liquid crystals

    CERN Document Server

    Prigogine, Ilya; Vij, Jagdish K

    2009-01-01

    Prigogine and Rice's highly acclaimed series, Advances in Chemical Physics, provides a forum for critical, authoritative reviews of current topics in every area of chemical physics. Edited by J.K. Vij, this volume focuses on recent advances in liquid crystals with significant, up-to-date chapters authored by internationally recognized researchers in the field.

  2. Electrochemical deposition of TiB2 in high temperature molten salts

    International Nuclear Information System (INIS)

    Fastner, U.; Steck, T.; Pascual, A.; Fafilek, G.; Nauer, G.E.

    2008-01-01

    The electrochemical deposition of TiB 2 out of a NaCl-KCl-NaF-KBF 4 -K 2 TiF 6 electrolyte at 600 deg. C was tested on steel and molybdenum substrates using various current programs. The characterisation of the deposited layers has been carried out by X-ray diffraction methods, scanning electron microscopy and microhardness measurements. The pulse sequences and the current densities used influence in a significant way the homogeneity of the layers deposited, the crystal size, the texture and other physical properties like electrical and thermal conductivity. The microhardness range was up to 2900 HV, smooth and dense layers were prepared at a pulse frequency of 100 Hz

  3. Ion beam induced luminescence characterisation of CVD diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Bettiol, A.A.; Gonon, P.; Jamieson, D.N. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    The characterisation of the band structure properties of materials and devices by ion microprobe techniques has been made possible at the Melbourne MeV ion microprobe facility with the development of Ion Beam Induced Luminescence (IBIL). A number of diamond films grown by Microwave Plasma Chemical Vapour Deposition (MPCVD) on silicon substrates are analysed. A preliminary study of the luminescence properties of these samples has revealed information not previously obtainable via traditional microprobe techniques. The optical effects of incorporating dopants during the deposition process is determined using IBIL. The presence of trace element impurities introduced during growth is examined by Particle Induced X-ray Emission (PIXE), and a measurement of the film thickness is made using Rutherford Backscattering Spectrometry (RBS). 7 refs., 2 figs.

  4. Ion beam induced luminescence characterisation of CVD diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Bettiol, A A; Gonon, P; Jamieson, D N [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    The characterisation of the band structure properties of materials and devices by ion microprobe techniques has been made possible at the Melbourne MeV ion microprobe facility with the development of Ion Beam Induced Luminescence (IBIL). A number of diamond films grown by Microwave Plasma Chemical Vapour Deposition (MPCVD) on silicon substrates are analysed. A preliminary study of the luminescence properties of these samples has revealed information not previously obtainable via traditional microprobe techniques. The optical effects of incorporating dopants during the deposition process is determined using IBIL. The presence of trace element impurities introduced during growth is examined by Particle Induced X-ray Emission (PIXE), and a measurement of the film thickness is made using Rutherford Backscattering Spectrometry (RBS). 7 refs., 2 figs.

  5. Using chemical shift perturbation to characterise ligand binding.

    Science.gov (United States)

    Williamson, Mike P

    2013-08-01

    Chemical shift perturbation (CSP, chemical shift mapping or complexation-induced changes in chemical shift, CIS) follows changes in the chemical shifts of a protein when a ligand is added, and uses these to determine the location of the binding site, the affinity of the ligand, and/or possibly the structure of the complex. A key factor in determining the appearance of spectra during a titration is the exchange rate between free and bound, or more specifically the off-rate koff. When koff is greater than the chemical shift difference between free and bound, which typically equates to an affinity Kd weaker than about 3μM, then exchange is fast on the chemical shift timescale. Under these circumstances, the observed shift is the population-weighted average of free and bound, which allows Kd to be determined from measurement of peak positions, provided the measurements are made appropriately. (1)H shifts are influenced to a large extent by through-space interactions, whereas (13)Cα and (13)Cβ shifts are influenced more by through-bond effects. (15)N and (13)C' shifts are influenced both by through-bond and by through-space (hydrogen bonding) interactions. For determining the location of a bound ligand on the basis of shift change, the most appropriate method is therefore usually to measure (15)N HSQC spectra, calculate the geometrical distance moved by the peak, weighting (15)N shifts by a factor of about 0.14 compared to (1)H shifts, and select those residues for which the weighted shift change is larger than the standard deviation of the shift for all residues. Other methods are discussed, in particular the measurement of (13)CH3 signals. Slow to intermediate exchange rates lead to line broadening, and make Kd values very difficult to obtain. There is no good way to distinguish changes in chemical shift due to direct binding of the ligand from changes in chemical shift due to allosteric change. Ligand binding at multiple sites can often be characterised, by

  6. Solution processed deposition of electron transport layers on perovskite crystal surface—A modeling based study

    Energy Technology Data Exchange (ETDEWEB)

    Mortuza, S.M.; Taufique, M.F.N.; Banerjee, Soumik, E-mail: soumik.banerjee@wsu.edu

    2017-02-01

    Highlights: • The model determined the surface coverage of solution-processed film on perovskite. • Calculated surface density map provides insight into morphology of the monolayer. • Carbonyl oxygen atom of PCBM strongly attaches to the (110) surface of perovskite. • Uniform distribution of clusters on perovskite surface at lower PCBM concentration. • Deposition rate of PCBM on the surface is very high at initial stage of film growth. - Abstract: The power conversion efficiency (PCE) of planar perovskite solar cells (PSCs) has reached up to ∼20%. However, structural and chemicals defects that lead to hysteresis in the perovskite based thin film pose challenges. Recent work has shown that thin films of [6,6]-phenyl-C61-butyric acid methyl ester (PCBM) deposited on the photo absorption layer, using solution processing techniques, minimize surface pin holes and defects thereby increasing the PCE. We developed and employed a multiscale model based on molecular dynamics (MD) and kinetic Monte Carlo (kMC) to establish a relationship between deposition rate and surface coverage on perovskite surface. The MD simulations of PCBMs dispersed in chlorobenzene, sandwiched between (110) perovskite substrates, indicate that PCBMs are deposited through anchoring of the oxygen atom of carbonyl group to the exposed lead (Pb) atom of (110) perovskite surface. Based on rates of distinct deposition events calculated from MD, kMC simulations were run to determine surface coverage at much larger time and length scales than accessible by MD alone. Based on the model, a generic relationship is established between deposition rate of PCBMs and surface coverage on perovskite crystal. The study also provides detailed insights into the morphology of the deposited film.

  7. Solution processed deposition of electron transport layers on perovskite crystal surface—A modeling based study

    International Nuclear Information System (INIS)

    Mortuza, S.M.; Taufique, M.F.N.; Banerjee, Soumik

    2017-01-01

    Highlights: • The model determined the surface coverage of solution-processed film on perovskite. • Calculated surface density map provides insight into morphology of the monolayer. • Carbonyl oxygen atom of PCBM strongly attaches to the (110) surface of perovskite. • Uniform distribution of clusters on perovskite surface at lower PCBM concentration. • Deposition rate of PCBM on the surface is very high at initial stage of film growth. - Abstract: The power conversion efficiency (PCE) of planar perovskite solar cells (PSCs) has reached up to ∼20%. However, structural and chemicals defects that lead to hysteresis in the perovskite based thin film pose challenges. Recent work has shown that thin films of [6,6]-phenyl-C61-butyric acid methyl ester (PCBM) deposited on the photo absorption layer, using solution processing techniques, minimize surface pin holes and defects thereby increasing the PCE. We developed and employed a multiscale model based on molecular dynamics (MD) and kinetic Monte Carlo (kMC) to establish a relationship between deposition rate and surface coverage on perovskite surface. The MD simulations of PCBMs dispersed in chlorobenzene, sandwiched between (110) perovskite substrates, indicate that PCBMs are deposited through anchoring of the oxygen atom of carbonyl group to the exposed lead (Pb) atom of (110) perovskite surface. Based on rates of distinct deposition events calculated from MD, kMC simulations were run to determine surface coverage at much larger time and length scales than accessible by MD alone. Based on the model, a generic relationship is established between deposition rate of PCBMs and surface coverage on perovskite crystal. The study also provides detailed insights into the morphology of the deposited film.

  8. Gas analysis during the chemical vapor deposition of carbon

    International Nuclear Information System (INIS)

    Lieberman, M.L.; Noles, G.T.

    1973-01-01

    Gas chromatographic analyses were performed during the chemical vapor deposition of carbon in both isothermal and thermal gradient systems. Such data offer insight into the gas phase processes which occur during deposition and the interrelations which exist between gas composition, deposition rate, and resultant structure of the deposit. The results support a carbon CVD model presented previously. The application of chromatographic analysis to research, development, and full-scale facilities is shown. (U.S.)

  9. Microstructural characterization of chemical bath deposited and sputtered Zn(O,S) buffer layers

    International Nuclear Information System (INIS)

    Gautron, E.; Buffière, M.; Harel, S.; Assmann, L.; Arzel, L.; Brohan, L.; Kessler, J.; Barreau, N.

    2013-01-01

    The present work aims at investigating the microstructure of Zn(O,S) buffer layers relative to their deposition route, namely either chemical bath deposition (CBD) or RF co-sputtering process (PVD) under pure Ar. The core of the study consists of cross-sectional transmission electron microscopy (TEM) characterization of the differently grown Zn(O,S) thin films on co-evaporated Cu(In,Ga)Se 2 (CIGSe) absorbers. It shows that the morphology of Zn(O,S) layer deposited on CIGSe using CBD process is made of a thin layer of well oriented ZnS sphalerite-(111) and/or ZnS wurtzite-(0002) planes parallel to CIGSe chalcopyrite-(112) planes at the interface with CIGSe followed by misoriented nanometer-sized ZnS crystallites in an amorphous phase. As far as (PVD)Zn(O,S) is concerned, the TEM analyses reveal two different microstructures depending on the S-content in the films: for [S] / ([O] + [S]) = 0.6, the buffer layer is made of ZnO zincite and ZnS wurtzite crystallites grown nearly coherently to each other, with (0002) planes nearly parallel with CIGSe-(112) planes, while for [S] / ([O] + [S]) = 0.3, it is made of ZnO zincite type crystals with O atoms substituted by S atoms, with (0002) planes perfectly aligned with CIGSe-(112) planes. Such microstructural differences can explain why photovoltaic performances are dependent on the Zn(O,S) buffer layer deposition route. - Highlights: ► Zn(O,S) layers were grown by chemical bath (CBD) or physical vapor (PVD) deposition. ► For CBD, a 3 nm ZnS layer is followed by ZnS nano-crystallites in an amorphous phase. ► For PVD with [S] / ([O] + [S]) = 0.3, the layer has a Zn(O,S) zincite structure. ► For PVD with [S] / ([O] + [S]) = 0.6, ZnS wurtzite and ZnO zincite phases are mixed

  10. The structural properties of CdS deposited by chemical bath deposition and pulsed direct current magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Lisco, F., E-mail: F.Lisco@lboro.ac.uk [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom); Kaminski, P.M.; Abbas, A.; Bass, K.; Bowers, J.W.; Claudio, G. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom); Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona 4, 70126 Bari (Italy); Walls, J.M. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom)

    2015-05-01

    Cadmium sulphide (CdS) thin films were deposited by two different processes, chemical bath deposition (CBD), and pulsed DC magnetron sputtering (PDCMS) on fluorine doped-tin oxide coated glass to assess the potential advantages of the pulsed DC magnetron sputtering process. The structural, optical and morphological properties of films obtained by CBD and PDCMS were investigated using X-ray photoelectron spectroscopy, X-ray diffraction, scanning and transmission electron microscopy, spectroscopic ellipsometry and UV-Vis spectrophotometry. The as-grown films were studied and comparisons were drawn between their morphology, uniformity, crystallinity, and the deposition rate of the process. The highest crystallinity is observed for sputtered CdS thin films. The absorption in the visible wavelength increased for PDCMS CdS thin films, due to the higher density of the films. The band gap measured for the as-grown CBD-CdS is 2.38 eV compared to 2.34 eV for PDCMS-CdS, confirming the higher density of the sputtered thin film. The higher deposition rate for PDCMS is a significant advantage of this technique which has potential use for high rate and low cost manufacturing. - Highlights: • Pulsed DC magnetron sputtering (PDCMS) of CdS films • Chemical bath deposition of CdS films • Comparison between CdS thin films deposited by chemical bath and PDCMS techniques • High deposition rate deposition for PDCMS deposition • Uniform, pinhole free CdS thin films.

  11. The structural properties of CdS deposited by chemical bath deposition and pulsed direct current magnetron sputtering

    International Nuclear Information System (INIS)

    Lisco, F.; Kaminski, P.M.; Abbas, A.; Bass, K.; Bowers, J.W.; Claudio, G.; Losurdo, M.; Walls, J.M.

    2015-01-01

    Cadmium sulphide (CdS) thin films were deposited by two different processes, chemical bath deposition (CBD), and pulsed DC magnetron sputtering (PDCMS) on fluorine doped-tin oxide coated glass to assess the potential advantages of the pulsed DC magnetron sputtering process. The structural, optical and morphological properties of films obtained by CBD and PDCMS were investigated using X-ray photoelectron spectroscopy, X-ray diffraction, scanning and transmission electron microscopy, spectroscopic ellipsometry and UV-Vis spectrophotometry. The as-grown films were studied and comparisons were drawn between their morphology, uniformity, crystallinity, and the deposition rate of the process. The highest crystallinity is observed for sputtered CdS thin films. The absorption in the visible wavelength increased for PDCMS CdS thin films, due to the higher density of the films. The band gap measured for the as-grown CBD-CdS is 2.38 eV compared to 2.34 eV for PDCMS-CdS, confirming the higher density of the sputtered thin film. The higher deposition rate for PDCMS is a significant advantage of this technique which has potential use for high rate and low cost manufacturing. - Highlights: • Pulsed DC magnetron sputtering (PDCMS) of CdS films • Chemical bath deposition of CdS films • Comparison between CdS thin films deposited by chemical bath and PDCMS techniques • High deposition rate deposition for PDCMS deposition • Uniform, pinhole free CdS thin films

  12. Electrical and materials properties of ZrO2 gate dielectrics grown by atomic layer chemical vapor deposition

    Science.gov (United States)

    Perkins, Charles M.; Triplett, Baylor B.; McIntyre, Paul C.; Saraswat, Krishna C.; Haukka, Suvi; Tuominen, Marko

    2001-04-01

    Structural and electrical properties of gate stack structures containing ZrO2 dielectrics were investigated. The ZrO2 films were deposited by atomic layer chemical vapor deposition (ALCVD) after different substrate preparations. The structure, composition, and interfacial characteristics of these gate stacks were examined using cross-sectional transmission electron microscopy and x-ray photoelectron spectroscopy. The ZrO2 films were polycrystalline with either a cubic or tetragonal crystal structure. An amorphous interfacial layer with a moderate dielectric constant formed between the ZrO2 layer and the substrate during ALCVD growth on chemical oxide-terminated silicon. Gate stacks with a measured equivalent oxide thickness (EOT) of 1.3 nm showed leakage values of 10-5 A/cm2 at a bias of -1 V from flatband, which is significantly less than that seen with SiO2 dielectrics of similar EOT. A hysteresis of 8-10 mV was seen for ±2 V sweeps while a midgap interface state density (Dit) of ˜3×1011 states/cm eV was determined from comparisons of measured and ideal capacitance curves.

  13. Characterisation of chemically-modified proteins by electrospray ionisation mass spectrometry

    International Nuclear Information System (INIS)

    Bennett, K.L.

    1996-09-01

    Electrospray mass spectrometry (ESI-MS) has been used to examine a range of intact monoclonal antibodies (MAbs), antibody fragments such as F(ab') 2 , F ab and F c , chemically-modified fragments and a range of other chemically-modified peptides and proteins as part of a broader study aimed at establishing ESI-MS as a method for the characterisation of radioimmunoconjugates (radiolabelled monoclonal antibodies). For example, the addition of up to 10 biotin molecules to the 'papain-sensitive' 50 kDa F ab fragment can be easily detected in ESI mass spectra. For intact MAbs, however, it is only possible to detect average shifts in the mass of intact antibodies following modification. Successful ESI-MS analysis of complexes formed between chelators and other small molecules conjugated to synthetic peptides, hen egg-white Iysozyme (HEL) (M r 14 306) and horse heart myoglobin (M r 16 951) has been demonstrated. ESI-MS offers considerable advantages compared with existing methods for the characterisation of chemically-conjugated proteins including speed and sensitivity of analysis and the capability for obtaining specific structural information. The conditions for ESI-MS of intact MAbs and MAb fragments have been examined in detail and it was found that 150 kDa MAbs generally required lower sample concentration and higher skimmer potentials compared with the 50 kDa F ab fragment and other lower molecular weight proteins. In addition, the m/z range over which ions from MAbs were observed was higher (m/z ∼2000-4500) than for smaller proteins. ESI-MS was also found to be useful for probing the action of the protease papain, that is used to generate MAb fragments (F(ab) '2, F ab and F c ). Further, different sensitivities to papain for different MAb preparations was demonstrated. Finally, the tandem mass spectra of a range of peptides modified by iodine and biotin were examined. In the case of biotinylated peptides, a characteristic fragment ion was identified that could

  14. Mineralogical-Chemical Characteristics of Calcite from Zletovo, Sasa and Buchim Deposits

    International Nuclear Information System (INIS)

    Shijakova-lvanova, Tena; Paneva-Zajkova, Vesna; Donova, Ilinka

    2006-01-01

    The paper presents mineralogical-chemical characteristics, dependence between some elements and concentration of some calcite elements of Zletovo, Sasa and Buchim deposits. Calcite from Sasa, Zletovo and Buchim occurs in rhombohedral crystals of different size. The colour is white, but in Buchim it is white, pink, and yellow. Their twinning is very common. Chemical composition of calcite was determined by AES-ICP. Results show that in calcite from Buchim the concentration of Ba is much higher in pink calcite from than in white or yellow. The concentration of Zn and Ph is the lowest in white calcite. The calcite from Zletovo contains much higher concentrations of Pb, Zn, Sr, but calcite of Buchim which is pink contains higher amounts of Ba and Co. The concentrations of CaO, MgO, and MnO in all calcite simples are approximately equal. Concentration of all other elements in calcite of Sasa, Zletovo and Buchim is approximately equal. TG and DTA curves out on all simples were recorded.The decompositions of the samples of calcite starts at different temperature and it is not finish until 1000 o C. (Author)

  15. The crystallization and properties of sputter deposited lithium niobite

    Energy Technology Data Exchange (ETDEWEB)

    Shank, Joshua C.; Brooks Tellekamp, M.; Alan Doolittle, W., E-mail: alan.doolittle@ece.gatech.edu

    2016-06-30

    Sputter deposition of the thin film memristor material, lithium niobite (LiNbO{sub 2}) is performed by co-deposition from a lithium oxide (Li{sub 2}O) and a niobium target. Crystalline films that are textured about the (101) orientation are produced under room temperature conditions. This material displays memristive hysteresis and exhibits XPS spectra similar to MBE and bulk grown LiNbO{sub 2}. Various deposition parameters were investigated resulting in variations in the deposition rate, film crystallinity, oxygen to niobium ratio, and mean niobium oxidation state. The results of this study allow for the routine production of large area LiNbO{sub 2} films at low substrate temperature useful in hybrid-integration of memristor, optical, and energy storage applications. - Highlights: • Room temperature sputter deposition of crystalline lithium niobite (LiNbO{sub 2}) • Contrast with previous high temperature corrosive growth methods • Analysis of sputter deposition parameters on the chemical and physical properties of the deposited material.

  16. The crystallization and properties of sputter deposited lithium niobite

    International Nuclear Information System (INIS)

    Shank, Joshua C.; Brooks Tellekamp, M.; Alan Doolittle, W.

    2016-01-01

    Sputter deposition of the thin film memristor material, lithium niobite (LiNbO_2) is performed by co-deposition from a lithium oxide (Li_2O) and a niobium target. Crystalline films that are textured about the (101) orientation are produced under room temperature conditions. This material displays memristive hysteresis and exhibits XPS spectra similar to MBE and bulk grown LiNbO_2. Various deposition parameters were investigated resulting in variations in the deposition rate, film crystallinity, oxygen to niobium ratio, and mean niobium oxidation state. The results of this study allow for the routine production of large area LiNbO_2 films at low substrate temperature useful in hybrid-integration of memristor, optical, and energy storage applications. - Highlights: • Room temperature sputter deposition of crystalline lithium niobite (LiNbO_2) • Contrast with previous high temperature corrosive growth methods • Analysis of sputter deposition parameters on the chemical and physical properties of the deposited material

  17. Structural and Optical Properties of Chemical Bath Deposited Silver Oxide Thin Films: Role of Deposition Time

    Directory of Open Access Journals (Sweden)

    A. C. Nwanya

    2013-01-01

    Full Text Available Silver oxide thin films were deposited on glass substrates at a temperature of 50°C by chemical bath deposition technique under different deposition times using pure AgNO3 precursor and triethanolamine as the complexing agent. The chemical analysis based on EDX technique shows the presence of Ag and O at the appropriate energy levels. The morphological features obtained from SEM showed that the AgxO structures varied as the deposition time changes. The X-ray diffraction showed the peaks of Ag2O and AgO in the structure. The direct band gap and the refractive index increased as the deposition time increased and was in the range of 1.64–1.95 eV and 1.02–2.07, respectively. The values of the band gap and refractive index obtained indicate possible applications in photovoltaic and photothermal systems.

  18. Prospects for the synthesis of large single-crystal diamonds

    International Nuclear Information System (INIS)

    Khmelnitskiy, R A

    2015-01-01

    The unique properties of diamond have stimulated the study of and search for its applications in many fields, including optics, optoelectronics, electronics, biology, and electrochemistry. Whereas chemical vapor deposition allows the growth of polycrystalline diamond plates more than 200 mm in diameter, most current diamond application technologies require large-size (25 mm and more) single-crystal diamond substrates or films suitable for the photolithography process. This is quite a challenge, because the largest diamond crystals currently available are 10 mm or less in size. This review examines three promising approaches to fabricating large-size diamond single crystals: growing large-size single crystals, the deposition of heteroepitaxial diamond films on single-crystal substrates, and the preparation of composite diamond substrates. (reviews of topical problems)

  19. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  20. DEPOSITION AND PROPERTY CHARACTERISATION OF TaN COATINGS DEPOSITED WITH DIFFERENT NITROGEN CONTENTS

    Directory of Open Access Journals (Sweden)

    Gilberto Bejarano Gaitán

    Full Text Available This study focused on the study of the influence of nitrogen content on the microstructure, chemical composition, mechanical and tribological properties of TaN coatings deposited on 420 stainless steel and silicon samples (100 using the magnetron sputtering technique. For the deposition of the TaN coatings an argon/nitrogen atmosphere was used, varying the nitrogen flux between 12% and 25%. For the coating characterization, scanning electron microscopy, energydispersive X-ray spectroscopy, atomic force microscopy, X-ray diffraction (XRD, micro-Raman spectroscopy, a microhardness tester, and a ball on disc tribometer were used. A refining of the columnar structure of the coatings, accompanied by a decrease in their thickness with the increased nitrogen content was observed. Initially, fcc-TaN (111 cubic phase growth was observed; this phase was changed to the fcc-TaN (200 above N2 12%. For contents greater than N2 18%, another nitrogen-rich phase was formed and the system tended towards amorphicity, particularly for a coating with N2 25% content. The TaN-1sample deposited with N2 12% in the gas mixture presented the highest micro-hardness value with 21.3GPa and the lowest friction coefficient and wear rate with 0.02 and 1.82x10-7 (mm³/Nm, respectively. From the obtained results, an important relationship between the microstructural, mechanical and tribological properties of the coated samples and their nitrogen content was observed.

  1. Crystal habit modification of nickel-ferrite: development and results of initial laboratory testing

    International Nuclear Information System (INIS)

    Anderson, C.E.; Varrin, R.D.; Marks, C.; Barkatt, A.; Kim, K.; Fruzzetti, K.P.

    2010-01-01

    This paper documents the results of a laboratory test program conducted to assess the feasibility of using a new type of additive in the primary coolant of pressurized water reactors (PWRs) or to boiling water reactor (BWR) coolant. These additives, known as crystal habit modifiers (CHMs), could potentially be used to control the crystal habits, or shapes, that comprise primary deposits and crud. Similar additives are used throughout the chemical process industry to produce products with desirable crystalline structure, morphology, density, particle size, or surface area. Based on the successes of CHM technologies in other industries, CHMs may have the potential to alleviate problems associated with deposits in nuclear plants including axial offset anomaly (AOA). By controlling the habit of deposit materials, it may be possible to retard deposit formation, produce deposits with desirable properties (e.g., high friability, low or high porosity), or promote a preferred chemical composition or deposit structure that is more amenable to removal. Desirable properties that could be selected for include enhanced boiling efficiency, reduced surface affinity for boron, and resistance to consolidation. The results of this project demonstrate that crystal habit modification of nickel ferrite, a typical primary side deposit species, can be achieved by the addition of both inorganic and organic chemical species (CHMs). The most significant habit modification of nickel ferrite was observed with the addition of metal species (e.g., Zn, Cr) due to their incorporation into the crystal lattice of the oxide. Lesser degrees of modification were achieved with organic additives such as acetate. Specific CHM candidates that may have a beneficial effect on PWR operation are identified in this paper. In addition, this paper summarizes the refinement of several methods for synthesizing nickel ferrites under hydrothermal conditions that may benefit those interested in studying crud and

  2. Crystal habit modification of nickel-ferrite: development and results of initial laboratory testing

    Energy Technology Data Exchange (ETDEWEB)

    Anderson, C.E.; Varrin, R.D.; Marks, C. [Dominion Engineering, Inc., Reston, Virginia (United States); Barkatt, A. [The Catholic Univ. of America, Dept. of Chemistry, Washington, District of Columbia (United States); Kim, K.; Fruzzetti, K.P. [Electric Power Research Inst., Palo Alto, California (United States)

    2010-07-01

    This paper documents the results of a laboratory test program conducted to assess the feasibility of using a new type of additive in the primary coolant of pressurized water reactors (PWRs) or to boiling water reactor (BWR) coolant. These additives, known as crystal habit modifiers (CHMs), could potentially be used to control the crystal habits, or shapes, that comprise primary deposits and crud. Similar additives are used throughout the chemical process industry to produce products with desirable crystalline structure, morphology, density, particle size, or surface area. Based on the successes of CHM technologies in other industries, CHMs may have the potential to alleviate problems associated with deposits in nuclear plants including axial offset anomaly (AOA). By controlling the habit of deposit materials, it may be possible to retard deposit formation, produce deposits with desirable properties (e.g., high friability, low or high porosity), or promote a preferred chemical composition or deposit structure that is more amenable to removal. Desirable properties that could be selected for include enhanced boiling efficiency, reduced surface affinity for boron, and resistance to consolidation. The results of this project demonstrate that crystal habit modification of nickel ferrite, a typical primary side deposit species, can be achieved by the addition of both inorganic and organic chemical species (CHMs). The most significant habit modification of nickel ferrite was observed with the addition of metal species (e.g., Zn, Cr) due to their incorporation into the crystal lattice of the oxide. Lesser degrees of modification were achieved with organic additives such as acetate. Specific CHM candidates that may have a beneficial effect on PWR operation are identified in this paper. In addition, this paper summarizes the refinement of several methods for synthesizing nickel ferrites under hydrothermal conditions that may benefit those interested in studying crud and

  3. Stress and stability of sputter deposited A-15 and bcc crystal structure tungsten thin films

    Energy Technology Data Exchange (ETDEWEB)

    O' Keefe, M.J.; Stutz, C.E.

    1997-07-01

    Magnetron sputter deposition was used to fabricate body centered cubic (bcc) and A-15 crystal structure W thin films. Previous work demonstrated that the as-deposited crystal structure of the films was dependent on the deposition parameters and that the formation of a metastable A-15 structure was favored over the thermodynamically stable bcc phase when the films contained a few atomic percent oxygen. However, the A-15 phase was shown to irreversibly transform into the bcc phase between 500 C and 650 C and that a significant decrease in the resistivity of the metallic films was measured after the transformation. The current investigation of 150 nm thick, sputter deposited A-15 and bcc tungsten thin films on silicon wafers consisted of a series of experiments in which the stress, resistivity and crystal structure of the films was measured as a function of temperatures cycles in a Flexus 2900 thin film stress measurement system. The as-deposited film stress was found to be a function of the sputtering pressure and presputter time; under conditions in which the as-deposited stress of the film was {approximately}1.5 GPa compressive delamination of the W film from the substrate was observed. Data from the thermal studies indicated that bcc film stress was not affected by annealing but transformation of the A-15 structure resulted in a large tensile increase in the stress of the film, regardless of the as-deposited stress of the film. In several instances, complete transformation of the A-15 structure into the bcc phase resulted in {ge}1 GPa tensile increase in film stress.

  4. Stress and stability of sputter deposited A-15 and bcc crystal structure tungsten thin films

    International Nuclear Information System (INIS)

    O'Keefe, M.J.; Stutz, C.E.

    1997-01-01

    Magnetron sputter deposition was used to fabricate body centered cubic (bcc) and A-15 crystal structure W thin films. Previous work demonstrated that the as-deposited crystal structure of the films was dependent on the deposition parameters and that the formation of a metastable A-15 structure was favored over the thermodynamically stable bcc phase when the films contained a few atomic percent oxygen. However, the A-15 phase was shown to irreversibly transform into the bcc phase between 500 C and 650 C and that a significant decrease in the resistivity of the metallic films was measured after the transformation. The current investigation of 150 nm thick, sputter deposited A-15 and bcc tungsten thin films on silicon wafers consisted of a series of experiments in which the stress, resistivity and crystal structure of the films was measured as a function of temperatures cycles in a Flexus 2900 thin film stress measurement system. The as-deposited film stress was found to be a function of the sputtering pressure and presputter time; under conditions in which the as-deposited stress of the film was approximately1.5 GPa compressive delamination of the W film from the substrate was observed. Data from the thermal studies indicated that bcc film stress was not affected by annealing but transformation of the A-15 structure resulted in a large tensile increase in the stress of the film, regardless of the as-deposited stress of the film. In several instances, complete transformation of the A-15 structure into the bcc phase resulted in ge1 GPa tensile increase in film stress

  5. Crystal structure of TiNi nanoparticles obtained by Ar ion beam deposition

    International Nuclear Information System (INIS)

    Castro, A. Torres; Cuellar, E. Lopez; Mendez, U. Ortiz; Yacaman, M. Jose

    2008-01-01

    Nanoparticles are a state of matter that have properties different from either molecules or bulk solids, turning them into a very interesting class of materials to study. In the present work, the crystal structure of TiNi nanoparticles obtained by ion beam deposition is characterized. TiNi nanoparticles were obtained from TiNi wire samples by sputtering with Ar ions using a Gatan precision ion polishing system. The TiNi nanoparticles were deposited on a Lacey carbon film that was used for characterization by transmission electron microscopy. The nanoparticles were characterized by high-resolution transmission electron microscopy, high-angle annular dark-field imaging, electron diffraction, scanning transmission electron microscopy and energy-dispersive X-ray spectroscopy. Results of nanodiffraction seem to indicate that the nanoparticles keep the same B2 crystal structure as the bulk material but with a decreased lattice parameter

  6. Ultrasound scans and dual energy CT identify tendons as preferred anatomical location of MSU crystal depositions in gouty joints.

    Science.gov (United States)

    Yuan, Yuan; Liu, Chang; Xiang, Xi; Yuan, Tong-Ling; Qiu, Li; Liu, Yi; Luo, Yu-Bin; Zhao, Y; Herrmann, Martin

    2018-05-01

    The present study was performed to localize the articular deposition of monosodium urate (MSU) crystal in joints. We compare the detection efficiencies of dual-energy CT (DECT) and ultrasound scans. Analyses by DECT and ultrasound were performed with 184 bilateral joints of the lower limbs of 54 consecutive gout patients. All joints were categorized into (1) knee, (2) ankle, (3) MTP1, and (4) MTP2, and sorted into those with and those without detectable MSU deposition. The comparison of the positive rate between DECT and ultrasound and the agreement was performed using the McNemar test and the Cohen's κ coefficient, respectively. Next, we listed the MSU crystal deposition as assessed by ultrasound between the DECT-positive and -negative joints according to their interior structure. We included tendons, synovia, cartilage, subcutaneous tissue, etc. RESULTS: Among all joints, the percentages with MSU crystal deposition detected by DECT (99/184, 53.8%) and ultrasound (106/184, 57.6%) were comparable (P = 0.530 > 0.05). For MTP1 (21/34, 61.8%; 12/34, 35.3%; P efficient, respectively. The data concordance in 46 of 50 joints (92.00%; κ = 0.769, P location of MSU crystal deposition. The tendons are the most frequent anatomical location of MSU crystal depositions. The concordance rate of knee joints and MTP2-5 joints shows good agreement between DECT and ultrasound depending on the location.

  7. Growth of thick La2Zr2O7 buffer layers for coated conductors by polymer-assisted chemical solution deposition

    International Nuclear Information System (INIS)

    Zhang, Xin; Zhao, Yong; Xia, Yudong; Guo, Chunsheng; Cheng, C.H.; Zhang, Yong; Zhang, Han

    2015-01-01

    Highlights: • We develops a low-cost and high-efficient technology of fabricating LZO buffer layers. • Sufficient thickness LZO buffer layers have been obtained on NiW (2 0 0) alloy substrate. • Highly biaxially textured YBCO thin film has been deposited on LZO/NiW. - Abstract: La 2 Zr 2 O 7 (LZO) epitaxial films have been deposited on LaAlO 3 (LAO) (1 0 0) single-crystal surface and bi-axially textured NiW (2 0 0) alloy substrate by polymer-assisted chemical solution deposition, and afterwards studied with XRD, SEM and AFM approaches. Highly in-plane and out-of-plane oriented, dense, smooth, crack free and with a sufficient thickness (>240 nm) LZO buffer layers have been obtained on LAO (1 0 0) single-crystal surface; The films deposited on NiW (2 0 0) alloy substrate are also found with high degree in-plane and out-of-plane texturing, good density with pin-hole-free, micro-crack-free nature and a thickness of 300 nm. Highly epitaxial 500 nm thick YBa 2 Cu 3 O 7−x (YBCO) thin film exhibits the self-field critical current density (Jc) reached 1.3 MA/cm 2 at 77 K .These results demonstrate the LZO epi-films obtained with current techniques have potential to be a buffer layer for REBCO coated conductors

  8. Chemical solution deposition: a path towards low cost coated conductors

    International Nuclear Information System (INIS)

    Obradors, X; Puig, T; Pomar, A; Sandiumenge, F; Pinol, S; Mestres, N; Castano, O; Coll, M; Cavallaro, A; Palau, A; Gazquez, J; Gonzalez, J C; Gutierrez, J; Roma, N; Ricart, S; Moreto, J M; Rossell, M D; Tendeloo, G van

    2004-01-01

    The achievement of low cost deposition techniques for high critical current YBa 2 Cu 3 O 7 coated conductors is one of the major objectives to achieve a widespread use of superconductivity in power applications. Chemical solution deposition techniques are appearing as a very promising methodology to achieve epitaxial oxide thin films at a low cost, so an intense effort is being carried out to develop routes for all chemical coated conductor tapes. In this work recent achievements will be presented towards the goal of combining the deposition of different type of buffer layers on metallic substrates based on metal-organic decomposition with the growth of YBa 2 Cu 3 O 7 layers using the trifluoroacetate route. The influence of processing parameters on the microstructure and superconducting properties will be stressed. High critical currents are demonstrated in 'all chemical' multilayers

  9. Structural, mechanical and light yield characterisation of heat treated LYSO:Ce single crystals for medical imaging applications

    Energy Technology Data Exchange (ETDEWEB)

    Mengucci, P., E-mail: p.mengucci@univpm.it [Università Politecnica delle Marche, Via Brecce Bianche, 60131 Ancona (Italy); André, G. [Laboratoire Léon Brillouin, CEA-CNRS, CE-Saclay, 91191 Gif sur Yvette cedex (France); Auffray, E. [Department PH-CMX CERN, Route de Meyrin, 1211 Geneva 23 (Switzerland); Barucca, G. [Università Politecnica delle Marche, Via Brecce Bianche, 60131 Ancona (Italy); Cecchi, C. [Dipartimento di Fisica e Geologia, Università di Perugia, Via A. Pascoli, 06123 Perugia (Italy); Chipaux, R. [CEA DSM/IRFU/SEDI, CE-Saclay, 91191 Gif sur Yvette cedex (France); Cousson, A. [Laboratoire Léon Brillouin, CEA-CNRS, CE-Saclay, 91191 Gif sur Yvette cedex (France); Davì, F. [Università Politecnica delle Marche, Via Brecce Bianche, 60131 Ancona (Italy); Di Vara, N. [Department PH-CMX CERN, Route de Meyrin, 1211 Geneva 23 (Switzerland); Rinaldi, D.; Santecchia, E. [Università Politecnica delle Marche, Via Brecce Bianche, 60131 Ancona (Italy)

    2015-06-11

    Five single crystals of cerium-doped lutetium yttrium oxyorthosilicate (LYSO:Ce) grown by the Czochralski method were submitted to structural characterisation by X-ray (XRD) and neutron (ND) diffraction, scanning (SEM) and transmission (TEM) electron microscopy and energy dispersive microanalysis (EDS). The Ultimate Tensile Strength (UTS), the Young Modulus (YM) and the Light Yield (LY) of the samples were also measured in order to correlate the mechanical and the optical behaviour of the crystals with the characteristics of their microstructure. Two of the samples analysed were also heat treated at 300 °C for 10 h to evidence possible variations induced by the temperature in the optical and mechanical response of the crystals. Results showed that the mean compositional variations evidenced by the structural analyses do not affect the mechanical and optical behaviour of the samples. On the contrary, the thermal treatment could induce the formation of coherent spherical particles (size 10 to 15 nm), not uniformly distributed inside the sample, that strongly reduce the UTS and YM values, but it does not affect the optical response of the crystal. This latter result was attributed to the low value of the heating temperature (300 °C) that is not sufficiently high to induce annealing of the oxygen vacancies traps that are responsible of the deterioration of the scintillation properties of the LYSO:Ce crystals. This study was carried out in the framework of the Crystal Clear Collaboration (CCC)

  10. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  11. How deposition parameters control growth dynamics of nc-Si deposited by hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Moutinho, H.R.; To, B.; Jiang, C.-S.; Xu, Y.; Nelson, B.P.; Teplin, C.W.; Jones, K.M.; Perkins, J.; Al-Jassim, M.M.

    2006-01-01

    We studied the growth of silicon films deposited by hot-wire chemical vapor deposition under different values of filament current, substrate temperature, and hydrogen dilution ratio. The physical and electrical properties of the films were studied by Raman spectroscopy, x-ray diffraction, atomic force microscopy, conductive-atomic force microscopy, and transmission electron microscopy. There is an interdependence of the growth parameters, and films grown with different parameters can have similar structures. We discuss why this interdependence occurs and how it influences the properties of the deposited films, as well as the deposition rate. In general, the films have a complex structure, with a mixture of amorphous (220)-oriented crystalline and nanocrystalline phases present in most cases. The amount of each phase can be controlled by the variation of one or more of the growth parameters at a time

  12. Chemical resistance of thin film materials based on metal oxides grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Sammelselg, Väino; Netšipailo, Ivan; Aidla, Aleks; Tarre, Aivar; Aarik, Lauri; Asari, Jelena; Ritslaid, Peeter; Aarik, Jaan

    2013-01-01

    Etching rate of technologically important metal oxide thin films in hot sulphuric acid was investigated. The films of Al-, Ti-, Cr-, and Ta-oxides studied were grown by atomic layer deposition (ALD) method on silicon substrates from different precursors in large ranges of growth temperatures (80–900 °C) in order to reveal process parameters that allow deposition of coatings with higher chemical resistance. The results obtained demonstrate that application of processes that yield films with lower concentration of residual impurities as well as crystallization of films in thermal ALD processes leads to significant decrease of etching rate. Crystalline films of materials studied showed etching rates down to values of < 5 pm/s. - Highlights: • Etching of atomic layer deposited thin metal oxide films in hot H 2 SO 4 was studied. • Smallest etching rates of < 5 pm/s for TiO 2 , Al 2 O 3 , and Cr 2 O 3 were reached. • Highest etching rate of 2.8 nm/s for Al 2 O 3 was occurred. • Remarkable differences in etching of non- and crystalline films were observed

  13. Vapour and electro-deposited metal films on copper: structure and reactivity

    OpenAIRE

    McEvoy, Thomas F.

    2004-01-01

    The systems studied involve deposition of metals of a larger atomic diameter on a Cu{100} single crystal surface under vacuum and determining the structures formed along with the effect on the Cu{100} substrate. Cu microelectrodes were fabricated and characterised with Indium electrodeposited on the electrode surface. The In on Cu{ 100} growth mode is compared with the growth mode of electrodeposited Indium on Cu microelectrodes. The Cu{100}/In system has been studied for the In coverage ...

  14. Electrical Conductivity of CUXS Thin Film Deposited by Chemical ...

    African Journals Online (AJOL)

    Thin films of CuxS have successfully been deposited on glass substrates using the Chemical Bath Deposition (CBD) technique. The films were then investigated for their electrical properties. The results showed that the electrical conductivities of the CuxS films with different molarities (n) of thiourea (Tu), determined using ...

  15. Effect of protic solvents on CdS thin films prepared by chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Yao, Pin-Chuan, E-mail: pcyao@mail.dyu.edu.tw; Chen, Chun-Yu

    2015-03-31

    In this study, cadmium sulfide (CdS) thin films are grown on glass substrates by chemical bath deposition (CBD) in an aqueous bath containing 10–20 vol.% alcohol. The roles of ethanol as a protic solvent that substantially improves the quality of films are explored extensively. The deposited films in an alcohol bath are found to be more compact and smoother with smaller CdS grains. The X-ray diffractograms of the samples confirm that all films were polycrystalline with mixed wurtzite (hexagonal) and zinkblende (cubic) phases. Raman spectra indicate that, for a film deposited in an alcohol bath, the position of 1LO is closer to the value for single crystal CdS, indicating that these films have a high degree of crystallinity. The as-deposited CdS thin films in a 10 vol.% alcohol bath were found to have the highest visible transmittance of 81.9%. XPS analysis reveals a stronger signal of C1s for samples deposited in the alcohol baths, indicating that there are more carbonaceous residues on the films with protic solvent than on the films with water. A higher XPS S/Cd atomic ratio for films deposited in an alcohol bath indicates that undesirable surface reactions (leading to sulfur containing compounds other than CdS) occur less frequently over the substrates. - Highlights: • Study of CBD-CdS films grown in an alcohol-containing aqueous bath is reported. • The deposited films in an alcohol bath are more compact with smaller CdS grains. • Raman spectra show that in an alcohol bath, the CdS film has a better crystallinity. • XPS reveals more carbon residues remain on the films deposited using alcohol bath. • In an alcohol bath, the undesirable surface reactions with Cd ions were hindered.

  16. Chemical vapor deposition (CVD) of uranium for alpha spectrometry

    International Nuclear Information System (INIS)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F.

    2015-09-01

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  17. Role of crystal orientation on chemical mechanical polishing of single crystal copper

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, Aibin, E-mail: abzhu@mail.xjtu.edu.cn; He, Dayong; Luo, Wencheng; Liu, Yangyang

    2016-11-15

    Highlights: • The role of crystal orientation in cooper CMP by quasi-continuum was studied. • The atom displacement diagrams were obtained and analyzed. • The stress distribution diagrams and load-displacement curves were analyzed. • This research is helpful to revealing the material removal mechanism of CMP. - Abstract: The material removal mechanism of single crystal copper in chemical mechanical polishing (CMP) has not been intensively investigated. And the role of crystal orientation in CMP of single crystal cooper is not quite clear yet. Quasi-continuum method was adopted in this paper to simulate the process of nano-particles grinding on single crystal copper in CMP process. Three different crystal orientations, i.e. x[100]y[001], x[001]y[110] and x[–211]y[111], were chosen for analysis. The atom displacement diagrams, stress distribution diagrams and load-displacement curves were obtained. After analyzing the deformation mechanism, residual stress of the work piece material and cutting force, results showed that, the crystal orientation of work piece has great influence on the deformation characteristics and surface quality of work piece during polishing. In the A(001)[100] orientation, the residual stress distribution after polishing is deeper, and the stress is larger than that in the B(110)[001] and C(111)[–211] orientations. And the average tangential cutting force in the A(001)[100] orientation is much larger than those in the other two crystal orientation. This research is helpful to revealing the material removal mechanism of CMP process.

  18. Diameter Tuning of β-Ga2O3 Nanowires Using Chemical Vapor Deposition Technique.

    Science.gov (United States)

    Kumar, Mukesh; Kumar, Vikram; Singh, R

    2017-12-01

    Diameter tuning of [Formula: see text]-Ga 2 O 3 nanowires using chemical vapor deposition technique have been investigated under various experimental conditions. Diameter of root grown [Formula: see text]-Ga 2 O 3 nanowires having monoclinic crystal structure is tuned by varying separation distance between metal source and substrate. Effect of gas flow rate and mixer ratio on the morphology and diameter of nanowires has been studied. Nanowire diameter depends on growth temperature, and it is independent of catalyst nanoparticle size at higher growth temperature (850-900 °C) as compared to lower growth temperature (800 °C). These nanowires show changes in structural strain value with change in diameter. Band-gap of nanowires increases with decrease in the diameter.

  19. Chemical effect on ozone deposition over seawater

    Science.gov (United States)

    Surface layer resistance plays an important role in determining ozone deposition velocity over seawater. Recent studies suggest that surface layer resistance over sea-water is influenced by wind-speed and chemical interaction at the air-water interface. Here, we investigate the e...

  20. Chemical characterisation of zircon-cadmium sulfoselenide ceramic pigments; Caracterizacion quimica de pigmentos ceramicos a base de sulfoseleniuro de cadmio

    Energy Technology Data Exchange (ETDEWEB)

    Gazulla Barreda, M. F.; Rodrigo Edo, M.; Blasco Roca, E.; Orduna Cordero, M.

    2013-07-01

    The present paper addresses the development of a methodology that allows the complete chemical characterisation of zircon cadmium sulfoselenide ceramic pigments including minor and major elements. To develop the methodology, five zircon-cadmium sulfoselenide pigments with different hues were selected, studying the different measurement process steps, from sample preparation to the optimisation of the measurement of the different components of the pigments by spectroscopic techniques (WD-XRF and elemental analysis by combustion and IR detection). The chemical characterisation method developed was validated with synthetic standards prepared from the mixture of certified reference materials and pure oxides because no certified referenced materials of this type of pigments were commercially available. The developed method can be used for a complete chemical characterization of zircon-cadmium sulfoselenide ceramic pigments with a very low uncertainty for all the elements analysed. (Author)

  1. Fabrication and growth mechanism of carbon nanospheres by chemical vapor deposition

    International Nuclear Information System (INIS)

    Tian, F.; He, C.N.

    2010-01-01

    The synthesis of carbon nanospheres (CNSs) by chemical vapor deposition (CVD) of methane on catalyst of Ni-Al composite powders was reported. The influence factors on the growth morphology of CNSs, such as reaction temperature, reaction time and different carrier gases concerning hydrogen, nitrogen as well as no carrier gas were investigated using transmission electron microscope. The results showed that the reaction temperature had great effect on the structure of CNSs, higher temperature led to high-crystallized CNSs with high purity. The reaction time brought no significant influence to the structure of CNSs, but the average diameter of the CNSs was obviously increased with prolonging the reaction time. Relatively pure CNSs could be obtained with hydrogen as the carrier gas but with poor product rate compared with the CNSs with no carrier gas. Proper amount of CNSs with pure characteristic could be obtained with nitrogen as the carrier gas. Finally, a growth mechanism of dissolution-precipitation-diffusion is proposed for elucidating the growth process of general CNSs.

  2. Functionalization of Hydrogenated Chemical Vapour Deposition-Grown Graphene by On-Surface Chemical Reactions

    Czech Academy of Sciences Publication Activity Database

    Drogowska, Karolina; Kovaříček, Petr; Kalbáč, Martin

    2017-01-01

    Roč. 23, č. 17 (2017), s. 4022-4022 ISSN 1521-3765 Institutional support: RVO:61388955 Keywords : Chemical vapor deposition * Hydrogenation * Graphene Subject RIV: CF - Physical ; Theoretical Chemistry

  3. Hierarchically structured photonic crystals for integrated chemical separation and colorimetric detection.

    Science.gov (United States)

    Fu, Qianqian; Zhu, Biting; Ge, Jianping

    2017-02-16

    A SiO 2 colloidal photonic crystal film with a hierarchical porous structure is fabricated to demonstrate an integrated separation and colorimetric detection of chemical species for the first time. This new photonic crystal based thin layer chromatography process requires no dyeing, developing and UV irradiation compared to the traditional TLC. The assembling of mesoporous SiO 2 particles via a supersaturation-induced-precipitation process forms uniform and hierarchical photonic crystals with micron-scale cracks and mesopores, which accelerate the diffusion of developers and intensify the adsorption/desorption between the analytes and silica for efficient separation. Meanwhile, the chemical substances infiltrated to the voids of photonic crystals cause an increase of the refractive index and a large contrast of structural colors towards the unloaded part, so that the sample spots can be directly recognized with the naked eye before and after separation.

  4. Characterisation of crystal matrices and single pixels for nuclear medicine applications

    International Nuclear Information System (INIS)

    Herbert, D.J.; Belcari, N.; Camarda, M.; Del Guerra, A.; Vaiano, A.

    2005-01-01

    Commercially constructed crystal matrices are characterised for use with PSPMT detectors for PET system developments and other nuclear medicine applications. The matrices of different scintillation materials were specified with pixel dimensions of 1.5x1.5 mm 2 in cross-section and a length corresponding to one gamma ray interaction length at 511 keV. The materials used in this study were BGO, LSO, LYSO, YSO and CsI(Na). Each matrix was constructed using a white TiO loaded epoxy that forms a 0.2 mm septa between each pixel. The white epoxy is not the optimum choice in terms of the reflective properties, but represents a good compromise between cost and the need for optical isolation between pixels. We also tested a YAP matrix that consisted of pixels of the same size specification but was manufactured by a different company, who instead of white epoxy, used a thin aluminium reflective layer for optical isolation that resulted in a septal thickness of just 0.01 mm, resulting in a much higher packing fraction. The characteristics of the scintillation materials, such as the light output and energy resolution, were first studied in the form of individual crystal elements by using a single pixel HPD. A comparison of individual pixels with and without the epoxy or dielectric coatings was also performed. Then the matrices themselves were coupled to a PSPMT in order to study the imaging performance. In particular, the system pixel resolution and the peak to valley ratio were measured at 511 and 122 keV

  5. Silver deposition on chemically treated carbon monolith

    Directory of Open Access Journals (Sweden)

    Jovanović Zoran M.

    2009-01-01

    Full Text Available Carbon monolith was treated with HNO3, KOH and H2O2. Effects of these treatments on the surface functional groups and on the amount of silver deposited on the CM surface were studied by temperature programmed desorption (TPD and atomic absorption spectrometry (AAS. As a result of chemical treatment there was an increase in the amount of surface oxygen complexes. The increase in the amount of silver deposit is proportional to the amount of surface groups that produce CO under decomposition. However, the high amount of CO groups, decomposing above 600°C, induces the smaller Ag crystallite size. Therefore, the high temperature CO evolving oxides are, most likely, the initial centers for Ag deposition.

  6. Superhydrophobic multi-scale ZnO nanostructures fabricated by chemical vapor deposition method.

    Science.gov (United States)

    Zhou, Ming; Feng, Chengheng; Wu, Chunxia; Ma, Weiwei; Cai, Lan

    2009-07-01

    The ZnO nanostructures were synthesized on Si(100) substrates by chemical vapor deposition (CVD) method. Different Morphologies of ZnO nanostructures, such as nanoparticle film, micro-pillar and micro-nano multi-structure, were obtained with different conditions. The results of XRD and TEM showed the good quality of ZnO crystal growth. Selected area electron diffraction analysis indicates the individual nano-wire is single crystal. The wettability of ZnO was studied by contact angle admeasuring apparatus. We found that the wettability can be changed from hydrophobic to super-hydrophobic when the structure changed from smooth particle film to single micro-pillar, nano-wire and micro-nano multi-scale structure. Compared with the particle film with contact angle (CA) of 90.7 degrees, the CA of single scale microstructure and sparse micro-nano multi-scale structure is 130-140 degrees, 140-150 degrees respectively. But when the surface is dense micro-nano multi-scale structure such as nano-lawn, the CA can reach to 168.2 degrees . The results indicate that microstructure of surface is very important to the surface wettability. The wettability on the micro-nano multi-structure is better than single-scale structure, and that of dense micro-nano multi-structure is better than sparse multi-structure.

  7. Deposition and characterisation of epitaxial oxide thin films for SOFCs

    KAUST Repository

    Santiso, José

    2010-10-24

    This paper reviews the recent advances in the use of thin films, mostly epitaxial, for fundamental studies of materials for solid oxide fuel cell (SOFC) applications. These studies include the influence of film microstructure, crystal orientation and strain in oxide ionic conducting materials used as electrolytes, such as fluorites, and in mixed ionic and electronic conducting materials used as electrodes, typically oxides with perovskite or perovskite-related layered structures. The recent effort towards the enhancement of the electrochemical performance of SOFC materials through the deposition of artificial film heterostructures is also presented. These thin films have been engineered at a nanoscale level, such as the case of epitaxial multilayers or nanocomposite cermet materials. The recent progress in the implementation of thin films in SOFC devices is also reported. © 2010 Springer-Verlag.

  8. Intelligent process control of fiber chemical vapor deposition

    Science.gov (United States)

    Jones, John Gregory

    Chemical Vapor Deposition (CVD) is a widely used process for the application of thin films. In this case, CVD is being used to apply a thin film interface coating to single crystal monofilament sapphire (Alsb2Osb3) fibers for use in Ceramic Matrix Composites (CMC's). The hot-wall reactor operates at near atmospheric pressure which is maintained using a venturi pump system. Inert gas seals obviate the need for a sealed system. A liquid precursor delivery system has been implemented to provide precise stoichiometry control. Neural networks have been implemented to create real-time process description models trained using data generated based on a Navier-Stokes finite difference model of the process. Automation of the process to include full computer control and data logging capability is also presented. In situ sensors including a quadrupole mass spectrometer, thermocouples, laser scanner, and Raman spectrometer have been implemented to determine the gas phase reactants and coating quality. A fuzzy logic controller has been developed to regulate either the gas phase or the in situ temperature of the reactor using oxygen flow rate as an actuator. Scanning electron microscope (SEM) images of various samples are shown. A hierarchical control structure upon which the control structure is based is also presented.

  9. Hydroxyapatite crystal deposition disease: imaging aspects and biological behavior

    International Nuclear Information System (INIS)

    D'Aquino, Danilo Olavarria; Pinto, Alexandre de Lavra; Costa, Mauro Jose Brandao da; Fanelli, Vania A.; Abud, Lucas Giansante

    2005-01-01

    Objective: to demonstrate, using imaging methods (x-ray, computed tomography (CT), magnetic resonance imaging (MRI) and ultrasound (US), the phases of hydroxyapatite crystal deposition disease in joints, particularly in the shoulder, from the silent phase to the intra-osseous migration of calcifications and radiologic follow-up examinations showing complete remission after physical therapy. Material and method: we evaluated 27 joints (25 shoulders, one hip and one elbow) of patients followed-up with radiographs. Patients extremely symptomatic and refractory to treatment were referred to MRI or US. Results: total remission of calcifications was observed in 15 joints after treatment - 14 shoulders and one elbow. In two joint, migration of the calcification to bone was observed: one to the bursa subdeltoidea, one to biceps tendon, one to subcoracoid recess and one to the interior of the infra spinal muscle. In two cases MRI and CT scans showed a high inflammatory process triggered by the disease. Conclusion: hydroxyapatite crystal deposition disease affects multiple joints and can vary from asymptomatic to extremely symptomatic. Imaging methods show all phases of the disease, including the migratory phase. In general, the use of x-ray is enough for the diagnosis and follow-up. MRI and CT provide a more accurate diagnosis in the active phase of the disease. In this paper, remission was seen with physiotherapy (iontophoresis) in 55% of the cases. (author)

  10. Structural characterization of epitaxial LiFe_5O_8 thin films grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Loukya, B.; Negi, D.S.; Sahu, R.; Pachauri, N.; Gupta, A.; Datta, R.

    2016-01-01

    We report on detailed microstructural and atomic ordering characterization by transmission electron microscopy in epitaxial LiFe_5O_8 (LFO) thin films grown by chemical vapor deposition (CVD) on MgO (001) substrates. The experimental results of LFO thin films are compared with those for bulk LFO single crystal. Electron diffraction studies indicate weak long-range ordering in LFO (α-phase) thin films in comparison to bulk crystal where strong ordering is observed in optimally annealed samples. The degree of long-range ordering depends on the growth conditions and the thickness of the film. Annealing experiment along with diffraction study confirms the formation of α-Fe_2O_3 phase in some regions of the films. This suggests that under certain growth conditions γ-Fe_2O_3-like phase forms in some pockets in the as-grown LFO thin films that then convert to α-Fe_2O_3 on annealing. - Highlights: • Atomic ordering in LiFe_5O_8 bulk single crystal and epitaxial thin films. • Electron diffraction studies reveal different level of ordering in the system. • Formation of γ-Fe_2O_3 like phase has been observed.

  11. Advances in the chemical vapor deposition (CVD) of Tantalum

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Christensen, Erik

    2014-01-01

    The chemical stability of tantalum in hot acidic media has made it a key material in the protection of industrial equipment from corrosion under such conditions. The Chemical Vapor Deposition of tantalum to achieve such thin corrosion resistant coatings is one of the most widely mentioned examples...

  12. Chemical solution deposition of functional oxide thin films

    CERN Document Server

    Schneller, Theodor; Kosec, Marija

    2014-01-01

    Chemical Solution Deposition (CSD) is a highly-flexible and inexpensive technique for the fabrication of functional oxide thin films. Featuring nearly 400 illustrations, this text covers all aspects of the technique.

  13. Estimating chemical composition of atmospheric deposition fluxes from mineral insoluble particles deposition collected in the western Mediterranean region

    Directory of Open Access Journals (Sweden)

    Y. Fu

    2017-11-01

    Full Text Available In order to measure the mass flux of atmospheric insoluble deposition and to constrain regional models of dust simulation, a network of automatic deposition collectors (CARAGA has been installed throughout the western Mediterranean Basin. Weekly samples of the insoluble fraction of total atmospheric deposition were collected concurrently on filters at five sites including four on western Mediterranean islands (Frioul and Corsica, France; Mallorca, Spain; and Lampedusa, Italy and one in the southern French Alps (Le Casset, and a weighing and ignition protocol was applied in order to quantify their mineral fraction. Atmospheric deposition is both a strong source of nutrients and metals for marine ecosystems in this area. However, there are few data on trace-metal deposition in the literature, since their deposition measurement is difficult to perform. In order to obtain more information from CARAGA atmospheric deposition samples, this study aimed to test their relevance in estimating elemental fluxes in addition to total mass fluxes. The elemental chemical analysis of ashed CARAGA filter samples was based on an acid digestion and an elemental analysis by inductively coupled plasma atomic emission spectroscopy (ICP-AES and mass spectrometry (MS in a clean room. The sampling and analytical protocols were tested to determine the elemental composition for mineral dust tracers (Al, Ca, K, Mg and Ti, nutrients (P and Fe and trace metals (Cd, Co, Cr, Cu, Mn, Ni, V and Zn from simulated wet deposition of dust analogues and traffic soot. The relative mass loss by dissolution in wet deposition was lower than 1 % for Al and Fe, and reached 13 % for P due to its larger solubility in water. For trace metals, this loss represented less than 3 % of the total mass concentration, except for Zn, Cu and Mn for which it could reach 10 %, especially in traffic soot. The chemical contamination during analysis was negligible for all the elements except for Cd

  14. Research on chemical vapor deposition processes for advanced ceramic coatings

    Science.gov (United States)

    Rosner, Daniel E.

    1993-01-01

    Our interdisciplinary background and fundamentally-oriented studies of the laws governing multi-component chemical vapor deposition (VD), particle deposition (PD), and their interactions, put the Yale University HTCRE Laboratory in a unique position to significantly advance the 'state-of-the-art' of chemical vapor deposition (CVD) R&D. With NASA-Lewis RC financial support, we initiated a program in March of 1988 that has led to the advances described in this report (Section 2) in predicting chemical vapor transport in high temperature systems relevant to the fabrication of refractory ceramic coatings for turbine engine components. This Final Report covers our principal results and activities for the total NASA grant of $190,000. over the 4.67 year period: 1 March 1988-1 November 1992. Since our methods and the technical details are contained in the publications listed (9 Abstracts are given as Appendices) our emphasis here is on broad conclusions/implications and administrative data, including personnel, talks, interactions with industry, and some known applications of our work.

  15. Chemical bath deposition of CdS thin films doped with Zn and Cu

    Indian Academy of Sciences (India)

    Abstract. Zn- and Cu-doped CdS thin films were deposited onto glass substrates by the chemical bath technique. ... Cadmium sulfide; chemical bath deposition; doping; optical window. 1. ..... at low temperature (10 K), finding similar trends than.

  16. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  17. Sub-band gap photo-enhanced secondary electron emission from high-purity single-crystal chemical-vapor-deposited diamond

    International Nuclear Information System (INIS)

    Yater, J. E.; Shaw, J. L.; Pate, B. B.; Feygelson, T. I.

    2016-01-01

    Secondary-electron-emission (SEE) current measured from high-purity, single-crystal (100) chemical-vapor-deposited diamond is found to increase when sub-band gap (3.06 eV) photons are incident on the hydrogenated surface. Although the light does not produce photoemission directly, the SEE current increases by more than a factor of 2 before saturating with increasing laser power. In energy distribution curves (EDCs), the emission peak shows a corresponding increase in intensity with increasing laser power. However, the emission-onset energy in the EDCs remains constant, indicating that the bands are pinned at the surface. On the other hand, changes are observed on the high-energy side of the distribution as the laser power increases, with a well-defined shoulder becoming more pronounced. From an analysis of this feature in the EDCs, it is deduced that upward band bending is present in the near-surface region during the SEE measurements and this band bending suppresses the SEE yield. However, sub-band gap photon illumination reduces the band bending and thereby increases the SEE current. Because the bands are pinned at the surface, we conclude that the changes in the band levels occur below the surface in the electron transport region. Sample heating produces similar effects as observed with sub-band gap photon illumination, namely, an increase in SEE current and a reduction in band bending. However, the upward band bending is not fully removed by either increasing laser power or temperature, and a minimum band bending of ∼0.8 eV is established in both cases. The sub-band gap photo-excitation mechanism is under further investigation, although it appears likely at present that defect or gap states play a role in the photo-enhanced SEE process. In the meantime, the study demonstrates the ability of visible light to modify the electronic properties of diamond and enhance the emission capabilities, which may have potential impact for diamond-based vacuum electron

  18. Chemical vapor deposited fiber coatings and chemical vapor infiltrated ceramic matrix composites

    Energy Technology Data Exchange (ETDEWEB)

    Kmetz, M.A.

    1992-01-01

    Conventional Chemical Vapor Deposition (CVD) and Organometallic Chemical Vapor Deposition (MOCVD) were employed to deposit a series of interfacial coatings on SiC and carbon yarn. Molybdenum, tungsten and chromium hexacarbonyls were utilized as precursors in a low temperature (350[degrees]C) MOCVD process to coat SiC yarn with Mo, W and Cr oxycarbides. Annealing studies performed on the MoOC and WOC coated SiC yarns in N[sub 2] to 1,000[degrees]C establish that further decomposition of the oxycarbides occurred, culminating in the formation of the metals. These metals were then found to react with Si to form Mo and W disilicide coatings. In the Cr system, heating in N[sub 2] above 800[degrees]C resulted in the formation of a mixture of carbides and oxides. Convention CVD was also employed to coat SiC and carbon yarn with C, Bn and a new interface designated BC (a carbon-boron alloy). The coated tows were then infiltrated with SiC, TiO[sub 2], SiO[sub 2] and B[sub 4]C by a chemical vapor infiltration process. The B-C coatings were found to provide advantageous interfacial properties over carbon and BN coatings in several different composite systems. The effectiveness of these different coatings to act as a chemically inert barrier layer and their relationship to the degree of interfacial debonding on the mechanical properties of the composites were examined. The effects of thermal stability and strength of the coated fibers and composites were also determined for several difference atmospheres. In addition, a new method for determining the tensile strength of the as-received and coated yarns was also developed. The coated fibers and composites were further characterized by AES, SEM, XPS, IR and X-ray diffraction analysis.

  19. Role of chlorine in the nanocrystalline silicon film formation by rf plasma-enhanced chemical vapor deposition of chlorinated materials

    International Nuclear Information System (INIS)

    Shirai, Hajime

    2004-01-01

    We demonstrate the disorder-induced low-temperature crystallization in the nanocrystalline silicon film growth by rf plasma-enhanced chemical vapor deposition of H 2 -diluted SiH 2 Cl 2 and SiCl 4 . The combination of the chemical reactivity of SiCld (d: dangling bond) and SiHCl complexes and the release of the disorder-induced stress near the growing surface tightly correlate with the phase transitionity of SiCld and SiHCl complexes near the growing surface with the aid of atomic hydrogen, which induce higher degree of disorder in the a-Si network. These features are most prominent in the SiCl 4 compared with those of SiH 2 Cl 2 and SiH 4 , which preferentially enhance the nanocrystalline Si formation

  20. Evaluation of freestanding boron-doped diamond grown by chemical vapour deposition as substrates for vertical power electronic devices

    Energy Technology Data Exchange (ETDEWEB)

    Issaoui, R.; Achard, J.; Tallaire, A.; Silva, F.; Gicquel, A. [LSPM-CNRS (formerly LIMHP), Universite Paris 13, 99, Avenue Jean-Baptiste Clement, 93430 Villetaneuse (France); Bisaro, R.; Servet, B.; Garry, G. [Thales Research and Technology France, Campus de Polytechnique, 1 Avenue Augustin Fresnel, F-91767 Palaiseau Cedex (France); Barjon, J. [GEMaC-CNRS, Universite de Versailles Saint Quentin Batiment Fermat, 45 Avenue des Etats-Unis, 78035 Versailles Cedex (France)

    2012-03-19

    In this study, 4 x 4 mm{sup 2} freestanding boron-doped diamond single crystals with thickness up to 260 {mu}m have been fabricated by plasma assisted chemical vapour deposition. The boron concentrations measured by secondary ion mass spectroscopy were 10{sup 18} to 10{sup 20} cm{sup -3} which is in a good agreement with the values calculated from Fourier transform infrared spectroscopy analysis, thus indicating that almost all incorporated boron is electrically active. The dependence of lattice parameters and crystal mosaicity on boron concentrations have also been extracted from high resolution x-ray diffraction experiments on (004) planes. The widths of x-ray rocking curves have globally shown the high quality of the material despite a substantial broadening of the peak, indicating a decrease of structural quality with increasing boron doping levels. Finally, the suitability of these crystals for the development of vertical power electronic devices has been confirmed by four-point probe measurements from which electrical resistivities as low as 0.26 {Omega} cm have been obtained.

  1. Metal–organic covalent network chemical vapor deposition for gas separation

    NARCIS (Netherlands)

    Boscher, N.D.; Wang, M.; Perrotta, A.; Heinze, K.; Creatore, A.; Gleason, K.K.

    2016-01-01

    The chemical vapor deposition (CVD) polymerization of metalloporphyrin building units is demonstrated to provide an easily up-scalable one-step method toward the deposition of a new class of dense and defect-free metal–organic covalent network (MOCN) layers. The resulting hyper-thin and flexible

  2. The preparation and cathodoluminescence of ZnS nanowires grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Huang, Meng-Wen; Cheng, Yin-Wei; Pan, Ko-Ying; Chang, Chen-Chuan; Shieu, F.S.; Shih, Han C.

    2012-01-01

    Highlights: ► ZnS nanowires have been achieved by thermal evaporation. ► The nanowires were 20–50 nm in diameter and up to tens of nanometers in length. ► Single-crystalline wurtzite and sphalerite ZnS phase are coexist in the nanowires. ► The ZnS nanowires showed almost identical blue luminescence at room temperature. ► ZnS nanowires may be appropriate for use in UV/blue LED phosphor materials. - Abstract: Single crystal ZnS nanowires were successfully synthesized in large quantities on Si (1 0 0) substrates by simple thermal chemical vapor deposition without using any catalyst. The morphology, composition, and crystal structure were characterized by field emission scanning electron microscopy (FESEM), X-ray diffraction (XRD), high-resolution transmission electron microscopy (HRTEM), energy-dispersive X-ray spectroscopy (EDX), X-ray photoelectron spectroscopy (XPS), and cathodoluminescence (CL) spectroscopy. SEM observations show that the nanowires have diameters about 20–50 nm and lengths up to several tens of micrometers. XRD and TEM results confirmed that the nanowires exhibited both wurtzite and zinc blende structures with growth directions aligned along [0 0 0 2] and [1 1 1], respectively. The CL spectrum revealed emission bands in the UV and blue regions. The blue emissions at 449 and ∼581 nm were attributed to surface states and impurity-related defects of the nanowires, respectively. The perfect crystal structure of the nanowires indicates their potential applications in nanotechnology and in the fabrication of nanodevices.

  3. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  4. Two-Dimensional Photonic Crystals for Sensitive Microscale Chemical and Biochemical Sensing

    Science.gov (United States)

    Miller, Benjamin L.

    2015-01-01

    Photonic crystals – optical devices able to respond to changes in the refractive index of a small volume of space – are an emerging class of label-free chemical-and bio-sensors. This review focuses on one class of photonic crystal, in which light is confined to a patterned planar material layer of sub-wavelength thickness. These devices are small (on the order of tens to 100s of microns square), suitable for incorporation into lab-on-a-chip systems, and in theory can provide exceptional sensitivity. We introduce the defining characteristics and basic operation of two-dimensional photonic crystal sensors, describe variations of their basic design geometry, and summarize reported detection results from chemical and biological sensing experiments. PMID:25563402

  5. SiC fibre by chemical vapour deposition on tungsten filament

    Indian Academy of Sciences (India)

    Unknown

    SiC fibre by chemical vapour deposition on tungsten filament ... CMCs), in defence and industrial applications. SiC has attractive ... porosity along with chemical purity. This is lacking .... reactor. Since mercury is very toxic it should be removed.

  6. Influence of variation in the concentration of ammonium hydroxide on the size of ZnO crystal obtained by Microwave Chemical Bath Deposition

    International Nuclear Information System (INIS)

    Galeazzi, R; Díaz, T; García, G; Rivera, B L; Rosendo, E; López, R; Morales, N; González, C M

    2013-01-01

    Films of good crystalline quality of ZnO were successfully prepared using the microwave chemical bath deposition method at a temperature of 80 °C. Concentration of the basic precursor was varied systematically in order to obtain different degrees of acidity in the precursor solutions. Increasing the pH causes an increase in yield. This increase is reflected on the thickness of the deposit. The results of atomic force microscopy (AFM) show an increase in particle size with increasing pH in agreement with the results obtained by profilometry.

  7. Laser chemical vapor deposition of millimeter scale three-dimensional shapes

    Science.gov (United States)

    Shaarawi, Mohammed Saad

    2001-07-01

    Laser chemical vapor deposition (LCVD) has been successfully developed as a technique to synthesize millimeter-scale components directly from the gas phase. Material deposition occurs when heat generated by the interaction of a laser beam with a substrate thermally decomposes the gas precursor. Selective illumination or scanning the laser beam over portions of a substrate forms the single thin layer of material that is the building block of this process. Sequential scanning of the laser in a pre-defined pattern on the substrate and subsequent deposit causes the layers to accumulate forming the three-dimensional shape. The primary challenge encountered in LCVD shape forming is the synthesis of uniform layers. Three deposition techniques are studied to address this problem. The most successful technique, Active Surface Deposition, is based on the premise that the most uniform deposits are created by measuring the deposition surface topology and actively varying the deposition rate in response to features at the deposition surface. Defects observed in the other techniques were significantly reduced or completely eliminated using Active Surface Deposition. The second technique, Constant Temperature Deposition, maintains deposit uniformity through the use of closed-loop modulation of the laser power to sustain a constant surface temperature during deposition. The technique was successful in depositing high quality graphite tubes >2 mm tall from an acetylene precursor and partially successful in depositing SiC + C composite tubes from tetramethylsilane (TMS). The final technique, Constant Power Deposition, is based on the premise that maintaining a uniform power output throughout deposition would result in the formation of uniform layers. Constant Power Deposition failed to form coherent shapes. Additionally, LCVD is studied using a combination of analytic and numerical models to gain insight into the deposition process. Thermodynamic modeling is used to predict the

  8. Influence of deposition parameters on morphological properties of biomedical calcium phosphate coatings prepared using electrostatic spray deposition

    International Nuclear Information System (INIS)

    Leeuwenburgh, S.C.G.; Wolke, J.G.C.; Schoonman, J.; Jansen, J.A.

    2005-01-01

    In order to deposit biomedical calcium phosphate (CaP) coatings with a defined surface morphology, the electrostatic spray deposition (ESD) technique was used since this technique offers the possibility to deposit ceramic coatings with a variety of surface morphologies. A scanning electron microscopical study was performed in order to investigate the influence of several deposition parameters on the final morphology of the deposited coatings. The chemical characteristics of the coatings were studied by means of X-ray diffraction and Fourier-transform infrared spectroscopy. Regarding the chemical coating properties, the results showed that the coatings can be described as crystalline carbonate apatite coatings, a crystal phase which is similar to the mineral phase of bone and teeth. The morphology of CaP coatings, deposited using the ESD technique, was strongly dependent on the deposition parameters. By changing the nozzle-to-substrate distance, the precursor liquid flow rate and the deposition temperature, coating morphologies were deposited, which varied from dense to highly porous, reticular morphologies. The formation of various morphologies was the result of an equilibrium between the relative rates of CaP solute precipitation/reaction, solvent evaporation and droplet spreading onto the substrate surface

  9. ZnSe thin films by chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Lokhande, C.D.; Patil, P.S.; Tributsch, H. [Hahn-Meitner-Institute, Bereich Physikalische Chemie, Abt. CS, Glienicker Strasse-100, D-14109 Berlin (Germany); Ennaoui, A. [Hahn-Meitner-Institute, Bereich Physikalische Chemie, Abt. CG, Glienicker Strasse-100, D-14109 Berlin (Germany)

    1998-09-04

    The ZnSe thin films have been deposited onto glass substrates by the simple chemical bath deposition method using selenourea as a selenide ion source from an aqueous alkaline medium. The effect of Zn ion concentration, bath temperature and deposition time period on the quality and thickness of ZnSe films has been studied. The ZnSe films have been characterized by XRD, TEM, EDAX, TRMC (time-resolved microwave conductivity), optical absorbance and RBS techniques for their structural, compositional, electronic and optical properties. The as-deposited ZnSe films are found to be amorphous, Zn rich with optical band gap, Eg, equal to 2.9 eV

  10. Elaboration of strontium ruthenium oxide thin films on metal substrates by chemical solution deposition

    International Nuclear Information System (INIS)

    Seveno, R.; Braud, A.; Gundel, H.W.

    2005-01-01

    In order to improve the structural interface between a metal substrate and a lead zirconate titanate (Pb(ZrTi)O 3 , PZT) ferroelectric thin film, the elaboration of strontium ruthenium oxide (SrRuO 3 ) by chemical solution deposition is studied. The SrRuO 3 thin films were realized by multiple spin-coating technique and the temperature of the rapid thermal annealing process was optimized. The crystallization behavior was examined by X-ray diffraction; surface analyses using scanning electron microscope and atomic force microscope techniques showed the influence of the SrRuO 3 layer at the interface PZT/metal on the morphology of the ferroelectric thin film. From the electrical measurements, a coercive electric field around 25 kV/cm and a remanent polarization of approximately 30 μC/cm were found

  11. Microstructure and chemical bonding of DLC films deposited on ACM rubber by PACVD

    NARCIS (Netherlands)

    Martinez-Martinez, D.; Schenkel, M.; Pei, Y.T.; Sánchez-López, J.C.; Hosson, J.Th.M. De

    2011-01-01

    The microstructure and chemical bonding of DLC films prepared by plasma assisted chemical vapor deposition on acrylic rubber (ACM) are studied in this paper. The temperature variation produced by the ion impingement during plasma cleaning and subsequent film deposition was used to modify the film

  12. Structural, optical and electrical properties of chemically deposited ...

    Indian Academy of Sciences (India)

    Structural, optical and electrical properties of chemically deposited nonstoichiometric copper ... One of these compounds, CuInSe2, with its optical absorption .... is clear from SEM images that the number of grains goes on increasing with the ...

  13. SnS thin films deposited by chemical bath deposition, dip coating and SILAR techniques

    Science.gov (United States)

    Chaki, Sunil H.; Chaudhary, Mahesh D.; Deshpande, M. P.

    2016-05-01

    The SnS thin films were synthesized by chemical bath deposition (CBD), dip coating and successive ionic layer adsorption and reaction (SILAR) techniques. In them, the CBD thin films were deposited at two temperatures: ambient and 70 °C. The energy dispersive analysis of X-rays (EDAX), X-ray diffraction (XRD), Raman spectroscopy, scanning electron microscopy (SEM) and optical spectroscopy techniques were used to characterize the thin films. The electrical transport properties studies on the as-deposited thin films were done by measuring the I-V characteristics, DC electrical resistivity variation with temperature and the room temperature Hall effect. The obtained results are deliberated in this paper.

  14. Study on stability of a-SiCOF films deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Ding Shijin; Zhang Qingquan; Wang Pengfei; Zhang Wei; Wang Jitao

    2001-01-01

    Low-dielectric-constant a-SiCOF films have been prepared from TEOS, C 4 F 8 and Ar by using plasma enhanced chemical vapor deposition method. With the aid of X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), the chemical bonding configuration, thermal stability and resistance to water of the films are explored

  15. Antimony sulfide thin films prepared by laser assisted chemical bath deposition

    International Nuclear Information System (INIS)

    Shaji, S.; Garcia, L.V.; Loredo, S.L.; Krishnan, B.

    2017-01-01

    Highlights: • Antimony sulfide thin films were prepared by normal CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • These films were photoconductive. - Abstract: Antimony sulfide (Sb_2S_3) thin films were prepared by laser assisted chemical bath deposition (LACBD) technique. These thin films were deposited on glass substrates from a chemical bath containing antimony chloride, acetone and sodium thiosulfate under various conditions of normal chemical bath deposition (CBD) as well as in-situ irradiation of the chemical bath using a continuous laser of 532 nm wavelength. Structure, composition, morphology, optical and electrical properties of the Sb_2S_3 thin films produced by normal CBD and LACBD were analyzed by X-Ray diffraction (XRD), Raman Spectroscopy, Atomic force microscopy (AFM), X-Ray photoelectron spectroscopy (XPS), UV–vis spectroscopy and Photoconductivity. The results showed that LACBD is an effective synthesis technique to obtain Sb_2S_3 thin films for optoelectronic applications.

  16. Antimony sulfide thin films prepared by laser assisted chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); CIIDIT—Universidad Autónoma de Nuevo León, Apodaca, Nuevo León (Mexico); Garcia, L.V. [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); Loredo, S.L. [Centro de Investigación en Materiales Avanzados (CIMAV), Unidad Monterrey, PIIT, Apodaca, Nuevo León (Mexico); Krishnan, B. [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); CIIDIT—Universidad Autónoma de Nuevo León, Apodaca, Nuevo León (Mexico); and others

    2017-01-30

    Highlights: • Antimony sulfide thin films were prepared by normal CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • These films were photoconductive. - Abstract: Antimony sulfide (Sb{sub 2}S{sub 3}) thin films were prepared by laser assisted chemical bath deposition (LACBD) technique. These thin films were deposited on glass substrates from a chemical bath containing antimony chloride, acetone and sodium thiosulfate under various conditions of normal chemical bath deposition (CBD) as well as in-situ irradiation of the chemical bath using a continuous laser of 532 nm wavelength. Structure, composition, morphology, optical and electrical properties of the Sb{sub 2}S{sub 3} thin films produced by normal CBD and LACBD were analyzed by X-Ray diffraction (XRD), Raman Spectroscopy, Atomic force microscopy (AFM), X-Ray photoelectron spectroscopy (XPS), UV–vis spectroscopy and Photoconductivity. The results showed that LACBD is an effective synthesis technique to obtain Sb{sub 2}S{sub 3} thin films for optoelectronic applications.

  17. Preparation and Optical Properties of Spherical Inverse Opals by Liquid Phase Deposition Using Spherical Colloidal Crystals

    International Nuclear Information System (INIS)

    Aoi, Y; Tominaga, T

    2013-01-01

    Titanium dioxide (TiO 2 ) inverse opals in spherical shape were prepared by liquid phase deposition (LPD) using spherical colloidal crystals as templates. Spherical colloidal crystals were produced by ink-jet drying technique. Aqueous emulsion droplets that contain polystyrene latex particles were ejected into air and dried. Closely packed colloidal crystals with spherical shape were obtained. The obtained spherical colloidal crystals were used as templates for the LPD. The templates were dispersed in the deposition solution of the LPD, i.e. a mixed solution of ammonium hexafluorotitanate and boric acid and reacted for 4 h at 30 °C. After the LPD process, the interstitial spaces of the spherical colloidal crystals were completely filled with titanium oxide. Subsequent heat treatment resulted in removal of templates and spherical titanium dioxide inverse opals. The spherical shape of the template was retained. SEM observations indicated that the periodic ordered voids were surrounded by titanium dioxide. The optical reflectance spectra indicated that the optical properties of the spherical titanium dioxide inverse opals were due to Bragg diffractions from the ordered structure. Filling in the voids of the inverse opals with different solvents caused remarkable changes in the reflectance peak.

  18. Low-pressure chemical vapour deposition of LiCoO2 thin films: a systematic investigation of the deposition parameters

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    The feasibility of volatile precursor low-pressure chemical vapor deposition (LPCVD) for the production of LiCoO2 cathodes for all solid-state microbatteries was examined. To test this feasibility, and gain insight into the deposition behavior, the influence of the deposition parameters on the

  19. Low pressure chemical vapour deposition of temperature resistant colour filters

    International Nuclear Information System (INIS)

    Verheijen, J.; Bongaerts, P.; Verspui, G.

    1987-01-01

    The possibility to deposit multilayer colour filters, based on optical inference, by means of Low Pressure Chemical Vapour Deposition (LPCVD) was investigated. The filters were made in a standard LPCVD system by alternate deposition of Si/sub 3/N/sub 4/ and SiO/sub 2/ layers. This resulted in filters with excellent colour uniformity on glass and quartz substrates. No difference was measured between theoretically calculated transmission and the transmission of the filters deposited by LPCVD. Temperature treatment at 600 0 C in air air showed no deterioration of filter quality and optical properties

  20. Advances in silicon carbide Chemical Vapor Deposition (CVD) for semiconductor device fabrication

    Science.gov (United States)

    Powell, J. Anthony; Petit, Jeremy B.; Matus, Lawrence G.

    1991-01-01

    Improved SiC chemical vapor deposition films of both 3C and 6H polytypes were grown on vicinal (0001) 6H-SiC wafers cut from single-crystal boules. These films were produced from silane and propane in hydrogen at one atmosphere at a temperature of 1725 K. Among the more important factors which affected the structure and morphology of the grown films were the tilt angle of the substrate, the polarity of the growth surface, and the pregrowth surface treatment of the substrate. With proper pregrowth surface treatment, 6H films were grown on 6H substrates with tilt angles as small as 0.1 degrees. In addition, 3C could be induced to grow within selected regions on a 6H substrate. The polarity of the substrate was a large factor in the incorporation of dopants during epitaxial growth. A new growth model is discussed which explains the control of SiC polytype in epitaxial growth on vicinal (0001) SiC substrates.

  1. In-situ boron doping of chemical-bath deposited CdS thin films

    International Nuclear Information System (INIS)

    Khallaf, Hani; Park, S.; Schulte, Alfons; Chai, Guangyu; Lupan, Oleg; Chow, Lee; Heinrich, Helge

    2009-01-01

    In-situ boron doping of CdS using chemical-bath deposition (CBD) is reported. The effect of B doping on optical properties, as well as electrical properties, crystal structure, chemistry, and morphology of CdS films is studied. We present a successful approach towards B doping of CdS using CBD, where a resistivity as low as 1.7 x 10 -2 Ωcm and a carrier density as high as 1.91 x 10 19 cm -3 were achieved. The bandgap of B-doped films was found to slightly decrease as the[B]/[Cd] ratio in the solution increases. X-ray diffraction studies showed B 3+ ions likely enter the lattice substitutionally. A phase transition, due to annealing, as well as induced lattice defects, due to B doping, were detected by micro-Raman spectroscopy and transmission electron microscopy. The chemistry and morphology of films were unaffected by B doping. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. Preparation of hafnium carbide by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hertz, Dominique.

    1974-01-01

    Hard, adhesive coatings of single-phase hafnium carbide were obtained by chemical vapor reaction in an atmosphere containing hafnium tetrachloride, methane and a large excess of hydrogen. By varying the gas phase composition and temperature the zones of formation of the different solid phases were studied and the growth of elementary hafnium and carbon deposits evaluated separately. The results show that the mechanism of hafnium carbide deposition does not hardly involve phenomene of homogeneous-phase methane decomposition or tetrachloride reduction by hydrogen unless the atmosphere is very rich or very poor in methane with respect to tetrachloride. However, hydrogen acting inversely on these two reactions, affects the stoichiometry of the substance deposited. The methane decomposition reaction is fairly slow, the reaction leading to hafnium carbide deposition is faster and that of tetrachloride reduction by hydrogen is quite fast [fr

  3. Stress evaluation of chemical vapor deposited silicon dioxide films

    International Nuclear Information System (INIS)

    Maeda, Masahiko; Itsumi, Manabu

    2002-01-01

    Film stress of chemical vapor deposited silicon dioxide films was evaluated. All of the deposited films show tensile intrinsic stresses. Oxygen partial pressure dependence of the intrinsic stress is very close to that of deposition rate. The intrinsic stress increases with increasing the deposition rate under the same deposition temperature, and decreases with increasing substrate temperature. Electron spin resonance (ESR) active defects in the films were observed when the films were deposited at 380 deg. C and 450 deg. C. The ESR signal intensity decreases drastically with increasing deposition temperature. The intrinsic stress correlates very closely to the intensity of the ESR-active defects, that is, the films with larger intrinsic stress have larger ESR-active defects. It is considered that the intrinsic stress was generated because the voids caused by local bond disorder were formed during random network formation among the SiO 4 tetrahedra. This local bond disorder also causes the ESR-active defects

  4. Do Not Hallow until You Are out of the Wood! Ultrasonographic Detection of CPP Crystal Deposits in Menisci: Facts and Pitfalls

    Directory of Open Access Journals (Sweden)

    Georgios Filippou

    2013-01-01

    Full Text Available Purpose. Ultrasonography (US has been demonstrated to be an important tool in the diagnosis of calcium pyrophosphate (CPP crystal deposition disease. The aim of our study was to individuate and describe possible pitfalls in US detection of such deposits in menisci. Patients and Methods. We enrolled all patients waiting to undergo knee replacement surgery due to osteoarthritis, for one-month period. Each patient underwent US examination of the knee, focusing on the menisci. After surgery, the menisci were examined by US, macroscopically and microscopically, using the microscopic analysis as the gold standard for CPP deposition. Results. 11 menisci of 6 patients have been studied. Ex vivo examination of menisci performed better in CPP identification than in vivo examination. The possible reasons of misinterpretation or misdiagnosis of the in vivo exam were identified and are extensively described in the paper. Also a new sign of CPP crystal deposits was found. Conclusions. This study permitted to highlight some difficulties in CPP crystal detection by US in menisci. Further studies are needed to define completely US CPP crystal aspect and to improve the sensibility and specificity of US in CPP deposition diagnosis.

  5. Origin and chemical composition of evaporite deposits

    Science.gov (United States)

    Moore, George William

    1960-01-01

    A comparative study of marine evaporite deposits forming at the present time along the pacific coast of central Mexico and evaporite formations of Permian age in West Texas Basin was made in order to determine if the modern sediments provide a basis for understanding environmental conditions that existed during deposition of the older deposits. The field work was supplemented by investigations of artificial evaporite minerals precipitated in the laboratory and by study of the chemical composition of halite rock of different geologic ages. The environment of deposition of contemporaneous marine salt deposits in Mexico is acidic, is strongly reducing a few centimeters below the surface, and teems with microscopic life. Deposition of salt, unlike that of many other sediments, is not wholly a constructional phenomenon. Permanent deposits result only if a favorable balance exists between deposition in the dry season and dissolution in the wet season. Evaporite formations chosen for special study in the West Texas Basin are, in ascending order, the Castile, Salado, and Rustler formations, which have a combined thickness of 1200 meters. The Castile formation is largely composed of gypsum rock, the Salado, halite rock, and the Rustler, quartz and carbonate sandstone. The lower part of the Castile formation is bituminous and contains limestone laminae. The Castile and Rustler formations thicken to the south at the expense of salt of the intervening Salado formation. The clastic rocks of the Rustler formation are interpreted as the deposits of a series of barrier islands north of which halite rock of the Salado was deposited. The salt is believed to have formed in shallow water of uniform density that was mixed by the wind. Where water depth exceeded the depth of the wind mixing, density stratification developed, and gypsum was deposited. Dense water of high salinity below the density discontinuity was overlain by less dense, more normally saline water which was derived from

  6. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  7. Nanocomposite metal amorphous-carbon thin films deposited by hybrid PVD and PECVD technique.

    Science.gov (United States)

    Teixeira, V; Soares, P; Martins, A J; Carneiro, J; Cerqueira, F

    2009-07-01

    Carbon based films can combine the properties of solid lubricating graphite structure and hard diamond crystal structure, i.e., high hardness, chemical inertness, high thermal conductivity and optical transparency without the crystalline structure of diamond. Issues of fundamental importance associated with nanocarbon coatings are reducing stress, improving adhesion and compatibility with substrates. In this work new nanocomposite coatings with improved toughness based in nanocrystalline phases of metals and ceramics embedded in amorphous carbon matrix are being developed within the frame of a research project: nc-MeNxCy/a-C(Me) with Me = Mo, Si, Al, Ti, etc. Carbide forming metal/carbon (Me/C) composite films with Me = Mo, W or Ti possess appropriate properties to overcome the limitation of pure DLC films. These novel coating architectures will be adopted with the objective to decrease residual stress, improve adherence and fracture toughness, obtain low friction coefficient and high wear-resistance. Nanocomposite DLC's films were deposited by hybrid technique using a PVD-Physically Vapor Deposition (magnetron sputtering) and Plasma Enhanced Chemical Vapor Deposition (PECVD), by the use of CH4 gas. The parameters varied were: deposition time, substrate temperature (180 degrees C) and dopant (Si + Mo) of the amorphous carbon matrix. All the depositions were made on silicon wafers and steel substrates precoated with a silicon inter-layer. The characterisation of the film's physico-mechanical properties will be presented in order to understand the influence of the deposition parameters and metal content used within the a-C matrix in the thin film properties. Film microstructure and film hybridization state was characterized by Raman Spectroscopy. In order to characterize morphology SEM and AFM will be used. Film composition was measured by Energy-Dispersive X-ray analysis (EDS) and by X-ray photoelectron spectroscopy (XPS). The contact angle for the produced DLC's on

  8. Size dependent optical characteristics of chemically deposited

    Indian Academy of Sciences (India)

    Keywords. Thin film; ZnS; CBD method; optical properties. Abstract. ZnS thin films of different thicknesses were prepared by chemical bath deposition using thiourea and zinc acetate as S2- and Zn2+ source. The effect of film thickness on the optical and structural properties was studied. The optical absorption studies in the ...

  9. Physico-chemical characterisation of material fractions in residual and source-segregated household waste in Denmark

    DEFF Research Database (Denmark)

    Götze, Ramona; Pivnenko, Kostyantyn; Boldrin, Alessio

    2016-01-01

    differences in the physico-chemical properties of residual and source-segregated waste fractions were found for many parameters related to organic matter, but also for elements of environmental concern. Considerable differences in potentially toxic metal concentrations between the individual recyclable......Physico-chemical waste composition data are paramount for the assessment and planning of waste management systems. However, the applicability of data is limited by the regional, temporal and technical scope of waste characterisation studies. As Danish and European legislation aims for higher...... recycling rates evaluation of source-segregation and recycling chains gain importance. This paper provides a consistent up-to-date dataset for 74 physico-chemical parameters in 49 material fractions from residual and 24 material fractions from source-segregated Danish household waste. Significant...

  10. Structural coloration of chitosan-cationized cotton fabric using photonic crystals

    OpenAIRE

    Yavuz, Gonul; Zille, Andrea; Seventekin, N.; Souto, A. Pedro

    2017-01-01

    Abstract. In this work, poly (styrene-methyl methacrylate-acrylic acid) P(St-MMA-AA) composite nanospheres were deposited onto chitosan-cationized woven cotton fabrics followed by a second layer of chitosan. The deposited photonic crystals (PCs) on the fabrics were evaluated for coating efficiency and resistance, chemical analysis and color variation by optical and SEM microscopy, ATR-FTIR, diffuse reflectance spectroscopy and washing fastness. Chitosan deposition on cotton fab...

  11. Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition

    Science.gov (United States)

    Lackey, Jr., Walter J.; Caputo, Anthony J.

    1986-01-01

    A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.

  12. Chemical forms of 35S in KCl crystals doped with elementary 35S. Pt. 1

    International Nuclear Information System (INIS)

    Maddock, A.G.; Todorovsky, D.S.

    1983-01-01

    KCl crystals have been doped with 35 S at low chemical concentrations. Upon solution of the doped crystals in cyanide solution and analysis by the method of Kasrai and Maddock, the 35 S appears in the same chemical forms as are found for the 35 S produced in similar crystals by the (n, p) reaction. Reactions are suggested whereby these products may be produced. (orig.)

  13. OSTEOPOROSIS IN CALCIUM PYROPHOSPHATE CRYSTAL DEPOSITION DISEASE

    Directory of Open Access Journals (Sweden)

    S A Vladimirov

    2013-01-01

    Full Text Available Objective: to study the incidence of osteoporosis (OP in patients with calcium pyrophosphate crystal deposition disease (CPCDD. Subjects and methods. Eighty patients with CPCDD were examined. Bone mineral density (BMD of the forearm, lumbar spine, and femoral neck was determined by dual-energy X-ray absorptiometry. Laboratory diagnosis involved determination of the blood levels of C-reactive protein, parathyroid hormone, calcium, magnesium, and phosphorus and the daily urinary excretion of calcium and phosphates. Results. The patients with OP were significantly older than those with normal BMD and osteopenia. Forearm bones were the most common isolated location of OP and osteopenia. Injuries in the history, traumatic fractures, and the intake of diuretics were somewhat more common in the patients diagnosed with OP. The incidence of hyperparathyroidism did not differ significantly in the groups.

  14. Microchannel-flowed-plasma modification of octadecyltrichlorosilane self-assembled-monolayers for liquid crystal alignment

    International Nuclear Information System (INIS)

    Zheng, W.; Chiang, C.-Y.; Underwood, I.

    2013-01-01

    We report that a chemical patterning technique based on local plasma modification of self-assembled monolayers has been utilized to fabricate surfaces for domain liquid crystal alignment. Highly hydrophobic octadecyltrichlorosilane monolayers deposited on glass substrates coated with Indium-Tin-Oxide were brought into contact with elastomeric stamps comprising trenches on a micro scale, and then exposed to an oxygen plasma. In the regions exposed to the plasma the monolayer was etched away leaving a patterned surface that exhibited surface energy differences between surface domains. The surfaces that bear the micropatterns have been shown to be capable of producing patterned alignment of nematic liquid crystal. - Highlights: • Chemical surface-patterning is used to fabricate liquid crystal alignment surface. • Highly hydrophobic octadecyltrichlorosilane monolayer is deposited on substrate. • O 2 plasma flow is used to etch the monolayer to form patterned surface. • The patterned surface exhibits surface energy differences between surface domains. • The surface borne the micropatterns is capable of domain liquid crystal alignment

  15. Depositional features and stratigraphic sections in granitic plutons: implications for the emplacement and crystallization of granitic magma

    Science.gov (United States)

    Wiebe, R. A.; Collins, W. J.

    1998-09-01

    Many granitic plutons contain sheet-like masses of dioritic to gabbroic rocks or swarms of mafic to intermediate enclaves which represent the input of higher temperature, more mafic magma during crystallization of the granitic plutons. Small-scale structures associated with these bodies (e.g. load-cast and compaction features, silicic pipes extending from granitic layers into adjacent gabbroic sheets) indicate that the sheets and enclave swarms were deposited on a floor of the magma chamber (on granitic crystal mush and beneath crystal-poor magma) while the mafic magma was incompletely crystallized. These structures indicate 'way up', typically toward the interior of the intrusions, and appear to indicate that packages of mafic sheets and enclave concentrations in these plutons are a record of sequential deposition. Hence, these plutons preserve a stratigraphic history of events involved in the construction (filling, replenishment) and crystallization of the magma chamber. The distinctive features of these depositional portions of plutons allow them to be distinguished from sheeted intrusions, which usually preserve mutual intrusive contacts and 'dike-sill' relations of different magma types. The considerable thickness of material that can be interpreted as depositional, and the evidence for replenishment, suggest that magma chamber volumes at any one time were probably much less than the final size of the pluton. Thus, magma chambers may be constructed much more slowly than presently envisaged. The present steep attitudes of these structures in many plutons may have developed gradually as the floor of the chamber (along with the underlying solidified granite and country rock) sank during continuing episodes of magma chamber replenishment. These internal magmatic structures support recent suggestions that the room problem for granites could be largely accommodated by downward movement of country rock beneath the magma chamber.

  16. A kinetic and equilibrium analysis of silicon carbide chemical vapor deposition on monofilaments

    Science.gov (United States)

    Gokoglu, S. A.; Kuczmarski, M. A.

    1993-01-01

    Chemical kinetics of atmospheric pressure silicon carbide (SiC) chemical vapor deposition (CVD) from dilute silane and propane source gases in hydrogen is numerically analyzed in a cylindrical upflow reactor designed for CVD on monofilaments. The chemical composition of the SiC deposit is assessed both from the calculated total fluxes of carbon and silicon and from chemical equilibrium considerations for the prevailing temperatures and species concentrations at and along the filament surface. The effects of gas and surface chemistry on the evolution of major gas phase species are considered in the analysis.

  17. CT Imaging for Evaluation of Calcium Crystal Deposition in the Knee: Initial Experience from The Multicenter Osteoarthritis (MOST) Study

    Science.gov (United States)

    Misra, Devyani; Guermazi, Ali; Sieren, Jered P.; Lynch, John; Torner, James; Neogi, Tuhina; Felson, David T.

    2014-01-01

    Objective Role of intra-articular calcium crystals in osteoarthritis (OA) is unclear. Imaging modalities used to date for its evaluation have limitations in their ability to fully characterize intra-articular crystal deposition. Since Computed Tomography (CT) imaging provides excellent visualization of bones and calcified tissue, in this pilot project we evaluated the utility of CT scan in describing intra-articular calcium crystal deposition in the knees. Method We included 12 subjects with and 4 subjects without radiographic chondrocalcinosis in the most recent visit from the Multicenter Osteoarthritis (MOST) study, which is a longitudinal cohort of community-dwelling older adults with or at risk for knee OA. All subjects underwent CT scans of bilateral knees. Each knee was divided into 25 subregions and each subregion was read for presence of calcium crystals by a musculoskeletal radiologist. To assess reliability, readings were repeated 4 weeks later. Results CT images permitted visualization of 25 subregions with calcification within and around the tibio-femoral and patello-femoral joints in all 24 knees with radiographic chondrocalcinosis. Intra-articular calcification was seen universally including meniscal cartilage (most common site involved in 21/24 knees), hyaline cartilage, cruciate ligaments, medial collateral ligament and joint capsule. Readings showed good agreement for specific tissues involved with calcium deposition (kappa: 0.70, 95% CI 0.62–0.80). Conclusion We found CT scan to be a useful and reliable tool for describing calcium crystal deposition in the knee and therefore potentially for studying role of calcium crystals in OA. We also confirmed that “chondrocalcinosis” is a misnomer because calcification is present ubiquitously. PMID:25451303

  18. Elaboration of strontium ruthenium oxide thin films on metal substrates by chemical solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Seveno, R. [Universite de Nantes, Institut de Recherche en Electrotechnique et Electronique de Nantes Atlantique (IREENA), 2, rue de la Houssiniere, BP 92208, 44322 Nantes Cedex 3 (France)]. E-mail: raynald.seveno@univ-nantes.fr; Braud, A. [Universite de Nantes, Institut de Recherche en Electrotechnique et Electronique de Nantes Atlantique (IREENA), 2, rue de la Houssiniere, BP 92208, 44322 Nantes Cedex 3 (France); Gundel, H.W. [Universite de Nantes, Institut de Recherche en Electrotechnique et Electronique de Nantes Atlantique (IREENA), 2, rue de la Houssiniere, BP 92208, 44322 Nantes Cedex 3 (France)

    2005-12-22

    In order to improve the structural interface between a metal substrate and a lead zirconate titanate (Pb(ZrTi)O{sub 3}, PZT) ferroelectric thin film, the elaboration of strontium ruthenium oxide (SrRuO{sub 3}) by chemical solution deposition is studied. The SrRuO{sub 3} thin films were realized by multiple spin-coating technique and the temperature of the rapid thermal annealing process was optimized. The crystallization behavior was examined by X-ray diffraction; surface analyses using scanning electron microscope and atomic force microscope techniques showed the influence of the SrRuO{sub 3} layer at the interface PZT/metal on the morphology of the ferroelectric thin film. From the electrical measurements, a coercive electric field around 25 kV/cm and a remanent polarization of approximately 30 {mu}C/cm were found.

  19. Chemical solution deposition techniques for epitaxial growth of complex oxides

    NARCIS (Netherlands)

    ten Elshof, Johan E.; Koster, G.; Huijben, Mark; Rijnders, G.

    2015-01-01

    The chemical solution deposition (CSD) process is a wet-chemical process that is employed to fabricate a wide variety of amorphous and crystalline oxide thin films. This chapter describes the typical steps in a CSD process and their influence on the final microstructure and properties of films, and

  20. New crystal-chemical data for marécottite

    Czech Academy of Sciences Publication Activity Database

    Plášil, Jakub; Škoda, R.

    2015-01-01

    Roč. 79, č. 3 (2015), s. 649-660 ISSN 0026-461X R&D Projects: GA ČR GP13-31276P Institutional support: RVO:68378271 Keywords : marécottite * uranyl sulfate * zippeite group * crystal structure * chemical composition * hydrogen bonds. Subject RIV: DB - Geology ; Mineralogy Impact factor: 2.212, year: 2015

  1. The value of iodide as a parameter in the chemical characterisation of groundwaters

    Science.gov (United States)

    Lloyd, J. W.; Howard, K. W. F.; Pacey, N. R.; Tellam, J. H.

    1982-06-01

    Brackish and saline groundwaters can severely constrain the use of fresh groundwaters. Their chemical characterisation is important in understanding the hydraulic conditions controlling their presence in an aquifer. Major ions are frequently of limited value but minor ions can be used. Iodide in groundwater is particularly significant in many environments due to the presence of soluble iodine in aquifer matrix materials. Iodide is found in groundwaters in parts of the English Chalk aquifer in concentrations higher than are present in modern seawater. Its presence is considered as a indication of groundwater residence and is of use in the characterisation of fresh as well as saline waters. Under certain circumstances modern seawater intrusion into aquifers along English estuaries produces groundwaters which are easily identified due to iodide enrichment from estuarine muds. In other environments iodide concentrations are of value in distinguishing between groundwaters in limestones and shaly gypsiferous rocks as shown by a study in Qatar, while in an alluvial aquifer study in Peru iodide has been used to identify groundwaters entering the aquifer from adjacent granodiorites.

  2. Reduced-pressure chemical vapor deposition of boron-doped Si and Ge layers

    International Nuclear Information System (INIS)

    Bogumilowicz, Y.; Hartmann, J.M.

    2014-01-01

    We have studied the in-situ boron (B) doping of germanium (Ge) and silicon (Si) in Reduced Pressure-Chemical Vapor Deposition. Three growth temperatures have been investigated for the B-doping of Ge: 400, 600 and 750 °C at a constant growth pressure of 13300 Pa (i.e. 100 Torr). The B concentration in the Ge:B epilayer increases linearly with the diborane concentration in the gaseous phase. Single-crystalline Ge:B layers with B concentrations in-between 9 ∙ 10 17 and 1 ∙ 10 20 cm −3 were achieved. For the in-situ B doping of Si at 850 °C, two dichlorosilane mass flow ratios (MFR) have been assessed: F[SiH 2 Cl 2 ]/F[H 2 ] = 0.0025 and F[SiH 2 Cl 2 ]/F[H 2 ] = 0.0113 at a growth pressure of 2660 Pa (i.e. 20 Torr). Linear boron incorporation with the diborane concentration in the gas phase has been observed and doping levels in-between 3.5 ∙ 10 17 and 1 ∙ 10 20 cm −3 were achieved. We almost kept the same ratio of B versus Si atoms in the gas phase and in the Si epilayer. By contrast, roughly half of the B atoms present in the gas phase were incorporated in the Ge:B layers irrespective of the growth temperature. X-Ray Diffraction (XRD) allowed us to extract from the angular position of the Ge:B layer diffraction peak the substitutional B concentration. Values close to the B concentrations obtained by 4-probe resistivity measurements were obtained. Ge:B layers were smooth (< 1 m root mean square roughness associated with 20 × 20 μm 2 Atomic Force Microscopy images). Only for high F[B 2 H 6 ]/F[GeH 4 ] MFR (3.2 10 −3 ) did the Ge:B layers became rough; they were however still mono-crystalline (XRD). Above this MFR value, Ge:B layers became polycrystalline. - Highlights: • Boron doping of germanium and silicon in Reduced Pressure-Chemical Vapor Deposition • Linear boron incorporation in Ge:B and Si:B with the diborane flow • Single-crystal Ge:B layers with B concentrations in-between 9 ∙ 10 17 and 1 ∙ 10 20 cm −3 • Single-crystal Si

  3. Tensile test of a silicon microstructure fully coated with submicrometer-thick diamond like carbon film using plasma enhanced chemical vapor deposition method

    Science.gov (United States)

    Zhang, Wenlei; Uesugi, Akio; Hirai, Yoshikazu; Tsuchiya, Toshiyuki; Tabata, Osamu

    2017-06-01

    This paper reports the tensile properties of single-crystal silicon (SCS) microstructures fully coated with sub-micrometer thick diamond like carbon (DLC) film using plasma enhanced chemical vapor deposition (PECVD). To minimize the deformations or damages caused by non-uniform coating of DLC, which has high compression residual stress, released SCS specimens with the dimensions of 120 µm long, 4 µm wide, and 5 µm thick were coated from the top and bottom side simultaneously. The thickness of DLC coating is around 150 nm and three different bias voltages were used for deposition. The tensile strength improved from 13.4 to 53.5% with the increasing of negative bias voltage. In addition, the deviation in strength also reduced significantly compared to bare SCS sample.

  4. Calcium pyrophosphate dihydrate crystal deposition disease presenting as a pseudotumor of the temporomandibular joint

    International Nuclear Information System (INIS)

    Vargas, A.; Teruel, J.; Pont, J.; Velayos, A.; Trull, J.; Lopez, E.

    1997-01-01

    We report a case of a 66-year-old white woman with calcium pyrophosphate dihydrate (CPPD) crystal deposition disease. The patient related a 2-month history of swelling with tenderness over the left pre-auricular region. A CT scan suggested a synovial chondromatosis. Surgical removal was done and histologic study showed CPPD crystals. This disease rarely involves the temporomandibular joint (TMJ) and is not usually considered in the differential diagnosis. To our knowledge, only 14 cases have been reported in the literature. (orig.)

  5. PbS Thin Films for Photovoltaic Applications Obtained by Non-Traditional Chemical Bath Deposition

    Directory of Open Access Journals (Sweden)

    Pérez-García Claudia Elena

    2015-01-01

    Full Text Available To optimize cost-efficiency relation for thin film solar cells, we explore the recently developed versions of chemical deposition of semiconductor films, together with classic CBD (Chemical Bath Deposition: SILAR (Successive Ionic Layer Adsorption and Reaction and PCBD (Photo Chemical Bath Deposition, all of them ammonia-free and ecologically friendly. The films of CdS and PbS were made, and experimental solar cells with CdS window layer and PbS absorber elaborated. We found that band gap of PbS films can be monitored by deposition process due to porosity-induced quantum confinement which depends on the parameters of the process. We expect that the techniques employed can be successfully used for production of optoelectronic devices.

  6. Influence of deposition time on the properties of chemical bath deposited manganese sulfide thin films

    Directory of Open Access Journals (Sweden)

    Anuar Kassim

    2010-12-01

    Full Text Available Manganese sulfide thin films were chemically deposited from an aqueous solution containing manganese sulfate, sodium thiosulfate and sodium tartrate. The influence of deposition time (2, 3, 6 and 8 days on the properties of thin films was investigated. The structure and surface morphology of the thin films were studied by X-ray diffraction and atomic force microscopy, respectively. In addition, in order to investigate the optical properties of the thin films, the UV-visible spectrophotometry was used. The XRD results indicated that the deposited MnS2 thin films exhibited a polycrystalline cubic structure. The number of MnS2 peaks on the XRD patterns initially increased from three to six peaks and then decreased to five peaks, as the deposition time was increased from 2 to 8 days. From the AFM measurements, the film thickness and surface roughness were found to be dependent on the deposition time.

  7. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-01-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm 3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  8. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    Science.gov (United States)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-06-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  9. An in-situ chemical reaction deposition of nanosized wurtzite CdS thin films

    International Nuclear Information System (INIS)

    Chu Juan; Jin Zhengguo; Cai Shu; Yang Jingxia; Hong Zhanglian

    2012-01-01

    Nanocrystalline CdS thin films were deposited on glass substrates by an ammonia-free in-situ chemical reaction synthesis technique using cadmium cationic precursor solid films as reaction source and sodium sulfide based solutions as anionic reaction medium. Effects of ethanolamine addition to the cadmium cationic precursor solid films, deposition cycle numbers and annealing treatments in Ar atmosphere on structure, morphology, chemical composition and optical properties of the resultant films were investigated by X-ray diffraction, field emission scanning electron microscope, energy dispersive X-ray analysis and UV–Vis spectra measurements. The results show that CdS thin films deposited by the in-situ chemical reaction synthesis have wurtzite structure with (002) plane preferential orientation and crystallite size is in the range of 16 nm–19 nm. The growth of film thickness is almost constant with deposition cycle numbers and about 96 nm per cycle.

  10. Determination of electroless deposition by chemical nickeling

    Directory of Open Access Journals (Sweden)

    M. Badida

    2013-07-01

    Full Text Available Increasing of technical level and reliability of machine products in compliance with the economical and ecological terms belongs to the main trends of the industrial development. During the utilisation of these products there arise their each other contacts and the interaction with the environment. That is the reason for their surface degradation by wear effect, corrosion and other influences. The chemical nickel-plating allows autocatalytic deposition of nickel from water solutions in the form of coherent, technically very profitable coating without usage of external source of electric current. The research was aimed at evaluating the surface changes after chemical nickel-plating at various changes of technological parameters.

  11. Preparation and characterization of Bi2Sr2CaCu2O8+δ thin films on MgO single crystal substrates by chemical solution deposition

    DEFF Research Database (Denmark)

    Grivel, Jean-Claude; Kepa, Katarzyna; Hlásek, T.

    2013-01-01

    Bi2Sr2CaCu2O8 thin films have been deposited on MgO single crystal substrates by spin-coating a solution based on 2-ethylhexanoate precursors. Pyrolysis takes place between 200°C and 450°C and is accompanied by the release of 2-ethylhexanoic acid, CO2 and H2O vapour. Highly c-axis oriented Bi2Sr2Ca...

  12. Chemical vapor deposition of refractory metals and ceramics III

    International Nuclear Information System (INIS)

    Gallois, B.M.; Lee, W.Y.; Pickering, M.A.

    1995-01-01

    The papers contained in this volume were originally presented at Symposium K on Chemical Vapor Deposition of Refractory Metals and Ceramics III, held at the Fall Meeting of the Materials Research Society in Boston, Massachusetts, on November 28--30, 1994. This symposium was sponsored by Morton International Inc., Advanced Materials, and by The Department of Energy-Oak Ridge National Laboratory. The purpose of this symposium was to exchange scientific information on the chemical vapor deposition (CVD) of metallic and ceramic materials. CVD technology is receiving much interest in the scientific community, in particular, to synthesize new materials with tailored chemical composition and physical properties that offer multiple functionality. Multiphase or multilayered films, functionally graded materials (FGMs), ''smart'' material structures and nanocomposites are some examples of new classes of materials being produced via CVD. As rapid progress is being made in many interdisciplinary research areas, this symposium is intended to provide a forum for reporting new scientific results and addressing technological issues relevant to CVD materials and processes. Thirty four papers have been processed separately for inclusion on the data base

  13. Carboxylic acids in crystallization of macromolecules: learning from successful crystallization experiments.

    Science.gov (United States)

    Offermann, Lesa R; He, John Z; Mank, Nicholas J; Booth, William T; Chruszcz, Maksymilian

    2014-03-01

    The production of macromolecular crystals suitable for structural analysis is one of the most important and limiting steps in the structure determination process. Often, preliminary crystallization trials are performed using hundreds of empirically selected conditions. Carboxylic acids and/or their salts are one of the most popular components of these empirically derived crystallization conditions. Our findings indicate that almost 40 % of entries deposited to the Protein Data Bank (PDB) reporting crystallization conditions contain at least one carboxylic acid. In order to analyze the role of carboxylic acids in macromolecular crystallization, a large-scale analysis of the successful crystallization experiments reported to the PDB was performed. The PDB is currently the largest source of crystallization data, however it is not easily searchable. These complications are due to a combination of a free text format, which is used to capture information on the crystallization experiments, and the inconsistent naming of chemicals used in crystallization experiments. Despite these difficulties, our approach allows for the extraction of over 47,000 crystallization conditions from the PDB. Initially, the selected conditions were investigated to determine which carboxylic acids or their salts are most often present in crystallization solutions. From this group, selected sets of crystallization conditions were analyzed in detail, assessing parameters such as concentration, pH, and precipitant used. Our findings will lead to the design of new crystallization screens focused around carboxylic acids.

  14. Deposition characteristics of titanium coating deposited on SiC fiber by cold-wall chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Xian, E-mail: luo_shenfan@hotmail.com; Wu, Shuai; Yang, Yan-qing; Jin, Na; Liu, Shuai; Huang, Bin

    2016-12-01

    The deposition characteristics of titanium coating on SiC fiber using TiCl{sub 4}-H{sub 2}-Ar gas mixture in a cold-wall chemical vapor deposition were studied by the combination of thermodynamic analysis and experimental studies. The thermodynamic analysis of the reactions in the TiCl{sub 4}-H{sub 2}-Ar system indicates that TiCl{sub 4} transforms to titanium as the following paths: TiCl{sub 4} → TiCl{sub 3} → Ti, or TiCl{sub 4} → TiCl{sub 3} → TiCl{sub 2} → Ti. The experimental results show that typical deposited coating contains two distinct layers: a TiC reaction layer close to SiC fiber and titanium coating which has an atomic percentage of titanium more than 70% and that of carbon lower than 30%. The results illustrate that a carbon diffusion barrier coating needs to be deposited if pure titanium is to be prepared. The deposition rate increases with the increase of temperature, but higher temperature has a negative effect on the surface uniformity of titanium coating. In addition, appropriate argon gas flow rate has a positive effect on smoothing the surface morphology of the coating. - Highlights: • Both thermodynamic analysis and experimental studies were adopted in this work. • The transformation paths of TiCl{sub 4} to Ti is: TiCl{sub 4} → TiCl{sub 3} → Ti, or TiCl{sub 4} → TiCl{sub 3} → TiCl{sub 2} → Ti. • Typical deposited Ti coating on SiC fiber contained two distinct layers. • Deposition temperature is important on deposition rate and morphologies. • Appropriate argon gas flow rate has a positive effect on smoothing of the coating.

  15. Chemical vapor deposition diamond based multilayered radiation detector: Physical analysis of detection properties

    International Nuclear Information System (INIS)

    Almaviva, S.; Marinelli, Marco; Milani, E.; Prestopino, G.; Tucciarone, A.; Verona, C.; Verona-Rinati, G.; Angelone, M.; Pillon, M.; Dolbnya, I.; Sawhney, K.; Tartoni, N.

    2010-01-01

    Recently, solid state photovoltaic Schottky diodes, able to detect ionizing radiation, in particular, x-ray and ultraviolet radiation, have been developed at the University of Rome 'Tor Vergata'. We report on a physical and electrical properties analysis of the device and a detailed study of its detection capabilities as determined by its electrical properties. The design of the device is based on a metal/nominally intrinsic/p-type diamond layered structure obtained by microwave plasma chemical vapor deposition of homoepitaxial single crystal diamond followed by thermal evaporation of a metallic contact. The device can operate in an unbiased mode by using the built-in potential arising from the electrode-diamond junction. We compare the expected response of the device to photons of various energies calculated through Monte Carlo simulation with experimental data collected in a well controlled experimental setup i.e., monochromatic high flux x-ray beams from 6 to 20 keV, available at the Diamond Light Source synchrotron in Harwell (U.K.).

  16. Characterization of CuS nanocrystalline thin films synthesized by chemical bath deposition and dip coating techniques

    International Nuclear Information System (INIS)

    Chaki, Sunil H.; Deshpande, M.P.; Tailor, Jiten P.

    2014-01-01

    CuS thin films were synthesized by chemical bath deposition and dip coating techniques at ambient temperature. The energy dispersive analysis of X-rays of the thin films confirmed that both the as synthesized thin films are stoichiometric. The X-ray diffraction of the chemical bath deposited and dip coating deposited thin films showed that the films possess hexagonal structure having lattice parameters, a = b = 3.79 A and c = 16.34 A. The crystallite sizes determined from the X-ray diffraction data using Scherrer's formula for the chemical bath deposition and dip coating deposition thin films came out to be nearly 11 nm and 13 nm, respectively. The optical microscopy of the as deposited thin films surfaces showed that the substrates are well covered in both the deposited films. The scanning electron microscopy of the thin films clearly showed that in chemical bath deposited thin films the grain size varies from few μm to nm, while in dip coating deposited films the grain size ranges in nm. The optical bandgap determined from the optical absorbance spectrum analysis showed, chemical bath deposited thin films possess direct bandgap of 2.2 eV and indirect bandgap of 1.8 eV. In the case of dip coating deposited thin films, the direct bandgap is 2.5 eV and indirect bandgap is 1.9 eV. The d.c. electrical resistivity variation with temperature for both the deposited films showed that the resistivity decreases with temperature thus confirming the semiconducting nature. The thermoelectric power variations with temperature and the room temperature Hall Effect study of both the synthesized CuS thin films showed them to be of p-type conductivity. The obtained results are discussed in details. - Highlights: • CuS thin films were synthesized by chemical bath deposition and dip coating techniques. • The films possessed hexagonal structure. • The optical absorption showed that the films had direct and indirect bandgap. • Study of electrical transport properties

  17. Optimization of solar cell performance using atmospheric pressure chemical vapour deposition deposited TCOs

    Czech Academy of Sciences Publication Activity Database

    Yates, H.M.; Evans, P.; Sheel, D.W.; Hodgkinson, J.L.; Sheel, P.; Dagkaldiran, U.; Gordijn, A.; Finger, F.; Remeš, Zdeněk; Vaněček, Milan

    2009-01-01

    Roč. 25, č. 8 (2009), s. 789-796 ISSN 1938-5862. [International Chemical Vapor Deposition Symposium (CVD-XVII) /17./. Wien, 04.10.2009-09.10.2009] Grant - others:European Community(XE) Project (STREP) of the 6. FP Institutional research plan: CEZ:AV0Z10100521 Keywords : solar cells * TCO * CVD Subject RIV: BM - Solid Matter Physics ; Magnetism

  18. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, W.; Nakaanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The reaction orders with respect to the partial pressures of hydrogen and boron trichloride are one half and one third, respectively. It has been found that the outer layer of a deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB by the use of X-ray diffraction and EPMA line analysis

  19. The chemical vapor deposition of zirconium carbide onto ceramic substrates

    International Nuclear Information System (INIS)

    Glass A, John Jr.; Palmisiano, Nick Jr.; Welsh R, Edward

    1999-01-01

    Zirconium carbide is an attractive ceramic material due to its unique properties such as high melting point, good thermal conductivity, and chemical resistance. The controlled preparation of zirconium carbide films of superstoichiometric, stoichiometric, and substoichiometric compositions has been achieved utilizing zirconium tetrachloride and methane precursor gases in an atmospheric pressure high temperature chemical vapor deposition system

  20. Systematic comparison of crystal and NMR protein structures deposited in the protein data bank.

    Science.gov (United States)

    Sikic, Kresimir; Tomic, Sanja; Carugo, Oliviero

    2010-09-03

    Nearly all the macromolecular three-dimensional structures deposited in Protein Data Bank were determined by either crystallographic (X-ray) or Nuclear Magnetic Resonance (NMR) spectroscopic methods. This paper reports a systematic comparison of the crystallographic and NMR results deposited in the files of the Protein Data Bank, in order to find out to which extent these information can be aggregated in bioinformatics. A non-redundant data set containing 109 NMR - X-ray structure pairs of nearly identical proteins was derived from the Protein Data Bank. A series of comparisons were performed by focusing the attention towards both global features and local details. It was observed that: (1) the RMDS values between NMR and crystal structures range from about 1.5 Å to about 2.5 Å; (2) the correlation between conformational deviations and residue type reveals that hydrophobic amino acids are more similar in crystal and NMR structures than hydrophilic amino acids; (3) the correlation between solvent accessibility of the residues and their conformational variability in solid state and in solution is relatively modest (correlation coefficient = 0.462); (4) beta strands on average match better between NMR and crystal structures than helices and loops; (5) conformational differences between loops are independent of crystal packing interactions in the solid state; (6) very seldom, side chains buried in the protein interior are observed to adopt different orientations in the solid state and in solution.

  1. Structural characterization of chemically deposited PbS thin films

    International Nuclear Information System (INIS)

    Fernandez-Lima, F.A.; Gonzalez-Alfaro, Y.; Larramendi, E.M.; Fonseca Filho, H.D.; Maia da Costa, M.E.H.; Freire, F.L.; Prioli, R.; Avillez, R.R. de; Silveira, E.F. da; Calzadilla, O.; Melo, O. de; Pedrero, E.; Hernandez, E.

    2007-01-01

    Polycrystalline thin films of lead sulfide (PbS) grown using substrate colloidal coating chemical bath depositions were characterized by RBS, XPS, AFM and GIXRD techniques. The films were grown on glass substrates previously coated with PbS colloidal particles in a polyvinyl alcohol solution. The PbS films obtained with the inclusion of the polymer showed non-oxygen-containing organic contamination. All samples maintained the Pb:S 1:1 stoichiometry throughout the film. The amount of effective nucleation centers and the mean grain size have being controlled by the substrate colloidal coating. The analysis of the polycrystalline PbS films showed that a preferable (1 0 0) lattice plane orientation parallel to the substrate surface can be obtained using a substrate colloidal coating chemical bath deposition, and the orientation increases when a layer of colloid is initially dried on the substrate

  2. Photoluminescence study of novel phosphorus-doped ZnO nanotetrapods synthesized by chemical vapour deposition

    International Nuclear Information System (INIS)

    Yu Dongqi; Hu Lizhong; Qiao Shuangshuang; Zhang Heqiu; Fu Qiang; Chen Xi; Sun Kaitong; Len, Song-En Andy; Len, L K

    2009-01-01

    Novel phosphorus-doped and undoped single crystal ZnO nanotetrapods were fabricated on sapphire by a simple chemical vapour deposition method, using phosphorus pentoxide (P 2 O 5 ) as the dopant source. The optical properties of the samples were investigated by photoluminescence (PL) spectroscopy. Low-temperature PL measurements of phosphorus-doped and undoped samples were compared, and the results indicated a decrease in deep level defects due to the incorporation of a phosphorus acceptor dopant. The PL spectrum of the phosphorus-doped sample at 10 K exhibited several acceptor-bound exciton related emission peaks. The effect of phosphorus doping on the optical characteristics of the samples was investigated by excitation intensity and temperature dependent PL spectra. The acceptor-binding energies of the phosphorus dopant were estimated to be about 120 meV, in good agreement with the corresponding theoretical and experimental values in phosphorus-doped ZnO films and nanowires.

  3. Investigation of deposition characteristics and properties of high-rate deposited silicon nitride films prepared by atmospheric pressure plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kakiuchi, H.; Nakahama, Y.; Ohmi, H.; Yasutake, K.; Yoshii, K.; Mori, Y.

    2005-01-01

    Silicon nitride (SiN x ) films have been prepared at extremely high deposition rates by the atmospheric pressure plasma chemical vapor deposition (AP-PCVD) technique on Si(001) wafers from gas mixtures containing He, H 2 , SiH 4 and N 2 or NH 3 . A 150 MHz very high frequency (VHF) power supply was used to generate high-density radicals in the atmospheric pressure plasma. Deposition rate, composition and morphology of the SiN x films prepared with various deposition parameters were studied by scanning electron microscopy and Auger electron spectroscopy. Fourier transformation infrared (FTIR) absorption spectroscopy was also used to characterize the structure and the chemical bonding configurations of the films. Furthermore, etching rate with buffered hydrofluoric acid (BHF) solution, refractive index and capacitance-voltage (C-V) characteristics were measured to evaluate the dielectric properties of the films. It was found that effective passivation of dangling bonds and elimination of excessive hydrogen atoms at the film-growing surface seemed to be the most important factor to form SiN x film with a dense Si-N network. The C-V curve of the optimized film showed good interface properties, although further improvement was necessary for use in the industrial metal-insulator-semiconductor (MIS) applications

  4. Growth of thick La{sub 2}Zr{sub 2}O{sub 7} buffer layers for coated conductors by polymer-assisted chemical solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Xin, E-mail: xzhang@my.swjtu.edu.cn [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity and New Energy Center (SNEC), Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); School of Electrical Engineering, Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); Zhao, Yong, E-mail: yzhao@swjtu.edu.cn [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity and New Energy Center (SNEC), Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); School of Materials Science and Engineering, University of New South Wales, Sydney, 2052 NSW (Australia); Xia, Yudong [State Key Lab of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Guo, Chunsheng [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity and New Energy Center (SNEC), Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); Cheng, C.H. [School of Materials Science and Engineering, University of New South Wales, Sydney, 2052 NSW (Australia); Zhang, Yong [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity and New Energy Center (SNEC), Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); Zhang, Han [Department of Physics, Peking University, Beijing 100871 (China)

    2015-06-15

    Highlights: • We develops a low-cost and high-efficient technology of fabricating LZO buffer layers. • Sufficient thickness LZO buffer layers have been obtained on NiW (2 0 0) alloy substrate. • Highly biaxially textured YBCO thin film has been deposited on LZO/NiW. - Abstract: La{sub 2}Zr{sub 2}O{sub 7} (LZO) epitaxial films have been deposited on LaAlO{sub 3} (LAO) (1 0 0) single-crystal surface and bi-axially textured NiW (2 0 0) alloy substrate by polymer-assisted chemical solution deposition, and afterwards studied with XRD, SEM and AFM approaches. Highly in-plane and out-of-plane oriented, dense, smooth, crack free and with a sufficient thickness (>240 nm) LZO buffer layers have been obtained on LAO (1 0 0) single-crystal surface; The films deposited on NiW (2 0 0) alloy substrate are also found with high degree in-plane and out-of-plane texturing, good density with pin-hole-free, micro-crack-free nature and a thickness of 300 nm. Highly epitaxial 500 nm thick YBa{sub 2}Cu{sub 3}O{sub 7−x} (YBCO) thin film exhibits the self-field critical current density (Jc) reached 1.3 MA/cm{sup 2} at 77 K .These results demonstrate the LZO epi-films obtained with current techniques have potential to be a buffer layer for REBCO coated conductors.

  5. Development and characterisation of supramolecular autovectoring system for selective drug delivery.

    Science.gov (United States)

    Jaitely, V; Vyas, S P

    1999-01-01

    Supramolecules since ages have been characterised as self-associating systems emanating the properties directly related to the mode of molecular association. Similar to supramolecules, liquid crystals may also be considered as an associated system. The liquid crystals are defined as the state of matter with the characterstic order of the crystal and the mobility of liquid. The system has been compared to the transporting form of cholesterol in body. The present study reports autovectoring potentials of the drug liquid crystals using diclofenac diethylammonium (DD) as a model. The drug based liquid crystals of DD were prepared employing temperature induced transformation of isotropic form to the liquid crystal form. The prepared liquid crystal systems were incubated with 1% phosphatidylcholine in order to stabilise the surface and restrict it into the liquid crystalline state. The system was characterised for viscosity variation, X-ray diffraction pattern, partitioning behaviour and in vitro diffusion profile. In vivo vectorising potential of the developed system was evaluated using rats as test animal and studying for the organ level distribution and drug compartmentalisation. The system exhibited satisfactory vecterisation as significant amount of administered dose was localised selectively in liver.

  6. Synthesis, deposition and crystal growth of CZTS nanoparticles onto ceramic tiles

    Directory of Open Access Journals (Sweden)

    Ivan Calvet

    2015-09-01

    Full Text Available The work presents a simple solvothermal method for CZTS nanoparticles preparation using hexadecylamine (HDA as a capping agent. The as-prepared CZTS powder was deposited as ink using Doctor Blade technique onto ceramic tile, as a substrate substituting the typical soda-lime glass. The as-prepared film was thermal treated at different temperatures in order to enhance the thin film crystallinity. CZTS crystal growth onto ceramic tile was obtained successfully for the first time.

  7. Microcrystalline silicon growth by low laser energy crystallization on a plastic substrate

    International Nuclear Information System (INIS)

    Kim, D. Y.; Seo, C. K.; Shim, M. S.; Kim, C. H.; Yi, J.

    2004-01-01

    We are reporting the crystallization of amorphous silicon (a-Si) using a XeCl excimer laser treatment. Although polycarbonate (PC) plastic substrates are very weak at high temperatures of more than 150 .deg. C, they are very useful for applications to microelectronics because of light weight, high transmittance, and flexibility. In order to crystallize a-Si films on plastic substrates, we suggest that a CeO 2 seed layer will be very helpful at a low laser energy density. The seed layer is deposited at room temperature by rf using magnetron sputtering. A seed layer deposition method will be also presented in detail in this article. We compare a-Si crytallization without a seed layer with one with a seed layer deposited between the a-Si and the plastic substrate. The a-Si was deposited on the plastic substrate by using inductively coupled plasma Chemical-Vapor Deposition (ICPCVD) at the room temperature. In this paper, we will present the crystallization properties of a-Si with and without a CeO 2 seed layer on the plastic substrate.

  8. PECVD deposition of device-quality intrinsic amorphous silicon at high growth rate

    Energy Technology Data Exchange (ETDEWEB)

    Carabe, J [Inst. de Energias Renovables, CIEMAT, Madrid (Spain); Gandia, J J [Inst. de Energias Renovables, CIEMAT, Madrid (Spain); Gutierrez, M T [Inst. de Energias Renovables, CIEMAT, Madrid (Spain)

    1993-11-01

    The combined influence of RF-power density (RFP) and silane flow-rate ([Phi]) on the deposition rate of plasma-enhanced chemical vapour deposition (PECVD) intrinsic amorphous silicon has been investigated. The correlation of the results obtained from the characterisation of the material with the silane deposition efficiency, as deduced from mass spectrometry, has led to an interpretation allowing to deposit intrinsic amorphous-silicon films having an optical gap of 1.87 eV and a photoconductive ratio (ratio of ambient-temperature conductivities under 1 sun AM1 and in dark) of 6 orders of magnitude at growth rates up to 10 A/s, without any structural modification of the PECVD system used. Such results are considered of high relevance regarding industrial competitiveness. (orig.)

  9. Impact of crystallization on the structure and chemical durability of borosilicate glass

    International Nuclear Information System (INIS)

    Nicoleau, Elodie

    2016-01-01

    This work describes a new approach to help understand the chemical durability of partially crystallized nuclear waste conditioning matrices. Among the studies carried out on nuclear waste deep geological disposal, long term behavior studies have so far been conducted on homogeneous glassy matrices. However, as the crystalline phases may generate modifications in the chemical composition and properties of such matrices, the description and a better understanding of their effects on the chemical durability of waste packages are of primary importance. A protocol to study the durability of heterogeneous model matrices of nuclear interest containing different types of crystalline phases was developed. It is based on a detailed description of the morphology, microstructure and structure of the glassy matrix and crystalline phases, and on the study of various alteration regimes. Three crystal phases that may form when higher concentrations of waste are immobilized in Uranium Oxide type conditioning glasses were studied: alkali and alkaline earth molybdates, rare earth silicates and ruthenium oxide. The results highlight the roles of the composition and the structure of the surrounding glassy matrix as the parameters piloting the alteration kinetics of the partially crystallized glassy matrices. This behavior is identical whatever the nature of the crystalline phases, as long as these phases do not lead to a composition gradient and do not percolate within the glassy matrix. Given these results, a methodology to study partially crystallized matrices with no composition gradient is then suggested. Its key development lies firstly in the evaluation of the behavior of partially crystallized matrices through the experimental study of the residual glassy matrix in various alteration regimes. This methodology may be adapted to the case of new glass formulations with more complex compositions (e.g. highly waste-loaded glass), which may contain crystals formed during cooling

  10. Characterising laser beams with liquid crystal displays

    Science.gov (United States)

    Dudley, Angela; Naidoo, Darryl; Forbes, Andrew

    2016-02-01

    We show how one can determine the various properties of light, from the modal content of laser beams to decoding the information stored in optical fields carrying orbital angular momentum, by performing a modal decomposition. Although the modal decomposition of light has been known for a long time, applied mostly to pattern recognition, we illustrate how this technique can be implemented with the use of liquid-crystal displays. We show experimentally how liquid crystal displays can be used to infer the intensity, phase, wavefront, Poynting vector, and orbital angular momentum density of unknown optical fields. This measurement technique makes use of a single spatial light modulator (liquid crystal display), a Fourier transforming lens and detector (CCD or photo-diode). Such a diagnostic tool is extremely relevant to the real-time analysis of solid-state and fibre laser systems as well as mode division multiplexing as an emerging technology in optical communication.

  11. Industrialization of hot wire chemical vapor deposition for thin film applications

    NARCIS (Netherlands)

    Schropp, Ruud

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical

  12. The preparation and characterisation of reference fission foils

    International Nuclear Information System (INIS)

    Audenhove, J. van; Bievre, P. de; Pauwels, J.; Peetermans, F.; Gallet, M.; Verbruggen, A.

    1979-01-01

    Homogeneous and accurately defined uranium and plutonium reference fissionable deposits have been prepared by vacuum deposition of fluorides. The preparation of the fluorides as well as their vacuum deposition on planetary rotating multisubstrate holders are described. The characterisation of the deposits is obtained by relative α-counting and calibration using isotope dilution mass spectrometry. The mass per square centimeter of the deposits is corrected for the border effects and the homogeneity is determined by relative α-counting of small spots. The deposits show excellent adherence and resistance to different mediums. This makes their use as permanently available reference fission foils possible. (orig.)

  13. Chemical solution deposition of CaCu 3 Ti 4 O 12 thin film

    Indian Academy of Sciences (India)

    CaCu3Ti4O12 (CCTO) thin film was successfully deposited on boron doped silica substrate by chemical solution deposition and rapid thermal processing. The phase and microstructure of the deposited films were studied as a function of sintering temperature, employing X-ray diffractometry and scanning electron ...

  14. PREPARATION AND CHARACTERIZATION OF IRON SULPHIDE THIN FILMS BY CHEMICAL BATH DEPOSITION METHOD

    Directory of Open Access Journals (Sweden)

    Anuar Kassim

    2010-06-01

    Full Text Available FeS2 thin films have been deposited by using low cost chemical bath deposition technique. The films obtained under deposition parameters such as bath temperature (90 °C, deposition period (90 min, electrolyte concentration (0.15 M and pH of the reactive mixture (pH 2.5. The thin films were characterized using X-ray diffraction and atomic force microscopy in order to study the structural and morphological properties. The band gap energy, transition type and absorption properties were determined using UV-Vis Spectrophotometer. X-ray diffraction displayed a pattern consistent with the formation of an orthorhombic structure, with a strong (110 preferred orientation. Atomic force microscopy image showed the substrate surface is well covered with irregular grains. A direct band gap of 1.85 eV was obtained according to optical absorption studies.   Keywords: Iron sulfide, X-ray diffraction, chemical bath deposition, thin films

  15. Chemical characterisation of natural organic substrates for biological mitigation of acid mine drainage.

    Science.gov (United States)

    Gibert, Oriol; de Pablo, Joan; Luis Cortina, José; Ayora, Carlos

    2004-11-01

    The current approach of the biological treatment of acid mine drainage by means of a passive remediation system involves the choice of an appropriate organic substrate as electron donor for sulphate reducers. Nowadays this selection is one of the critical steps in the performance of such treatment, as this depends to a great extent on the degradability of the organic substrate. Thus, a prior characterisation of the organic substrate predicting its biodegradability would be desirable before embarking on an extensive large-scale application. The aim of this study was to correlate the chemical composition (lignin content) of four different natural organic substrates (compost, sheep and poultry manures, oak leaf) and their capacity to sustain bacterial activity in an attempt to predict biodegradation from chemical characterisation. The results showed that the lower the content of lignin in the organic substrate, the higher its biodegradability and capacity for developing bacterial activity. Of the four organic materials, sheep and poultry manures and oak leaf evolved reducing conditions and sustained active sulphidogenesis, which coupled with the decrease in sulphate concentration indicated bacterial activity. Sheep manure was clearly the most successful organic material as electron donor (sulphate removal >99%), followed by poultry manure and oak leaf (sulphate removal of 80%). Compost appeared to be too poor in carbon to promote sulphate-reducing bacteria activity by itself. Column experiments emphasised the importance of considering the residence time as a key factor in the performance of continuous systems. With a residence time of 0.73 days, sheep manure did not promote sulphidogenesis. However, extending residence time to 2.4 and 9.0 days resulted in an increase in the sulphate removal to 18% and 27%, respectively.

  16. Characterisation of nanomaterial hydrophobicity using engineered surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Desmet, Cloé; Valsesia, Andrea; Oddo, Arianna; Ceccone, Giacomo; Spampinato, Valentina; Rossi, François; Colpo, Pascal, E-mail: pascal.colpo@ec.europa.eu [Directorate Health, Consumer and Reference Materials, Consumer Products Safety Unit (Italy)

    2017-03-15

    Characterisation of engineered nanomaterials (NMs) is of outmost importance for the assessment of the potential risks arising from their extensive use. NMs display indeed a large variety of physico-chemical properties that drastically affect their interaction with biological systems. Among them, hydrophobicity is an important property that is nevertheless only slightly covered by the current physico-chemical characterisation techniques. In this work, we developed a method for the direct characterisation of NM hydrophobicity. The determination of the nanomaterial hydrophobic character is carried out by the direct measurement of the affinity of the NMs for different collectors. Each collector is an engineered surface designed in order to present specific surface charge and hydrophobicity degrees. Being thus characterised by a combination of surface energy components, the collectors enable the NM immobilisation with surface coverage in relation to their hydrophobicity. The experimental results are explained by using the extended DLVO theory, which takes into account the hydrophobic forces acting between NMs and collectors.

  17. Atomic layer deposition of epitaxial layers of anatase on strontium titanate single crystals: Morphological and photoelectrochemical characterization

    Energy Technology Data Exchange (ETDEWEB)

    Kraus, Theodore J.; Nepomnyashchii, Alexander B.; Parkinson, B. A., E-mail: bparkin1@uwyo.edu [Department of Chemistry, School of Energy Resources, University of Wyoming, Laramie, Wyoming 82071 (United States)

    2015-01-15

    Atomic layer deposition was used to grow epitaxial layers of anatase (001) TiO{sub 2} on the surface of SrTiO{sub 3} (100) crystals with a 3% lattice mismatch. The epilayers grow as anatase (001) as confirmed by x-ray diffraction. Atomic force microscope images of deposited films showed epitaxial layer-by-layer growth up to about 10 nm, whereas thicker films, of up to 32 nm, revealed the formation of 2–5 nm anatase nanocrystallites oriented in the (001) direction. The anatase epilayers were used as substrates for dye sensitization. The as received strontium titanate crystal was not sensitized with a ruthenium-based dye (N3) or a thiacyanine dye (G15); however, photocurrent from excited state electron injection from these dyes was observed when adsorbed on the anatase epilayers. These results show that highly ordered anatase surfaces can be grown on an easily obtained substrate crystal.

  18. Characterisation and stabilisation of the surface region of a highly polished silicon crystal sphere

    International Nuclear Information System (INIS)

    Kenny, M.J.; Wielunski, L.S.

    1999-01-01

    Full text: Typically a single crystal silicon wafer has a native oxide layer a few nm thick which changes slowly with time. A number of parameters such as hydrocarbons, water vapour, storage environment can affect this layer. The thickness of the layer is also orientation dependent. In the case of a silicon sphere the situation becomes more complex, because all orientations are present and the process of polishing involves a higher pressure and also high local temperatures. A highly polished single crystal sphere 93.6 mm in diameter is being used to determine the Avogadro constant with an uncertainty of ≤ 1 x 10 -8 . This will then be used to obtain an atomic definition of the kilogram. The composition and structure of the surface oxide layer play an important role in this measurement. Firstly the density of the oxide layer is different from that of silicon. Secondly since the diameter is measured by optical interferometry, corrections must be applied for the phase change in the reflected light beam due to the surface layer. Thirdly the orientation dependence of the layer complicated the corrections to be applied. Fourthly if measurements are made over a period of time, any changes in the surface layer must be taken into account. Given the accuracy required in the determination, the surface layer is a determining factor in the final result. A number of techniques such as spectroscopic ellipsometry and ion beam analysis are being used to study the composition and structure of the surface of a silicon sphere. Cleaning techniques such as HF and low temperature ultraviolet (ozone) are being developed to produce a clean surface. The next step involves deposition of a stable and uniform surface oxide layer a few nm thick. Techniques being investigated for this include ultra violet ozone deposition at 450 deg C and plasma deposition. The paper describes work at the NML in achieving an appropriate stable surface on the silicon sphere

  19. Vertically aligned carbon nanotube growth by pulsed laser deposition and thermal chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Sohn, Jung Inn; Nam, Chunghee; Lee, Seonghoon

    2002-01-01

    We have grown vertically aligned carbon nanotubes on the various substrates such as a planar p-type Si(1 0 0) wafer, porous Si wafer, SiO 2 , Si 3 N 4 , Al 2 O 3 , and Cr by thermal chemical vapor deposition (CVD) at 800 deg.C, using C 2 H 2 gas as a carbon source and Fe catalyst films deposited by a pulsed laser on the substrates. The Fe films were deposited for 5 min by pulsed laser deposition (PLD). The advantage of Fe deposition by PLD over other deposition methods lies in the superior adhesion of Fe to a Si substrate due to high kinetic energies of the generated Fe species. Scanning electron microscopy (SEM) images show that vertically well-aligned carbon nanotubes are grown on Fe nanoparticles formed from the thermal annealing of the Fe film deposited by PLD on the various substrates. Atomic force microscopy (AFM) images show that the Fe film annealed at 800 deg.C is broken to Fe nanoparticles of 10-50 nm in size. We show that the appropriate density of Fe nanoparticles formed from the thermal annealing of the film deposited by PLD is crucial in growing vertically aligned carbon nanotubes. Using a PLD and a lift-off method, we developed the selective growth of carbon nanotubes on a patterned Fe-coated Si substrate

  20. Fabrication and evaluation of chemically vapor deposited tungsten heat pipe.

    Science.gov (United States)

    Bacigalupi, R. J.

    1972-01-01

    A network of lithium-filled tungsten heat pipes is being considered as a method of heat extraction from high temperature nuclear reactors. The need for material purity and shape versatility in these applications dictates the use of chemically vapor deposited (CVD) tungsten. Adaptability of CVD tungsten to complex heat pipe designs is shown. Deposition and welding techniques are described. Operation of two lithium-filled CVD tungsten heat pipes above 1800 K is discussed.

  1. Ballistic transport in graphene grown by chemical vapor deposition

    NARCIS (Netherlands)

    Calado, V.E.; Zhu, S.E.; Goswami, S.; Xu, Q.; Watanabe, K.; Taniguchi, T.; Janssen, G.C.A.M.; Vandersypen, L.M.K.

    2014-01-01

    In this letter, we report the observation of ballistic transport on micron length scales in graphene synthesised by chemical vapour deposition (CVD). Transport measurements were done on Hall bar geometries in a liquid He cryostat. Using non-local measurements, we show that electrons can be

  2. As-Deposited (La1-xSrx)(Ga1-y-zMgyCoz)O3-(x+y+z)/2 Crystallized Thin Films Prepared by Pulsed Laser Deposition for Application to Solid Oxide Fuel Cell Electrolyte

    Science.gov (United States)

    Mitsugi, Fumiaki; Kanazawa, Seiji; Ohkubo, Toshikazu; Nomoto, Yukiharu; Ishihara, Tatsumi; Takita, Yusaku

    2004-01-01

    Doped lanthanum gallate (La1-xSrx)(Ga1-y-zMgyCoz)O3-(x+y+z)/2 (LSGMCO) perovskite oxide films were deposited on a quartz glass, LaAlO3 single-crystal substrate and porous anode electrode of a solid oxide fuel cell (SOFC) by pulsed laser deposition. It was necessary to increase the substrate temperature up to 800°C for a crystallization of the LSGMCO films. The film deposited on the LaAlO3 single-crystal substrate grew along the c-axis. The as-deposited LSGMCO thick film fabricated on the porous substrate at 800°C and at an oxygen pressure of 20Pa was formed from polycrystal columns and showed a high conductivity of 0.7S/cm at a measurement temperature of 800°C. The activation energies were 0.72 eV at 600-800°C and 1.05 eV at 400-600°C.

  3. Photoluminescence of nc-Si:Er thin films obtained by physical and chemical vapour deposition techniques: The effects of microstructure and chemical composition

    Energy Technology Data Exchange (ETDEWEB)

    Cerqueira, M.F., E-mail: fcerqueira@fisica.uminho.p [Departamento de Fisica, Universidade do Minho, Campus de Gualtar 4710-057 Braga (Portugal); Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, Via Orabona n.4-70126 Bari (Italy); Stepikhova, M. [Institute for Physics of Microstructures RAS, 603600 Nizhnij Novgorod GSP-105 (Russian Federation); Alpuim, P.; Andres, G. [Departamento de Fisica, Universidade do Minho, Campus de Gualtar 4710-057 Braga (Portugal); Kozanecki, A. [Polish Academy of Sciences, Institute of Physics, PL-02668, Warsaw (Poland); Soares, M.J.; Peres, M. [Departamento de Fisica, Universidade de Aveiro, Campus de Santiago, 3700 Aveiro (Portugal)

    2009-08-31

    Erbium doped nanocrystalline silicon (nc-Si:Er) thin films were produced by reactive magnetron rf sputtering and by Er ion implantation into chemical vapor deposited Si films. The structure and chemical composition of films obtained by the two approaches were studied by micro-Raman scattering, spectroscopic ellipsometry and Rutherford backscattering techniques. Variation of deposition parameters was used to deposit films with different crystalline fraction and crystallite size. Photoluminescence measurements revealed a correlation between film microstructure and the Er{sup 3+} photoluminescence efficiency.

  4. Physical properties of chemical vapour deposited nanostructured carbon thin films

    International Nuclear Information System (INIS)

    Mahadik, D.B.; Shinde, S.S.; Bhosale, C.H.; Rajpure, K.Y.

    2011-01-01

    Research highlights: In the present paper, nanostructured carbon films are grown using a natural precursor 'turpentine oil (C 10 H 16 )' as a carbon source in the simple thermal chemical vapour deposition method. The influence of substrate surface topography (viz. stainless steel, fluorine doped tin oxide coated quartz) and temperature on the evolution of carbon allotropes surfaces topography/microstructural and structural properties are investigated and discussed. - Abstract: A simple thermal chemical vapour deposition technique is employed for the deposition of carbon films by pyrolysing the natural precursor 'turpentine oil' on to the stainless steel (SS) and FTO coated quartz substrates at higher temperatures (700-1100 deg. C). In this work, we have studied the influence of substrate and deposition temperature on the evolution of structural and morphological properties of nanostructured carbon films. The films were characterized by using X-ray diffraction (XRD), scanning electron microscopy (SEM), contact angle measurements, Fourier transform infrared (FTIR) and Raman spectroscopy techniques. XRD study reveals that the films are polycrystalline exhibiting hexagonal and face-centered cubic structures on SS and FTO coated glass substrates respectively. SEM images show the porous and agglomerated surface of the films. Deposited carbon films show the hydrophobic nature. FTIR study displays C-H and O-H stretching vibration modes in the films. Raman analysis shows that, high ID/IG for FTO substrate confirms the dominance of sp 3 bonds with diamond phase and less for SS shows graphitization effect with dominant sp 2 bonds. It reveals the difference in local microstructure of carbon deposits leading to variation in contact angle and hardness, which is ascribed to difference in the packing density of carbon films, as observed also by Raman.

  5. Single particle measurements of the chemical composition of cirrus ice residue during CRYSTAL-FACE

    Science.gov (United States)

    Cziczo, D. J.; Murphy, D. M.; Hudson, P. K.; Thomson, D. S.

    2004-02-01

    The first real-time, in situ, investigation of the chemical composition of the residue of cirrus ice crystals was performed during July 2002. This study was undertaken on a NASA WB-57F high-altitude research aircraft as part of CRYSTAL-FACE, a field campaign which sought to further our understanding of the relation of clouds, water vapor, and climate by characterizing, among other parameters, anvil cirrus formed about the Florida peninsula. A counter flow virtual impactor (CVI) was used to separate cirrus ice from the unactivated interstitial aerosol particles and evaporate condensed-phase water. Residual material, on a crystal-by-crystal basis, was subsequently analyzed using the NOAA Aeronomy Laboratory's Particle Analysis by Laser Mass Spectrometry (PALMS) instrument. Sampling was performed from 5 to 15 km altitude and from 12° to 28° north latitude within cirrus originating over land and ocean. Chemical composition measurements provided several important results. Sea salt was often incorporated into cirrus, consistent with homogeneous ice formation by aerosol particles from the marine boundary layer. Size measurements showed that large particles preferentially froze over smaller ones. Meteoritic material was found within ice crystals, indicative of a relation between stratospheric aerosol particles and tropospheric clouds. Mineral dust was the dominant residue observed in clouds formed during a dust transport event from the Sahara, consistent with a heterogeneous freezing mechanism. These results show that chemical composition and size are important determinants of which aerosol particles form cirrus ice crystals.

  6. Comparisons between a gas-phase model of silane chemical vapor deposition and laser-diagnostic measurements

    International Nuclear Information System (INIS)

    Breiland, W.G.; Coltrin, M.E.; Ho, P.

    1986-01-01

    Theoretical modeling and experimental measurements have been used to study gas-phase chemistry in the chemical vapor deposition (CVD) of silicon from silane. Pulsed laser Raman spectroscopy was used to obtain temperature profiles and to obtain absolute density profiles of silane during deposition at atmospheric and 6-Torr total pressures for temperatures ranging from 500 to 800 0 C. Laser-excited fluorescence was used to obtain relative density profiles of Si 2 during deposition at 740 0 C in helium with 0-12 Torr added hydrogen. These measurements are compared to predictions from the theoretical model of Coltrin, Kee, and Miller. The predictions agree qualitatively with experiment. These studies indicate that fluid mechanics and gas-phase chemical kinetics are important considerations in understanding the chemical vapor deposition process

  7. Complete long-term corrosion protection with chemical vapor deposited graphene

    DEFF Research Database (Denmark)

    Yu, Feng; Camilli, Luca; Wang, Ting

    2018-01-01

    Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer-graphene......Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer......-graphene hybrid coating, comprising two single layers of CVD graphene sandwiched by three layers of polyvinyl butyral, which provides complete corrosion protection of commercial aluminum alloys even after 120 days of exposure to simulated seawater. The essential role played by graphene in the hybrid coating...

  8. Ferroelectric properties of Bi3.25Ce0.75Ti3O12 thin films prepared by a liquid source misted chemical deposition

    International Nuclear Information System (INIS)

    Jeon, M.K.; Chung, H.J.; Kim, K.W.; Oh, K.S.; Woo, S.I.

    2005-01-01

    Cerium-substituted bismuth titanate (Bi 3.25 Ce 0.75 Ti 3 O 12 (BCT)) films were deposited on the Pt(111)/SiO 2 /Si(100) substrates by a liquid source misted chemical deposition technique. This film showed X-ray diffraction patterns that crystallization along the (006) direction was suppressed and did not contain any other oxides. The remnant polarization of this film increased with increase in annealing temperature. The 2P r and 2E c values of the BCT film annealed at 700 deg. C were 19.72 μC/cm 2 and 357 kV/cm, respectively. 2P r value of this film decreased by less than 5% of the initial value after 7 x 10 9 read/write switching cycles at a frequency of 1 MHz

  9. Characterisation of titanium nitride films obtained by metalorganic chemical vapor deposition (MOCVD); Caracterizacao de filmes de nitreto de titanio obtidos por MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Pillis, M.F., E-mail: mfpillis@ipen.b [Instituto de Pesquisas Energeticas e Nucleares (CCTM/IPEN/CNEN-SP), Sao Paulo, SP (Brazil). Centro de Ciencia e Tecnologia de Materiais; Franco, A.C. [Universidade de Sao Paulo (IF/USP), SP (Brazil). Inst. de Fisica; Araujo, E.G. de [Instituto de Pesquisas Energeticas e Nucleares (IPEN/CNEN-SP), Sao Paulo, SP (Brazil); Sacilotti, M. [Universidade Federal de Pernambuco (IF/UFPE), Recife, PE (Brazil). Inst. de Fisica; Fundacao de Amparo a Ciencia e Tecnologia de Pernambuco (FACEPE), Recife, PE (Brazil)

    2009-07-01

    Ceramic coatings have been widely used as protective coating to improve the life of cutting tools, for corrosion protection and in microelectronics, optical and medical areas. Transition metals nitrides are of special interest due to its high hardness and thermal stability. In this work thin films of titanium nitride were obtained by MOCVD (metalorganic chemical vapor deposition) process. The tests were carried out for 1h at 700 deg C under 80 and 100 mbar of pressure. The characterization was made by using scanning electron microscopy coupled with dispersive energy analysis, and X-ray diffraction. Preliminary results suggested that Ti{sub 2}N phase was formed and that the growth rate varied between 4 and 13 nm/min according to the process parameter considered. (author)

  10. Intercalibration of ECAL crystals in Phi Using Symmetry of Energy Deposition

    CERN Document Server

    Futyan, David

    2002-01-01

    This note describes the investigation of a possible first step in a strategy for rapidly obtaining ECAL crystal intercalibration at startup in the absence of test beam precalibration of the complete detector. The precision to which crystals can be intercalibrated in phi using 18 million fully simulated minimum-bias events, and assuming complete ignorance of the tracker material distribution, is determined as a function of eta and has been found to be close to 1.5% for |eta| < 1.0 and between 2% and 3.5% for the remainder of the barrel. Similar values are found for the endcap. The precision is limited by the inhomogeneity of tracker material. With increasing knowledge of the material deposition in the tracker, after the start of LHC running, the attainable precision of the method will increase, with the potential of providing rapid and repeated calibration of the ECAL.

  11. Physico-chemical characterisation of Slovak wines

    Directory of Open Access Journals (Sweden)

    Barbora Lapčíková

    2017-01-01

    Full Text Available The aim of the present study was characterisation of selected varieties of still wines produced in Slovak Republic in vintage year 2013 and one 2012. There were tested ten samples of nine varieties of wines originated from Malokarpatská "Lesser Carpathian" and Južnoslovenská "Southern Slovakia" wine regions of Slovak Republic, Dornfelder, Frankovka modrá, Svätovarinecké, Zweigeltrebe, Müller Thurgau, Veltlínské zelené, Rizling rýnsky, Rizling vlašský and Sauvignon wines. There were studied selected physico-chemical properties of tested wines as a total contents of anthocyanins and polyphenols by means of spectrophotometry, titratable acidity, density and chromatic characteristics. The highest content of anthocyanins (TAC was found in red wine Frankovka modrá, 183 mg.L-1 and the lowest for sample rose wine St. Laurent 19 mg.L-1. The content of total phenolic compounds as a gallic acid was in range 2833 to 1961 mg.L-1 for red wines, 1016 and 1013 mg.L-1 for rose wines, 1085 to 549 mg.L-1for white wines.  Total acidy was average 6.3 ±0.3 g.L-1 only for Ryzling rýnský, 8.2 g.L-1 and Sauvignon rose 8.0 g.L-1 and was expressed as the amount of tartaric acid. Quality of wines can be expressed by colour intensity too. Was evaluated and compared intensity of colour in wines by CIE Lab method and the total differences between red, rose and white wine DE* was calculated. The most differences was found for Svätovarinecké a Frankovka modrá (2.5 - red wines ("clearly perceptible" and 4.9 for Veltlýnské zelené and Müller Thurgau - white wine ("moderating effect".

  12. Photocatalytic activity of tin-doped TiO{sub 2} film deposited via aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chua, Chin Sheng, E-mail: cschua@simtech.a-star.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore); Tan, Ooi Kiang; Tse, Man Siu [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Ding, Xingzhao [Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore)

    2013-10-01

    Tin-doped TiO{sub 2} films are deposited via aerosol assisted chemical vapor deposition using a precursor mixture composing of titanium tetraisopropoxide and tetrabutyl tin. The amount of tin doping in the deposited films is controlled by the volume % concentration ratio of tetrabutyl tin over titanium tetraisopropoxide in the mixed precursor solution. X-ray diffraction analysis results reveal that the as-deposited films are composed of pure anatase TiO{sub 2} phase. Red-shift in the absorbance spectra is observed attributed to the introduction of Sn{sup 4+} band states below the conduction band of TiO{sub 2}. The effect of tin doping on the photocatalytic property of TiO{sub 2} films is studied through the degradation of stearic acid under UV light illumination. It is found that there is a 10% enhancement on the degradation rate of stearic acid for the film with 3.8% tin doping in comparison with pure TiO{sub 2} film. This improvement of photocatalytic performance with tin incorporation could be ascribed to the reduction of electron-hole recombination rate through charge separation and an increased amount of OH radicals which are crucial for the degradation of stearic acid. Further increase in tin doping results in the formation of recombination site and large anatase grains, which leads to a decrease in the degradation rate. - Highlights: ► Deposition of tin-doped TiO{sub 2} film via aerosol assisted chemical vapor depositionDeposited anatase films show red-shifted in UV–vis spectrum with tin-dopants. ► Photoactivity improves at low tin concentration but reduces at higher concentration. ► Improvement in photoactivity due to bandgap narrowing from Sn{sup 4+} band states ► Maximum photoactivity achieved occurs for films with 3.8% tin doping.

  13. Mechanical design of thin-film diamond crystal mounting apparatus with optimized thermal contact and crystal strain for coherence preservation x-ray optics

    Science.gov (United States)

    Shu, Deming; Shvydko, Yury; Stoupin, Stanislav; Kim, Kwang-Je

    2018-05-08

    A method and mechanical design for a thin-film diamond crystal mounting apparatus for coherence preservation x-ray optics with optimized thermal contact and minimized crystal strain are provided. The novel thin-film diamond crystal mounting apparatus mounts a thin-film diamond crystal supported by a thick chemical vapor deposition (CVD) diamond film spacer with a thickness slightly thicker than the thin-film diamond crystal, and two groups of thin film thermal conductors, such as thin CVD diamond film thermal conductor groups separated by the thick CVD diamond spacer. The two groups of thin CVD film thermal conductors provide thermal conducting interface media with the thin-film diamond crystal. A piezoelectric actuator is integrated into a flexural clamping mechanism generating clamping force from zero to an optimal level.

  14. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  15. A comparative chemical network study of HWCVD deposited amorphous silicon and carbon based alloys thin films

    Energy Technology Data Exchange (ETDEWEB)

    Swain, Bibhu P., E-mail: bibhuprasad.swain@gmail.com [Centre for Materials Science and Nanotechnology, Sikkim Manipal Institute of Technology, Majitar, Rangpo Sikkim (India); Swain, Bhabani S.; Hwang, Nong M. [Thin Films and Microstructure Laboratory, Department of Materials Science and Engineering, Seoul National University, Seoul (Korea, Republic of)

    2014-03-05

    Highlights: • a-SiC:H, a-SiN:H, a-C:H and a-SiCN:H films were deposited by hot wire chemical vapor deposition. • Evolution of microstructure of a-SiCN:H films deposited at different NH{sub 3} flow rate were analyzed. • The chemical network of Si and C based alloys were studied by FTIR and Raman spectroscopy. -- Abstract: Silicon and carbon based alloys were deposited by hot wire chemical vapor deposition (HWCVD). The microstructure and chemical bonding of these films were characterized by field emission scanning electron microscopy, Fourier transform infrared spectroscopy and Raman spectroscopy. The electron microscopy revealed various microstructures were observed for a-C:H, a-SiC:H, a-SiN:H, a-CN:H and a-SiCN:H films. The microstructure of SiN:H films showed agglomerate spherical grains while a-C:H films showed more fractal surface with branched microstructure. However, a-SiC:H, a-CN:H and a-SiCN:H indicated uniform but intermediate surface fractal microstructure. A series of a-SiCN:H films were deposited with variation of NH{sub 3} flow rate. The nitrogen incorporation in a-SiCN:H films alter the carbon network from sp{sup 2} to sp{sup 3} bonding The detail chemical bonding of amorphous films was analyzed by curve fitting method.

  16. Study of the fluidized bed chemical vapor deposition process on very dense powder for nuclear applications

    International Nuclear Information System (INIS)

    Vanni, Florence

    2015-01-01

    This thesis is part of the development of low-enriched nuclear fuel, for the Materials Test Reactors (MTRs), constituted of uranium-molybdenum particles mixed with an aluminum matrix. Under certain conditions under irradiations, the U(Mo) particles interact with the aluminum matrix, causing unacceptable swelling of the fuel plate. To inhibit this phenomenon, one solution consists in depositing on the surface of the U(Mo) particles, a thin silicon layer to create a barrier effect. This thesis has concerned the study of the fluidized bed chemical vapor deposition (CVD) process to deposit silicon from silane, on the U(Mo) powder, which has an exceptional density of 17,500 kg/m 3 . To achieve this goal, two axes were treated during the thesis: the study and the optimization of the fluidization of a so dense powder, and then those of the silicon deposition process. For the first axis, a series of tests was performed on a surrogate tungsten powder in different columns made of glass and made of steel with internal diameters ranging from 2 to 5 cm, at room temperature and at high temperature (650 C) close to that of the deposits. These experiments helped to identify wall effects phenomena within the fluidized bed, which can lead to heterogeneous deposits or particles agglomeration. Some dimensions of the fluidization columns and operating conditions allowing a satisfactory fluidization of the powder were identified, paving the way for the study of silicon deposition. Several campaigns of deposition experiments on the surrogate powder and then on the U(Mo) powder were carried out in the second axis of the study. The influence of the bed temperature, the inlet molar fraction of silane diluted in argon, and the total gas flow of fluidization, was examined for different diameters of reactor and for various masses of powder. Morphological and structural characterization analyses (SEM, XRD..) revealed a uniform silicon deposition on all the powder and around each particle

  17. Electrical conductivity and oxygen exchange kinetics of La2NiO4+delta thin films grown by chemical vapor deposition

    DEFF Research Database (Denmark)

    Garcia, G.; Burriel, M.; Bonanos, Nikolaos

    2008-01-01

    Epitaxial c-axis oriented La2NiO4+delta films were deposited onto SrTiO3 and NdGaO3 substrates by the pulsed injection metal organic chemical vapor deposition technique. Experimental conditions were optimized in order to accurately control the composition, thickness, and texture of the layers. X......-ray diffraction was used to confirm the high crystalline quality of the obtained material. Electrical characterizations were performed on thin (50 nm) and thick (335 nm) layers. The total specific conductivity, which is predominantly electronic, was found to be larger for the thinner films measured (50 nm......), probably due to the effect of the strain present in the layers. Those thin films (50 nm) showed values even larger than those observed for single crystals and, to our knowledge, are the largest conductivity values reported to date for the La2NiO4+delta material. The oxygen exchange kinetics was studied...

  18. Chemical precursor impact on the properties of Cu{sub 2}ZnSnS{sub 4} absorber layer

    Energy Technology Data Exchange (ETDEWEB)

    Vashistha, Indu B., E-mail: indu-139@yahoo.com; Sharma, S. K. [Department of Physics, Malaviya National Institute of Technology, Jaipur 302017 (India); Sharma, Mahesh C. [National Institute of Solar Energy, Gurgaon 122003 (India)

    2016-04-13

    In present work impact of different chemical precursor on the deposition of solar absorber layer Cu{sub 2}ZnSnS{sub 4} (CZTS) were studied by Chemical Bath Deposition (CBD) method without using expensive vacuum facilities and followed by annealing. As compared to the other deposition methods, CBD method is interesting one because it is simple, reproducible, non-hazardous, cost effective and well suited for producing large-area thin films at low temperatures, although effect of precursors and concentration plays a vital role in the deposition. So, the central theme of this work is optimizing and controlling of chemical reactions for different chemical precursors. Further Effect of different chemical precursors i.e. sulphate and chloride is analyzed by structural, morphological, optical and electrical properties. The X-ray diffraction (XRD) of annealed CZTS thin film revealed that films were polycrystalline in nature with kestarite tetragonal crystal structure. The Atomic Force micrographs (AFM) images indicated total coverage compact film and as well as growth of crystals. The band gap of annealed CZTS films was found in the range of optimal band gap by absorption spectroscopy.

  19. Why Basic Calcium Phosphate Crystals Should Be Targeted In the Treatment of Osteoarthritis

    Directory of Open Access Journals (Sweden)

    Claire-Louise Murphy

    2014-07-01

    Full Text Available Osteoarthritis (OA is the most common form of arthritis and results in significant social, psychological, and economic costs. It is characterised by progressive cartilage loss, bone remodelling, osteophyte formation, and synovial inflammation with resultant joint pain and disability. Since OA affects the entire joint, it is not surprising that there has been difficulty developing an effective targeted treatment. Treatments available for structural disease modification are limited. Current options appear to mostly reduce symptoms. Basic calcium phosphate (BCP crystals represent a potential therapeutic target in OA; they have been found in 100% of knee and hip cartilages removed at joint replacement. Intra-articular BCP crystals are associated with large joint effusions and dissolution of intra-articular structures, synovial proliferation, and marked degeneration as assessed by diagnostic imaging. While BCP deposition has been considered by many to be simply a consequence of advanced OA, there is substantial evidence to support BCP crystal deposition as an active pathogenic mediator of OA. BCP crystals exhibit a multiplicity of biologic effects in vitro including the ability to stimulate mitogenesis and prostaglandin, cytokine, and matrix metalloproteinase (MMP synthesis in a number of cell types including macrophages, synovial fibroblasts, and chondrocytes. BCP crystals also contribute to inflammation in OA through direct interaction with the innate immune system. Intra-articular BCP crystals can elicit synovial inflammation and cartilage degradation in mice in vivo . Although intra-articular BCP crystals are difficult to detect at the bedside, advances in modern technology should allow improved identification and quantitation of BCP crystals. Our article focuses on why basic calcium crystals are important in the pathogenesis of OA. There is ample evidence that BCP crystals should be explored as a therapeutic target in OA.

  20. A PMMA coated PMN–PT single crystal resonator for sensing chemical agents

    International Nuclear Information System (INIS)

    Frank, Michael; Kassegne, Sam; Moon, Kee S

    2010-01-01

    A highly sensitive lead magnesium niobate–lead titanate (PMN–PT) single crystal resonator coated with a thin film of polymethylmethacrylate (PMMA) useful for detecting chemical agents such as acetone, methanol, and isopropyl alcohol is presented. Swelling of the cured PMMA polymer layer in the presence of acetone, methanol, and isopropyl alcohol vapors is sensed as a mass change transduced to an electrical signal by the PMN–PT thickness shear mode sensor. Frequency change in the PMN–PT sensor is demonstrated to vary according to the concentration of the chemical vapor present within the sensing chamber. For acetone, the results indicate a frequency change more than 6000 times greater than that which would be expected from a quartz crystal microbalance coated with PMMA. This study is the first of its kind to demonstrate vapor loading of adsorbed chemical agents onto a polymer coated PMN–PT resonator

  1. Confined high-pressure chemical deposition of hydrogenated amorphous silicon.

    Science.gov (United States)

    Baril, Neil F; He, Rongrui; Day, Todd D; Sparks, Justin R; Keshavarzi, Banafsheh; Krishnamurthi, Mahesh; Borhan, Ali; Gopalan, Venkatraman; Peacock, Anna C; Healy, Noel; Sazio, Pier J A; Badding, John V

    2012-01-11

    Hydrogenated amorphous silicon (a-Si:H) is one of the most technologically important semiconductors. The challenge in producing it from SiH(4) precursor is to overcome a significant kinetic barrier to decomposition at a low enough temperature to allow for hydrogen incorporation into a deposited film. The use of high precursor concentrations is one possible means to increase reaction rates at low enough temperatures, but in conventional reactors such an approach produces large numbers of homogeneously nucleated particles in the gas phase, rather than the desired heterogeneous deposition on a surface. We report that deposition in confined micro-/nanoreactors overcomes this difficulty, allowing for the use of silane concentrations many orders of magnitude higher than conventionally employed while still realizing well-developed films. a-Si:H micro-/nanowires can be deposited in this way in extreme aspect ratio, small-diameter optical fiber capillary templates. The semiconductor materials deposited have ~0.5 atom% hydrogen with passivated dangling bonds and good electronic properties. They should be suitable for a wide range of photonic and electronic applications such as nonlinear optical fibers and solar cells. © 2011 American Chemical Society

  2. Characterising palladium-silver and palladium-nickel alloy membranes using SEM, XRD and PIXE

    International Nuclear Information System (INIS)

    Keuler, J.N.; Lorenzen, L.; Sanderson, R.D.; Prozesky, V.; Przybylowicz, W.J.

    1999-01-01

    Palladium alloy membranes were prepared by successive electroless plating steps on an alumina-zirconia support membrane. Palladium, silver and nickel were deposited in layers and then the metal films were heat treated for 5 h in a hydrogen atmosphere at 650 deg. C. The topography of the metal coatings and cross-sections of the films (before and after heating) were characterised using scanning electron microscopy (SEM). XRD was used to determine the crystal phase of the alloy coatings. Both SEM and XRD provide only surface information and therefore micro-PIXE was used to extract depth information of the alloy coating. Concentration profiles across the thickness of the films were constructed to determine penetration of the coating into the support membrane pores during electroless plating and to investigate diffusion of coated layers during the heating step

  3. A study of the performance and properties of diamond like carbon (DLC) coatings deposited by plasma chemical vapor deposition (CVD) for two stroke engine components

    Energy Technology Data Exchange (ETDEWEB)

    Tither, D. [BEP Grinding Ltd., Manchester (United Kingdom); Ahmed, W.; Sarwar, M.; Penlington, R. [Univ. of Northumbria, Newcastle-upon-Tyne (United Kingdom)

    1995-12-31

    Chemical vapor deposition (CVD) using microwave and RF plasma is arguably the most successful technique for depositing diamond and diamond like carbon (DLC) films for various engineering applications. However, the difficulties of depositing diamond are nearly as extreme as it`s unique combination of physical, chemical and electrical properties. In this paper, the modified low temperature plasma enhanced CVD system is described. The main focus of this paper will be work related to deposition of DLC on metal matrix composite materials (MMCs) for application in two-stroke engine components and results will be presented from SEM, mechanical testing and composition analysis studies. The authors have demonstrated the feasibility of depositing DLC on MMCs for the first time using a vacuum deposition process.

  4. Plasma-enhanced chemical vapor deposition of aluminum oxide using ultrashort precursor injection pulses

    NARCIS (Netherlands)

    Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2012-01-01

    An alternative plasma-enhanced chemical vapor deposition (PECVD) method is developed and applied for the deposition of high-quality aluminum oxide (AlOx) films. The PECVD method combines a continuous plasma with ultrashort precursor injection pulses. We demonstrate that the modulation of the

  5. Chemical bath deposition of indium sulphide thin films: preparation and characterization

    Energy Technology Data Exchange (ETDEWEB)

    Lokhande, C.D.; Ennaoui, A.; Patil, P.S.; Giersig, M.; Diesner, K.; Muller, M.; Tributsch, H. [Hahn-Meitner-Institut Berlin GmbH (Germany). Bereich Physikalische Chemie

    1999-02-26

    Indium sulphide (In{sub 2}S{sub 3}) thin films have been successfully deposited on different substrates under varying deposition conditions using chemical bath deposition technique. The deposition mechanism of In{sub 2}S{sub 3} thin films from thioacetamide deposition bath has been proposed. Films have been characterized with respect to their crystalline structure, composition, optical and electrical properties by means of X-ray diffraction, TEM, EDAX, optical absorption, TRMC (time resolved microwave conductivity) and RBS. Films on glass substrates were amorphous and on FTO (flourine doped tin oxide coated) glass substrates were polycrystalline (element of phase). The optical band gap of In{sub 2}S{sub 3} thin film was estimated to be 2.75 eV. The as-deposited films were photoactive as evidenced by TRMC studies. The presence of oxygen in the film was detected by RBS analysis. (orig.) 27 refs.

  6. Dissolution of synthetic uranium dibutyl phosphate deposits in oxidizing and reducing chemical formulations

    International Nuclear Information System (INIS)

    Rufus, A.L.; Sathyaseelan, V.S.; Narasimhan, S.V.; Velmurugan, S.

    2013-01-01

    Graphical abstract: SEM of the U-DBP coated stainless steel coupon before and after exposure to chemical formulation containing acid permanganate at 80 °C. -- Highlights: •Combination of oxidation and reduction processes efficiently dissolves U-DBP deposits. •NP and NAC formulations are compatible with SS-304. •Dissolved uranium and added chemicals are effectively removed via ion exchangers. -- Abstract: Permanganate and nitrilotriacetic acid (NTA) based dilute chemical formulations were evaluated for the dissolution of uranium dibutyl phosphate (U-DBP), a compound that deposits over the surfaces of nuclear reprocessing plants and waste storage tanks. A combination of an acidic, oxidizing treatment (nitric acid with permanganate) followed by reducing treatment (NTA based formulation) efficiently dissolved the U-DBP deposits. The dissolution isotherm of U-DBP in its as precipitated form followed a logarithmic fit. The same chemical treatment was also effective in dissolving U-DBP coated on the surface of 304-stainless steel, while resulting in minimal corrosion of the stainless steel substrate material. Investigation of uranium recovery from the resulting decontamination solutions by ion exchange with a bed of mixed anion and cation resins showed quantitative removal of uranium

  7. Dissolution of synthetic uranium dibutyl phosphate deposits in oxidizing and reducing chemical formulations

    Energy Technology Data Exchange (ETDEWEB)

    Rufus, A.L.; Sathyaseelan, V.S.; Narasimhan, S.V.; Velmurugan, S., E-mail: svelu@igcar.gov.in

    2013-06-15

    Graphical abstract: SEM of the U-DBP coated stainless steel coupon before and after exposure to chemical formulation containing acid permanganate at 80 °C. -- Highlights: •Combination of oxidation and reduction processes efficiently dissolves U-DBP deposits. •NP and NAC formulations are compatible with SS-304. •Dissolved uranium and added chemicals are effectively removed via ion exchangers. -- Abstract: Permanganate and nitrilotriacetic acid (NTA) based dilute chemical formulations were evaluated for the dissolution of uranium dibutyl phosphate (U-DBP), a compound that deposits over the surfaces of nuclear reprocessing plants and waste storage tanks. A combination of an acidic, oxidizing treatment (nitric acid with permanganate) followed by reducing treatment (NTA based formulation) efficiently dissolved the U-DBP deposits. The dissolution isotherm of U-DBP in its as precipitated form followed a logarithmic fit. The same chemical treatment was also effective in dissolving U-DBP coated on the surface of 304-stainless steel, while resulting in minimal corrosion of the stainless steel substrate material. Investigation of uranium recovery from the resulting decontamination solutions by ion exchange with a bed of mixed anion and cation resins showed quantitative removal of uranium.

  8. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  9. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.

  10. Modification of optical and electrical properties of chemical bath deposited CdS using plasma treatments

    International Nuclear Information System (INIS)

    Gonzalez, G.; Krishnan, B.; Avellaneda, D.; Castillo, G. Alan; Das Roy, T.K.; Shaji, S.

    2011-01-01

    Cadmium sulphide (CdS) is a well known n-type semiconductor that is widely used in solar cells. Here we report preparation and characterization of chemical bath deposited CdS thin films and modification of their optical and electrical properties using plasma treatments. CdS thin films were prepared from a chemical bath containing Cadmium chloride, Triethanolamine and Thiourea under various deposition conditions. Good quality thin films were obtained during deposition times of 5, 10 and 15 min. CdS thin films prepared for 10 min. were treated using a glow discharge plasma having nitrogen and argon carrier gases. The changes in morphology, optical and electrical properties of these plasma treated CdS thin films were analyzed in detail. The results obtained show that plasma treatment is an effective technique in modification of the optical and electrical properties of chemical bath deposited CdS thin films.

  11. Modification of optical and electrical properties of chemical bath deposited CdS using plasma treatments

    Energy Technology Data Exchange (ETDEWEB)

    Gonzalez, G. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); Krishnan, B. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); CIIDIT, Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico); Avellaneda, D.; Castillo, G. Alan; Das Roy, T.K. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); CIIDIT, Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico)

    2011-08-31

    Cadmium sulphide (CdS) is a well known n-type semiconductor that is widely used in solar cells. Here we report preparation and characterization of chemical bath deposited CdS thin films and modification of their optical and electrical properties using plasma treatments. CdS thin films were prepared from a chemical bath containing Cadmium chloride, Triethanolamine and Thiourea under various deposition conditions. Good quality thin films were obtained during deposition times of 5, 10 and 15 min. CdS thin films prepared for 10 min. were treated using a glow discharge plasma having nitrogen and argon carrier gases. The changes in morphology, optical and electrical properties of these plasma treated CdS thin films were analyzed in detail. The results obtained show that plasma treatment is an effective technique in modification of the optical and electrical properties of chemical bath deposited CdS thin films.

  12. Polycrystalline thin films of antimony selenide via chemical bath deposition and post deposition treatments

    International Nuclear Information System (INIS)

    Rodriguez-Lazcano, Y.; Pena, Yolanda; Nair, M.T.S.; Nair, P.K.

    2005-01-01

    We report a method for obtaining thin films of polycrystalline antimony selenide via chemical bath deposition followed by heating the thin films at 573 K in selenium vapor. The thin films deposited from chemical baths containing one or more soluble complexes of antimony, and selenosulfate initially did not show X-ray diffraction (XRD) patterns corresponding to crystalline antimony selenide. Composition of the films, studied by energy dispersive X-ray analyses indicated selenium deficiency. Heating these films in presence of selenium vapor at 573 K under nitrogen (2000 mTorr) resulted in an enrichment of Se in the films. XRD peaks of such films matched Sb 2 Se 3 . Evaluation of band gap from optical spectra of such films shows absorption due to indirect transition occurring in the range of 1-1.2 eV. The films are photosensitive, with dark conductivity of about 2 x 10 -8 (Ω cm) -1 and photoconductivity, about 10 -6 (Ω cm) -1 under tungsten halogen lamp illumination with intensity of 700 W m -2 . An estimate for the mobility life time product for the film is 4 x 10 -9 cm 2 V -1

  13. SU-8 Cantilevers for Bio/chemical Sensing; Fabrication, Characterisation and Development of Novel Read-out Methods

    OpenAIRE

    Anja Boisen; Mogens Havsteen-Jakobsen; Gabriela Blagoi; Daniel Haefliger; Søren Dohn; Alicia Johansson; Michael Lillemose; Stephan Keller; Maria Nordström

    2008-01-01

    Here, we present the activities within our research group over the last five years with cantilevers fabricated in the polymer SU-8. We believe that SU-8 is an interesting polymer for fabrication of cantilevers for bio/chemical sensing due to its simple processing and low Young's modulus. We show examples of different integrated read-out methods and their characterisation. We also show that SU-8 cantilevers have a reduced sensitivity to changes in the environmental temperature and pH of the bu...

  14. The versatility of hot-filament activated chemical vapor deposition

    International Nuclear Information System (INIS)

    Schaefer, Lothar; Hoefer, Markus; Kroeger, Roland

    2006-01-01

    In the field of activated chemical vapor deposition (CVD) of polycrystalline diamond films, hot-filament activation (HF-CVD) is widely used for applications where large deposition areas are needed or three-dimensional substrates have to be coated. We have developed processes for the deposition of conductive, boron-doped diamond films as well as for tribological crystalline diamond coatings on deposition areas up to 50 cm x 100 cm. Such multi-filament processes are used to produce diamond electrodes for advanced electrochemical processes or large batches of diamond-coated tools and parts, respectively. These processes demonstrate the high degree of uniformity and reproducibility of hot-filament CVD. The usability of hot-filament CVD for diamond deposition on three-dimensional substrates is well known for CVD diamond shaft tools. We also develop interior diamond coatings for drawing dies, nozzles, and thread guides. Hot-filament CVD also enables the deposition of diamond film modifications with tailored properties. In order to adjust the surface topography to specific applications, we apply processes for smooth, fine-grained or textured diamond films for cutting tools and tribological applications. Rough diamond is employed for grinding applications. Multilayers of fine-grained and coarse-grained diamond have been developed, showing increased shock resistance due to reduced crack propagation. Hot-filament CVD is also used for in situ deposition of carbide coatings and diamond-carbide composites, and the deposition of non-diamond, silicon-based films. These coatings are suitable as diffusion barriers and are also applied for adhesion and stress engineering and for semiconductor applications, respectively

  15. CdS thin films prepared by laser assisted chemical bath deposition

    International Nuclear Information System (INIS)

    Garcia, L.V.; Mendivil, M.I.; Garcia Guillen, G.; Aguilar Martinez, J.A.; Krishnan, B.; Avellaneda, D.; Castillo, G.A.; Das Roy, T.K.; Shaji, S.

    2015-01-01

    Highlights: • CdS thin films by conventional CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • Improved dark conductivity and good photocurrent response for the LACBD CdS. - Abstract: In this work, we report the preparation and characterization of CdS thin films by laser assisted chemical bath deposition (LACBD). CdS thin films were prepared from a chemical bath containing cadmium chloride, triethanolamine, ammonium hydroxide and thiourea under various deposition conditions. The thin films were deposited by in situ irradiation of the bath using a continuous laser of wavelength 532 nm, varying the power density. The thin films obtained during deposition of 10, 20 and 30 min were analyzed. The changes in morphology, structure, composition, optical and electrical properties of the CdS thin films due to in situ irradiation of the bath were analyzed by atomic force microscopy (AFM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and UV–vis spectroscopy. The thin films obtained by LACBD were nanocrystalline, photoconductive and presented interesting morphologies. The results showed that LACBD is an effective synthesis technique to obtain nanocrystalline CdS thin films having good optoelectronic properties

  16. CdS thin films prepared by laser assisted chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Garcia, L.V.; Mendivil, M.I.; Garcia Guillen, G.; Aguilar Martinez, J.A. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); Krishnan, B. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); CIIDIT – Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico); Avellaneda, D.; Castillo, G.A.; Das Roy, T.K. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); CIIDIT – Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico)

    2015-05-01

    Highlights: • CdS thin films by conventional CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • Improved dark conductivity and good photocurrent response for the LACBD CdS. - Abstract: In this work, we report the preparation and characterization of CdS thin films by laser assisted chemical bath deposition (LACBD). CdS thin films were prepared from a chemical bath containing cadmium chloride, triethanolamine, ammonium hydroxide and thiourea under various deposition conditions. The thin films were deposited by in situ irradiation of the bath using a continuous laser of wavelength 532 nm, varying the power density. The thin films obtained during deposition of 10, 20 and 30 min were analyzed. The changes in morphology, structure, composition, optical and electrical properties of the CdS thin films due to in situ irradiation of the bath were analyzed by atomic force microscopy (AFM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and UV–vis spectroscopy. The thin films obtained by LACBD were nanocrystalline, photoconductive and presented interesting morphologies. The results showed that LACBD is an effective synthesis technique to obtain nanocrystalline CdS thin films having good optoelectronic properties.

  17. Synthesis and self-assembly of dumbbell shaped ZnO sub-micron structures using low temperature chemical bath deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Borade, P. [National Centre for Nanoscience and Nanotechnology, University of Mumbai, Kalina Campus, Santacruz (E), Mumbai 400098 (India); Joshi, K.U. [Anton-Paar India Pvt. Ltd., Thane (W), 400607 (India); Gokarna, A.; Lerondel, G. [Laboratoire de Nanotechnologie et D' Instrumentation Optique, Institut Charles Delaunay, CNRS UMR 6281, Université de Technologie de Troyes, 12 Rue Marie Curie, BP 2060, 10010 Troyes (France); Walke, P. [National Centre for Nanoscience and Nanotechnology, University of Mumbai, Kalina Campus, Santacruz (E), Mumbai 400098 (India); Late, D. [National Chemical Laboratory (NCL), Pune 400027 (India); Jejurikar, S.M., E-mail: jejusuhas@gmail.com [National Centre for Nanoscience and Nanotechnology, University of Mumbai, Kalina Campus, Santacruz (E), Mumbai 400098 (India)

    2016-02-01

    We report well dispersed horizontal growth of ZnO sub-micron structures using simplest technique ever known i.e. chemical bath deposition (CBD). A set of samples were prepared under two different cases A) dumbbell shaped ZnO grown in CBD bath and B) tubular ZnO structures evolved from dumbbell shaped structures by dissolution mechanism. Single phase wurtzite ZnO formation is confirmed using X-ray diffraction (XRD) technique in both cases. From the morphological investigations performed using scanning electron microscopy (SEM), sample prepared under case A indicate formation of hex bit tool (HBT) shaped ZnO crystals, which observed to self-organize to form dumbbell structures. Further these microstructures are then converted into tubular structures as a fragment of post CBD process. The possible mechanism responsible for the self-assembly of HBT units to form dumbbell structures is discussed. Observed free excitonic peak located at 370 nm in photoluminescence (PL) spectra recorded at 18 K indicate that the micro/nanostructures synthesized using CBD are of high optical quality. - Highlights: • Controlled growth of Dumbbell shaped ZnO using Chemical Bath Deposition (CBD). • Growth mechanism of dumbbell shaped ZnO by self-assembling was discussed. • Quick Transformation of ZnO dumbbell structures in to tubular structures by dissolution. • Sharp UV Emission at 370 nm from both dumbbell and tubular structures.

  18. Chemical vapor deposition polymerization the growth and properties of parylene thin films

    CERN Document Server

    Fortin, Jeffrey B

    2004-01-01

    Chemical Vapor Deposition Polymerization - The Growth and Properties of Parylene Thin Films is intended to be valuable to both users and researchers of parylene thin films. It should be particularly useful for those setting up and characterizing their first research deposition system. It provides a good picture of the deposition process and equipment, as well as information on system-to-system variations that is important to consider when designing a deposition system or making modifications to an existing one. Also included are methods to characterizae a deposition system's pumping properties as well as monitor the deposition process via mass spectrometry. There are many references that will lead the reader to further information on the topic being discussed. This text should serve as a useful reference source and handbook for scientists and engineers interested in depositing high quality parylene thin films.

  19. Reduced chemical warfare agent sorption in polyurethane-painted surfaces via plasma-enhanced chemical vapor deposition of perfluoroalkanes.

    Science.gov (United States)

    Gordon, Wesley O; Peterson, Gregory W; Durke, Erin M

    2015-04-01

    Perfluoralkalation via plasma chemical vapor deposition has been used to improve hydrophobicity of surfaces. We have investigated this technique to improve the resistance of commercial polyurethane coatings to chemicals, such as chemical warfare agents. The reported results indicate the surface treatment minimizes the spread of agent droplets and the sorption of agent into the coating. The improvement in resistance is likely due to reduction of the coating's surface free energy via fluorine incorporation, but may also have contributing effects from surface morphology changes. The data indicates that plasma-based surface modifications may have utility in improving chemical resistance of commercial coatings.

  20. Plasma Assisted Chemical Vapour Deposition – Technological Design Of Functional Coatings

    Directory of Open Access Journals (Sweden)

    Januś M.

    2015-06-01

    Full Text Available Plasma Assisted Chemical Vapour Deposition (PA CVD method allows to deposit of homogeneous, well-adhesive coatings at lower temperature on different substrates. Plasmochemical treatment significantly impacts on physicochemical parameters of modified surfaces. In this study we present the overview of the possibilities of plasma processes for the deposition of diamond-like carbon coatings doped Si and/or N atoms on the Ti Grade2, aluminum-zinc alloy and polyetherketone substrate. Depending on the type of modified substrate had improved the corrosion properties including biocompatibility of titanium surface, increase of surface hardness with deposition of good adhesion and fine-grained coatings (in the case of Al-Zn alloy and improving of the wear resistance (in the case of PEEK substrate.

  1. Annealing and deposition effects of the chemical composition of silicon rich nitride

    DEFF Research Database (Denmark)

    Andersen, Karin Nordström; Svendsen, Winnie Edith; Stimpel-Lindner, T.

    2005-01-01

    Silicon-rich nitride, deposited by LPCVD, is a low stress amorphous material with a high refractive index. After deposition the silicon-rich nitride thin film is annealed at temperatures above 1100 oC to break N-H bonds, which have absorption peaks in the wavelength band important for optical...... in optical waveguides. This means that the annealing temperature must be high enough to break the N-H bonds, but no so high as to produce clusters. Therefore, the process window for an annealing step lies between 1100 and 1150 oC. The chemical composition of amorphous silicon-rich nitride has been...... investigated by Rutherford back scattering (RBS) and X-ray photoelectron spectroscopy (XPS). The influence of deposition parameters and annealing temperatures on the stoichiometry and the chemical bonds will be discussed. The origin of the clusters has been found to be silicon due to severe silicon out...

  2. Erosion behaviour of physically vapour-deposited and chemically vapour-deposited SiC films coated on molybdenum during oxygenated argon beam thinning

    International Nuclear Information System (INIS)

    Shikama, T.; Kitajima, M.; Fukutomi, M.; Okada, M.

    1984-01-01

    The erosion behaviour during bombardment with a 5 keV argon beam at room temperature was studied for silicon carbide (SiC) films of thickness of about 10 μm coated on molybdenum by physical vapour deposition (PVD) and chemical vapour deposition (CVD). The PVD SiC (plasma-assisted ion plating) exhibited a greater thinning rate than the CVD SiC film. Electron probe X-ray microanalysis revealed that the chemical composition of PVD SiC was changed to a composition enriched in silicon by the bombardment, and there was a notable change in its surface morphology. The CVD SiC retained its initial chemical composition with only a small change in its surface morphology. Auger electron spectroscopy indicated that silicon oxide was formed on the surface of PVD SiC by the bombardment. The greater thinning rate and easier change in chemical composition in PVD SiC could be attributed to its readier chemical reaction with oxygen due to its more non-uniform structure and weaker chemical bonding. Oxygen was present as one of the impurities in the argon beam. (Auth.)

  3. High quality antireflective ZnS thin films prepared by chemical bath deposition

    International Nuclear Information System (INIS)

    Tec-Yam, S.; Rojas, J.; Rejón, V.; Oliva, A.I.

    2012-01-01

    Zinc sulfide (ZnS) thin films for antireflective applications were deposited on glass substrates by chemical bath deposition (CBD). Chemical analysis of the soluble species permits to predict the optimal pH conditions to obtain high quality ZnS films. For the CBD, the ZnCl 2 , NH 4 NO 3 , and CS(NH 2 ) 2 were fixed components, whereas the KOH concentration was varied from 0.8 to 1.4 M. Groups of samples with deposition times from 60 to 120 min were prepared in a bath with magnetic agitation and heated at 90 °C. ZnS films obtained from optimal KOH concentrations of 0.9 M and 1.0 M exhibited high transparency, homogeneity, adherence, and crystalline. The ZnS films presented a band gap energy of 3.84 eV, an atomic Zn:S stoichiometry ratio of 49:51, a transmittance above 85% in the 300–800 nm wavelength range, and a reflectance below 25% in the UV–Vis range. X-ray diffraction analysis revealed a cubic structure in the (111) orientation for the films. The thickness of the films was tuned between 60 nm and 135 nm by controlling the deposition time and KOH concentration. The incorporation of the CBD-ZnS films into ITO/ZnS/CdS/CdTe and glass/Mo/ZnS heterostructures as antireflective layer confirms their high optical quality. -- Highlights: ► High quality ZnS thin films were prepared by chemical bath deposition (CBD). ► Better CBD-ZnS films were achieved by using 0.9 M-KOH concentration. ► Reduction in the reflectance was obtained for ZnS films used as buffer layers.

  4. Computerized crystal-chemical classification of silicates and related materials with CRYSTANA and formula notation for classified structures

    International Nuclear Information System (INIS)

    Klein, Hans-Joachim; Liebau, Friedrich

    2008-01-01

    The computer program CRYSTANA is described which implements a method for the crystal-chemical classification of silicates and related materials. This method is mainly based upon the topological structure of the connected units of a compound and can be applied when the units are built from tetrahedra as coordination polyhedra. The classification parameters and the rules which have to be applied for their determination are summarized and a formalization of the method is provided based upon a finite graph representation of the units. A description of how CRYSTANA can be used and which kind of output it produces is included. From this output crystal-chemical formulas can be derived, which differ slightly from an existing notation in order to meet recommendations of the International Union of Crystallography. - The computer program CRYSTANA is described which implements a method for the crystal-chemical classification of silicates and related materials. The implementation is based upon a graph-theoretical formalization of the classification method. An extended notation of crystal-chemical formulas is introduced. The formulas can be derived from the output of the program

  5. Chemical and structural analyses of subsurface crevices formed during spontaneous deposition of cerium-based conversion coatings

    Energy Technology Data Exchange (ETDEWEB)

    Heller, Daimon K, E-mail: dkheller@mmm.com; Fahrenholtz, William G., E-mail: billf@mst.edu; O' Keefe, Matthew J., E-mail: mjokeefe@mst.edu

    2011-11-15

    Subsurface crevices formed during the deposition of cerium-based conversion coatings were analyzed in cross-section to assess the effect of deposition and post-treatment on the structure and chemistry of phases present. An Al-O containing phase, believed to be amorphous Al(OH){sub 3}, was formed in crevices during coating deposition. Analysis by energy dispersive X-ray spectroscopy revealed the presence of up to 1.6 at.% chlorine within the Al-O phase, which was likely a product of soluble chlorides that were present in the coating solution. Cerium was not detected within crevices. After post-treatment in an 85 deg. C aqueous phosphate solution, the chloride concentration was reduced to {<=} 0.30 at.% and electron diffraction of the Al-O phase produced ring patterns, indicating it had crystallized. Some diffraction patterns could be indexed to gibbsite (Al(OH){sub 3}), but others are believed to be a combination of hydrated aluminum hydroxides and/or oxides. Aluminum phosphate was not identified. Separately from its effect on cerium-based conversion coatings, phosphate post-treatment improved the corrosion resistance of Al 2024-T3 substrates by acting to crystallize Al(OH){sub 3} present on interior surfaces of crevices and by reducing the chloride concentration in this phase. - Highlights: {yields} Analysis of subsurface crevices formed during deposition of Ce-based conversion coatings. {yields} Phosphate post-treatment improved corrosion protection in salt spray testing. {yields} Post-treatment affected the composition and structure of regions within crevices. {yields} Crystallized Al(OH){sub 3} within crevices acted as a more effective barrier to chloride ions.

  6. Synthesis of mullite coatings by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mulpuri, R.P.; Auger, M.; Sarin, V.K. [Boston Univ., MA (United States)

    1996-08-01

    Formation of mullite on ceramic substrates via chemical vapor deposition was investigated. Mullite is a solid solution of Al{sub 2}O{sub 3} and SiO{sub 2} with a composition of 3Al{sub 2}O{sub 3}{circ}2SiO{sub 2}. Thermodynamic calculations performed on the AlCl{sub 3}-SiCl{sub 4}-CO{sub 2}-H{sub 2} system were used to construct equilibrium CVD phase diagrams. With the aid of these diagrams and consideration of kinetic rate limiting factors, initial process parameters were determined. Through process optimization, crystalline CVD mullite coatings have been successfully grown on SiC and Si{sub 3}N{sub 4} substrates. Results from the thermodynamic analysis, process optimization, and effect of various process parameters on deposition rate and coating morphology are discussed.

  7. Chemical vapor deposition: A technique for applying protective coatings

    Energy Technology Data Exchange (ETDEWEB)

    Wallace, T.C. Sr.; Bowman, M.G.

    1979-01-01

    Chemical vapor deposition is discussed as a technique for applying coatings for materials protection in energy systems. The fundamentals of the process are emphasized in order to establish a basis for understanding the relative advantages and limitations of the technique. Several examples of the successful application of CVD coating are described. 31 refs., and 18 figs.

  8. Synthesis of nanocrystalline nickel-zinc ferrite (Ni0.8Zn0.2Fe2O4) thin films by chemical bath deposition method

    International Nuclear Information System (INIS)

    Pawar, D.K.; Pawar, S.M.; Patil, P.S.; Kolekar, S.S.

    2011-01-01

    Graphical abstract: Display Omitted Research highlights: → We have successfully synthesized nickel-zinc ferrite (Ni 0.8 Zn 0.2 Fe 2 O 4 ) thin films on stainless steel substrates using a low temperature chemical bath deposition method. → The surface morphological study showed the compact flakes like morphology. → The as-deposited thin films are hydrophilic (10 o o ) whereas the annealed thin films are super hydrophilic (θ o ) in nature. → Ni 0.8 Zn 0.2 Fe 2 O 4 thin films could be used in supercapacitor. - Abstract: The nickel-zinc ferrite (Ni 0.8 Zn 0.2 Fe 2 O 4 ) thin films have been successfully deposited on stainless steel substrates using a chemical bath deposition method from alkaline bath. The films were characterized by X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FTIR), scanning electron microscopy (SEM), static water contact angle and cyclic voltammetry measurements. The X-ray diffraction pattern shows that deposited Ni 0.8 Zn 0.2 Fe 2 O 4 thin films were oriented along (3 1 1) plane. The FTIR spectra showed strong absorption peaks around 600 cm -1 which are typical for cubic spinel crystal structure. SEM study revealed compact flakes like morphology having thickness ∼1.8 μm after air annealing. The annealed films were super hydrophilic in nature having a static water contact angle (θ) of 5 o .The electrochemical supercapacitor study of Ni 0.8 Zn 0.2 Fe 2 O 4 thin films has been carried out in 6 M KOH electrolyte. The values of interfacial and specific capacitances obtained were 0.0285 F cm -2 and 19 F g -1 , respectively.

  9. Effect of medicinal plants on the crystallization of cholesterol

    Science.gov (United States)

    Saraswathi, N. T.; Gnanam, F. D.

    1997-08-01

    One of the least desirable calcifications in the human body is the mineral deposition in atherosclerosis plaques. These plaques principally consist of lipids such as cholesterol, cholesteryl esters, phospholipids and triglycerides. Chemical analysis of advanced plaques have shown the presence of considerable amounts of free cholesterol identified as cholesterol monohydrate crystals. Cholesterol has been crystallized in vitro. The extracts of some of the Indian medicinal plants detailed below were used as additives to study their effect on the crystallization behaviour of cholesterol. It has been found that many of the herbs have inhibitory effect on the crystallization such as nucleation, crystal size and habit modification. The inhibitory effect of the plants are graded as Commiphora mughul > Aegle marmeleos > Cynoden dactylon > Musa paradisiaca > Polygala javana > Alphinia officinarum > Solanum trilobatum > Enicostemma lyssopifolium.

  10. Nanostructured ZnO thin films by chemical bath deposition in basic aqueous ammonia solutions for photovoltaic applications

    Energy Technology Data Exchange (ETDEWEB)

    Chu, J.B.; Huang, S.M.; Zhang, D.W.; Bian, Z.Q.; Li, X.D.; Sun, Z. [East China Normal University, Engineering Research Center for Nanophotonics and Advanced Instrument, Ministry of Education, Department of Physics, Shanghai (China); Yin, X.J. [Singapore Polytechnic, Advanced Materials Technology Center, Singapore (Singapore)

    2009-06-15

    This paper presents further insights and observations of the chemical bath deposition (CBD) of ZnS thin films using an aqueous medium involving Zn-salt, ammonium sulfate, aqueous ammonia, and thiourea. Results on physical and chemical properties of the grown layers as a function of ammonia concentration are reported. Physical and chemical properties were analyzed using scanning electron microscopy (SEM), X-ray energy dispersive (EDX), and X-ray diffraction (XRD). Rapid growth of nanostructured ZnO films on fluorine-doped SnO{sub 2} (FTO) glass substrates was developed. ZnO films crystallized in a wurtzite hexagonal structure and with a very small quantity of Zn(OH){sub 2} and ZnS phases were obtained for the ammonia concentration ranging from 0.75 to 2.0 M. Flower-like and columnar nanostructured ZnO films were deposited in two ammonia concentration ranges, respectively: one between 0.75 and 1.0 M and the other between 1.4 and 2.0 M. ZnS films were formed with a high ammonia concentration of 3.0 M. The formation mechanisms of ZnO, Zn(OH){sub 2}, and ZnS phases were discussed in the CBD process. The developed technique can be used to directly and rapidly grow nanostructured ZnO film photoanodes. Annealed ZnO nanoflower and columnar nanoparticle films on FTO substrates were used as electrodes to fabricate the dye sensitized solar cells (DSSCs). The DSSC based on ZnO-nanoflower film showed an energy conversion efficiency of 0.84%, which is higher compared to that (0.45%) of the cell being constructed using a photoanode of columnar nanoparticle ZnO film. The results have demonstrated the potential applications of CBD nanostructured ZnO films for photovoltaic cells. (orig.)

  11. High mobility In2O3:H transparent conductive oxides prepared by atomic layer deposition and solid phase crystallization

    NARCIS (Netherlands)

    Macco, B.; Wu, Y.; Vanhemel, D.; Kessels, W.M.M.

    2014-01-01

    The preparation of high-quality In2O3:H, as transparent conductive oxide (TCO), is demonstrated at low temperatures. Amorphous In2O3:H films were deposited by atomic layer deposition at 100 °C, after which they underwent solid phase crystallization by a short anneal at 200 °C. TEM analysis has shown

  12. Apparatus for mounting crystal

    Science.gov (United States)

    Longeway, Paul A.

    1985-01-01

    A thickness monitor useful in deposition or etching reactor systems comprising a crystal-controlled oscillator in which the crystal is deposited or etched to change the frequency of the oscillator. The crystal rests within a thermally conductive metallic housing and arranged to be temperature controlled. Electrode contacts are made to the surface primarily by gravity force such that the crystal is substantially free of stress otherwise induced by high temperature.

  13. Fabrication of cuprous chloride films on copper substrate by chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Yu-Ting; Ci, Ji-Wei; Tu, Wei-Chen [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Uen, Wu-Yih, E-mail: uenwuyih@ms37.hinet.net [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Lan, Shan-Ming [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Yang, Tsun-Neng; Shen, Chin-Chang; Wu, Chih-Hung [Institute of Nuclear Energy Research, P.O. Box 3-11, Lungtan 32500, Taiwan (China)

    2015-09-30

    Polycrystalline CuCl films were fabricated by chemical bath deposition (CBD) on a Cu substrate at a low solution temperature of 90 °C. Continuous CuCl films were prepared using the copper (II) chloride (CuCl{sub 2}) compound as the precursor for both the Cu{sup 2+} and Cl{sup −} sources, together with repeated HCl dip treatments. An HCl dip pretreatment of the substrate favored the nucleation of CuCl crystallites. Further, interrupting the film deposition and including an HCl dip treatment of the film growth surface facilitated the deposition of a full-coverage CuCl film. A dual beam (FIB/SEM) system with energy dispersive spectrometry facilities attached revealed a homogeneous CuCl layer with a flat-top surface and an average thickness of about 1 μm. Both the excitonic and biexcitonic emission lines were well-resolved in the 6.4 K photoluminescence spectra. In particular, the free exciton emission line was observable at room temperature, indicating the good quality of the CuCl films prepared by CBD. - Highlights: • Cuprous chloride (CuCl) was prepared on Cu substrate by chemical bath deposition. • HCl dip treatments facilitated the deposition of a full-coverage CuCl film. • A homogeneous elemental distribution was recognized for the deposited CuCl layer. • Excitonic and biexcitonic photoluminescence lines of CuCl films were well-resolved. • The free exciton emission line of CuCl films was observable at room temperature.

  14. The impacts of growth temperature on morphologies, compositions and optical properties of Mg-doped ZnO nanomaterials by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wang, X.H., E-mail: wangxh@sdju.edu.cn [School of Mechanical Engineering, Shanghai Dianji University, 1201 Jiang Chuan Road, Shanghai 200245 (China); Huang, L.Q.; Niu, L.J.; Li, R.B. [School of Mechanical Engineering, Shanghai Dianji University, 1201 Jiang Chuan Road, Shanghai 200245 (China); Fan, D.H. [Institute of Functional Materials Research, Department of Mathematics and Physics, Wuyi University, Jiangmen 529020 (China); Zhang, F.B.; Chen, Z.W.; Wang, X.; Guo, Q.X. [Department of Electrical and Electronic Engineering, Synchrotron Light Application Center, Saga University, Saga 840-8502 (Japan)

    2015-02-15

    Highlights: • Mg-doped ZnO nanomaterials were fabricated by chemical vapor deposition (CVD). • Growth temperature determines the characteristics of Zn{sub 1-x}Mg{sub x}O nanomaterials. • The modulation of band gap is caused by Mg addition. - Abstract: The Mg-doped ZnO (Zn{sub 1-x}Mg{sub x}O) nanomaterials with different morphologies of nanoparticles, partially opened nanowire-on-spherical shells, hemispheric shells and chain-like nanoparticles were synthesized at 750, 850, 900 and 1000 °C by a simple chemical vapor deposition. The energy dispersive X-ray (EDX) measurements indicate that Mg content increases from 2.87 at.% to 5.01 at.% with the increase of growth temperature from 750 to 1000 °C. The measurement results of X-ray diffraction (XRD) show that the (0 0 2) peaks of Zn{sub 1-x}Mg{sub x}O nanomaterials shift to higher diffraction angle with the increase of Mg content, implying that Mg{sup 2+} is substituted into Zn{sup 2+} site. The absorption spectra at room temperature exhibit that the band gap of the Mg-doped ZnO nanomaterials increases with the Mg concentration, illustrating that the modulation of band gap is caused by Mg addition. The PL measurements show that UV peak from Zn{sub 1-x}Mg{sub x}O nanomaterials is shifted towards lower wavelength side (blue shift) from 381 nm to 372 nm with the increase of the Mg dopant content. The room-temperature Raman spectra show that the crystal quality of the Zn{sub 1-x}Mg{sub x}O nanomaterials is improved with the increase of growth temperature, and the Mg dopants do not decrease the crystal quality of ZnO nanomaterials.

  15. ZnS nanoflakes deposition by modified chemical method

    International Nuclear Information System (INIS)

    Desai, Mangesh A.; Sartale, S. D.

    2014-01-01

    We report deposition of zinc sulfide nanoflakes on glass substrates by modified chemical method. The modified chemical method involves adsorption of zinc–thiourea complex on the substrate and its dissociation in presence of hydroxide ions to release sulfur ions from thiourea which react with zinc ions present in the complex to form zinc sulfide nanoflakes at room temperature. Influence of zinc salt and thiourea concentrations ratios on the morphology of the films was investigated by scanning electron microscope (SEM). The ratio of zinc and thiourea in the zinc–thiourea complex significantly affect the size of the zinc sulfide nanoflakes, especially width and density of the nanoflakes. The X-ray diffraction analysis exhibits polycrystalline nature of the zinc sulfide nanoflakes with hexagonal phase

  16. The importance of proper crystal-chemical and geometrical reasoning demonstrated using layered single and double hydroxides

    International Nuclear Information System (INIS)

    Richardson, Ian G.

    2013-01-01

    The importance and utility of proper crystal-chemical and geometrical reasoning in structural studies is demonstrated through the consideration of layered single and double hydroxides. New yet fundamental information is provided and it is evident that the crystal chemistry of the double hydroxide phases is much more straightforward than is apparent from the literature. Atomistic modelling techniques and Rietveld refinement of X-ray powder diffraction data are widely used but often result in crystal structures that are not realistic, presumably because the authors neglect to check the crystal-chemical plausibility of their structure. The purpose of this paper is to reinforce the importance and utility of proper crystal-chemical and geometrical reasoning in structural studies. It is achieved by using such reasoning to generate new yet fundamental information about layered double hydroxides (LDH), a large, much-studied family of compounds. LDH phases are derived from layered single hydroxides by the substitution of a fraction (x) of the divalent cations by trivalent. Equations are derived that enable calculation of x from the a parameter of the unit cell and vice versa, which can be expected to be of widespread utility as a sanity test for extant and future structure determinations and computer simulation studies. The phase at x = 0 is shown to be an α form of divalent metal hydroxide rather than the β polymorph. Crystal-chemically sensible model structures are provided for β-Zn(OH) 2 and Ni- and Mg-based carbonate LDH phases that have any trivalent cation and any value of x, including x = 0 [i.e. for α-M(OH) 2 ·mH 2 O phases

  17. Comparative study of tantalum deposition by chemical vapor deposition and electron beam vacuum evaporation

    International Nuclear Information System (INIS)

    Spitz, J.; Chevallier, J.

    1975-01-01

    The coating by tantalum of steel parts has been carried out by the two following methods: chemical vapor deposition by hydrogen reduction of TaCl 5 (temperature=1100 deg C, pressure=200 mmHg, H 2 /TaCl 5 =10); electron beam vacuum evaporation. In this case Ta was firstly condensed by ion plating (P(Ar)=5x10 -3 up to 2x10 -2 mmHg; U(c)=3 to -4kV and J(c)=0.2 to 1mAcm -2 ) in order to ensure a good adhesion between deposit and substrate; then by vacuum condensation (substrate temperature: 300 to 650 deg C) to ensure that the coating is impervious to HCl an H 2 SO 4 acids. The advantages and inconveniences of each method are discussed [fr

  18. Impact of acid atmospheric deposition on soils : quantification of chemical and hydrologic processes

    NARCIS (Netherlands)

    Grinsven, van J.J.M.

    1988-01-01

    Atmospheric deposition of SO x , NOx and NHx will cause major changes in the chemical composition of solutions in acid soils, which may affect the biological functions of the soil. This thesis deals with quantification of soil acidification by means of chemical

  19. Mineralogy and geological significance of hydrothermal deposits from the Okinawa Trough

    Science.gov (United States)

    Zhang, Xia; Zhai, Shikui; Yu, Zenghui; Wang, Shujie; Cai, Zongwei

    2018-04-01

    The study of hydrothermal deposits in the Okinawa Trough can help us to uncover the hydrothermal mineralization characteristics in the back-arc basin during the early expanding stage. Mineralogy and geological significance of hydrothermal deposits from both the middle and southern trough are studied in this paper. First of all, using optical microscope to confirm the mineral compositions, characteristics of crystal shape, paragenetic relationship and minerals crystallization order. Then the minerals chemical composition were analyzed in virtue of electron microprobe. On these basis, the paragenetic sequence and the mineralization characteristics of the hydrothermal deposits were discussed. The results show that the hydrothermal deposit from the mid-Okinawa Trough belongs to Zn-Cu-rich type, consisting dominantly of sulfide minerals such as sphalerite, chalcopyrite, pyrite, etc. The minerals crystallization order is first generation pyrite(PyI)-sphalerite-chalcopyrite-galena-second generation pyrite(PyII)-amorphous silica. While the deposit from the southern Okinawa Trough is Ba-Zn-Pb-rich type mainly composing of barite, sphalerite, galena, etc. The minerals crystallization order is barite-pyrite-sphalerite-tetrahedrite-galena-chalcopyrite-amorphous silica. Hydrothermal fluid temperature in the mid-Okinawa Trough undergoes a process from high to low, which is high up to 350 °C in the early stage, but decreasing gradually with the evolution of hydrothermal fluid. On the contrary, the hydrothermal activity in the southern Okinawa Trough is low temperature dominated, but the mineralization environment is unstable and the fluid temperature changes drastically during the period of hydrothermal activity.

  20. Influences of chemical aging on the surface morphology and crystallization behavior of basaltic glass fibers

    DEFF Research Database (Denmark)

    Lund, Majbritt Deichgræber; Yue, Yuanzheng

    2008-01-01

    The impact of aging in high humidity and water on the surface morphology and crystallization behavior of basaltic glass fibers has been studied using scanning electron microscopy, transmission electron microscopy, calorimetry and X-ray diffraction. The results show that interaction between...... the fibers and the surrounding media (high humidity or water at 70 C) leads to chemical changes strongly affecting the surface morphology. The crystallization peak temperature of the basaltic glass fibers are increased without changing the onset temperature, this may be caused by a chemical depletion...

  1. Plasma enhanced chemical vapor deposition silicon oxynitride optimized for application in integrated optics

    NARCIS (Netherlands)

    Worhoff, Kerstin; Driessen, A.; Lambeck, Paul; Hilderink, L.T.H.; Linders, Petrus W.C.; Popma, T.J.A.

    1999-01-01

    Silicon Oxynitride layers are grown from SiH4/N2, NH3 and N2O by Plasma Enhanced Chemical Vapor Deposition. The process is optimized with respect to deposition of layers with excellent uniformity in the layer thickness, high homogeneity of the refractive index and good reproducibility of the layer

  2. Characterisation of a Sr-90 based electron monochromator

    CERN Document Server

    Arfaoui, S; CERN; Casella, C; ETH Zurich

    2015-01-01

    This note describes the characterisation of an energy filtered Sr-90 source to be used in laboratory studies that require Minimum Ionising Particles (MIP) with a kinetic energy of up to approx. 2 MeV. The energy calibration was performed with a LYSO scintillation crystal read out by a digital Silicon Photomultiplier (dSiPM). The LYSO/dSiPM set-up was pre-calibrated using a Na-22 source. After introducing the motivation behind the usage of such a device, this note presents the principle and design of the electron monochromator as well as its energy and momentum characterisation.

  3. Cadmium sulfide thin films growth by chemical bath deposition

    Science.gov (United States)

    Hariech, S.; Aida, M. S.; Bougdira, J.; Belmahi, M.; Medjahdi, G.; Genève, D.; Attaf, N.; Rinnert, H.

    2018-03-01

    Cadmium sulfide (CdS) thin films have been prepared by a simple technique such as chemical bath deposition (CBD). A set of samples CdS were deposited on glass substrates by varying the bath temperature from 55 to 75 °C at fixed deposition time (25 min) in order to investigate the effect of deposition temperature on CdS films physical properties. The determination of growth activation energy suggests that at low temperature CdS film growth is governed by the release of Cd2+ ions in the solution. The structural characterization indicated that the CdS films structure is cubic or hexagonal with preferential orientation along the direction (111) or (002), respectively. The optical characterization indicated that the films have a fairly high transparency, which varies between 55% and 80% in the visible range of the optical spectrum, the refractive index varies from 1.85 to 2.5 and the optical gap value of which can reach 2.2 eV. It can be suggested that these properties make these films perfectly suitable for their use as window film in thin films based solar cells.

  4. Bottom-up on-crystal in-chip formation of a conducting salt and a view of its restructuring: From organic insulator to conducting >switch> through microfluidic manipulation

    OpenAIRE

    Puigmartí-Luis, Josep; Paradinas, Markos; Bailo, Elena; Rodríguez-Trujillo, Romen; Pfattner, Raphael; Ocal, Carmen; Amabilino, David B.

    2015-01-01

    The chemical modification of an immobilized single crystal in a fluid cell is reported, whereby a material with switching functions is generated in situ by generating a chemical reagent in the flow. Crystals of the insulating organic crystal of TCNQ (tetracyanoquinodimethane) were grown in a microfluidic channel and were trapped using a pneumatic valve, a nascent technique for materials manipulation. They were subsequently reduced using solution-deposited silver to provide a conducting materi...

  5. Analytical protocols for characterisation of sulphur-free lignin

    NARCIS (Netherlands)

    Gosselink, R.J.A.; Abächerli, A.; Semke, H.; Malherbe, R.; Käuper, P.; Nadif, A.; Dam, van J.E.G.

    2004-01-01

    Interlaboratory tests for chemical characterisation of sulphur-free lignins were performed by five laboratories to develop useful analytical protocols, which are lacking, and identify quality-related properties. Protocols have been established for reproducible determination of the chemical

  6. Laboratory studies on the uptake of aromatic hydrocarbons by ice crystals during vapor depositional crystal growth

    Science.gov (United States)

    Fries, Elke; Starokozhev, Elena; Haunold, Werner; Jaeschke, Wolfgang; Mitra, Subir K.; Borrmann, Stephan; Schmidt, Martin U.

    Uptake of aromatic hydrocarbons (AH) by ice crystals during vapor deposit growth was investigated in a walk-in cold chamber at temperatures of 242, 251, and 260 K, respectively. Ice crystals were grown from ambient air in the presence of gaseous AH namely: benzene (C 6H 6), toluene (methylbenzene, C 7H 8), the C 8H 10 isomers ethylbenzene, o-, m-, p-xylene (dimethylbenzenes), the C 9H 12 isomers n-propylbenzene, 4-ethyltoluene, 1,3,5-trimethylbenzene (1,3,5-TMB), 1,2,4-trimethylbenzene (1,2,4-TMB), 1,2,3-trimethylbenzene (1,2,3-TMB), and the C 10H 14 compound tert.-butylbenzene. Gas-phase concentrations calculated at 295 K were 10.3-20.8 μg m -3. Uptake of AH was detected by analyzing vapor deposited ice with a very sensitive method composed of solid-phase micro-extraction (SPME), followed by gas chromatography/mass spectrometry (GC/MS). Ice crystal size was lower than 1 cm. At water vapor extents of 5.8, 6.0 and 8.1 g m -3, ice crystal shape changed with decreasing temperatures from a column at a temperature of 260 K, to a plate at 251 K, and to a dendrite at 242 K. Experimentally observed ice growth rates were between 3.3 and 13.3×10 -3 g s -1 m -2 and decreased at lower temperatures and lower value of water vapor concentration. Predicted growth rates were mostly slightly higher. Benzene, toluene, ethylbenzene, and xylenes (BTEX) were not detected in ice above their detection limits (DLs) of 25 pg g ice-1 (toluene, ethylbenzene, xylenes) and 125 pg g ice-1 (benzene) over the entire temperature range. Median concentrations of n-propylbenzene, 4-ethyltoluene, 1,3,5-TMB, tert.-butylbenzene, 1,2,4-TMB, and 1,2,3-TMB were between 4 and 176 pg g ice-1 at gas concentrations of 10.3-10.7 μg m -3 calculated at 295 K. Uptake coefficients ( K) defined as the product of concentration of AH in ice and density of ice related to the product of their concentration in the gas phase and ice mass varied between 0.40 and 10.23. K increased with decreasing temperatures. Values of

  7. Indium sulfide thin films as window layer in chemically deposited solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Lugo-Loredo, S. [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico); Peña-Méndez, Y., E-mail: yolapm@gmail.com [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico); Calixto-Rodriguez, M. [Universidad Tecnológica Emiliano Zapata del Estado de Morelos, Av. Universidad Tecnológica No. 1, C.P. 62760 Emiliano Zapata, Morelos (Mexico); Messina-Fernández, S. [Universidad Autónoma de Nayarit, Ciudad de la Cultura “Amado Nervo” S/N, C.P. 63190 Tepic, Nayarit (Mexico); Alvarez-Gallegos, A. [Universidad Autónoma del Estado de Morelos, Centro de Investigación en Ingeniería y Ciencias Aplicadas, Av. Universidad 1001, C.P. 62209, Cuernavaca Morelos (Mexico); Vázquez-Dimas, A.; Hernández-García, T. [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico)

    2014-01-01

    Indium sulfide (In{sub 2}S{sub 3}) thin films have been synthesized by chemical bath deposition technique onto glass substrates using In(NO{sub 3}){sub 3} as indium precursor and thioacetamide as sulfur source. X-ray diffraction studies have shown that the crystalline state of the as-prepared and the annealed films is β-In{sub 2}S{sub 3}. Optical band gap values between 2.27 and 2.41 eV were obtained for these films. The In{sub 2}S{sub 3} thin films are photosensitive with an electrical conductivity value in the range of 10{sup −3}–10{sup −7} (Ω cm){sup −1}, depending on the film preparation conditions. We have demonstrated that the In{sub 2}S{sub 3} thin films obtained in this work are suitable candidates to be used as window layer in thin film solar cells. These films were integrated in SnO{sub 2}:F/In{sub 2}S{sub 3}/Sb{sub 2}S{sub 3}/PbS/C–Ag solar cell structures, which showed an open circuit voltage of 630 mV and a short circuit current density of 0.6 mA/cm{sup 2}. - Highlights: • In{sub 2}S{sub 3} thin films were deposited using the Chemical Bath Deposition technique. • A direct energy band gap between 2.41 to 2.27 eV was evaluated for the In{sub 2}S{sub 3} films. • We made chemically deposited solar cells using the In{sub 2}S{sub 3} thin films.

  8. A simple method to deposit palladium doped SnO2 thin films using plasma enhanced chemical vapor deposition technique

    International Nuclear Information System (INIS)

    Kim, Young Soon; Wahab, Rizwan; Shin, Hyung-Shik; Ansari, S. G.; Ansari, Z. A.

    2010-01-01

    This work presents a simple method to deposit palladium doped tin oxide (SnO 2 ) thin films using modified plasma enhanced chemical vapor deposition as a function of deposition temperature at a radio frequency plasma power of 150 W. Stannic chloride (SnCl 4 ) was used as precursor and oxygen (O 2 , 100 SCCM) (SCCM denotes cubic centimeter per minute at STP) as reactant gas. Palladium hexafluroacetyleacetonate (Pd(C 5 HF 6 O 2 ) 2 ) was used as a precursor for palladium. Fine granular morphology was observed with tetragonal rutile structure. A peak related to Pd 2 Sn is observed, whose intensity increases slightly with deposition temperature. Electrical resistivity value decreased from 8.6 to 0.9 mΩ cm as a function of deposition temperature from 400 to 600 deg. C. Photoelectron peaks related to Sn 3d, Sn 3p3, Sn 4d, O 1s, and C 1s were detected with varying intensities as a function of deposition temperature.

  9. Gold catalytic Growth of Germanium Nanowires by chemical vapour deposition method

    Directory of Open Access Journals (Sweden)

    M. Zahedifar

    2013-03-01

    Full Text Available Germanium nanowires (GeNWs were synthesized using chemical vapor deposition (CVD based on vapor–liquid–solid (VLS mechanism with Au nanoparticles as catalyst and germanium tetrachloride (GeCl4 as a precursor of germanium. Au catalysts were deposited on silicon wafer as a thin film, firstly by sputtering technique and secondly by submerging the silicon substrates in Au colloidal solution, which resulted in Au nanoparticles with different sizes. GeNWs were synthesized at 400 °C, which is a low temperature for electrical device fabrication. Effect of different parameters such as Au nanoparticles size, carrier gas (Ar flow and mixture of H2 with the carrier gas on GeNWs diameter and shape was studied by SEM images. The chemical composition of the nanostructure was also examined by energy dispersive X-ray spectroscopy (EDS.

  10. Chemical composition of cadmium selenochromite crystals doped with indium, silver and gallium

    International Nuclear Information System (INIS)

    Bel'skij, N.K.; Ochertyanova, L.I.; Shabunina, G.G.; Aminov, T.G.

    1985-01-01

    The high accuracy chemical analysis Which allows one to observe doping effect on the cadmium selenochromite crystal composition is performed. The problem on the possibility of impurity atom substitution for basic element is considered on the basis of data of atomic-absorption analysis of doped crystals. The crystals of cadmium selenochromite doped with indium by chromium to cadmium ratio are distributed into two groups and probably two types of substitution take place. At 0.08-1.5 at.% indium concentrations the Cr/Cd ratio >2. One can assume that indium preferably takes cadmium tetrahedral positions whereas at 1.5-2.5 at. % concentrations the Cr/Cd ratio =2 and cadmium is substituted for silver which does not contradict crystallochemical and physical properties of this compound. In crystals with gallium the Cr/Cd ratio <2. Gallium preferably substitutes chromium

  11. Synthesis and analysis of silicon nanowire below Si-Au eutectic temperatures using very high frequency plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Hamidinezhad, Habib; Wahab, Yussof; Othaman, Zulkafli; Ismail, Abd Khamim

    2011-01-01

    Silicon nanowires (SiNWs) were synthesized from pure silane precursor gas and Au nanoparticles catalyst at below Au-Si eutectic temperature. The SiNWs were grown onto Si (1 1 1) substrates using very high frequency plasma enhanced chemical vapor deposition via a vapor-solid-solid mechanism at temperatures ranging from 363 to 230 deg. C. The morphology of the synthesized SiNWs was characterized by means of field emission scanning electron microscope equipped with energy dispersive X-ray, high resolution transmission electron microscopy, X-ray diffraction technique and Raman spectroscope. Results demonstrated that the SiNWs can be grown at the temperature as low as 250 deg. C. In addition, it was revealed that the grown wires were silicon-crystallized.

  12. Annealing-induced changes in chemical bonding and surface characteristics of chemical solution deposited Pb{sub 0.95}La{sub 0.05}Zr{sub 0.54}Ti{sub 0.46}O{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Batra, Vaishali [Department of Electrical and Computer Engineering, The University of Alabama, Tuscaloosa, AL 35487 (United States); Ramana, C.V. [Department of Mechanical Engineering, University of Texas at El Paso, El Paso, TX 79968 (United States); Kotru, Sushma, E-mail: skotru@eng.ua.edu [Department of Electrical and Computer Engineering, The University of Alabama, Tuscaloosa, AL 35487 (United States)

    2016-08-30

    Highlights: • Influence of post-deposition annealing temperature (T{sub a} = 550 and 750 °C) on the chemical valence state and crystalline quality of PLZT thin films was investigated. • XPS analyses demonstrated the shift in binding energies of the constituent atoms which indicated change in chemical state with the change in T{sub a}. • Raman spectra revealed shift in optical modes with the change in T{sub a} indicating the change in phase and crystallinity in the films. • Higher T{sub a} (750 °C) resulted in PLZT films with perovskite structure, nanocrystalline morphology, and better chemical homogeneity. - Abstract: We report the effect of post deposition annealing temperature (T{sub a} = 550 and 750 °C) on the surface morphology, chemical bonding and structural development of lanthanum doped lead zirconate titanate (Pb{sub 0.95}La{sub 0.05}Zr{sub 0.54}Ti{sub 0.46}O{sub 3}; referred to PLZT) thin films prepared using chemical solution deposition method. Atomic force microscopy demonstrates formation of nanocrystallites in the film annealed at T{sub a} = 750 °C. X-ray photoelectron spectroscopy (XPS) analyses indicate that the binding energies (BE) of the Pb 4f, Zr 3d, and Ti 2p doublet experience a positive energy shift at T{sub a} = 750 °C, whereas the BE of O 1s and La 3d doublet show a negative shift with respect to the BE of the films annealed at T{sub a} = 750 °C. Thermal induced crystallization and chemical modification is evident from XPS results. The Ar+ sputtering of the films reveals change in oxidation state and chemical bonding between the constituent atoms, with respect to T{sub a}. Raman spectroscopy used to study phonon-light interactions show shift in longitudinal and transverse optical modes with the change in T{sub a}, confirming the change in phase and crystallinity of these films. The results suggest annealing at T{sub a} = 750 °C yield crystalline perovskite PLZT films, which is essential to obtain photovoltaic response from

  13. Cervical spine and crystal-associated diseases: imaging findings

    Energy Technology Data Exchange (ETDEWEB)

    Feydy, Antoine; Chevrot, Alain; Drape, Jean-Luc [Hopital Cochin, Service de Radiologie B, Paris Cedex 14 (France); Liote, Frederic [Hopital Lariboisiere, Federation de Rhumatologie, Paris (France); Carlier, Robert [Hopital Raymond Poincare, Radiologie, Garches (France)

    2006-02-01

    The cervical spine may be specifically involved in crystal-associated arthropathies. In this article, we focus on the three common crystals and diseases: hydroxyapatite crystal deposition disease, calcium pyrophosphate dihydrate (CPPD) deposition disease, and monosodium urate crystals (gout). The cervical involvement in crystal-associated diseases may provoke a misleading clinical presentation with acute neck pain, fever, or neurological symptoms. Imaging allows an accurate diagnosis in typical cases with calcific deposits and destructive lesions of the discs and joints. Most of the cases are related to CPPD or hydroxyapatite crystal deposition; gout is much less common. (orig.)

  14. Cervical spine and crystal-associated diseases: imaging findings

    International Nuclear Information System (INIS)

    Feydy, Antoine; Chevrot, Alain; Drape, Jean-Luc; Liote, Frederic; Carlier, Robert

    2006-01-01

    The cervical spine may be specifically involved in crystal-associated arthropathies. In this article, we focus on the three common crystals and diseases: hydroxyapatite crystal deposition disease, calcium pyrophosphate dihydrate (CPPD) deposition disease, and monosodium urate crystals (gout). The cervical involvement in crystal-associated diseases may provoke a misleading clinical presentation with acute neck pain, fever, or neurological symptoms. Imaging allows an accurate diagnosis in typical cases with calcific deposits and destructive lesions of the discs and joints. Most of the cases are related to CPPD or hydroxyapatite crystal deposition; gout is much less common. (orig.)

  15. Fahlore and Sphalerite from the Darasun Gold Deposit in the Eastern Transbaikal Region, Russia: I. Mineral Assemblages and Intergrowths, Chemical Composition, and Its Evolution

    Science.gov (United States)

    Lyubimtseva, N. G.; Bortnikov, N. S.; Borisovsky, S. E.; Prokofiev, V. Yu.; Vikent'eva, O. V.

    2018-03-01

    The mineral assemblages, mode of occurrence, and chemical compositions of coexisting fahlore and sphalerite from the Darasun gold deposit have been described. Three generations of fahlore and three generations of sphalerite have been recognized. The FeS content in sphalerite coexisting with fahlore ranges from 0.8 to 9.4 mol %. The complete solid solution series Fe-tetrahedrite-Zn-tetrahedrite-Fe-tennantite-Zn-tennantite reflected in Sb/(Sb + As) and Fe/(Fe + Zn) ratios ranging from 0 to 0.97 and from 0.07 to 1.00, respectively, with a predominant negative relationship between these ratios has been identified for the first time at the deposit. Stepped, oscillatory, and combined stepped-oscillatory growth zonings within fahlore grains and heterogeneous aggregates of fahlore have been found. Fahlore is enriched in As with respect to Sb, and Zn-tetrahedrite is followed by Fe- and Zn-tennantite from early to late generation; Zn-tetrahedrite is followed by Fe-tennantite in zoned grains and overgrown rims; sphalerite crystallized at decreased temperature and sulfur fugacity. The evolution of the chemical composition of fahlores was caused by the evolving temperature, fluid salinity, and conditions of metal migration.

  16. Synthesis of freestanding WS{sub 2} trees and fibers on Au by chemical vapor deposition (CVD)

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, Subash; Jaisi, Balaram Paudel; Sharma, Kamal Prasad; Ibrahim Araby, Mona; Kalita, Golap; Tanemura, Masaki [Department of Physical Science and Engineering, Nagoya Institute of Technology, Nagoya (Japan)

    2018-01-15

    In this work, we report the synthesis of two new forms of WS{sub 2} nanostructures - freestanding WS{sub 2} trees and fibers on Au by chemical vapor deposition. It is observed that dislocation-driven growth causes WS{sub 2} crystals to grow and merge in both vertical and horizontal directions to form the pyramidal tree. During the formation of WS{sub 2} fibers, the presence of two-step growth was demonstrated. It is observed that sulphurization of WO{sub 3} nanoparticle leads to formation of WS{sub 2} rod in the first stage, followed by second stage in which selective growth causes some WS{sub 2} layers grow faster compared to other ones leading to the formation of fibrous WS{sub 2} structure. Fibers synthesized by our reported method have highly exposed WS{sub 2} layers which can demonstrate interesting catalytic and edge related properties or can be functionalized for future applications. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  17. Characterising laser beams with liquid crystal displays

    CSIR Research Space (South Africa)

    Dudley, Angela L

    2016-09-01

    Full Text Available the intensity, phase, wavefront, Poynting vector, and orbital angular momentum density of unknown optical fields. This measurement technique makes use of a single spatial light modulator (liquid crystal display), a Fourier transforming lens and detector (CCD...

  18. Synthesis, characterization and decomposition studies of tris(N,N-dibenzyldithiocarbamato)indium(III): chemical spray deposition of polycrystalline CuInS2 on copper films

    International Nuclear Information System (INIS)

    Hehemann, David G.; Lau, J. Eva; Harris, Jerry D.; Hoops, Michael D.; Duffy, Norman V.; Fanwick, Philip E.; Khan, Osman; Jin, Michael H.-C.; Hepp, Aloysius F.

    2005-01-01

    Tris(bis(phenylmethyl)carbamodithioato-S,S'), commonly referred to as tris(N,N-dibenzyldithiocarbamato)indium(III), In(S 2 CNBz 2 ) 3 , was synthesized and characterized by single crystal X-ray crystallography. The compound crystallizes in the triclinic space group P1-bar with two molecules per unit cell. The material was further characterized using a novel analytical system employing the combined powers of thermogravimetric analysis, gas chromatography/mass spectrometry, and Fourier transform infrared (FT-IR) spectroscopy to investigate its potential use as a precursor for the chemical vapor deposition (CVD) of thin film materials for photovoltaic applications. Upon heating, the material thermally decomposes to release CS 2 and benzyl moieties in to the gas phase, resulting in bulk In 2 S 3 . Preliminary spray CVD experiments indicate that In(S 2 CNBz 2 ) 3 decomposed on a Cu substrate reacts to produce stoichiometric CuInS 2 films

  19. Synthesis, Characterization and Decomposition Studies of Tris(N,N-dibenzyldithiocarbamato) Indium(III): Chemical Spray Deposition of Polycrystalline CuInS2 on Copper Films

    Science.gov (United States)

    Hehemann, David G.; Lau, J. Eva; Harris, Jerry D.; Hoops, Michael D.; Duffy, Norman V.; Fanwick, Philip E.; Khan, Osman; Jin, Michael H.-C.; Hepp, Aloysius F.

    2005-01-01

    Tris(bis(phenylmethyl)carbamodithioato-S,S ), commonly referred to as tris(N,Ndibenzyldithiocarbamato) indium(III), In(S2CNBz2)3, was synthesized and characterized by single crystal X-ray crystallography. The compound crystallizes in the triclinic space group P1 bar with two molecules per unit cell. The material was further characterized using a novel analytical system employing the combined powers of thermogravimetric analysis, gas chromatography/mass spectrometry and Fourier-Transform infrared spectroscopy to investigate its potential use as a precursor for the chemical vapor deposition (CVD) of thin film materials for photovoltaic applications. Upon heating, the material thermally decomposes to release CS2 and benzyl moieties in to the gas phase, resulting in bulk In2S3. Preliminary spray CVD experiments indicate that In(S2CNBz2)3 decomposed on a Cu substrate reacts to produce stoichiometric CuInS2 films.

  20. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.; Sevilla, Galo T.; Rader, Kelly; Hussain, Muhammad Mustafa

    2013-01-01

    electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace

  1. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  2. Fabrication of polycrystalline silicon thin films on glass substrates using fiber laser crystallization

    Energy Technology Data Exchange (ETDEWEB)

    Dao, Vinh Ai; Han, Kuymin; Heo, Jongkyu; Kyeong, Dohyeon; Kim, Jaehong; Lee, Youngseok; Kim, Yongkuk; Jung, Sungwook; Kim, Kyunghae [Information and Communication Device Laboratory, School of Information and Communication Engineering, Sungkyunkwan University (Korea, Republic of); Yi, Junsin, E-mail: yi@yurim.skku.ac.k [Information and Communication Device Laboratory, School of Information and Communication Engineering, Sungkyunkwan University (Korea, Republic of)

    2009-05-29

    Laser crystallization of amorphous silicon (a-Si), using a fiber laser of {lambda} = 1064 nm wavelength, was investigated. a-Si films with 50 nm thickness deposited on glass were prepared by a plasma enhanced chemical vapor deposition. The infrared fundamental wave ({lambda} = 1064 nm) is not absorbed by amorphous silicon (a-Si) films. Thus, different types of capping layers (a-CeO{sub x}, a-SiN{sub x}, and a-SiO{sub x}) with a desired refractive index, n and thickness, d were deposited on the a-Si surface. Crystallization was a function of laser energy density, and was performed using a fiber laser. The structural properties of the crystallized films were measured via Raman spectra, a scanning electron microscope (SEM), and an atomic force microscope (AFM). The relationship between film transmittance and crystallinity was discussed. As the laser energy density increased from 10-40 W, crystallinity increased from 0-90%. However, the higher laser density adversely affected surface roughness and uniformity of the grain size. We found that favorable crystallization and uniformity could be accomplished at the lower energy density of 30 W with a-SiO{sub x} as the capping layer.

  3. Morphological Evolution of Vertically Standing Molybdenum Disulfide Nanosheets by Chemical Vapor Deposition.

    Science.gov (United States)

    Zhang, Song; Liu, Jiajia; Ruiz, Karla Hernandez; Tu, Rong; Yang, Meijun; Li, Qizhong; Shi, Ji; Li, Haiwen; Zhang, Lianmeng; Goto, Takashi

    2018-04-20

    In this study, we demonstrated the chemical vapor deposition (CVD) of vertically standing molybdenum disulfide (MoS₂) nanosheets, with an unconventional combination of molybdenum hexacarbonyl (Mo(CO)₆) and 1,2-ethanedithiol (C₂H₆S₂) as the novel kind of Mo and S precursors respectively. The effect of the distance between the precursor’s outlet and substrates (denoted as d ) on the growth characteristics of MoS₂, including surface morphology and nanosheet structure, was investigated. Meanwhile, the relationship between the structure characteristics of MoS₂ nanosheets and their catalytic performance for hydrogen evolution reaction (HER) was elucidated. The formation of vertically standing nanosheets was analyzed and verified by means of an extrusion growth model. The crystallinity, average length, and average depth between peak and valley ( R z) of MoS₂ nanosheets differed depending on the spatial location of the substrate. Good crystalized MoS₂ nanosheets grown at d = 5.5 cm with the largest average length of 440 nm, and the highest R z of 162 nm contributed to a better HER performance, with a respective Tafel slope and exchange current density of 138.9 mV/decade, and 22.6 μA/cm² for raw data (127.8 mV/decade and 19.3 μA/cm² for iR-corrected data).

  4. Thermal barrier coatings on gas turbine blades: Chemical vapor deposition (Review)

    Science.gov (United States)

    Igumenov, I. K.; Aksenov, A. N.

    2017-12-01

    Schemes are presented for experimental setups (reactors) developed at leading scientific centers connected with the development of technologies for the deposition of coatings using the CVD method: at the Technical University of Braunschweig (Germany), the French Aerospace Research Center, the Materials Research Institute (Tohoku University, Japan) and the National Laboratory Oak Ridge (USA). Conditions and modes for obtaining the coatings with high operational parameters are considered. It is established that the formed thermal barrier coatings do not fundamentally differ in their properties (columnar microstructure, thermocyclic resistance, thermal conductivity coefficient) from standard electron-beam condensates, but the highest growth rates and the perfection of the crystal structure are achieved in the case of plasma-chemical processes and in reactors with additional laser or induction heating of a workpiece. It is shown that CVD reactors can serve as a basis for the development of rational and more advanced technologies for coating gas turbine blades that are not inferior to standard electron-beam plants in terms of the quality of produced coatings and have a much simpler and cheaper structure. The possibility of developing a new technology based on CVD processes for the formation of thermal barrier coatings with high operational parameters is discussed, including a set of requirements for industrial reactors, high-performance sources of vapor precursors, and promising new materials.

  5. Composition and Morphology Control of Metal Dichalcogenides via Chemical Vapor Deposition for Photovoltaic and Nanoelectronic Applications

    Science.gov (United States)

    Samad, Leith L. J.

    The body of work reviewed here encompasses a variety of metal dichalcogenides all synthesized using chemical vapor deposition (CVD) for solar and electronics applications. The first reported phase-pure CVD synthesis of iron pyrite thin films is presented with detailed structural and electrochemical analysis. The phase-pure thin film and improved crystal growth on a metallic backing material represents one of the best options for potential solar applications using iron pyrite. Large tin-sulfur-selenide solid solution plates with tunable bandgaps were also synthesized via CVD as single-crystals with a thin film geometry. Solid solution tin-sulfur-selenide plates were demonstrated to be a new material for solar cells with the first observed solar conversion efficiencies up to 3.1%. Finally, a low temperature molybdenum disulfide vertical heterostructure CVD synthesis with layered controlled growth was achieved with preferential growth enabled by Van der Waals epitaxy. Through recognition of additional reaction parameters, a fully regulated CVD synthesis enabled the controlled growth of 1-6 molybdenum disulfide monolayers for nanoelectronic applications. The improvements in synthesis and materials presented here were all enabled by the control afforded by CVD such that advances in phase purity, growth, and composition control of several metal dichalcogenides were achieved. Further work will be able to take full advantage of these advances for future solar and electronics technologies.

  6. Preparation and characterisation of radiation hard PbWO4 crystal scintillator

    International Nuclear Information System (INIS)

    Sabharwal, S.C.; Desai, D.G.; Sangeeta; Karandikar, S.C.; Chauhan, A.K.; Sangiri, A.K.; Keshwani, K.S.; Ahuja, M.N.

    1996-01-01

    The selective loss of one of the crystal constituents is found to be responsible for the yellowish coloration of PbWO 4 crystals. However, using the already pulled crystals as the starting charge for the subsequent growth, colorless crystals can be grown. The crystals exhibiting excellent transmission characteristics have been grown employing a low temperature gradient, a moderate rotation rate of 15 rpm and a pull speed of 1 mm/h. The colored crystals show some radiation damage on gamma irradiation, while the colorless ones remain unaffected even for irradiation doses as high as 10 Mrad. Both the types of crystals show the presence of weak thermoluminescence (TL) emission when high irradiation doses (similar 10 Mrad) are given. Only one TL glow peak is obtained in both the cases but the peak temperatures are different. The emission centers responsible for the TL emission are found to be the ones which give rise to the scintillation emission in the crystal. (orig.)

  7. Electro-chemical deposition of nano hydroxyapatite-zinc coating on titanium metal substrate.

    Science.gov (United States)

    El-Wassefy, N A; Reicha, F M; Aref, N S

    2017-08-13

    Titanium is an inert metal that does not induce osteogenesis and has no antibacterial properties; it is proposed that hydroxyapatite coating can enhance its bioactivity, while zinc can contribute to antibacterial properties and improve osseointegration. A nano-sized hydroxyapatite-zinc coating was deposited on commercially pure titanium using an electro-chemical process, in order to increase its surface roughness and enhance adhesion properties. The hydroxyapatite-zinc coating was attained using an electro-chemical deposition in a solution composed of a naturally derived calcium carbonate, di-ammonium hydrogen phosphate, with a pure zinc metal as the anode and titanium as the cathode. The applied voltage was -2.5 for 2 h at a temperature of 85 °C. The resultant coating was characterized for its surface morphology and chemical composition using a scanning electron microscope (SEM), energy dispersive x-ray spectroscope (EDS), and Fourier transform infrared (FT-IR) spectrometer. The coated specimens were also evaluated for their surface roughness and adhesion quality. Hydroxyapatite-zinc coating had shown rosette-shaped, homogenous structure with nano-size distribution, as confirmed by SEM analysis. FT-IR and EDS proved that coatings are composed of hydroxyapatite (HA) and zinc. The surface roughness assessment revealed that the coating procedure had significantly increased average roughness (Ra) than the control, while the adhesive tape test demonstrated a high-quality adhesive coat with no laceration on tape removal. The developed in vitro electro-chemical method can be employed for the deposition of an even thickness of nano HA-Zn adhered coatings on titanium substrate and increases its surface roughness significantly.

  8. Thermodynamics of Binary Mixed Crystals in the Sub-quasi-chemical/Debye Approximation

    Science.gov (United States)

    van der Kemp, W. J. M.; Verdonk, M. L.

    1995-03-01

    A new statistical model for the description of the thermodynamic properties of binary mixed crystals is discussed. The model is based on an asymmetrical analogue of the quasi-chemical approximation and the Debye model of a solid. With two interchange -energy parameters and two interchange-Debye-temperature parameters, all important thermodynamic functions, at constant volume, of the binary mixed crystal can be calculated as a function of temperature and composition. The binary system {( 1 - x)Nai + xKI}(s) is used for illustration of the model.

  9. Combined rock-physical modelling and seismic inversion techniques for characterisation of stacked sandstone reservoir

    NARCIS (Netherlands)

    Justiniano, A.; Jaya, Y.; Diephuis, G.; Veenhof, R.; Pringle, T.

    2015-01-01

    The objective of the study is to characterise the Triassic massive stacked sandstone deposits of the Main Buntsandstein Subgroup at Block Q16 located in the West Netherlands Basin. The characterisation was carried out through combining rock-physics modelling and seismic inversion techniques. The

  10. Chemical characterisation of Piper amalago (Piperaceae) essential oil by comprehensive two-dimensional gas chromatography coupled with rapid-scanning quadrupole mass spectrometry (GC×GC/qMS) and their antilithiasic activity and acute toxicity.

    Science.gov (United States)

    Dos Santos, Anaí L; Novaes, Antônio da Silva; Polidoro, Allan Dos S; de Barros, Márcio Eduardo; Mota, Jonas S; Lima, Daiane B M; Krause, Laiza C; Cardoso, Cláudia A L; Jacques, Rosângela A; Caramão, Elina B

    2018-02-26

    Piper amalago has a distribution from Mexico to Brazil; their aerial parts have been used in folk medicine to treat diuretic and kidney diseases. The purpose of this study was to obtain a deeper understanding of the chemical composition of essential oils (EOs) extracted from both the leaves and stems of P. amalago, compare them, and evaluate their antilithiasic activity and acute toxicity. Extraction was performed by hydrodistillation, whereas chemical characterisation by two-dimensional gas chromatography coupled with rapid-scanning quadrupole mass spectrometry (GC×GC/qMS). The antilithiasic activity was evaluated by the effect of the EOs on calcium oxalate crystallisation in vitro. The turbidity index and the number of crystals formed were determined and used as an estimative of the activity. In the acute toxicity assay, the effects of a single oral dose of the EOs in Wistar rats were determined. General behaviour, adverse effects, and mortality were determined. A total of 322 compounds were identified in the EOs. The sesquiterpenes displayed the highest contribution in leaves EOs among which included bicyclogermacrene and δ-cadinene. Sesquiterpenes and oxygenated sesquiterpenes displayed the highest contribution in EOs from stems, among which included bicyclogermacrene and α-cadinol. The EOs demonstrated an excellent action on the crystals growth inhibition, and the oral dose tested did not induce significant changes in the parameters for acute toxicity. The oils have a high chemical complexity, and there are differences between their compositions, which could explain the observed differences in antilithiasic activity. The findings support the use of this plant in folk medicine to treat kidney diseases. Copyright © 2018 John Wiley & Sons, Ltd.

  11. A chemical library to screen protein and protein-ligand crystallization using a versatile microfluidic platform

    OpenAIRE

    Gerard , Charline ,; Ferry , Gilles; Vuillard , Laurent ,; Boutin , Jean ,; Ferte , Nathalie ,; Grossier , Romain ,; Candoni , Nadine ,; Veesler , Stéphane ,

    2018-01-01

    Here, we describe a plug-and-play microfluidic platform, suitable for protein crystallization. The droplet factory is designed to generate hundreds of droplets as small as a few nanoliters (2 to 10nL) for screening and optimization of crystallization conditions. Commercially-available microfluidic junctions and tubing are combined to create the appropriate geometry. In addition, a " chemical library " is produced in tubing. The microfluidic geometry for a " crystallization agent-based chemica...

  12. Primary and secondary fragmentation of crystal-bearing intermediate magma

    Science.gov (United States)

    Jones, Thomas J.; McNamara, Keri; Eychenne, Julia; Rust, Alison C.; Cashman, Katharine V.; Scheu, Bettina; Edwards, Robyn

    2016-11-01

    Crystal-rich intermediate magmas are subjected to both primary and secondary fragmentation processes, each of which may produce texturally distinct tephra. Of particular interest for volcanic hazards is the extent to which each process contributes ash to volcanic plumes. One way to address this question is by fragmenting pyroclasts under controlled conditions. We fragmented pumice samples from Soufriere Hills Volcano (SHV), Montserrat, by three methods: rapid decompression in a shock tube-like apparatus, impact by a falling piston, and milling in a ball mill. Grain size distributions of the products reveal that all three mechanisms produce fractal breakage patterns, and that the fractal dimension increases from a minimum of 2.1 for decompression fragmentation (primary fragmentation) to a maximum of 2.7 by repeated impact (secondary fragmentation). To assess the details of the fragmentation process, we quantified the shape, texture and components of constituent ash particles. Ash shape analysis shows that the axial ratio increases during milling and that particle convexity increases with repeated impacts. We also quantify the extent to which the matrix is separated from the crystals, which shows that secondary processes efficiently remove adhering matrix from crystals, particularly during milling (abrasion). Furthermore, measurements of crystal size distributions before (using x-ray computed tomography) and after (by componentry of individual grain size classes) decompression-driven fragmentation show not only that crystals influence particular size fractions across the total grain size distribution, but also that free crystals are smaller in the fragmented material than in the original pumice clast. Taken together, our results confirm previous work showing both the control of initial texture on the primary fragmentation process and the contributions of secondary processes to ash formation. Critically, however, our extension of previous analyses to characterisation

  13. On the solid phase crystallization of In{sub 2}O{sub 3}:H transparent conductive oxide films prepared by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Macco, Bart; Verheijen, Marcel A.; Black, Lachlan E.; Melskens, J. [Department of Applied Physics, Eindhoven University of Technology, P.O. Box 513, 5600 MB Eindhoven (Netherlands); Barcones, Beatriz [NanoLab@TU/e, Eindhoven University of Technology, P.O. Box 513, 5600 MB Eindhoven (Netherlands); Kessels, Wilhelmus M. M., E-mail: w.m.m.kessels@tue.nl [Department of Applied Physics, Eindhoven University of Technology, P.O. Box 513, 5600 MB Eindhoven (Netherlands); Solliance Solar Research, High Tech Campus 5, 5656 AE Eindhoven (Netherlands)

    2016-08-28

    Hydrogen-doped indium oxide (In{sub 2}O{sub 3}:H) has emerged as a highly transparent and conductive oxide, finding its application in a multitude of optoelectronic devices. Recently, we have reported on an atomic layer deposition (ALD) process to prepare high quality In{sub 2}O{sub 3}:H. This process consists of ALD of In{sub 2}O{sub 3}:H films at 100 °C, followed by a solid phase crystallization step at 150–200 °C. In this work, we report on a detailed electron microscopy study of this crystallization process which reveals new insights into the crucial aspects for achieving the large grain size and associated excellent properties of the material. The key finding is that the best optoelectronic properties are obtained by preparing the films at the lowest possible temperature prior to post-deposition annealing. Electron microscopy imaging shows that such films are mostly amorphous, but feature a very low density of embedded crystallites. Upon post-deposition annealing, crystallization proceeds merely from isotropic crystal grain growth of these embedded crystallites rather than by the formation of additional crystallites. The relatively high hydrogen content of 4.2 at. % in these films is thought to cause the absence of additional nucleation, thereby rendering the final grain size and optoelectronic properties solely dependent on the density of embedded crystallites. The temperature-dependent grain growth rate has been determined, from which an activation energy of (1.39 ± 0.04) eV has been extracted. Finally, on the basis of the observed crystallization mechanism, a simple model to fully describe the crystallization process has been developed. This model has been validated with a numerical implementation thereof, which accurately predicts the observed temperature-dependent crystallization behaviour.

  14. Characterisation of a LSO scintillation crystal for space applications

    Energy Technology Data Exchange (ETDEWEB)

    Elftmann, Robert; Grunau, Jan; Kulkarni, Shrinivasrao; Martin, Cesar; Wimmer-Schweingruber, Robert F. [IEAP, Christian-Albrechts-Universitaet Kiel (Germany)

    2013-07-01

    Inorganic scintillation crystals coupled with semiconductor detectors are often used in space applications as gamma ray detectors or high energy particle calorimeters. Currently BGO (Bi{sub 4}Ge{sub 3}O{sub 12}) is widely used for this purpose because of its high stopping power, the non hygroscopy and its ruggedness, which is favorable in space applications. Cerium doped LSO (Lu{sub 2}SiO{sub 5}) offers the same benefits with higher light output capabilites and a shorter decay time. In this work a cerium doped LSO scintillation crystal coupled with a photo diode is investigated. The light yield and resolution studies for two different radioactive sources, {sup 207}Bi and {sup 60}Co, are presented. To increase the light collection and consequently the energy resolution, scintillation crystals are wrapped in highly reflective material. The increase in light collection depending on the amount of layers for the LSO crystal along with investigations of quenching effects with alpha particles and the background spectrum, which arises from radioactive cerium isotopes, are also included in this work.

  15. Investigation of CdS/InP heterojunction prepared by chemical bath deposition

    International Nuclear Information System (INIS)

    Rakovics, V.; Horvath, Zs.J.; Horvath, Zs.E.; Barsony, I.; Frigeri, C.; Besagni, T.

    2007-01-01

    CdS thin films have been deposited on InP and glass substrates using the chemical bath deposition technique. Baths containing CdSO 4 , thiourea, and NH 3 were used. The temperature of the deposition process was 65 C and the duration of deposition varied between 20 and 160 minutes. The properties of the CdS/InP heterojunction were investigated by TEM, EDS and X-ray diffraction. TEM pictures, EDS and X-ray rocking curves indicate the formation of a β-In 2 S 3 transition layer at the InP-CdS interface, which may reduce the lattice mismatch between InP and CdS. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. ZnO based nanowires grown by chemical vapour deposition for selective hydrogenation of acetylene alcohols

    NARCIS (Netherlands)

    Protasova, L.N.; Rebrov, E.; Choy, K.L.; Pung, S.Y.; Engels, V.; Cabaj, M.; Wheatley, A.E.H.; Schouten, J.C.

    2011-01-01

    Vertically aligned ZnO nanowires (NWs) with a length of 1.5–10 µm and a mean diameter of ca. 150 nm were grown by chemical vapour deposition onto a c-oriented ZnO seed layer which was deposited by atomic layer deposition on Si substrates. The substrates were then spin-coated with an ethanol solution

  17. Chemical Controls of Ozone Dry Deposition to the Sea Surface Microlayer

    Science.gov (United States)

    Carpenter, L.; Chance, R.; Tinel, L.; Saint, A.; Sherwen, T.; Loades, D.; Evans, M. J.; Boxhall, P.; Hamilton, J.; Stolle, C.; Wurl, O.; Ribas-Ribas, M.; Pereira, R.

    2017-12-01

    Oceanic dry deposition of atmospheric ozone (O3) is both the largest and most uncertain O3 depositional sink, and is widely acknowledged to be controlled largely by chemical reactions in the sea surface microlayer (SML) involving iodide (I-) and dissolved organic material (DOM). These reactions not only determine how quickly O3 can be removed from the atmosphere, but also result in emissions of trace gases including volatile organic compounds and may constitute a source of secondary organic aerosols to the marine atmosphere. Iodide concentrations at the sea surface vary by approximately an order of magnitude spatially, leading to more than fivefold variation in ozone deposition velocities (and volatile iodine fluxes). Sea-surface temperature is a reasonable predictor of [I-], however two recent parameterisations for surface I- differ by a factor of two at low latitudes. The nature and reactivity of marine DOM to O3 is almost completely unknown, although studies have suggested approximately equivalent chemical control of I- and DOM on ozone deposition. Here we present substantial new measurements of oceanic I- in both bulk seawater and the overlying SML, and show improved estimates of the global sea surface iodide distribution. We also present analyses of water-soluble DOM isolated from the SML and bulk seawater, and corresponding laboratory studies of ozone uptake to bulk and SML seawater, with the aim of characterizing the reactivity of O3 towards marine DOM.

  18. Laser diagnostics of a diamond depositing chemical vapour deposition gas-phase environment

    International Nuclear Information System (INIS)

    Smith, James Anthony

    2002-01-01

    Studies have been carried out to understand the gas-phase chemistry underpinning diamond deposition in hot filament and DC-arcjet chemical vapour deposition (CVD) systems. Resonance enhanced Multiphoton lonisation (REMPI) techniques were used to measure the relative H atom and CH 3 radical number densities and local gas temperatures prevalent in a hot filament reactor, operating on Ch 4 /H 2 and C 2 H 2 /H 2 gas mixtures. These results were compared to a 3D-computer simulation, and hence provided an insight into the nature of the gas-phase chemistry with particular reference to C 2 →C 1 species conversion. Similar experimental and theoretical studies were also carried out to explain the chemistry involved in NH 3 /CH 4 /H 2 and N 2 /CH 4 /H 2 gas mixtures. It was demonstrated that the reactive nature of the filament surface was dependent on the addition of NH 3 , influencing atomic hydrogen production, and thus the H/C/N gas-phase chemistry. Studies of the DC-arcjet diamond CVD reactor consisted of optical emission spectroscopic studies of the plume during deposition from an Ar/H 2 /CH 4 /N 2 gas mixture. Spatially resolved species emission intensity maps were obtained for C 2 (d→a), CN(B→X) and H β from Abel-inverted datasets. The C 2 (d→a) and CN(B→X) emission intensity maps both show local maxima near the substrate surface. SEM and Laser Raman analyses indicate that N 2 additions lead to a reduction in film quality and growth rate. Photoluminescence and SIMS analyses of the grown films provide conclusive evidence of nitrogen incorporation (as chemically bonded CN). Absolute column densities of C 2 (a) in a DC-arcjet reactor operating on an Ar/H 2 /CH 4 gas mixture, were measured using Cavity ring down spectroscopy. Simulations of the measured C 2 (v=0) transition revealed a rotational temperature of ∼3300 K. This gas temperature is similar to that deduced from optical emission spectroscopy studies of the C 2 (d→a) transition. (author)

  19. The characterisation of precipitated magnetites

    International Nuclear Information System (INIS)

    Rush, D.F.; Segal, D.L.

    1982-06-01

    Methods are described for the preparation of magnetite by precipitation from aqueous solutions of iron(II) and iron(III) salts. The magnetites have been characterised by transmission electron microscopy, chemical analysis and X-ray diffraction. Transmission Moessbauer spectroscopy has also been used to characterise precipitated magnetites and a comparison of the spectra has been made with those obtained from nickel ferrite and hydrated ferric oxides. The hydrothermal stability of magnetite at 573 K has also been investigated. This work is relevant to corrosion processes that can occur in the water coolant circuits of nuclear reactors. (author)

  20. Monosodium urate crystal deposition associated with the progress of radiographic grade at the sacroiliac joint in axial SpA: a dual-energy CT study.

    Science.gov (United States)

    Zhu, Junqing; Li, Aiwu; Jia, Ertao; Zhou, Yi; Xu, Juan; Chen, Shixian; Huang, Yinger; Xiao, Xiang; Li, Juan

    2017-05-02

    Previous studies have revealed that ankylosing spondylitis (AS), as the progenitor of axial spondyloarthritis (AxSpA), has been characterized by the insidiously progressive nature of sacroiliitis and spondylitis. Dual-energy computed tomography (DECT) has recently been used to analyse the deposition of monosodium urate (MSU) crystals with higher sensitivity and specificity. However, it remains unclear whether the existence of the MSU crystal deposition detected by DECT at the sacroiliac joint in patients with AxSpA also is associated with the existing structural damage. Here, we performed this study to show the DECT MSU crystal deposits in AxSpA patients without coexisting gout and to ascertain the relationship between the MSU crystal deposition and the structural joint damage of sacroiliac joints. One hundred and eighty-six AxSpA patients without coexisting gout were recruited. The plain radiographs of the sacroiliac joint were obtained, along with the DECT scans at the pelvis and the clinical variables. All statistics based on the left or right sacroiliac joint damage grading (0-4) were calculated independently. Bivariate analysis and ordinal logistic regression was performed between the clinical features and radiographic grades at the sacroiliac joint. At the pelvis, large quantities of MSU crystal deposition were found in patients with AxSpA. The average MSU crystal volume at the left sacroiliac joint, the right sacroiliac joint, and the pelvis were 0.902 ± 1.345, 1.074 ± 1.878, and 5.272 ± 9.044 cm 3 , values which were correlated with serum uric acid concentrations (r = 0.727, 0.740, 0.896; p sacroiliac joint damage. Further, the AxSpA duration, BASFI score, and the volume of MSU crystal at both sides of sacroiliac joint were associated with the progress of radiographic grade at the sacroiliac joints in the ordinal logistic models (left AOR = 1.180, 3.800, 1.920; right AOR = 1.190, 3.034, 1.418; p sacroiliac joint is associated

  1. Rapid Thermal Chemical Vapor Deposition for Dual-Gated Sub-100 nm MOSFET's

    National Research Council Canada - National Science Library

    Sturm, James

    2001-01-01

    ... (such as microprocessors and memory chips) is based. This project examines the scaling of MOSFET's to very small channel dimensions using a vertical structure which is defined by Rapid Thermal Chemical Vapor Deposition...

  2. Structural coloration of chitosan-cationized cotton fabric using photonic crystals

    Science.gov (United States)

    Yavuz, G.; Zille, A.; Seventekin, N.; Souto, A. P.

    2017-10-01

    In this work, poly (styrene-methyl methacrylate-acrylic acid) P(St-MMA-AA) composite nanospheres were deposited onto chitosan-cationized woven cotton fabrics followed by a second layer of chitosan. The deposited photonic crystals (PCs) on the fabrics were evaluated for coating efficiency and resistance, chemical analysis and color variation by optical and SEM microscopy, ATR-FTIR, diffuse reflectance spectroscopy and washing fastness. Chitosan deposition on cotton fabric provided cationic groups on the fiber surface promoting electrostatic interaction with photonic crystals. SEM images of the washed samples indicate that the PCs are firmly coated on the cotton surface only in the chitosan treated sample. The photonic nanospheres show an average diameter of 280 nm and display a face-centered cubic closepacking structure with an average thickness of 10 μm. A further chitosan post-treatment enhances color yield of the samples due to the chitosan transparent covering layer that induce bright reflections where the angles of incidence and reflection are the same. After washing, no photonic crystal can be detected on control fabric surface. However, the sample that received a chitosan post-treatment showed a good washing fastness maintaining a reasonable degree of iridescence. Chitosan fills the spaces between the polymer spheres in the matrix stabilizing the photonic structure. Sizeable variations in lattice spacing will allow color variations using more flexible non-close-packed photonic crystal arrays in chitosan hydrogels matrices.

  3. Kinetic Study of the Chemical Vapor Deposition of Tantalum in Long Narrow Channels

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Petrushina, Irina

    2016-01-01

    A kinetic study of the chemical vapor deposition of tantalum in long narrow channels is done to optimize the industrial process for the manufacture of tantalum coated plate heat exchangers. The developed model fits well at temperatures between 750 and 850 °C, and in the pressure range of25–990 mbar....... According to the model, the predominant tantalum growth species is TaCl3. The temperature is shown to have a pronounced effect onthe morphology and rate of deposition of the tantalum and an apparent change in deposition mechanism occurs between 850–900 °C, resulting in the deposition rate at 900 °C being...

  4. Laser Raman microprobe spectroscopy as a diagnostic for the characterisation of diamond and diamond like carbon (DLC) thin films

    International Nuclear Information System (INIS)

    Johnston, C.

    1990-10-01

    Invariably when manufacturing an artificial diamond film a mixture of carbon is deposited - tetragonally bonded (diamond), trigonally bonded (graphite) and other allotropic crystalline forms and amorphous carbons. This imposes a need for careful analysis to determine exactly what carbon types constitute the films. Raman spectroscopy is particularly sensitive to crystal and atomic structure and has a number of advantages which make it one of the most useful techniques for interrogating diamond and DLC thin films. Although Raman spectroscopy alone cannot fully characterise the film, it can give more information than simply what particular form of carbon or other impurities are present in the film. It can be used to determine the ratio of sp 2 to sp 3 bonding within the film, and to some extent the crystallite or domain size and the internal stress of the film. The use of laser Raman microprobe spectroscopy as a diagnostic tool in the analysis of diamond and DLC thin films is demonstrated for a variety of carbon films on various substrates and the characterisation of these films is discussed. (author)

  5. Effect of pH on optic and structural characterization of chemical deposited AgI thin films

    Energy Technology Data Exchange (ETDEWEB)

    Tezel, Fatma Meydaneri [Department of Metallurgy and Materials Engineering, Karabük University (Turkey); Kariper, İshak Afşin [Department of Science Education, Faculty of Education, Erciyes University, Kayseri (Turkey)

    2017-11-15

    AgI thin films were grown on amorphous commercial glass substrates with chemical bath deposition (CBD) at different pH values (2, 3, 4, 5, 6), 6 hours deposition time and 60 °C. The structure of the nanocrystals was characterized by X-ray diffraction (XRD). The ratio of Ag{sup +} and I{sup -} ions changed the crystalline structures. The presence of the Ag{sup +} ions produces the γ-phase of AgI and excess of iodine concentration produces β-phase of AgI. The pH: 4 was like a transition pH for these phases. The number of crystallites per unit area has maximum value at pH: 5, as the structure is re-crystallization to hexagonal phase. Also, the thicknesses of produced thin films were decreased with increased pH values. Therefore, transmission, reflection, extinction coefficients and refractive index of the materials were affected by thicknesses, and calculated to be 32, 35, 3, 11, 9 (%) - 27, 25, 61, 45, 49 (%) - 0.036, 0.032, 0.067, 0.107, 0.075 and 3.21, 3.02, 5.16, 8.35, 5.70 in 550 nm at pH: 2-3-4-5-6 values, respectively. The exciton peaks of AgI were observed at between 320 and 420 nm. Surface properties were investigated by using scanning electron microscopy (SEM). (author)

  6. Chemical and electrical characterisation of the segregation of Al from a CuAl alloy (90%:10% wt) with thermal anneal

    Energy Technology Data Exchange (ETDEWEB)

    Byrne, C., E-mail: conor.byrne2@mail.dcu.ie [School of Physical Sciences, Dublin City University, Dublin 9 (Ireland); Brady, A.; Walsh, L.; McCoy, A.P.; Bogan, J. [School of Physical Sciences, Dublin City University, Dublin 9 (Ireland); McGlynn, E. [School of Physical Sciences, National Centre for Plasma Science and Technology, Dublin City University, Dublin 9 (Ireland); Rajani, K.V. [School of Electronic Engineering, Dublin City University, Dublin 9 (Ireland); Hughes, G. [School of Physical Sciences, Dublin City University, Dublin 9 (Ireland); School of Physical Sciences, National Centre for Plasma Science and Technology, Dublin City University, Dublin 9 (Ireland)

    2016-01-29

    A copper–aluminium (CuAl) alloy (90%:10% wt) has been investigated in relation to segregation of the alloying element Al, from the alloy bulk during vacuum anneal treatments. X-ray photoelectron spectroscopy (XPS) measurements were used to track the surface enrichment of Al segregating from the alloy bulk during in situ ultra-high vacuum anneals. Secondary ion mass spectroscopy (SIMS) indicates a build-up of Al at the surface of the annealed alloy relative to the bulk composition. Metal oxide semiconductor (MOS) CuAl/SiO{sub 2}/Si structures show a shift in flatband voltage upon thermal anneal consistent with the segregation of the Al to the alloy/SiO{sub 2} interface. Electrical four point probe measurements indicate that the segregation of Al from the alloy bulk following thermal annealing results in a decrease in film resistivity. X-ray diffraction data shows evidence for significant changes in crystal structure upon annealing, providing further evidence for expulsion of Al from the alloy bulk. - Highlights: • CuAl alloy (90%:Al 10% wt) deposited and vacuum annealed • XPS and SIMS data show segregation of Al from the alloy bulk. • Chemical changes seen indicate the reduction of Cu oxide and growth of Al Oxide. • Electrical measurements indicate a chemical change at the metal/SiO{sub 2} interface. • All data consistent with Cu diffusion barrier layer formed.

  7. The study and the realization of radiation detectors made from polycrystalline diamond films grown by microwave plasma enhanced chemical vapour deposition technique

    International Nuclear Information System (INIS)

    Jany, Ch.

    1998-01-01

    The aim of this work was to develop radiation detectors made from polycrystalline diamond films grown by microwave plasma enhanced chemical vapour deposition technique. The influence of surface treatments, contact technology and diamond growth parameters on the diamond detectors characteristics was investigated in order to optimise the detector response to alpha particles. The first part of the study focused on the electrical behaviour of as-deposited diamond surface, showing a p type conduction and its influence on the leakage current of the device. A surface preparation process was established in order to reduce the leakage current of the device by surface dehydrogenation using an oxidising step. Several methods to form and treat electrical contacts were also investigated showing that the collection efficiency of the device decreases after contact annealing. In the second part, we reported the influence of the diamond deposition parameters on the characteristics of the detectors. The increase of the deposition temperature and/or methane concentration was shown to lead η to decrease. In contrast, η was found to increase with the micro-wave power. The evolution of the diamond detector characteristics results from the variation in sp 2 phases incorporation and in the crystallography quality of the films. These defects increase the leakage current and reduce the carrier mobility and lifetime. Measurements carried out on detectors with different thicknesses showed that the physical properties varies along the growth direction, improving with the film thickness. Finally, the addition of nitrogen (> 10 ppm) in the gas mixture during diamond deposition was found to strongly reduce the collection efficiency of the detectors. To conclude the study, we fabricated and characterised diamond devices which were used for thermal neutron detection and for the intensity and shape measurement of VUV and soft X-ray pulses. (author)

  8. Chemical composition, crystal size and lattice structural changes after incorporation of strontium into biomimetic apatite.

    Science.gov (United States)

    Li, Z Y; Lam, W M; Yang, C; Xu, B; Ni, G X; Abbah, S A; Cheung, K M C; Luk, K D K; Lu, W W

    2007-03-01

    Recently, strontium (Sr) as ranelate compound has become increasingly popular in the treatment of osteoporosis. However, the lattice structure of bone crystal after Sr incorporation is yet to be extensively reported. In this study, we synthesized strontium-substituted hydroxyapatite (Sr-HA) with different Sr content (0.3%, 1.5% and 15% Sr-HA in mole ratio) to simulate bone crystals incorporated with Sr. The changes in chemical composition and lattice structure of apetite after synthetic incorporation of Sr were evaluated to gain insight into bone crystal changes after incorporation of Sr. X-ray diffraction (XRD) patterns revealed that 0.3% and 1.5% Sr-HA exhibited single phase spectrum, which was similar to that of HA. However, 15% Sr-HA induced the incorporation of HPO4(2-) and more CO3(2-), the crystallinity reduced dramatically. Transmission electron microscopy (TEM) images showed that the crystal length and width of 0.3% and 1.5% Sr-HA increased slightly. Meanwhile, the length and width distribution were broadened and the aspect ratio decreased from 10.68+/-4.00 to 7.28+/-2.80. The crystal size and crystallinity of 15% Sr-HA dropped rapidly, which may suggest that the fundamental crystal structure is changed. The findings from this work indicate that current clinical dosage which usually results in Sr incorporation of below 1.5% may not change chemical composition and lattice structure of bone, while it will broaden the bone crystal size distribution and strengthen the bone.

  9. A predictive model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Trachtenberg, I.; Edgar, T.F. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1994-06-01

    The chemical vapor deposition of polysilicon from thermally activated silane in a cold wall, single-wafer rapid thermal system was studied by experimentation at a variety of low pressure conditions, including very high temperatures. The effect of diluent gas on polysilicon deposition rates was examined using hydrogen, helium, and krypton. A mass-transfer model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system was developed. This model was used to produce an empirical rate expression for silicon deposition from silane by regressing kinetic parameters to fit experimental data. The resulting model provided accurate predictions over widely varying conditions in the experimental data.

  10. Expanding thermal plasma chemical vapour deposition of ZnO:Al layers for CIGS solar cells

    NARCIS (Netherlands)

    Sharma, K.; Williams, B.L.; Mittal, A.; Knoops, H.C.M.; Kniknie, B.J.; Bakker, N.J.; Kessels, W.M.M.; Schropp, R.E.I.; Creatore, M.

    2014-01-01

    Aluminium-doped zinc oxide (ZnO:Al) grown by expanding thermal plasma chemical vapour deposition (ETP-CVD) has demonstrated excellent electrical and optical properties, which make it an attractive candidate as a transparent conductive oxide for photovoltaic applications. However, when depositing

  11. Physical and chemical properties of deposited airborne particulates over the Arabian Red Sea coastal plain

    KAUST Repository

    Engelbrecht, Johann; Stenchikov, Georgiy L.; Prakash, P. Jish; Lersch, Traci; Anisimov, Anatolii; Shevchenko, Illia

    2017-01-01

    ) situated on the Red Sea coastal plain of Saudi Arabia and subjected to the same chemical and mineralogical analysis we conducted on soil samples. Frisbee deposition samplers with foam inserts were used to collect dust and other deposits, for the period

  12. Metalorganic chemical vapor deposition and characterization of ZnO materials

    Science.gov (United States)

    Sun, Shangzu; Tompa, Gary S.; Hoerman, Brent; Look, David C.; Claflin, Bruce B.; Rice, Catherine E.; Masaun, Puneet

    2006-04-01

    Zinc oxide is attracting growing interest for potential applications in electronics, optoelectronics, photonics, and chemical and biochemical sensing, among other applications. We report herein our efforts in the growth and characterization of p- and n-type ZnO materials by metalorganic chemical vapor deposition (MOCVD), focusing on recent nitrogen-doped films grown using diethyl zinc as the zinc precursor and nitric oxide (NO) as the dopant. Characterization results, including resistivity, Hall measurements, photoluminescence, and SIMS, are reported and discussed. Electrical behavior was observed to be dependent on illumination, atmosphere, and heat treatment, especially for p-type material.

  13. Facile synthesis of graphene on single mode fiber via chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhang, C.; Man, B.Y.; Jiang, S.Z.; Yang, C.; Liu, M.; Chen, C.S.; Xu, S.C.; Feng, D.J.; Bi, D.; Liu, F.Y.; Qiu, H.W.

    2014-01-01

    Direct deposition of graphene film on the standard single mode fiber is offered using a Cu-vapor-assisted chemical vapor deposition system. The gas flow of H 2 and Ar before the growth process plays a crucial role for the direct deposition of the graphene film and the layers of the graphene can be controlled by the growth time. With a large gas flow, Cu atoms are carried off with the gas flow and hard to deposit on the surface of the single mode fiber before the growth process. Consequently, uniform graphene film is obtained in this case. On the contrary, with a lower one, Cu atoms is facile to deposit on the surface of the single mode fiber and form nanodots acting as active catalytic sites for the growth of carbon nanotubes. This method presents us a promising transfer-free technique for fabrication of the photonic applications.

  14. Felsic magmatism and uranium deposits

    International Nuclear Information System (INIS)

    Cuney, M.

    2014-01-01

    Uranium strongly incompatible behaviour in silicate magmas results in its concentration in the most felsic melts and a prevalence of granites and rhyolites as primary U sources for the formation of U deposits. Despite its incompatible behaviour, U deposits resulting directly from magmatic processes are quite rare. In most deposits, U is mobilized by hydrothermal fluids or ground water well after the emplacement of the igneous rocks. Of the broad range of granite types, only a few have have U contents and physico-chemical properties that permit the crystallization of accessory minerals from which uranium can be leached for the formation of U deposits. The first granites on Earth which crystallized uraninite appeared at 3.1 Ga, are the potassic granites from the Kaapval craton (South Africa) which were also the source of the detrital uraninite for the Dominion Reef and Witwatersrand quartz pebble conglomerate deposits. Four types of granites or rhyolites can be sufficiently enriched in U to represent a significant source for the genesis of U deposits: peralkaline, high-K metaluminous calc-alkaline, L-type peraluminous ones and anatectic pegmatoids. L-type peraluminous plutonic rocks in which U is dominantly hosted in uraninite or in the glass in their volcanic equivalents represent the best U source. Peralkaline granites or syenites represent the only magmatic U-deposits formed by extreme fractional crystallization. The refractory character of the U-bearing minerals does not permit their extraction at the present economic conditions and make them unfavourable U sources for other deposit types. By contrast, felsic peralkaline volcanic rocks, in which U is dominantly hosted in the glassy matrix, represent an excellent source for many deposit types. High-K calc-alkaline plutonic rocks only represent a significant U source when the U-bearing accessory minerals [U-thorite, allanite, Nb oxides] become metamict. The volcanic rocks of the same geochemistry may be also a

  15. Study of three dimensional germanium islands and ultrathin Si{sub x}Ge{sub 1-x} films grown by chemical vapour deposition on Si(111)-(7 x 7)

    Energy Technology Data Exchange (ETDEWEB)

    Gopalakrishnan, Selvi

    2005-07-15

    This work probed at the atomic level, processes that occur during the Ge three dimensional island formation and on ultrathin Si{sub x}Ge{sub 1-x} epitaxial growth by chemical vapour deposition on the Si(111)-(7 x 7) substrate with the aid of surface probe techniques such as STM and AFM, XPS, as well as TEM imaging of any 3D island formation. This work could essentially be divided into two parts. The first part studied the growth of the strained Ge on Si system with emphasis on the characterisation of the CVD grown three dimensional germanium islands on a standard Si(111)-(7 x 7) substrate as well as on a surface modified Si(111)-(7 x 7) substrate. The characterisation was carried out using a combination of techniques. XPS was used to calculate the effective coverages of deposited germanium, the STM was used to image the top most layers whenever possible and AFM, cross-sectional TEM and HRTEM to image the three dimensional islands. The possible causes of the surface modification were also examined. In the second part of this work the growth morphologies ultrathin Si{sub x}Ge{sub 1-x} layers grown on the Si(111)-(7 x 7) substrate at 750 K where the hydrogen desorption rate from the Si(111) surface is low and at 850 K which was the temperature at which the rate of hydrogen desorption from the Si(111) surface was a maximum were investigated. In addition modelling of ultrathin layer growth was carried out using two existing growth models. (orig.)

  16. Improvement of dielectric properties of BLT thin films deposited by magnetron sputtering

    International Nuclear Information System (INIS)

    Besland, M P; Barroy, P R J; Richard-Plouet, M; Tessier, P Y; Brohan, L; Djouadi, M A; Borderon, C; Tacon, S Le; Averty, D; Gundel, H W

    2008-01-01

    Well crystallized BLT thin films were deposited by RF magnetron sputtering using a target of Aurivillius phase Bi 3.25 La 0.75 Ti 3 O 12 (BLT 0,75 ), elaborated in our institute. RF sputtering experiments were performed at room temperature with an argon/oxygen mixture, in a pressure range of 20-50 mTorr. Optimisation of the plasma parameters, namely deposition pressure, RF power and oxygen content in the gas phase, allows obtaining BLT films with a chemical composition close to Bi 3.25 La 0.75 Ti 3 O 12 . After ex-situ annealing under oxygen atmosphere at 650 deg. C, BLT films deposited on Pt/TiO 2 /SiO 2 /Si (multilayer) substrates exhibit well defined rod-like grains morphology. A two step deposition process appeared to be necessary in order to reach satisfying dielectric properties. The effect of the plasma parameters on the chemical composition and electrical properties are presented and discussed

  17. Synthesis, characterisation and chemical reactivity of some new binuclear dioxouranium(VI) complexes derived from organic diazo compounds (Preprint No. CT-33)

    International Nuclear Information System (INIS)

    Pujar, M.A.; Pirgonde, B.R.

    1988-02-01

    A new series of binuclear dioxouranium(VI) complexes of polydentatate diazo compounds have been synthesised and characterised adequately by analysis, physio-chemical techniques and reactivity of these complexes. The location of bonding site of ligands, stability of complexes and status of U-O bond and probable structures of these complexes have been discussed. (author). 10 refs

  18. High-quality AlN films grown on chemical vapor-deposited graphene films

    Directory of Open Access Journals (Sweden)

    Chen Bin-Hao

    2016-01-01

    Full Text Available We report the growth of high-quality AlN films on graphene. The graphene films were synthesized by CVD and then transferred onto silicon substrates. Epitaxial aluminum nitride films were deposited by DC magnetron sputtering on both graphene as an intermediate layer and silicon as a substrate. The structural characteristics of the AlN films and graphene were investigated. Highly c-axis-oriented AlN crystal structures are investigated based on the XRDpatterns observations.

  19. Thermal barrier coatings of rare earth materials deposited by electron beam-physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Xu Zhenhua [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China); Graduate School of Chinese Academy of Sciences, Beijing 100039 (China); Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); He Limin, E-mail: he_limin@yahoo.co [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); Chen Xiaolong; Zhao Yu [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China); Graduate School of Chinese Academy of Sciences, Beijing 100039 (China); Cao Xueqiang, E-mail: xcao@ciac.jl.c [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China)

    2010-10-15

    Thermal barrier coatings (TBCs) have very important applications in gas turbines for higher thermal efficiency and protection of components at high temperature. TBCs of rare earth materials such as lanthanum zirconate (La{sub 2}Zr{sub 2}O{sub 7}, LZ), lanthanum cerate (La{sub 2}Ce{sub 2}O{sub 7}, LC), lanthanum cerium zirconate (La{sub 2}(Zr{sub 0.7}Ce{sub 0.3}){sub 2}O{sub 7}, LZ7C3) were prepared by electron beam-physical vapor deposition (EB-PVD). The composition, crystal structure, cross-sectional morphology and cyclic oxidation behavior of these coatings were studied. These coatings have partially deviated from their original compositions due to the different evaporation rates of oxides, and the deviation could be reduced by properly controlling the deposition condition. A double ceramic layer-thermal barrier coatings (DCL-TBCs) of LZ7C3 and LC could also be deposited with a single LZ7C3 ingot by properly controlling the deposition energy. LaAlO{sub 3} is formed due to the chemical reaction between LC and Al{sub 2}O{sub 3} in the thermally grown oxide (TGO) layer. The failure of DCL-TBCs is a result of the sintering-induced of LZ7C3 coating and the chemical incompatibility of LC and TGO. Since no single material that has been studied so far satisfies all the requirements for high temperature applications, DCL-TBCs are an important development direction of TBCs.

  20. Characterisation of polymers, 1

    CERN Document Server

    Crompton, Roy

    2008-01-01

    This essential guide to Polymer Characterisation is a complete compendium of methodologies that have evolved for the determination of the chemical composition of polymers. This 478-page book gives an up-to-date and thorough exposition of the state-of-the-art theories and availability of instrumentation needed to effect chemical and physical analysis of polymers. This is supported by approximately 1200 references. Volume 1 covers the methodology used for the determination of metals, non-metals and organic functional groups in polymers, and for the determination of the ratio in which different m

  1. Chemical bath deposited PbS thin films on ZnO nanowires for photovoltaic applications

    Energy Technology Data Exchange (ETDEWEB)

    Gertman, Ronen [Dept of Chemistry, Ben Gurion University of the Negev, Be' er Sheva 84105 (Israel); Ilse Katz Institute for Nanoscale Science and Technology, Ben Gurion University of the Negev, Be' er Sheva 84105 (Israel); Osherov, Anna; Golan, Yuval [Dept of Materials Engineering, Ben Gurion University of the Negev, Be' er Sheva 84105 (Israel); Ilse Katz Institute for Nanoscale Science and Technology, Ben Gurion University of the Negev, Be' er Sheva 84105 (Israel); Visoly-Fisher, Iris, E-mail: irisvf@bgu.ac.il [Ilse Katz Institute for Nanoscale Science and Technology, Ben Gurion University of the Negev, Be' er Sheva 84105 (Israel); Department of Solar Energy and Environmental Physics, Swiss Institute for Dryland Environmental and Energy Research, Jacob Blaustein Institutes for Desert Research, Ben Gurion University of the Negev, Sede Boqer Campus 84990 (Israel)

    2014-01-01

    Photovoltaic devices usually exploit mid-range band-gap semiconductors which absorb in the visible range of the solar spectrum. However, much energy is lost in the IR and near-IR range. We combined the advantages of small band-gap, bulk-like PbS deposited by facile, cheap and direct chemical bath deposition (CBD), with the good electronic properties of ZnO and the large surface area of nanowires, towards low cost photovoltaic devices utilizing IR and near-IR light. Surprisingly, CBD of PbS on ZnO, and particularly on ZnO nanowires, was not studied hitherto. Therefore, the mechanism of PbS growth by chemical bath deposition on ZnO nanowires was studied in details. A visible proof is shown for a growth mechanism starting from amorphous Pb(OH){sub 2} layer, that evolved into the ‘ion-by-ion’ growth mechanism. The growth mechanism and the resulting morphology at low temperatures were controlled by the thiourea concentration. The grain size affected the magnitude of the band-gap and was controlled by the deposition temperatures. Deposition above 40 °C resulted in bulk-like PbS with an optical band-gap of 0.4 eV. Methods were demonstrated for achieving complete PbS coverage of the complex ZnO NW architecture, a crucial requirement in optoelectronic devices to prevent shorts. Measurements of photocurrents under white and near-IR (784 nm) illumination showed that despite a 200 meV barrier for electron transfer at the PbS/ZnO interface, extraction of photo-electrons from PbS to the ZnO was feasible. The ability to harvest electrons from a narrow band-gap semiconductor deposited on a large surface-area electrode can advance the field towards high efficiency, low cost IR and near-IR sensors and third generation solar cells. - Highlights: • PbS was deposited on ZnO nanowires using chemical bath deposition. • At 50 °C the growth mechanism starts from an amorphous Pb(OH){sub 2} layer. • At 5 °C the growth mechanism of PbS can be controlled by thiourea concentrations

  2. Physical properties of chemically deposited Bi{sub 2}S{sub 3} thin films using two post-deposition treatments

    Energy Technology Data Exchange (ETDEWEB)

    Moreno-García, H., E-mail: hamog@ier.unam.mx [Instituto de Ciencias Físicas, Laboratorio de espectroscopia, Universidad Nacional Autónoma de México, Apartado Postal 48-3, C.P. 62210 Cuernavaca, Morelos (Mexico); Messina, S. [Universidad Autónoma de Nayarit, Ciudad de la Cultura “Amado Nervo” S/N, C.P. 63155 Tepic, Nayarit (Mexico); Calixto-Rodriguez, M. [Universidad Tecnológica Emiliano Zapata del Estado de Morelos, Av. Universidad Tecnológica No. 1, C.P. 62760 Emiliano Zapata, Morelos (Mexico); Martínez, H. [Instituto de Ciencias Físicas, Laboratorio de espectroscopia, Universidad Nacional Autónoma de México, Apartado Postal 48-3, C.P. 62210 Cuernavaca, Morelos (Mexico)

    2014-08-30

    Highlights: • The post-deposition treatment by Ar plasma is a viable alternative to enhance the optical, electrical, morphological and structural properties of Bi{sub 2}S{sub 3} semiconductor thin films. • The plasma treatment avoids the loss in thickness of the chemically deposited Bi{sub 2}S{sub 3} thin films. • The E{sub g} values were 1.60 eV for the thermally annealed samples and 1.56 eV for the Ar plasma treated samples. • The highest value obtained for the electrical conductivity was 7.7 × 10{sup −2} (Ω cm){sup −1} in plasma treated samples. - Abstract: As-deposited bismuth sulfide (Bi{sub 2}S{sub 3}) thin films prepared by chemical bath deposition technique were treated with thermal annealed in air atmosphere and argon AC plasma. The as-deposited, thermally annealing and plasma treatment Bi{sub 2}S{sub 3} thin films have been characterized by X-ray diffraction (XRD) analysis, atomic force microscopy analysis (AFM), transmission, specular reflectance and electrical measurements. The structural, morphological, optical and electrical properties of the films are compared. The XRD analysis showed that both post-deposition treatments, transform the thin films from amorphous to a crystalline phase. The atomic force microscopy (AFM) measurement showed a reduction of roughness for the films treated in plasma. The energy band gap value of the as-prepared film was E{sub g} = 1.61 eV, while for the film thermally annealed was E{sub g} = 1.60 eV and E{sub g} = 1.56 eV for film treated with Plasma. The electrical conductivity under illumination of the as-prepared films was 3.6 × 10{sup −5} (Ω cm){sup −1}, whereas the conductivity value for the thermally annealed films was 2.0 × 10{sup −3} (Ω cm){sup −1} and for the plasma treated films the electrical conductivity increases up to 7.7 × 10{sup −2} (Ω cm){sup −1}.

  3. Characterisation of chemical components for identifying historical Chinese textile dyes by ultra high performance liquid chromatography – photodiode array – electrospray ionisation mass spectrometer

    NARCIS (Netherlands)

    Han, J.; Wanrooij, J.; van Bommel, M.; Quye, A.

    2017-01-01

    This research makes the first attempt to apply Ultra High Performance Liquid Chromatography (UHPLC) coupled to both Photodiode Array detection (PDA) and Electrospray Ionisation Mass Spectrometer (ESI–MS) to the chemical characterisation of common textile dyes in ancient China. Three different

  4. Self-assembled GaN nano-column grown on Si(111) substrate using Au+Ga alloy seeding method by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Shim, Byung-Young; Ko, Eun-A; Song, Jae-Chul; Kang, Dong-Hun; Kim, Dong-Wook; Lee, In-Hwan; Kannappan, Santhakumar; Lee, Cheul-Ro

    2007-01-01

    Single-crystal GaN nano-column arrays were grown on Au-coated silicon (111) substrate by Au-Ga alloy seeding method using metalorganic chemical vapor deposition (MOCVD). The nano-column arrays were studied as a function of growth parameters and Au thin film thickness. The diameter and length of the as-grown nano-column vary from 100 to 500 nm and 4 to 6 μm, respectively. The surface morphology and optical properties of the nano-columns were investigated using scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDX), cathodoluminescence (CL) and photoluminescence (PL). The Au+Ga alloy droplets were found to be uniformly distributed on silicon surface. Further, SEM image reveals a vertical growth and cylindrical in shape GaN nano-column. The chemical composition of the nano-column, which composed of gallium and nitrogen ions, was estimated by EDX. CL reveals a strong band edge emission from the GaN nano-column. PL spectra show a peak at 365.7 nm with a full-width half maximum (FWHM) of 65 meV which indicates good optical quality GaN nano-column with low dislocation density. Our results suggest that single crystal GaN nano-column can be grown on Au+Ga alloy on silicon substrate with a low dislocation density for better device performances. (author)

  5. Fabrication of 100 A class, 1 m long coated conductor tapes by metal organic chemical vapor deposition and pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Selvamanickam, V.; Lee, H.G.; Li, Y.; Xiong, X.; Qiao, Y.; Reeves, J.; Xie, Y.; Knoll, A.; Lenseth, K

    2003-10-15

    SuperPower has been scaling up YBa{sub 2}Cu{sub 3}O{sub x}-based second-generation superconducting tapes by techniques such as pulsed laser deposition (PLD) using industrial laser and metal organic chemical vapor deposition (MOCVD). Both techniques offer advantage of high deposition rates, which is important for high throughput. Using highly-polished substrates produced in a reel-to-reel polishing facility and buffer layers deposited in a pilot ion beam assisted deposition facility, meter-long second-generation high temperature superconductor tapes have been produced. 100 A class, meter-long coated conductor tapes have been reproducibly demonstrated in this work by both MOCVD and PLD. The best results to date are 148 A over 1.06 m by MOCVD and 135 A over 1.1 m by PLD using industrial laser.

  6. Deposition Measurements in NSTX

    Science.gov (United States)

    Skinner, C. H.; Kugel, H. W.; Hogan, J. T.; Wampler, W. R.

    2004-11-01

    Two quartz microbalances have been used to record deposition on the National Spherical Torus Experiment. The experimental configuration mimics a typical diagnostic window or mirror. An RS232 link was used to acquire the quartz crystal frequency and the deposited thickness was recorded continuously with 0.01 nm resolution. Nuclear Reaction Analysis of the deposit was consistent with the measurement of the total deposited mass from the change in crystal frequency. We will present measurements of the variation of deposition with plasma conditions. The transport of carbon impurities in NSTX has been modelled with the BBQ code. Preliminary calculations indicated a negligible fraction of carbon generated at the divertor plates in quiescent discharges directly reaches the outer wall, and that transient events are responsible for the deposition.

  7. Optical characterization of single-crystal diamond grown by DC arc plasma jet CVD

    Science.gov (United States)

    Hei, Li-fu; Zhao, Yun; Wei, Jun-jun; Liu, Jin-long; Li, Cheng-ming; Lü, Fan-xiu

    2017-12-01

    Optical centers of single-crystal diamond grown by DC arc plasma jet chemical vapor deposition (CVD) were examined using a low-temperature photoluminescence (PL) technique. The results show that most of the nitrogen-vacancy (NV) complexes are present as NV- centers, although some H2 and H3 centers and B-aggregates are also present in the single-crystal diamond because of nitrogen aggregation resulting from high N2 incorporation and the high mobility of vacancies under growth temperatures of 950-1000°C. Furthermore, emissions of radiation-induced defects were also detected at 389, 467.5, 550, and 588.6 nm in the PL spectra. The reason for the formation of these radiation-induced defects is not clear. Although a Ni-based alloy was used during the diamond growth, Ni-related emissions were not detected in the PL spectra. In addition, the silicon-vacancy (Si-V)-related emission line at 737 nm, which has been observed in the spectra of many previously reported microwave plasma chemical vapor deposition (MPCVD) synthetic diamonds, was absent in the PL spectra of the single-crystal diamond prepared in this work. The high density of NV- centers, along with the absence of Ni-related defects and Si-V centers, makes the single-crystal diamond grown by DC arc plasma jet CVD a promising material for applications in quantum computing.

  8. Studies of CdS/CdTe interface: Comparison of CdS films deposited by close space sublimation and chemical bath deposition techniques

    Energy Technology Data Exchange (ETDEWEB)

    Han, Jun-feng, E-mail: pkuhjf@bit.edu.cn [Institut des Matériaux Jean Rouxel (IMN), Université de Nantes, UMR CNRS 6502, 2 rue de la Houssinière, BP 32229, 44322 Nantes Cedex 3 (France); Institute of Materials Science, Darmstadt University of Technology, Petersenstr. 23, 64287 Darmstadt (Germany); School of Physics, Beijing Institute of Technology, Beijing 100081 (China); Fu, Gan-hua; Krishnakumar, V.; Schimper, Hermann-Josef [Institute of Materials Science, Darmstadt University of Technology, Petersenstr. 23, 64287 Darmstadt (Germany); Liao, Cheng [Department of Physics, Peking University, Beijing 100871 (China); Jaegermann, Wolfram [Institute of Materials Science, Darmstadt University of Technology, Petersenstr. 23, 64287 Darmstadt (Germany); Besland, M.P. [Institut des Matériaux Jean Rouxel (IMN), Université de Nantes, UMR CNRS 6502, 2 rue de la Houssinière, BP 32229, 44322 Nantes Cedex 3 (France)

    2015-05-01

    The CdS layers were deposited by two different methods, close space sublimation (CSS) and chemical bath deposition (CBD) technique. The CdS/CdTe interface properties were investigated by transmission electron microscope (TEM) and X-ray photoelectron spectroscopy (XPS). The TEM images showed a large CSS-CdS grain size in the range of 70-80 nm. The interface between CSS-CdS and CdTe were clear and sharp, indicating an abrupt hetero-junction. On the other hand, CBD-CdS layer had much smaller grain size in the 5-10 nm range. The interface between CBD-CdS and CdTe was not as clear as CSS-CdS. With the stepwise coverage of CdTe layer, the XPS core levels of Cd 3d and S 2p in CSS-CdS had a sudden shift to lower binding energies, while those core levels shifted gradually in CBD-CdS. In addition, XPS depth profile analyses indicated a strong diffusion in the interface between CBD-CdS and CdTe. The solar cells prepared using CSS-CdS yielded better device performance than the CBD-CdS layer. The relationships between the solar cell performances and properties of CdS/CdTe interfaces were discussed. - Highlights: • Studies of CdS deposited by close space sublimation and chemical bath deposition • An observation of CdS/CdTe interface by transmission electron microscope • A careful investigation of CdS/CdTe interface by X ray photoelectron spectra • An easier diffusion at the chemical bath deposition CdS and CdTe interface.

  9. Preparation and structure of porous dielectrics by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Gates, S. M.; Neumayer, D. A.; Sherwood, M. H.; Grill, A.; Wang, X.; Sankarapandian, M.

    2007-01-01

    The preparation of ultralow dielectric constant porous silicon, carbon, oxygen, hydrogen alloy dielectrics, called 'pSiCOH', using a production 200 mm plasma enhanced chemical vapor deposition tool and a thermal treatment is reported here. The effect of deposition temperature on the pSiCOH film is examined using Fourier transform infrared (FTIR) spectroscopy, dielectric constant (k), and film shrinkage measurements. For all deposition temperatures, carbon in the final porous film is shown to be predominantly Si-CH 3 species, and lower k is shown to correlate with increased concentration of Si-CH 3 . NMR and FTIR spectroscopies clearly detect the loss of a removable, unstable, hydrocarbon (CH x ) phase during the thermal treatment. Also detected are increased cross-linking of the Si-O skeleton, and concentration changes for three distinct structures of carbon. In the as deposited films, deposition temperature also affects the hydrocarbon (CH x ) content and the presence of C=O and C=C functional groups

  10. Crystal-Chemical Analysis Martian Minerals in Gale Crater

    Science.gov (United States)

    Morrison, S. M.; Downs, R. T.; Blake, D. F.; Bish, D. L.; Ming, D. W.; Morris, R. V.; Yen, A. S.; Chipera, S. J.; Treiman, A. H.; Vaniman, D. T.; hide

    2015-01-01

    The CheMin instrument on the Mars Science Laboratory rover Curiosity performed X-ray diffraction analyses on scooped soil at Rocknest and on drilled rock fines at Yellowknife Bay (John Klein and Cumberland samples), The Kimberley (Windjana sample), and Pahrump (Confidence Hills sample) in Gale crater, Mars. Samples were analyzed with the Rietveld method to determine the unit-cell parameters and abundance of each observed crystalline phase. Unit-cell parameters were used to estimate compositions of the major crystalline phases using crystal-chemical techniques. These phases include olivine, plagioclase and clinopyroxene minerals. Comparison of the CheMin sample unit-cell parameters with those in the literature provides an estimate of the chemical compositions of the major crystalline phases. Preliminary unit-cell parameters, abundances and compositions of crystalline phases found in Rocknest and Yellowknife Bay samples were reported in. Further instrument calibration, development of 2D-to- 1D pattern conversion corrections, and refinement of corrected data allows presentation of improved compositions for the above samples.

  11. A chemical route to room-temperature synthesis of nanocrystalline TiO2 thin films

    International Nuclear Information System (INIS)

    Pathan, Habib M.; Kim, Woo Young; Jung, Kwang-Deog; Joo, Oh-Shim

    2005-01-01

    A lot of methods are developed for the deposition of TiO 2 thin films; however, in each of these methods as-deposited films are amorphous and need further heat treatment at high temperature. In the present article, a chemical bath deposition (CBD) method was used for the preparation of TiO 2 thin films. We investigated nanocrystalline TiO 2 thin films using CBD at room temperature onto glass and ITO coated glass substrate. The films were characterized by X-ray diffraction (XRD), scanning electron microscopy (SEM), and high-resolution transmission electron microscopy (HRTEM) techniques. The chemically synthesized films were nanocrystalline and composed of crystal grains of 2-3 nm

  12. Effect of Different Catalyst Deposition Technique on Aligned Multiwalled Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Mohamed Shuaib Mohamed Saheed

    2014-01-01

    Full Text Available The paper reported the investigation of the substrate preparation technique involving deposition of iron catalyst by electron beam evaporation and ferrocene vaporization in order to produce vertically aligned multiwalled carbon nanotubes array needed for fabrication of tailored devices. Prior to the growth at 700°C in ethylene, silicon dioxide coated silicon substrate was prepared by depositing alumina followed by iron using two different methods as described earlier. Characterization analysis revealed that aligned multiwalled carbon nanotubes array of 107.9 µm thickness grown by thermal chemical vapor deposition technique can only be achieved for the sample with iron deposited using ferrocene vaporization. The thick layer of partially oxidized iron film can prevent the deactivation of catalyst and thus is able to sustain the growth. It also increases the rate of permeation of the hydrocarbon gas into the catalyst particles and prevents agglomeration at the growth temperature. Combination of alumina-iron layer provides an efficient growth of high density multiwalled carbon nanotubes array with the steady growth rate of 3.6 µm per minute for the first 12 minutes and dropped by half after 40 minutes. Thicker and uniform iron catalyst film obtained from ferrocene vaporization is attributed to the multidirectional deposition of particles in the gaseous form.

  13. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  14. Thermal plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Heberlein, J.; Pfender, E.

    1993-01-01

    Thermal plasmas, with temperatures up to and even exceeding 10 4 K, are capable of producing high density vapor phase precursors for the deposition of relatively thick films. Although this technology is still in its infancy, it will fill the void between the relatively slow deposition processes such as physical vapor deposition and the high rate thermal spray deposition processes. In this chapter, the present state-of-the-art of this field is reviewed with emphasis on the various types of reactors proposed for this emerging technology. Only applications which attracted particular attention, namely diamond and high T c superconducting film deposition, are discussed in greater detail. (orig.)

  15. Impacts of chemical enhancers on skin permeation and deposition of terbinafine.

    Science.gov (United States)

    Erdal, Meryem Sedef; Peköz, Ayca Yıldız; Aksu, Buket; Araman, Ahmet

    2014-08-01

    The addition of chemical enhancers into formulations is the most commonly employed approach to overcome the skin barrier. The objective of this work was to evaluate the effect of vehicle and chemical enhancers on the skin permeation and accumulation of terbinafine, an allylamine antifungal drug. Terbinafine (1% w/w) was formulated as a Carbopol 934 P gel formulation in presence and absence of three chemical enhancers, nerolidol, dl-limonene and urea. Terbinafine distribution and deposition in stratum corneum (SC) and skin following 8-h ex vivo permeation study was determined using a sequential tape stripping procedure. The conformational order of SC lipids was investigated by ATR-FTIR spectroscopy. Nerolidol containing gel formulation produced significantly higher enhancement in terbinafine permeation through skin and its skin accumulation was increased. ATR-FTIR results showed enhancer induced lipid bilayer disruption in SC. Urea resulted in enhanced permeation of terbinafine across the skin and a balanced distribution to the SC was achieved. But, dl-limonene could not minimize the accumulation of terbinafine in the upper SC. Nerolidol dramatically improved the skin permeation and deposition of terbinafine in the skin that might help to optimize targeting of the drug to the epidermal sites as required for both of superficial and deep cutaneous fungal infections.

  16. Solid phase crystallized polycrystalline thin-films on glass from evaporated silicon for photovoltaic applications

    International Nuclear Information System (INIS)

    Song Dengyuan; Inns, Daniel; Straub, Axel; Terry, Mason L.; Campbell, Patrick; Aberle, Armin G.

    2006-01-01

    Polycrystalline silicon (poly-Si) thin-films are made on planar and textured glass substrates by solid phase crystallization (SPC) of in situ doped amorphous silicon (a-Si) deposited by electron-beam evaporation. These materials are referred to by us as EVA materials (SPC of evaporated a-Si). The properties of EVA poly-Si films are characterised by Raman microscopy, transmission electron microscopy, and X-ray diffraction. A narrow and symmetrical Raman peak at a wave number of about 520 cm -1 is observed for all samples, showing that the films are fully crystallized. X-ray diffraction (XRD) reveals that the films are preferentially (111)-oriented. Furthermore, the full width at half maximum of the dominant (111) XRD peaks indicates that the structural quality of the films is affected by the a-Si deposition temperature and the surface morphology of the glass substrates. A-Si deposition at 200 instead of 400 deg. C leads to an enhanced poly-Si grain size. On textured glass, the addition of a SiN barrier layer between the glass and the Si improves the poly-Si material quality. No such effect occurs on planar glass. Mesa-type solar cells are made from these EVA films on planar and textured glass. A strong correlation between the cells' current-voltage characteristics and their crystalline material quality is observed

  17. Role of the buffer solution in the chemical deposition of CdS films for CIGS solar cell applications

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Sooho; Kim, Donguk; Baek, Dohyun; Hong, Byoungyou; Yi, Junsin; Lee, Jaehyeong [Sungkyunkwan University, Suwon (Korea, Republic of); Park, Yongseob [Chosun College of Science and and Technology, Gwangju (Korea, Republic of); Choi, Wonseok [Hanbat National University, Daejeon (Korea, Republic of)

    2014-05-15

    In this work, the effects of NH{sub 4}Ac on the structural and the electro-optical properties of CdS films were investigated. CdS thin films were deposited on soda-lime glass and indium-tin-oxide (ITO) coated glass from a chemical bath containing 0.025 M cadmium acetate, 0 M ∼ 0.2 M ammonium acetate, 0.5 M thiourea, and ammonia. Cadmium acetate was the cadmium source, ammonium acetate served as a buffer, ammonia was the complexing agent, and thiourea was the source of sulfur. A commonly- available chemical bath deposition system was successfully modified to obtain precise control over the pH of the solution at 75 .deg. C during the deposition. Chemically deposited CdS films were studied by using field-emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD), optical transmittance, and electrical resistivity measurements.

  18. Corrosion product characterisation by fibre optic raman spectroscopy

    International Nuclear Information System (INIS)

    Guzonas, D.A.; Rochefort, P.A.; Turner, C.W.

    1998-01-01

    Fibre optic Raman spectroscopy has been used to characterise secondary-side deposits removed from CANDU steam generators. The deposits examined were in the form of powders, millimetre-sized flakes, and deposits on the surfaces of pulled steam generator tubes. The compositions of the deposits obtained using Raman spectroscopy are similar to the compositions obtained using other ex-situ analytical techniques. A semi-quantitative estimate of amounts of the major components can be obtained from the spectra. It was noted that the signal-to-noise ratio of the Raman spectra decreased as the amount of magnetite in the deposit increased, as a result of absorption of the laser light by the magnetite. The conversion of magnetite to hematite by the laser beam was observed when high laser powers were used. The Raman spectra of larger flake samples clearly illustrate the inhomogeneous nature of the deposits. (author)

  19. Chemical bath deposited Mg{sub x}Zn{sub 1−x}S(O) thin films and their photoluminescence properties

    Energy Technology Data Exchange (ETDEWEB)

    Inamdar, Akbar I.; Han, Jaeseok; Jo, Yongcheol; Kim, Jongmin; Pawar, S.M. [Division of Physics and Semiconductor Science, Dongguk University, Seoul 100-715 (Korea, Republic of); Yuldashev, Shavkat U. [Quantum-Functional Semiconductor Research Centre, Dongguk University, Seoul100-715 (Korea, Republic of); Kim, Hyungsang, E-mail: hskim@dongguk.edu [Division of Physics and Semiconductor Science, Dongguk University, Seoul 100-715 (Korea, Republic of); Im, Hyunsik, E-mail: hyunsik7@dongguk.edu [Division of Physics and Semiconductor Science, Dongguk University, Seoul 100-715 (Korea, Republic of)

    2015-12-15

    A zinc sulfide (ZnS) specimen was intentionally doped with transition metal (Mg-donor) elements using a chemical bath deposition (CBD) technique. Both the un-doped and the magnesium (Mg)-doped ZnS samples were confirmed to have hexagonal wurtzite ZnS crystal structure. The XRD patterns showed no characteristic peak for Mg indicating that the Mg{sup 2+} ions had been incorporated into ZnS(O) lattice sites. In contrast to un-doped samples, Mg doping resulted in changes in the morphological features of the spherical clusters which resulted in porous, spongy vermicular structures. The energy band gap of the Mg{sub x}Zn{sub 1−x}S(O) film was slightly larger than that for the ZnS(O) film. A photoluminescence study revealed that the emissions were near violet–blue–green in color. The emission characteristics consist of two components; emission in the near violet and in visible region. That is the first is between 4160 and 4400 Å and the second is at 5190 Å, and these are associated with the donor–acceptor transitions with sulfur vacancies as acceptors and the magnesium related defects (trap states) in the samples respectively. - Highlights: • Mg{sub x}Zn{sub 1−x}S(O) films are grown using a chemical bath deposition (CBD) technique. • Energy band widening and morphological changes are observed after Mg doping. • A PL study revealed that the emissions are near violet–blue–green in color. • The emissions are associated with the sulfur vacancies and Mg trap states.

  20. Electro-Plating and Characterisation of CdTe Thin Films Using CdCl2 as the Cadmium Source

    Directory of Open Access Journals (Sweden)

    Nor A. Abdul-Manaf

    2015-09-01

    Full Text Available Cadmium telluride (CdTe thin films have been successfully prepared from an aqueous electrolyte bath containing cadmium chloride (CdCl2·H2O and tellurium dioxide (TeO2 using an electrodeposition technique. The structural, electrical, morphological and optical properties of these thin films have been characterised using X-ray diffraction (XRD, Raman spectroscopy, optical profilometry, DC current-voltage (I-V measurements, photoelectrochemical (PEC cell measurement, scanning electron microscopy (SEM, atomic force microscopy (AFM and UV-Vis spectrophotometry. It is observed that the best cathodic potential is 698 mV with respect to standard calomel electrode (SCE in a three electrode system. Structural analysis using XRD shows polycrystalline crystal structure in the as-deposited CdTe thin films and the peaks intensity increase after CdCl2 treatment. PEC cell measurements show the possibility of growing p-, i- and n-type CdTe layers by varying the growth potential during electrodeposition. The electrical resistivity of the as-deposited layers are in the order of 104 Ω·cm. SEM and AFM show that the CdCl2 treated samples are more roughness and have larger grain size when compared to CdTe grown by CdSO4 precursor. Results obtained from the optical absorption reveal that the bandgap of as-deposited CdTe (1.48–1.52 eV reduce to (1.45–1.49 eV after CdCl2 treatment. Full characterisation of this material is providing new information on crucial CdCl2 treatment of CdTe thin films due to its built-in CdCl2 treatment during the material growth. The work is progressing to fabricate solar cells with this material and compare with CdTe thin films grown by conventional sulphate precursors.

  1. Characterisation of gaharu hydrosol: Physical, chemical and microbiological properties

    International Nuclear Information System (INIS)

    Nur Humaira Lau Abdullah; Salmah Moosa

    2010-01-01

    Gaharu hydrosol is produced during the hydro distillation of resinous wood part of Aquilaria sp. This aromatic water is being considered as a by-product in the industry. There is interest to turn this aromatic by-product into aroma therapy products. The present study is carried out in order to understand the properties of gaharu hydrosol, physically, chemically and microbiologically. Gaharu hydrosol from two different extraction facilities for example at Kedaik Agar wood Sdn. Bhd. and Malaysian Nuclear Agency were characterised in this study. All the gaharu hydrosol samples displayed acidic nature, with pH in the range of 3.62 - 4.53. Four antioxidant assays were carried out to ascertain the antioxidant capabilities of two gaharu hydrosol samples through the total phenolic content assay, ABTS + radical scavenging activity, DPPH· radical scavenging activity and ferric reducing activity (FRAP). The results revealed that the samples exhibited lower antioxidant capabilities as compared to the positive control. For microbial population study, fungi was not present in the samples as there was no growth observed on the Plate Sabouraud Dextrose Agar (SDA) using membrane filtration technique. The antibacterial activity of the gaharu hydrosol against Staphylococcus aureus and Pseudomonas aeruginosa was determined using agar dilution method and disk diffusion method. The results showed that the gaharu hydrosol did not inhibit the growth of both the bacteria. The results obtained from this study will be further evaluated for the development of new products using this aromatic gaharu by-product. (author)

  2. Laser diagnostics of a diamond depositing chemical vapour deposition gas-phase environment

    Energy Technology Data Exchange (ETDEWEB)

    Smith, James Anthony

    2002-07-01

    Studies have been carried out to understand the gas-phase chemistry underpinning diamond deposition in hot filament and DC-arcjet chemical vapour deposition (CVD) systems. Resonance enhanced Multiphoton lonisation (REMPI) techniques were used to measure the relative H atom and CH{sub 3} radical number densities and local gas temperatures prevalent in a hot filament reactor, operating on Ch{sub 4}/H{sub 2} and C{sub 2}H{sub 2}/H{sub 2} gas mixtures. These results were compared to a 3D-computer simulation, and hence provided an insight into the nature of the gas-phase chemistry with particular reference to C{sub 2}{yields}C{sub 1} species conversion. Similar experimental and theoretical studies were also carried out to explain the chemistry involved in NH{sub 3}/CH{sub 4}/H{sub 2} and N{sub 2}/CH{sub 4}/H{sub 2} gas mixtures. It was demonstrated that the reactive nature of the filament surface was dependent on the addition of NH{sub 3}, influencing atomic hydrogen production, and thus the H/C/N gas-phase chemistry. Studies of the DC-arcjet diamond CVD reactor consisted of optical emission spectroscopic studies of the plume during deposition from an Ar/H{sub 2}/CH{sub 4}/N{sub 2} gas mixture. Spatially resolved species emission intensity maps were obtained for C{sub 2}(d{yields}a), CN(B{yields}X) and H{sub {beta}} from Abel-inverted datasets. The C{sub 2}(d{yields}a) and CN(B{yields}X) emission intensity maps both show local maxima near the substrate surface. SEM and Laser Raman analyses indicate that N{sub 2} additions lead to a reduction in film quality and growth rate. Photoluminescence and SIMS analyses of the grown films provide conclusive evidence of nitrogen incorporation (as chemically bonded CN). Absolute column densities of C{sub 2}(a) in a DC-arcjet reactor operating on an Ar/H{sub 2}/CH{sub 4} gas mixture, were measured using Cavity ring down spectroscopy. Simulations of the measured C{sub 2}(v=0) transition revealed a rotational temperature of {approx

  3. SU-8 Cantilevers for Bio/chemical Sensing; Fabrication, Characterisation and Development of Novel Read-out Methods

    Directory of Open Access Journals (Sweden)

    Anja Boisen

    2008-03-01

    Full Text Available Here, we present the activities within our research group over the last five yearswith cantilevers fabricated in the polymer SU-8. We believe that SU-8 is an interestingpolymer for fabrication of cantilevers for bio/chemical sensing due to its simple processingand low Young’s modulus. We show examples of different integrated read-out methodsand their characterisation. We also show that SU-8 cantilevers have a reduced sensitivity tochanges in the environmental temperature and pH of the buffer solution. Moreover, weshow that the SU-8 cantilever surface can be functionalised directly with receptormolecules for analyte detection, thereby avoiding gold-thiol chemistry.

  4. Chemically vapor-deposited tungsten: its high temperature strength and ductility

    International Nuclear Information System (INIS)

    Bryant, W.A.

    1977-01-01

    The high temperature tensile ductility (as measured by total elongation normal to the growth direction) of chemically vapor-deposited tungsten was found to be significantly greater than previously reported. A correlation was found between ductility and void content. However, voids were found to have essentially no effect on the high temperature strength of this material, which is considerably weaker than powder metallurgy tungsten. (Auth.)

  5. High-temperature stability of chemically vapor-deposited tungsten-silicon couples rapid thermal annealed in ammonia and argon

    International Nuclear Information System (INIS)

    Broadbent, E.K.; Morgan, A.E.; Flanner, J.M.; Coulman, B.; Sadana, D.K.; Burrow, B.J.; Ellwanger, R.C.

    1988-01-01

    A rapid thermal anneal (RTA) in an NH 3 ambient has been found to increase the thermal stability of W films chemically vapor deposited (CVD) on Si. W films deposited onto single-crystal Si by low-pressure CVD were rapid thermal annealed at temperatures between 500 and 1100 0 C in NH 3 and Ar ambients. The reactions were studied using Rutherford backscattering spectrometry, x-ray diffraction, Auger electron spectroscopy, transmission electron microscopy, and four-point resistivity probe. High-temperature (≥1000 0 C) RTA in Ar completely converted W into the low resistivity (31 μΩ cm) tetragonal WSi 2 phase. In contrast, after a prior 900 0 C RTA in NH 3 , N inclusion within the W film and at the W/Si interface almost completely suppressed the W-Si reaction. Detailed examination, however, revealed some patches of WSi 2 formed at the interface accompanied by long tunnels extending into the substrate, and some crystalline precipitates in the substrate close to the interface. The associated interfacial contact resistance was only slightly altered by the 900 0 C NH 3 anneal. The NH 3 -treated W film acted as a diffusion barrier in an Al/W/Si contact metallurgy up to at least 550 0 C, at which point some increase in contact resistance was measured

  6. Cu-Al alloy formation by thermal annealing of Cu/Al multilayer films deposited by cyclic metal organic chemical vapor deposition

    Science.gov (United States)

    Moon, Hock Key; Yoon, Jaehong; Kim, Hyungjun; Lee, Nae-Eung

    2013-05-01

    One of the most important issues in future Cu-based interconnects is to suppress the resistivity increase in the Cu interconnect line while decreasing the line width below 30 nm. For the purpose of mitigating the resistivity increase in the nanoscale Cu line, alloying Cu with traces of other elements is investigated. The formation of a Cu alloy layer using chemical vapor deposition or electroplating has been rarely studied because of the difficulty in forming Cu alloys with elements such as Al. In this work, Cu-Al alloy films were successfully formed after thermal annealing of Cu/Al multilayers deposited by cyclic metal-organic chemical vapor deposition (C-MOCVD). After the C-MOCVD of Cu/Al multilayers without gas phase reaction between the Cu and Al precursors in the reactor, thermal annealing was used to form Cu-Al alloy films with a small Al content fraction. The resistivity of the alloy films was dependent on the Al precursor delivery time and was lower than that of the aluminum-free Cu film. No presence of intermetallic compounds were detected in the alloy films by X-ray diffraction measurements and transmission electron spectroscopy.

  7. New luminescence lines in nanodiamonds obtained by chemical vapor deposition

    Science.gov (United States)

    Golubev, V. G.; Grudinkin, S. A.; Davydov, V. Yu.; Smirnov, A. N.; Feoktistov, N. A.

    2017-12-01

    The spectral characteristics of the photoluminescence lines detected for nanodiamonds obtained by the reactive ion etching of diamond particles in oxygen plasma, deposited by chemical vapor deposition on a silicon substrate, are studied. At room temperature, narrow lines are observed in the visible and infrared spectral regions, with a full width at half-maximum in the range of 1-2 nm at an almost complete absence of a broadband photoluminescence background signal. At decreasing temperature, the lines narrowed to 0.2-0.6 nm at T = 79 K, and the minimum line width was 0.055 nm at T = 10 K. With increasing temperature, the narrow lines shifted to the long-wavelength region of the spectrum, and their intensity decreased.

  8. Characterisation of humic material for inter-laboratory comparison

    International Nuclear Information System (INIS)

    Peachy, D.; Bradley, A.D.; Davis, A.E.; Stuart, M.E.; Tait, B.A.R.; Vickers, B.P.; Williams, G.M.

    1988-01-01

    The characterisation and interlaboratory comparison of common humic materials by members of the European Commission's COCO group (set up to study complexes and colloids), forms part of a study of the effects of natural organic compounds in groundwater on the complexation and mobility of radionuclides. Three samples have been characterised: a sodium salt and a protonated form of the commercially available humic acid from Aldrich Chemicals; and a protonated humic acid from the Gorleben research site in Germany. Characterisation undertaken by BGS includes moisture content, elemental analysis, metal content, functional group analysis, infra-red spectroscopy, ultra-violet absorbance (E 4 /E 6 ratios), and ultra-filtration. (author)

  9. Microelectromechanical Systems (MEMS) Photoacoustic (PA) Detector of Terahertz (THz) Radiation for Chemical Sensing

    Science.gov (United States)

    2014-03-01

    films. The seed layer established the desired orientation and texture for the preferential crystal formation of the PZT . Deposited by chemical...34Stoichiometry and crystal orientation of YAG-PLD derived ferroelectric PZT thin film," Journal of the European Ceramic Society, vol. 24, no. 6, pp...results performed on the lead zirconate titanate ( PZT ) target used in previous attempts at fabricating piezoelectric cantilever. It is shown that the

  10. Rapid synthesis of tantalum oxide dielectric films by microwave microwave-assisted atmospheric chemical vapor deposition

    International Nuclear Information System (INIS)

    Ndiege, Nicholas; Subramanian, Vaidyanathan; Shannon, Mark A.; Masel, Richard I.

    2008-01-01

    Microwave-assisted chemical vapor deposition has been used to generate high quality, high-k dielectric films on silicon at high deposition rates with film thicknesses varying from 50 nm to 110 μm using inexpensive equipment. Characterization of the post deposition products was performed by scanning electron microscopy, X-ray diffraction, X-ray photoelectron spectroscopy, Auger electron spectroscopy and Raman spectroscopy. Film growth was determined to occur via rapid formation and accumulation of tantalum oxide clusters from tantalum (v) ethoxide (Ta(OC 2 H 5 ) 5 ) vapor on the deposition surface

  11. Review of aragonite and calcite crystal morphogenesis in thermal spring systems

    Science.gov (United States)

    Jones, Brian

    2017-06-01

    Aragonite and calcite crystals are the fundamental building blocks of calcareous thermal spring deposits. The diverse array of crystal morphologies found in these deposits, which includes monocrystals, mesocrystals, skeletal crystals, dendrites, and spherulites, are commonly precipitated under far-from-equilibrium conditions. Such crystals form through both abiotic and biotic processes. Many crystals develop through non-classical crystal growth models that involve the arrangement of nanocrystals in a precisely controlled crystallographic register. Calcite crystal morphogenesis has commonly been linked to a ;driving force;, which is a conceptual measure of the distance of the growth conditions from equilibrium conditions. Essentially, this scheme indicates that increasing levels of supersaturation and various other parameters that produce a progressive change from monocrystals and mesocrystals to skeletal crystals to crystallographic and non-crystallographic dendrites, to dumbbells, to spherulites. Despite the vast amount of information available from laboratory experiments and natural spring systems, the precise factors that control the driving force are open to debate. The fact that calcite crystal morphogenesis is still poorly understood is largely a reflection of the complexity of the factors that influence aragonite and calcite precipitation. Available information indicates that variations in calcite crystal morphogenesis can be attributed to physical and chemical parameters of the parent water, the presence of impurities, the addition of organic or inorganic additives to the water, the rate of crystal growth, and/or the presence of microbes and their associated biofilms. The problems in trying to relate crystal morphogenesis to specific environmental parameters arise because it is generally impossible to disentangle the controlling factor(s) from the vast array of potential parameters that may act alone or in unison with each other.

  12. Diagenesis and geochemistry of Australian ochre deposits: a review of methods and prospects for geochemically finger-printing major sources

    Energy Technology Data Exchange (ETDEWEB)

    Smith, M.A. [National Museum of Australia, Canberra, ACT (Australia). People and Environment Section; Fankhauser, B. [Australian National Univ., Canberra, ACT (Australia). Division of Archaeology and Natural History

    1997-12-31

    Full text: The potential to examine prehistoric trade, exchange systems, social boundaries or regional interconnections through characterising the major ethnographic red ochre sources has long been recognised by Australian archaeologists. Recently several teams have made a start in characterising major ochre deposits and in attempting to source ochres recovered in archaeological contexts. Here we review prospects and progress with this line of research. We present case studies of the geochemistry of several major Australian ochre deposits - including Bookartoo, Karrku and Wilgie Mia -looking at their diagenesis, geochemistry and variability and explore the application of various analytical techniques, principally XRD, SEM/EDXA, ICP/MS and stable isotope analysis. We then explore an archaeological application at the Puritjarra shelter in central Australia where ochre sourcing has major implications for understanding prehistoric land use. Our results show that a systematic program of characterising ochre sources and archaeological ochres has great potential in Australia. Major ochre sources often have distinctive chemical fingerprints, particularly if a range of analytical techniques are used in conjunction to characterise ochres. Ochre is frequently found in both late Pleistocene and Holocene contexts, often in sufficient quantity to permit systematic study of temporal changes in prehistoric systems. To fully realize the potential of this research however it will be important to work collaboratively to build up centralized data files of compositional analyses of Australian ochres.

  13. Diagenesis and geochemistry of Australian ochre deposits: a review of methods and prospects for geochemically finger-printing major sources

    International Nuclear Information System (INIS)

    Smith, M.A.; Fankhauser, B.

    1997-01-01

    Full text: The potential to examine prehistoric trade, exchange systems, social boundaries or regional interconnections through characterising the major ethnographic red ochre sources has long been recognised by Australian archaeologists. Recently several teams have made a start in characterising major ochre deposits and in attempting to source ochres recovered in archaeological contexts. Here we review prospects and progress with this line of research. We present case studies of the geochemistry of several major Australian ochre deposits - including Bookartoo, Karrku and Wilgie Mia -looking at their diagenesis, geochemistry and variability and explore the application of various analytical techniques, principally XRD, SEM/EDXA, ICP/MS and stable isotope analysis. We then explore an archaeological application at the Puritjarra shelter in central Australia where ochre sourcing has major implications for understanding prehistoric land use. Our results show that a systematic program of characterising ochre sources and archaeological ochres has great potential in Australia. Major ochre sources often have distinctive chemical fingerprints, particularly if a range of analytical techniques are used in conjunction to characterise ochres. Ochre is frequently found in both late Pleistocene and Holocene contexts, often in sufficient quantity to permit systematic study of temporal changes in prehistoric systems. To fully realize the potential of this research however it will be important to work collaboratively to build up centralized data files of compositional analyses of Australian ochres

  14. The importance of proper crystal-chemical and geometrical reasoning demonstrated using layered single and double hydroxides

    Science.gov (United States)

    Richardson, Ian G.

    2013-01-01

    Atomistic modelling techniques and Rietveld refinement of X-ray powder diffraction data are widely used but often result in crystal structures that are not realistic, presumably because the authors neglect to check the crystal-chemical plausibility of their structure. The purpose of this paper is to reinforce the importance and utility of proper crystal-chemical and geometrical reasoning in structural studies. It is achieved by using such reasoning to generate new yet fundamental information about layered double hydroxides (LDH), a large, much-studied family of compounds. LDH phases are derived from layered single hydroxides by the substitution of a fraction (x) of the divalent cations by trivalent. Equations are derived that enable calculation of x from the a parameter of the unit cell and vice versa, which can be expected to be of widespread utility as a sanity test for extant and future structure determinations and computer simulation studies. The phase at x = 0 is shown to be an α form of divalent metal hydroxide rather than the β polymorph. Crystal-chemically sensible model structures are provided for β-Zn(OH)2 and Ni- and Mg-based carbonate LDH phases that have any trivalent cation and any value of x, including x = 0 [i.e. for α-M(OH)2·mH2O phases]. PMID:23719702

  15. Structural and photoluminescence investigation on the hot-wire assisted plasma enhanced chemical vapor deposition growth silicon nanowires

    International Nuclear Information System (INIS)

    Chong, Su Kong; Goh, Boon Tong; Wong, Yuen-Yee; Nguyen, Hong-Quan; Do, Hien; Ahmad, Ishaq; Aspanut, Zarina; Muhamad, Muhamad Rasat; Dee, Chang Fu; Rahman, Saadah Abdul

    2012-01-01

    High density of silicon nanowires (SiNWs) were synthesized by a hot-wire assisted plasma enhanced chemical vapor deposition technique. The structural and optical properties of the as-grown SiNWs prepared at different rf power of 40 and 80 W were analyzed in this study. The SiNWs prepared at rf power of 40 W exhibited highly crystalline structure with a high crystal volume fraction, X C of ∼82% and are surrounded by a thin layer of SiO x . The NWs show high absorption in the high energy region (E>1.8 eV) and strong photoluminescence at 1.73 to 2.05 eV (red–orange region) with a weak shoulder at 1.65 to 1.73 eV (near IR region). An increase in rf power to 80 W reduced the X C to ∼65% and led to the formation of nanocrystalline Si structures with a crystallite size of <4 nm within the SiNWs. These NWs are covered by a mixture of uncatalyzed amorphous Si layer. The SiNWs prepared at 80 W exhibited a high optical absorption ability above 99% in the broadband range between 220 and ∼1500 nm and red emission between 1.65 and 1.95 eV. The interesting light absorption and photoluminescence properties from both SiNWs are discussed in the text. - Highlights: ► Growth of random oriented silicon nanowires using hot-wire assisted plasma enhanced chemical vapor deposition. ► Increase in rf power reduces the crystallinity of silicon nanowires. ► High density and nanocrystalline structure in silicon nanowires significant enhance the near IR light absorption. ► Oxide defects and silicon nanocrystallites in silicon nanowires reveal photoluminescence in red–orange and red regions.

  16. Growth and characterization of Bi2Se3 crystals by chemical vapor transport

    Directory of Open Access Journals (Sweden)

    W. H. Jiao

    2012-06-01

    Full Text Available Regularly-shaped high-quality Bi2Se3 crystals were grown by a chemical vapor transport using iodine as the transport agent. In addition to exhibiting a characteristic Dirac cone for a topological insulator, the Bi2Se3 crystals show some outstanding properties including additional crystallographic surfaces, large residual resistance ratio (∼10, and high mobility (∼8000 cm2·V−1·s−1. The low-temperature resistivity abnormally increases with applying pressures up to 1.7 GPa, and no superconductivity was observed down to 0.4 K.

  17. Precise control of multiwall carbon nanotube diameters using thermal chemical vapor deposition

    Science.gov (United States)

    Siegal, M. P.; Overmyer, D. L.; Provencio, P. P.

    2002-03-01

    We grow multiwall carbon nanotube (CNT) films using thermal chemical vapor deposition at atmospheric pressure using a mixture of acetylene and nitrogen from a 4-nm-thick Ni film catalyst. CNTs are characterized using electron microscopy and Rutherford backscattering spectrometry. CNTs grown with this method are extremely uniform in diameter, both throughout the sample and within the lengths of individual tubes. Nanotube outer diameters, ranging from 5-350 nm, and the total deposition of carbon material, increase exponentially with growth temperature from 630 °C-790 °C.

  18. Characterisation of nutrients wet deposition under influence of Saharan dust at Puerto-Rico in Caribbean Sea

    Science.gov (United States)

    Desboeufs, Karine; Formenti, Paola; Triquet, Sylvain; Laurent, Benoit; Denjean, Cyrielle; Gutteriez-Moreno, Ian E.; Mayol-Bracero, Olga L.

    2015-04-01

    Large quantities of African dust are carried across the North Atlantic toward the Caribbean every summer by Trade Winds. Atmospheric deposition of dust aerosols, and in particular wet deposition, is widely acknowledged to be the major delivery pathway for nutrients to ocean ecosystems, as iron, phosphorus and various nitrogen species. The deposition of this dustis so known to have an important impact on biogeochemical processes in the Tropical and Western Atlantic Ocean and Caribbean including Puerto-Rico. However, very few data exists on the chemical composition in nutrients in dusty rain in this region. In the framework of the Dust-ATTAcK project, rainwater was collected at the natural reserve of Cape San Juan (CSJ) (18.38°N, 65.62°W) in Puerto-Ricobetween 20 June 2012 and 12 July 2012 during thedusty period. A total of 7 rainwater events were sampled during various dust plumes. Complementary chemical analyses on aerosols in suspension was also determined during the campaign. The results on dust composition showed that no mixing with anthropogenic material was observed, confirming dust aerosols were the major particles incorporated in rain samples. The partitioning between soluble and particulate nutrients in rain samples showed that phosphorous solubility ranged from 30 and 80%. The average Fe solubility was around 0.5%, in agreement with Fe solubility observed in rains collected in Niger during African monsoon. That means that the high solubility measurements previously observed in Caribbean was probably due to an anthropogenic influence. Atmospheric wet deposition fluxes of soluble and total nutrients (N, P, Si, Fe, Co, Cu, Mn, Ni, Zn) to Caribbean Sea were determined. Atmospheric P and N inputs were strongly depleted relative to the stoichiometry of phytoplankton Fe, N, P and Si requirements.The nitrogen speciation was also determined and showed the predominance of ammonium form. 3-D modeling was used to estimate the spatial extend of these fluxes over the

  19. Damage and recovery of skin barrier function after glycolic acid chemical peeling and crystal microdermabrasion.

    Science.gov (United States)

    Song, Ji Youn; Kang, Hyun A; Kim, Mi-Yeon; Park, Young Min; Kim, Hyung Ok

    2004-03-01

    Superficial chemical peeling and microdermabrasion have become increasingly popular methods for producing facial rejuvenation. However, there are few studies reporting the skin barrier function changes after these procedures. To evaluate objectively the degree of damage visually and the time needed for the skin barrier function to recover after glycolic acid peeling and aluminum oxide crystal microdermabrasion using noninvasive bioengineering methods. Superficial chemical peeling using 30%, 50%, and 70% glycolic acid and aluminum oxide crystal microdermabrasion were used on the volar forearm of 13 healthy women. The skin response was measured by a visual observation and using an evaporimeter, corneometer, and colorimeter before and after peeling at set time intervals. Both glycolic acid peeling and aluminum oxide crystal microdermabrasion induced significant damage to the skin barrier function immediately after the procedure, and the degree of damage was less severe after the aluminum oxide crystal microdermabrasion compared with glycolic acid peeling. The damaged skin barrier function had recovered within 24 hours after both procedures. The degree of erythema induction was less severe after the aluminum oxide crystal microdermabrasion compared with the glycolic acid peeling procedure. The degree of erythema induced after the glycolic acid peeling procedure was not proportional to the peeling solution concentration used. The erythema subsided within 1 day after the aluminum oxide crystal microdermabrasion procedure and within 4 days after the glycolic acid peeling procedure. These results suggest that the skin barrier function is damaged after the glycolic acid peeling and aluminum oxide crystal microdermabrasion procedure but recovers within 1 to 4 days. Therefore, repeating the superficial peeling procedure at 2-week intervals will allow sufficient time for the damaged skin to recover its barrier function.

  20. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, H.; Nakanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The experiments were conducted at atmospheric pressure. The weight change of the sample was noted by means of a thermobalance. Molybdenum was used as the substrate. It has been found that the outer layer of the deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB, and in the stational state of the reaction, the diffusion in the solid state is considered not to be rate controlling. When mass transport limitation was absent, the reaction orders with respect to boron trichloride and hydrogen were one third and one half, respectively. By comparing these orders with those obtained from Langmuir-Hinshelwood type equations, the rate controlling mechanism is identified to be the desorption of hydrogen chloride from the substrate

  1. Dispersion properties of photonic crystal fibres

    DEFF Research Database (Denmark)

    Bjarklev, Anders Overgaard; Broeng, Jes; Dridi, Kim

    1998-01-01

    Approximate dispersion and bending properties of all-silica two-dimensional photonic crystal fibres are characterised by the combination of an effective-index model and classical analysis tools for optical fibres. We believe for the first time to have predicted the dispersion properties of photonic...... crystal fibres. The results strongly indicate that these fibres have potential applications as dispersion managing components...

  2. Felsic magmatism and uranium deposits

    International Nuclear Information System (INIS)

    Cuney, Michel

    2014-01-01

    The strongly incompatible behaviour of uranium in silicate magmas results in its concentration in the most felsic melts and a prevalence of granites and rhyolites as primary U sources for the formation of U deposits. Despite its incompatible behavior, U deposits resulting directly from magmatic processes are quite rare. In most deposits, U is mobilized by hydrothermal fluids or ground water well after the emplacement of the igneous rocks. Of the broad range of granite types, only a few have U contents and physico-chemical properties that permit the crystallization of accessory minerals from which uranium can be leached for the formation of U deposits. The first granites on Earth, which crystallized uraninite, dated at 3.1 Ga, are the potassic granites from the Kaapval craton (South Africa) which were also the source of the detrital uraninite for the Dominion Reef and Witwatersrand quartz pebble conglomerate deposits. Four types of granites or rhyolites can be sufficiently enriched in U to represent a significant source for the genesis of U deposits: per-alkaline, high-K met-aluminous calc-alkaline, L-type peraluminous and anatectic pegmatoids. L-type peraluminous plutonic rocks in which U is dominantly hosted in uraninite or in the glass of their volcanic equivalents represent the best U source. Per-alkaline granites or syenites are associated with the only magmatic U-deposits formed by extreme fractional crystallization. The refractory character of the U-bearing minerals does not permit their extraction under the present economic conditions and make them unfavorable U sources for other deposit types. By contrast, felsic per-alkaline volcanic rocks, in which U is dominantly hosted in the glassy matrix, represent an excellent source for many deposit types. High-K calc-alkaline plutonic rocks only represent a significant U source when the U-bearing accessory minerals (U-thorite, allanite, Nb oxides) become metamict. The volcanic rocks of the same geochemistry may be

  3. Limitations of patterning thin films by shadow mask high vacuum chemical vapor deposition

    International Nuclear Information System (INIS)

    Reinke, Michael; Kuzminykh, Yury; Hoffmann, Patrik

    2014-01-01

    A key factor in engineering integrated devices such as electro-optic switches or waveguides is the patterning of high quality crystalline thin films into specific geometries. In this contribution high vacuum chemical vapor deposition (HV-CVD) was employed to grow titanium dioxide (TiO 2 ) patterns onto silicon. The directed nature of precursor transport – which originates from the high vacuum environment during the process – allows shading certain regions on the substrate by shadow masks and thus depositing patterned thin films. While the use of such masks is an emerging field in stencil or shadow mask lithography, their use for structuring thin films within HV-CVD has not been reported so far. The advantage of the employed technique is the precise control of lateral spacing and of the distance between shading mask and substrate surface which is achieved by manufacturing them directly on the substrate. As precursor transport takes place in the molecular flow regime, the precursor impinging rates (and therefore the film growth rates) on the surface can be simulated as function of the reactor and shading mask geometry using a comparatively simple mathematical model. In the current contribution such a mathematical model, which predicts impinging rates on plain or shadow mask structured substrates, is presented. Its validity is confirmed by TiO 2 -deposition on plain silicon substrates (450 °C) using titanium tetra isopropoxide as precursor. Limitations of the patterning process are investigated by the deposition of TiO 2 on structured substrates and subsequent shadow mask lift-off. The geometry of the deposits is according to the mathematical model. Shading effects due to the growing film enables to fabricate deposits with predetermined variations in topography and non-flat top deposits which are complicated to obtain by classical clean room processes. As a result of the enhanced residual pressure of decomposition products and titanium precursors and the

  4. Intracavitary deposits on Essure® hysteroscopic sterilization devices: A case report.

    Science.gov (United States)

    Maassen, L W; van Gastel, D M; Lentjes, E G W M; Bongers, M Y; Veersema, S

    2017-07-01

    To study the composition of intracavitary deposits on Essure® hysteroscopic sterilization devices. Case report. Reproductive Medicine and Gynecology department of a University Hospital. A 39 years old patient presenting with a request for surgical removal of Essure® sterilization devices. Diagnostic hysteroscopy showed a crystal like white deposit attached to one of the devices. Diagnostic hysteroscopy and surgical removal of Essure® devices was performed. The deposits were collected and infrared spectroscopy analysis was performed. Chemical composition of the deposits attached to the device. Infrared spectroscopy of the material showed patterns conclusive with calcite (calcium carbonate, CaCO 3 ). Until now, it is not clear if there is a relationship between reported complaints and formation of calcite deposits on Essure®. Infrared spectroscopy of deposits on Essure® devices showed a pattern conclusive with calcite. The relationship between reported complaints and the formation of calcite deposits on Essure® remains unclear.

  5. Properties of NiO thin films deposited by chemical spray pyrolysis using different precursor solutions

    Energy Technology Data Exchange (ETDEWEB)

    Cattin, L. [Universite de Nantes, Nantes Atlantique Universites, LAMP, EA 3825, Faculte des Sciences et des Techniques, 2 rue de la Houssiniere, BP 92208, Nantes F-44000 (France); Reguig, B.A.; Khelil, A. [Universite d' Oran Es-Senia, LPCM2E (Algeria); Morsli, M. [Universite de Nantes, Nantes Atlantique Universites, LAMP, EA 3825, Faculte des Sciences et des Techniques, 2 rue de la Houssiniere, BP 92208, Nantes F-44000 (France); Benchouk, K. [Universite d' Oran Es-Senia, LPCM2E (Algeria); Bernede, J.C. [Universite de Nantes, Nantes Atlantique Universites, LAMP, EA 3825, Faculte des Sciences et des Techniques, 2 rue de la Houssiniere, BP 92208, Nantes F-44000 (France)], E-mail: Jean-Christian.Bernede@univ-nantes.fr

    2008-07-15

    NiO thin films have been deposited by chemical spray pyrolysis using a perfume atomizer to grow the aerosol. The influence of the precursor, nickel chloride hexahydrate (NiCl{sub 2}.6H{sub 2}O), nickel nitrate hexahydrate (Ni(NO{sub 3}){sub 2}.6H{sub 2}O), nickel hydroxide hexahydrate (Ni(OH){sub 2}.6H{sub 2}O), nickel sulfate tetrahydrate (NiSO{sub 4}.4H{sub 2}O), on the thin films properties has been studied. In the experimental conditions used (substrate temperature 350 deg. C, precursor concentration 0.2-0.3 M, etc.), pure NiO thin films crystallized in the cubic phase can be achieved only with NiCl{sub 2} and Ni(NO{sub 3}){sub 2} precursors. These films have been post-annealed at 425 deg. C for 3 h either in room atmosphere or under vacuum. If all the films are p-type, it is shown that the NiO films conductivity and optical transmittance depend on annealing process. The properties of the NiO thin films annealed under room atmosphere are not significantly modified, which is attributed to the fact that the temperature and the environment of this annealing is not very different from the experimental conditions during spray deposition. The annealing under vacuum is more efficient. This annealing being proceeded in a vacuum no better than 10{sup -2} Pa, it is supposed that the modifications of the NiO thin film properties, mainly the conductivity and optical transmission, are related to some interaction between residual oxygen and the films.

  6. Physical and chemical properties of deposited airborne particulates over the Arabian Red Sea coastal plain

    Science.gov (United States)

    Engelbrecht, Johann P.; Stenchikov, Georgiy; Jish Prakash, P.; Lersch, Traci; Anisimov, Anatolii; Shevchenko, Illia

    2017-09-01

    Mineral dust is the most abundant aerosol, having a profound impact on the global energy budget. This research continues our previous studies performed on surface soils in the Arabian Peninsula, focusing on the mineralogical, physical and chemical composition of dust deposits from the atmosphere at the Arabian Red Sea coast. For this purpose, aerosols deposited from the atmosphere are collected during 2015 at six sites on the campus of the King Abdullah University of Science and Technology (KAUST) situated on the Red Sea coastal plain of Saudi Arabia and subjected to the same chemical and mineralogical analysis we conducted on soil samples. Frisbee deposition samplers with foam inserts were used to collect dust and other deposits, for the period December 2014 to December 2015. The average deposition rate measured at KAUST for this period was 14 g m-2 per month, with lowest values in winter and increased deposition rates in August to October. The particle size distributions provide assessments of particle size fractions in the dust deposits.X-ray diffraction (XRD) analysis of a subset of samples confirms variable amounts of quartz, feldspars, micas, and halite, with lesser amounts of gypsum, calcite, dolomite, hematite, and amphibole. Freeze-dried samples were re-suspended onto the Teflon® filters for elemental analysis by X-ray fluorescence (XRF), while splits from each sample were analyzed for water-soluble cations and anions by ion chromatography. The dust deposits along the Red Sea coast are considered to be a mixture of dust emissions from local soils and soils imported from distal dust sources. Airborne mineral concentrations are greatest at or close to dust sources, compared to those through medium- and long-range transport. It is not possible to identify the exact origin of deposition samples from the mineralogical and chemical results alone. These aerosol data are the first of their kind from the Red Sea region. They will help assess their potential

  7. MEMS Cantilever Sensor for THz Photoacoustic Chemical Sensing and Spectroscopy

    Science.gov (United States)

    2013-12-26

    texture for the preferential crystal formation of the PZT . Deposited by chemical solution deposition (sol-gel), a 1 μm thick PZT film was used as the...Potrepka, G. R. Fox, I. Takeuchi and R. G. Polcawich. "Improving PZT thin film texture through Pt metallization and seed layers," MRS Proceedings (1299... PZT thin film," Journal of the European Ceramic Society 24(6), pp. 993-997, 2004. [73] Q. Wang, X. Du, B. Xu and L. E. Cross. "Theoretical analysis

  8. Pena Blanca uranium deposits and ash-flow tuffs relationship

    International Nuclear Information System (INIS)

    Magonthier, M.

    1987-01-01

    The Pena Blanca uranium deposits (Chihuahua, Mexico) are associated with a Tertiary sequence of ash-flow tuffs. Stratigraphic control is dominant and uranium mineralization occurs in stratiform and fracture-controlled deposits within 44 My-old units: Nopal Rhyolite and Escuadra Rhyolite. These units consist of highly vapor-phase crystallized ash-flow tuffs. They contain sanidine, quartz and granophyric phenocrysts, and minor ferromagnesian silicates. Nopal and Escuadra units are high-silica alkali-rich rhyolites that have a primary potassic character. The trace-element chemistry shows high concentrations in U-Th-Rb-Cs and low contents in Ba-Sr-Eu. These chemical properties imply a genetic relationship between deposits and host-units. The petrochemical study show that the Nopal Rhyolite and Escuadra Rhyolite are the source of U and of hydrothermal solutions [fr

  9. Characterisation of lignite lithotypes from the “Kovin” deposit (Serbia - implications from petrographic, biomarker and isotopic analysis

    Directory of Open Access Journals (Sweden)

    Mitrović Danica

    2017-01-01

    Full Text Available Four lignite lithotypes (matrix coal, xylite-rich coal, mixture of matrix and mineral-rich coal and mixture of matrix and xylite-rich coal, originating from the Kovin deposit, were investigated in detail. The paper was aimed to determine the main maceral, biomarker and isotopic (δ13C characteristics of investigated lithotypes. Based on these results the sources and depositional environment of organic matter in 4 lithotypes were established. These samples were also used as substrates for investigation of the influence of diagenetic alteration on δ13C signatures of biomarkers, as well as for assessment of the most convenient utilization for each lithotype. The investigated lithotypes differ in accordance with the composition of huminite macerals. Xylite-rich coal notably distinguishes from other lithotypes beacuse of the highest content of conifer resins vs. epicuticular waxes. The mixture of matrix and mineral-rich coal is characterised by the greatest contribution of algae and fungi and the most intense methanotrophic activity at the time of deposition. In all coal lithotypes diagenetic aromatisation influenced isotopic composition of individual biomarkers. Xylite-rich coal has the poorest grindability properties. However, this coal lithotype is the most suitable for fluidized bed gasification, whereas the mixture of matrix and mineral-rich coal has the lowest applicability for this process. The calorific value decreases in order: xylite-rich coal > matrix coal > mixture of matrix and xylite-rich coal > mixture of matrix and mineral-rich coal. The increase of organic carbon content and calorific value is controlled by the increase of contribution of wood vegetation vs. herbaceous peat-forming plants, as well as by stability of water table during peatification. [Project of the Ministry of Education, Science and Technological Development of the Republic of Serbia, Grant no. 176006 and Grant no. 451-03-01039/2015-09/05

  10. Half-sandwich cobalt complexes in the metal-organic chemical vapor deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Georgi, Colin [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Hapke, Marko; Thiel, Indre [Leibniz-Institut für Katalyse e.V. an der Universität Rostock (LIKAT), Albert-Einstein-Straße 29a, Rostock 18059 (Germany); Hildebrandt, Alexander [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Waechtler, Thomas; Schulz, Stefan E. [Fraunhofer Institute of Electronic Nano Systems (ENAS), Technologie-Campus 3, Chemnitz 09126 (Germany); Technische Universität Chemnitz, Center for Microtechnologies (ZfM), Chemnitz 09107 (Germany); Lang, Heinrich, E-mail: heinrich.lang@chemie.tu-chemnitz.de [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany)

    2015-03-02

    A series of cobalt half-sandwich complexes of type [Co(η{sup 5}-C{sub 5}H{sub 5})(L)(L′)] (1: L, L′ = 1,5-hexadiene; 2: L = P(OEt){sub 3}, L′ = H{sub 2}C=CHSiMe{sub 3}; 3: L = L′ = P(OEt){sub 3}) has been studied regarding their physical properties such as the vapor pressure, decomposition temperature and applicability within the metal-organic chemical vapor deposition (MOCVD) process, with a focus of the influence of the phosphite ligands. It could be shown that an increasing number of P(OEt){sub 3} ligands increases the vapor pressure and thermal stability of the respective organometallic compound. Complex 3 appeared to be a promising MOCVD precursor with a high vapor pressure and hence was deposited onto Si/SiO{sub 2} (100 nm) substrates. The resulting reflective layer is closed, dense and homogeneous, with a slightly granulated surface morphology. X-ray photoelectron spectroscopy (XPS) studies demonstrated the formation of metallic cobalt, cobalt phosphate, cobalt oxide and cobalt carbide. - Highlights: • Thermal studies and vapor pressure measurements of cobalt half-sandwich complexes was carried out. • Chemical vapor deposition with cobalt half-sandwich complexes is reported. • The use of Co-phosphites results in significant phosphorous-doped metallic layers.

  11. Comparison of the quality of single-crystal diamonds grown on two types of seed substrates by MPCVD

    Science.gov (United States)

    Zhao, Yun; Guo, Yanzhao; Lin, Liangzhen; Zheng, Yuting; Hei, Lifu; Liu, Jinlong; Wei, Junjun; Chen, Liangxian; Li, Chengming

    2018-06-01

    Microwave plasma chemical vapor deposition (MPCVD) was used to grow single-crystal diamonds on two types of single-crystal diamond seed substrates prepared by high-pressure, high-temperature (HPHT) and chemical vapor deposition (CVD) methods. The quality of diamonds grown on the different seed substrates was compared. Fluorescence characteristics showed that the sectors of the HPHT seed substrates were obviously partitioned. Raman and absorption spectra showed that the CVD seed substrate produced higher-quality crystals with fewer nitrogen impurities. X-ray topography showed that the HPHT seed substrate had obvious growth sector boundaries, inclusions, dislocations, and stacking faults. The polarization characteristics of HPHT seed substrate were obvious, and the stress distribution was not uniform. When etching HPHT and CVD seed substrates using the same parameters, the etching morphology and extent of different growth sectors of the two substrates differed. Although extended defects were inevitably formed at the interface and propagated in the CVD layer, the dislocation density of a 1 mm-thick CVD layer grown on a CVD seed substrate was only half that of a 1 mm-thick CVD layer grown on an HPHT seed substrate. Therefore, the use of CVD seed substrate enabled the growth of a relatively higher-quality CVD single-crystal diamond.

  12. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  13. Synthesis of carbon nanotubes using the cobalt nanocatalyst by thermal chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Madani, S.S. [Department of Chemistry, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Zare, K. [Department of Chemistry, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Department of Chemistry, Shahid Beheshti University, Tehran (Iran, Islamic Republic of); Ghoranneviss, M. [Plasma Physics Research Center, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Salar Elahi, A., E-mail: Salari_phy@yahoo.com [Plasma Physics Research Center, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of)

    2015-11-05

    The three main synthesis methods of Carbon nanotubes (CNTs) are the arc discharge, the laser ablation and the chemical vapour deposition (CVD) with a special regard to the latter one. CNTs were produced on a silicon wafer by Thermal Chemical Vapor Deposition (TCVD) using acetylene as a carbon source, cobalt as a catalyst and ammonia as a reactive gas. The DC-sputtering system was used to prepare cobalt thin films on Si substrates. A series of experiments was carried out to investigate the effects of reaction temperature and deposition time on the synthesis of the nanotubes. The deposition time was selected as 15 and 25 min for all growth temperatures. Energy Dispersive X-ray (EDX) measurements were used to investigate the elemental composition of the Co nanocatalyst deposited on Si substrates. Atomic Force Microscopy (AFM) was used to characterize the surface topography of the Co nanocatalyst deposited on Si substrates. The as-grown CNTs were characterized under Field Emission Scanning Electron Microscopy (FESEM) to study the morphological properties of CNTs. Also, the grown CNTs have been investigated by High Resolution Transmission Electron Microscopy (HRTEM) and Raman spectroscopy. The results demonstrated that increasing the temperature leads to increasing the diameter of CNTs. The ideal reaction temperature was 850 °C and the deposition time was 15 min. - Graphical abstract: FESEM images of CNTs grown on the cobalt catalyst at growth temperatures of (a) 850 °C, (b) 900 °C, (c) 950 °C and (d) 1000 °C during the deposition time of 15 min. - Highlights: • Carbon nanotubes (CNTs) were produced on a silicon wafer by TCVD technique. • EDX and AFM were used to investigate the elemental composition and surface topography. • FESEM was used to study the morphological properties of CNTs. • The grown CNTs have been investigated by HRTEM and Raman spectroscopy.

  14. On the Growth and Microstructure of Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Handuja Sangeeta

    2010-01-01

    Full Text Available Abstract Carbon nanotubes (CNTs were deposited on various substrates namely untreated silicon and quartz, Fe-deposited silicon and quartz, HF-treated silicon, silicon nitride-deposited silicon, copper foil, and stainless steel mesh using thermal chemical vapor deposition technique. The optimum parameters for the growth and the microstructure of the synthesized CNTs on these substrates are described. The results show that the growth of CNTs is strongly influenced by the substrate used. Vertically aligned multi-walled CNTs were found on quartz, Fe-deposited silicon and quartz, untreated silicon, and on silicon nitride-deposited silicon substrates. On the other hand, spaghetti-type growth was observed on stainless steel mesh, and no CNT growth was observed on HF-treated silicon and copper. Silicon nitride-deposited silicon substrate proved to be a promising substrate for long vertically aligned CNTs of length 110–130 μm. We present a possible growth mechanism for vertically aligned and spaghetti-type growth of CNTs based on these results.

  15. Ballistic transport in graphene grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Calado, V. E.; Goswami, S.; Xu, Q.; Vandersypen, L. M. K.; Zhu, Shou-En; Janssen, G. C. A. M.; Watanabe, K.; Taniguchi, T.

    2014-01-01

    In this letter, we report the observation of ballistic transport on micron length scales in graphene synthesised by chemical vapour deposition (CVD). Transport measurements were done on Hall bar geometries in a liquid He cryostat. Using non-local measurements, we show that electrons can be ballistically directed by a magnetic field (transverse magnetic focussing) over length scales of ∼1 μm. Comparison with atomic force microscope measurements suggests a correlation between the absence of wrinkles and the presence of ballistic transport in CVD graphene

  16. Ballistic transport in graphene grown by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Calado, V. E.; Goswami, S.; Xu, Q.; Vandersypen, L. M. K., E-mail: l.m.k.vandersypen@tudelft.nl [Kavli Institute of Nanoscience, Delft University of Technology, 2600 GA Delft (Netherlands); Zhu, Shou-En; Janssen, G. C. A. M. [Micro and Nano Engineering Laboratory, Precision and Microsystems Engineering, Delft University of Technology, 2628 CD Delft (Netherlands); Watanabe, K.; Taniguchi, T. [Advanced Materials Laboratory, National Institute for Materials Science, 1-1 Namiki, Tsukuba 305-0044 (Japan)

    2014-01-13

    In this letter, we report the observation of ballistic transport on micron length scales in graphene synthesised by chemical vapour deposition (CVD). Transport measurements were done on Hall bar geometries in a liquid He cryostat. Using non-local measurements, we show that electrons can be ballistically directed by a magnetic field (transverse magnetic focussing) over length scales of ∼1 μm. Comparison with atomic force microscope measurements suggests a correlation between the absence of wrinkles and the presence of ballistic transport in CVD graphene.

  17. Self-catalytic growth of tin oxide nanowires by chemical vapor deposition process

    CSIR Research Space (South Africa)

    Thabethe, BS

    2013-01-01

    Full Text Available The authors report on the synthesis of tin oxide (SnO(sub2)) nanowires by a chemical vapor deposition (CVD) process. Commercially bought SnO nanopowders were vaporized at 1050°C for 30 minutes with argon gas continuously passing through the system...

  18. Dynamics of optical degradation on LiB{sub 3}O{sub 5}-crystal surfaces during SFG

    Energy Technology Data Exchange (ETDEWEB)

    Moeller, Stefan; Andresen, Aenne; Imlau, Mirco [Department of Physics, University of Osnabrueck (Germany)

    2008-07-01

    We have investigated the phenomenon of optical degradation of LiB{sub 3}O{sub 5} single crystal surfaces during sum-frequency generation (SFG) of UV-light ({lambda}=355 nm) by a focused Q-switched Nd:YAG laser (f=20 kHz, {tau}{sub 1064}=10 ns, anti P{sub 1064}=1.5 W). The investigations were performed on timescales >100 h and UV-intensities below the light induced damage threshold of the crystals. The degradations were studied with optical and analytical methods. As a result we found a steady deposition of foreign material on the output crystal surface in the illuminated area. Here, XPS uncovered several foreign elements as Na,S,Si,Ca, C beside B and O depending on the composition of the ambient atmosphere during SFG. The temporal development of the degradation could be observed by measuring the beam profile behind the crystal. The beam divergence increased as a function of the deposition height, which led to a complex intensity profile in the far-field. Further illuminating lead to a catastrophic break-down of the surface and the beam profile. This is due to thermal damage originating from the UV-absorption of the deposited material. Three models for the deposition process are discussed: a) diffusion out of the LiB{sub 3}O{sub 5}-subsurface, b) deposition of atoms of the ambient atmosphere, c) chemical reactions of LiB{sub 3}O{sub 5}, water, and boric acid.

  19. Effect of negative bias on the composition and structure of the tungsten oxide thin films deposited by magnetron sputtering

    Science.gov (United States)

    Wang, Meihan; Lei, Hao; Wen, Jiaxing; Long, Haibo; Sawada, Yutaka; Hoshi, Yoichi; Uchida, Takayuki; Hou, Zhaoxia

    2015-12-01

    Tungsten oxide thin films were deposited at room temperature under different negative bias voltages (Vb, 0 to -500 V) by DC reactive magnetron sputtering, and then the as-deposited films were annealed at 500 °C in air atmosphere. The crystal structure, surface morphology, chemical composition and transmittance of the tungsten oxide thin films were characterized by X-ray diffraction (XRD), field emission scanning electron microscopy (FE-SEM), X-ray photoelectron spectroscopy (XPS) and UV-vis spectrophotometer. The XRD analysis reveals that the tungsten oxide films deposited at different negative bias voltages present a partly crystallized amorphous structure. All the films transfer from amorphous to crystalline (monoclinic + hexagonal) after annealing 3 h at 500 °C. Furthermore, the crystallized tungsten oxide films show different preferred orientation. The morphology of the tungsten oxide films deposited at different negative bias voltages is consisted of fine nanoscale grains. The grains grow up and conjunct with each other after annealing. The tungsten oxide films deposited at higher negative bias voltages after annealing show non-uniform special morphology. Substoichiometric tungsten oxide films were formed as evidenced by XPS spectra of W4f and O1s. As a result, semi-transparent films were obtained in the visible range for all films deposited at different negative bias voltages.

  20. Improvement of dielectric properties of BLT thin films deposited by magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Besland, M P; Barroy, P R J; Richard-Plouet, M; Tessier, P Y; Brohan, L; Djouadi, M A [Universite de Nantes - Institut des Materiaux Jean Rouxel, UMR CNRS 6502, 2, rue de la Houssiniere BP32229, 44322 Nantes Cedex (France); Borderon, C; Tacon, S Le; Averty, D; Gundel, H W [Institut de Recherche en Electrotechnique et Electronique de Nantes Atlantique, UPRES-EA 1770, IREENA, Universite de Nantes, 2, rue de la Houssiniere, BP 92208, 44322 Nantes Cedex (France)], E-mail: Marie-Paule.Besland@cnrs-imn.fr

    2008-01-15

    Well crystallized BLT thin films were deposited by RF magnetron sputtering using a target of Aurivillius phase Bi{sub 3.25}La{sub 0.75}Ti{sub 3}O{sub 12}(BLT{sub 0,75}), elaborated in our institute. RF sputtering experiments were performed at room temperature with an argon/oxygen mixture, in a pressure range of 20-50 mTorr. Optimisation of the plasma parameters, namely deposition pressure, RF power and oxygen content in the gas phase, allows obtaining BLT films with a chemical composition close to Bi{sub 3.25}La{sub 0.75}Ti{sub 3}O{sub 12}. After ex-situ annealing under oxygen atmosphere at 650 deg. C, BLT films deposited on Pt/TiO{sub 2}/SiO{sub 2}/Si (multilayer) substrates exhibit well defined rod-like grains morphology. A two step deposition process appeared to be necessary in order to reach satisfying dielectric properties. The effect of the plasma parameters on the chemical composition and electrical properties are presented and discussed.