WorldWideScience

Sample records for deposition pecvd technique

  1. Effect of post-deposition implantation and annealing on the properties of PECVD deposited silicon nitride films

    International Nuclear Information System (INIS)

    Shams, Q.A.

    1988-01-01

    Recently it has been shown that memory-quality silicon nitride can be deposited using plasma enhanced chemical vapor deposition (PECVD). Nitrogen implantation and post-deposition annealing resulted in improved memory properties of MNOS devices. The primary objective of the work described here is the continuation of the above work. Silicon nitride films were deposited using argon as the carrier gas and evaluated in terms of memory performance as the charge-trapping layer in the metal-nitride-oxide-silicon (MNOS) capacitor structure. The bonding structure of PECVD silicon nitride was modified by annealing in different ambients at temperatures higher than the deposition temperature. Post-deposition ion implantation was used to introduce argon into the films in an attempt to influence the transfer, trapping, and emission of charge during write/erase exercising of the MNOS devices. Results show that the memory performance of PECVD silicon nitride is sensitive to the deposition parameters and post-deposition processing

  2. GE NANOCLUSTERS IN PLANAR GLASS WAVEGUIDES DEPOSITED BY PECVD

    DEFF Research Database (Denmark)

    Haiyan, Ou; Olsen, Johnny H.; Rottwitt, Karsten

    2004-01-01

    Germanium (Ge) has been widely used as the dopant in the core layer of planar glass waveguides to increase the refractive index because it gives a small propagation loss. Plasma enhanced chemical vapour deposition (PECVD) and flame hydrolysis deposition (FHD) are two main material deposition meth...

  3. Nanocomposite metal amorphous-carbon thin films deposited by hybrid PVD and PECVD technique.

    Science.gov (United States)

    Teixeira, V; Soares, P; Martins, A J; Carneiro, J; Cerqueira, F

    2009-07-01

    Carbon based films can combine the properties of solid lubricating graphite structure and hard diamond crystal structure, i.e., high hardness, chemical inertness, high thermal conductivity and optical transparency without the crystalline structure of diamond. Issues of fundamental importance associated with nanocarbon coatings are reducing stress, improving adhesion and compatibility with substrates. In this work new nanocomposite coatings with improved toughness based in nanocrystalline phases of metals and ceramics embedded in amorphous carbon matrix are being developed within the frame of a research project: nc-MeNxCy/a-C(Me) with Me = Mo, Si, Al, Ti, etc. Carbide forming metal/carbon (Me/C) composite films with Me = Mo, W or Ti possess appropriate properties to overcome the limitation of pure DLC films. These novel coating architectures will be adopted with the objective to decrease residual stress, improve adherence and fracture toughness, obtain low friction coefficient and high wear-resistance. Nanocomposite DLC's films were deposited by hybrid technique using a PVD-Physically Vapor Deposition (magnetron sputtering) and Plasma Enhanced Chemical Vapor Deposition (PECVD), by the use of CH4 gas. The parameters varied were: deposition time, substrate temperature (180 degrees C) and dopant (Si + Mo) of the amorphous carbon matrix. All the depositions were made on silicon wafers and steel substrates precoated with a silicon inter-layer. The characterisation of the film's physico-mechanical properties will be presented in order to understand the influence of the deposition parameters and metal content used within the a-C matrix in the thin film properties. Film microstructure and film hybridization state was characterized by Raman Spectroscopy. In order to characterize morphology SEM and AFM will be used. Film composition was measured by Energy-Dispersive X-ray analysis (EDS) and by X-ray photoelectron spectroscopy (XPS). The contact angle for the produced DLC's on

  4. PECVD deposition of device-quality intrinsic amorphous silicon at high growth rate

    Energy Technology Data Exchange (ETDEWEB)

    Carabe, J [Inst. de Energias Renovables, CIEMAT, Madrid (Spain); Gandia, J J [Inst. de Energias Renovables, CIEMAT, Madrid (Spain); Gutierrez, M T [Inst. de Energias Renovables, CIEMAT, Madrid (Spain)

    1993-11-01

    The combined influence of RF-power density (RFP) and silane flow-rate ([Phi]) on the deposition rate of plasma-enhanced chemical vapour deposition (PECVD) intrinsic amorphous silicon has been investigated. The correlation of the results obtained from the characterisation of the material with the silane deposition efficiency, as deduced from mass spectrometry, has led to an interpretation allowing to deposit intrinsic amorphous-silicon films having an optical gap of 1.87 eV and a photoconductive ratio (ratio of ambient-temperature conductivities under 1 sun AM1 and in dark) of 6 orders of magnitude at growth rates up to 10 A/s, without any structural modification of the PECVD system used. Such results are considered of high relevance regarding industrial competitiveness. (orig.)

  5. Plasma-Enhanced Chemical Vapor Deposition (PE-CVD) yields better Hydrolytical Stability of Biocompatible SiOx Thin Films on Implant Alumina Ceramics compared to Rapid Thermal Evaporation Physical Vapor Deposition (PVD).

    Science.gov (United States)

    Böke, Frederik; Giner, Ignacio; Keller, Adrian; Grundmeier, Guido; Fischer, Horst

    2016-07-20

    Densely sintered aluminum oxide (α-Al2O3) is chemically and biologically inert. To improve the interaction with biomolecules and cells, its surface has to be modified prior to use in biomedical applications. In this study, we compared two deposition techniques for adhesion promoting SiOx films to facilitate the coupling of stable organosilane monolayers on monolithic α-alumina; physical vapor deposition (PVD) by thermal evaporation and plasma enhanced chemical vapor deposition (PE-CVD). We also investigated the influence of etching on the formation of silanol surface groups using hydrogen peroxide and sulfuric acid solutions. The film characteristics, that is, surface morphology and surface chemistry, as well as the film stability and its adhesion properties under accelerated aging conditions were characterized by means of X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDX), scanning electron microscopy (SEM), inductively coupled plasma-optical emission spectroscopy (ICP-OES), and tensile strength tests. Differences in surface functionalization were investigated via two model organosilanes as well as the cell-cytotoxicity and viability on murine fibroblasts and human mesenchymal stromal cells (hMSC). We found that both SiOx interfaces did not affect the cell viability of both cell types. No significant differences between both films with regard to their interfacial tensile strength were detected, although failure mode analyses revealed a higher interfacial stability of the PE-CVD films compared to the PVD films. Twenty-eight day exposure to simulated body fluid (SBF) at 37 °C revealed a partial delamination of the thermally deposited PVD films whereas the PE-CVD films stayed largely intact. SiOx layers deposited by both PVD and PE-CVD may thus serve as viable adhesion-promoters for subsequent organosilane coupling agent binding to α-alumina. However, PE-CVD appears to be favorable for long-term direct film exposure to aqueous

  6. One-step microwave plasma enhanced chemical vapor deposition (MW-PECVD) for transparent superhydrophobic surface

    Science.gov (United States)

    Thongrom, Sukrit; Tirawanichakul, Yutthana; Munsit, Nantakan; Deangngam, Chalongrat

    2018-02-01

    We demonstrate a rapid and environmental friendly fabrication technique to produce optically clear superhydrophobic surfaces using poly (dimethylsiloxane) (PDMS) as a sole coating material. The inert PDMS chain is transformed into a 3-D irregular solid network through microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. Thanks to high electron density in the microwave-activated plasma, coating can be done in just a single step with rapid deposition rate, typically much shorter than 10 s. Deposited layers show excellent superhydrophobic properties with water contact angles of ∼170° and roll-off angles as small as ∼3°. The plasma-deposited films can be ultrathin with thicknesses under 400 nm, greatly diminishing the optical loss. Moreover, with appropriate coating conditions, the coating layer can even enhance the transmission over the entire visible spectrum due to a partial anti-reflection effect.

  7. Amorphous gallium oxide grown by low-temperature PECVD

    KAUST Repository

    Kobayashi, Eiji

    2018-03-02

    Owing to the wide application of metal oxides in energy conversion devices, the fabrication of these oxides using conventional, damage-free, and upscalable techniques is of critical importance in the optoelectronics community. Here, the authors demonstrate the growth of hydrogenated amorphous gallium oxide (a-GaO:H) thin-films by plasma-enhanced chemical vapor deposition (PECVD) at temperatures below 200 °C. In this way, conformal films are deposited at high deposition rates, achieving high broadband transparency, wide band gap (3.5-4 eV), and low refractive index (1.6 at 500 nm). The authors link this low refractive index to the presence of nanoscale voids enclosing H, as indicated by electron energy-loss spectroscopy. This work opens the path for further metal-oxide developments by low-temperature, scalable and damage-free PECVD processes.

  8. Optical and electronic properties of HWCVD and PECVD silicon films irradiated using excimer and Nd:Yag lasers

    International Nuclear Information System (INIS)

    Shaikh, M.Z.; O'Neill, K.A.; Anthony, S.; Persheyev, S.K.; Rose, M.J.

    2006-01-01

    Thin silicon film samples were deposited using HWCVD and PECVD techniques to study the influence of laser annealing on their optical and electronic properties. Samples were annealed in air using a XeCl excimer and Nd:Yag lasers. Excimer laser annealing (ELA) at 50 to 222 mJ/cm 2 increased conductivity in PECVD films by 2 to 3 orders of magnitude and in HWCVD films by 1 to 2 orders of magnitude. ELA was also seen to decrease the optical gap in PECVD films by 0.5 eV and HWCVD films by 0.15 eV. Silicon-oxygen bond content was higher in as-deposited HWCVD films than PECVD films. Hydrogen content (at.%) in PECVD films was higher than HWCVD for higher H dilution ratios. A Nd:Yag laser 3-beam interference pattern was used to produce a periodic array of crystals in both PECVD and HWCVD films

  9. Ge nanoclusters in PECVD-deposited glass caused only by heat treatment

    DEFF Research Database (Denmark)

    Ou, Haiyan; Rørdam, Troels Peter; Rottwitt, Karsten

    2008-01-01

    This paper reports the formation of Ge nanoclusters in a multi-layer structure consisting of alternating thin films of Ge-doped silica glass and SiGe, deposited by plasma-enhanced chemical vapor deposition (PECVD) and post annealed at 1100 °C in N2 atmosphere. We studied the annealed samples...... embedded with Ge nanoclusters after annealing. These nanoclusters are crystalline and varied in size. There were no clusters in the Ge-doped glass layer. Raman spectra verified the existence of crystalline Ge clusters. The positional shift of the Ge vibrational peak with the change of the focus depth...

  10. Microstructure Related Characterization of a-Si:H Thin Films PECVD Deposited under Varied Hydrogen Dilution

    Directory of Open Access Journals (Sweden)

    Veronika Vavrunkova

    2007-01-01

    Full Text Available We report on the structure and optical properties of hydrogenated silicon thin films deposited by plasma - enhanced chemical vapor deposition (PECVD from silane diluted with hydrogen in a wide dilution range. The samples deposited with dilutions below 30 were detected as amorphous hydrogenated silicon (a-Si:H with crystalline grains of several nanometers in size which represent the medium-range order of a-Si:H. The optical characterization confirmed increasing ordering with the increasing dilution. The optical band gap was observed to be increasing function of the dilution.

  11. Amorphous gallium oxide grown by low-temperature PECVD

    KAUST Repository

    Kobayashi, Eiji; Boccard, Mathieu; Jeangros, Quentin; Rodkey, Nathan; Vresilovic, Daniel; Hessler-Wyser, Aï cha; Dö beli, Max; Franta, Daniel; De Wolf, Stefaan; Morales-Masis, Monica; Ballif, Christophe

    2018-01-01

    demonstrate the growth of hydrogenated amorphous gallium oxide (a-GaO:H) thin-films by plasma-enhanced chemical vapor deposition (PECVD) at temperatures below 200 °C. In this way, conformal films are deposited at high deposition rates, achieving high broadband

  12. Controlling the quality of nanocrystalline silicon made by hot-wire chemical vapor deposition by using a reverse H2 profiling technique

    NARCIS (Netherlands)

    Li, H. B. T.; Franken, R.H.; Stolk, R.L.; van der Werf, C.H.M.; Rath, J.K.; Schropp, R.E.I.

    2008-01-01

    Hydrogen profiling, i.e., decreasing the H2 dilution during deposition, is a well-known technique to maintain a proper crystalline ratio of the nanocrystalline (nc-Si:H) absorber layers of plasma-enhanced chemical vapor-deposited (PECVD) thin film solar cells. With this technique a large increase in

  13. Physical properties of P and B doped microcrystalline SI:H deposited by PECVD

    International Nuclear Information System (INIS)

    Rubino, A.; Addonizio, M.L.; Conte, G.; Nobile, G.; Terzini, E.

    1993-01-01

    Boron and phosphorus doped high conductivity microcrystalline thin films were deposited in a PECVD reactor. This paper reports conductivities as high as 3 and 41 S/cm for B and P doped materials respectively on films deposited at 210 degrees C. The conductivity, as well as, the microcrystalline fraction increase for the n layer with decreasing RF power, while, for the p material, an increase of power is needed to improve the film characteristics. The conductivity prefactor, as well as, the conductivity itself as a function of the activation energy show a slope inversion for both n and p materials at an activation energy of about 40 meV and 80 meV respectively. Different possible transport mechanisms are examined in order to explain the experimental data

  14. Plasma monitoring and PECVD process control in thin film silicon-based solar cell manufacturing

    Directory of Open Access Journals (Sweden)

    Gabriel Onno

    2014-02-01

    Full Text Available A key process in thin film silicon-based solar cell manufacturing is plasma enhanced chemical vapor deposition (PECVD of the active layers. The deposition process can be monitored in situ by plasma diagnostics. Three types of complementary diagnostics, namely optical emission spectroscopy, mass spectrometry and non-linear extended electron dynamics are applied to an industrial-type PECVD reactor. We investigated the influence of substrate and chamber wall temperature and chamber history on the PECVD process. The impact of chamber wall conditioning on the solar cell performance is demonstrated.

  15. Correlation between structure and optical properties of Si-based alloys deposited by PECVD

    Energy Technology Data Exchange (ETDEWEB)

    Giangregorio, M.M. [Institute of Inorganic Methodologies and of Plasmas IMIP-CNR and INSTM-UdR Bari via Orabona, 4-70126 Bari (Italy)]. E-mail: michelaria@hotmail.com; Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas IMIP-CNR and INSTM-UdR Bari via Orabona, 4-70126 Bari (Italy); Sacchetti, A. [Institute of Inorganic Methodologies and of Plasmas IMIP-CNR and INSTM-UdR Bari via Orabona, 4-70126 Bari (Italy); Capezzuto, P. [Institute of Inorganic Methodologies and of Plasmas IMIP-CNR and INSTM-UdR Bari via Orabona, 4-70126 Bari (Italy); Bruno, G. [Institute of Inorganic Methodologies and of Plasmas IMIP-CNR and INSTM-UdR Bari via Orabona, 4-70126 Bari (Italy)

    2006-07-26

    Si-based thin films, including {mu}c-Si, Si{sub 1-x}Ge {sub x} and Si{sub 1-x}C {sub x} alloys, have been deposited by plasma enhanced chemical vapor deposition (PECVD) using SiF{sub 4}:H{sub 2}:He, SiF{sub 4}:GeH{sub 4}:H{sub 2} and SiF{sub 4}:CH{sub 4}:H{sub 2} plasmas, respectively. When SiF{sub 4} is used as Si-precursor, it is found that a low flux of CH{sub 4} or GeH{sub 4} results in incorporation of C and Ge in alloys as high as 30%. Correlations between microstructure and optical properties of films are investigated using spectroscopic ellipsometry. The role of fluorine atoms in the growth chemistry and material microstructure is discussed.

  16. Ge nanoclusters in PECVD-deposited glass after heat treating and electron irradiation

    DEFF Research Database (Denmark)

    Ou, Haiyan; Rørdam, Troels Peter; Rottwitt, Karsten

    2007-01-01

    This paper reports the formation of Ge nanoclusters in silica glass thin films deposited by plasma-enhanced chemical vapor deposition (PECVD). We studied the samples by transmission electron microscopy (TEM) and Raman spectroscopy after annealing. TEM investigation shows that the Ge nanoclusters...... at two areaswere formed by different mechanisms. The Ge nanoclusters formed in a single row along the interface of a silicon substrate and the silica glass film by annealing during high-temperature heat treatment. Ge nanoclusters did not initially form in the bulk of the film but could be subsequently...... formed by the electron-beam irradiation. The interface between the silicon substrate and the silica glass film was investigated by Raman spectroscopy. The shift of the Raman peaks around 286.8 cm−1 and 495 cm−1 suggests that the interface is a Si1−xGex alloy film and that the composition x varies along...

  17. Dependence of wet etch rate on deposition, annealing conditions and etchants for PECVD silicon nitride film

    International Nuclear Information System (INIS)

    Tang Longjuan; Zhu Yinfang; Yang Jinling; Li Yan; Zhou Wei; Xie Jing; Liu Yunfei; Yang Fuhua

    2009-01-01

    The influence of deposition, annealing conditions, and etchants on the wet etch rate of plasma enhanced chemical vapor deposition (PECVD) silicon nitride thin film is studied. The deposition source gas flow rate and annealing temperature were varied to decrease the etch rate of SiN x :H by HF solution. A low etch rate was achieved by increasing the SiH 4 gas flow rate or annealing temperature, or decreasing the NH 3 and N2 gas flow rate. Concentrated, buffered, and dilute hydrofluoric acid were utilized as etchants for SiO 2 and SiN x :H. A high etching selectivity of SiO 2 over SiN x :H was obtained using highly concentrated buffered HF.

  18. A solid-state nuclear magnetic resonance study of post-plasma reactions in organosilicone microwave plasma-enhanced chemical vapor deposition (PECVD) coatings.

    Science.gov (United States)

    Hall, Colin J; Ponnusamy, Thirunavukkarasu; Murphy, Peter J; Lindberg, Mats; Antzutkin, Oleg N; Griesser, Hans J

    2014-06-11

    Plasma-polymerized organosilicone coatings can be used to impart abrasion resistance and barrier properties to plastic substrates such as polycarbonate. Coating rates suitable for industrial-scale deposition, up to 100 nm/s, can be achieved through the use of microwave plasma-enhanced chemical vapor deposition (PECVD), with optimal process vapors such as tetramethyldisiloxane (TMDSO) and oxygen. However, it has been found that under certain deposition conditions, such coatings are subject to post-plasma changes; crazing or cracking can occur anytime from days to months after deposition. To understand the cause of the crazing and its dependence on processing plasma parameters, the effects of post-plasma reactions on the chemical bonding structure of coatings deposited with varying TMDSO-to-O2 ratios was studied with (29)Si and (13)C solid-state magic angle spinning nuclear magnetic resonance (MAS NMR) using both single-pulse and cross-polarization techniques. The coatings showed complex chemical compositions significantly altered from the parent monomer. (29)Si MAS NMR spectra revealed four main groups of resonance lines, which correspond to four siloxane moieties (i.e., mono (M), di (D), tri (T), and quaternary (Q)) and how they are bound to oxygen. Quantitative measurements showed that the ratio of TMDSO to oxygen could shift the chemical structure of the coating from 39% to 55% in Q-type bonds and from 28% to 16% for D-type bonds. Post-plasma reactions were found to produce changes in relative intensities of (29)Si resonance lines. The NMR data were complemented by Fourier transform infrared (FTIR) spectroscopy. Together, these techniques have shown that the bonding environment of Si is drastically altered by varying the TMDSO-to-O2 ratio during PECVD, and that post-plasma reactions increase the cross-link density of the silicon-oxygen network. It appears that Si-H and Si-OH chemical groups are the most susceptible to post-plasma reactions. Coatings produced at a

  19. The enhanced nucleation factors and field electron emission property of diamond synthesized by RF-PECVD

    Energy Technology Data Exchange (ETDEWEB)

    Yang Guangmin [College of Physics, Changchun Normal University, Jilin Province, Changchun 130032 (China); Xu Qiang [Changchun Institute of Technology, Changchun 130021 (China); Wang Xin [Department of Materials Science, Key Laboratory of Mobile Materials, MOE, and State Key Laboratory of Superhard Materials, Jilin University, Changchun 130012 (China); Zheng Weitao, E-mail: wtzheng@jlu.edu.cn [Department of Materials Science, Key Laboratory of Mobile Materials, MOE, and State Key Laboratory of Superhard Materials, Jilin University, Changchun 130012 (China)

    2012-03-15

    Highlights: Black-Right-Pointing-Pointer Submicron-diamond, microcrystalline diamond, and nanocrystalline diamond were synthesized using different substrates and pretreatment methods. Black-Right-Pointing-Pointer Three techniques have been developed to create some density of diamond on substrate surfaces by PECVD deposition procedure. Black-Right-Pointing-Pointer The field electron emission property was also investigated. - Abstract: In this work, submicron-diamond (SD), microcrystalline diamond (MD), and nanocrystalline diamond (ND) were synthesized using different substrates and pretreatment methods. In order to investigate influencing factors on nucleation, three techniques have been developed to create some density of diamond on substrate surfaces: (a) with chemical-etching technique (NaOH water solution at 80 Degree-Sign C for 3, 8, 15 min, respectively), (b) (Co(NO{sub 3}){sub 3}/Mg(NO{sub 3}){sub 2}{center_dot}6H{sub 2}O or Fe(NO{sub 3}){sub 3}{center_dot}9H{sub 2}O/Mg(NO{sub 3}){sub 2}{center_dot}6H{sub 2}O alcohol solution) dripping on silicon substrate, and (c) NaCl substrate directly by following a same PECVD deposition procedure. Furthermore, the field electron emission property was also investigated.

  20. Ion beam analysis of PECVD silicon oxide thin films

    International Nuclear Information System (INIS)

    Fernandez-Lima, F.; Rodriguez, J.A.; Pedrero, E.; Fonseca Filho, H.D.; Llovera, A.; Riera, M.; Dominguez, C.; Behar, M.; Zawislak, F.C.

    2006-01-01

    A study of ion beam analysis techniques of plasma enhanced chemical vapor deposited (PECVD) silicon oxide thin films (1 μm thick) obtained from silane (SiH 4 ) and nitrous oxide (N 2 O) is reported. The film, elemental composition and surface morphology were determined as function of the reactant gas flow ratio, R = [N 2 O]/[SiH 4 ] in the 22-110 range using the Rutherford backscattering spectrometry, nuclear reaction analysis and atomic force microscopy techniques. The density of the films was determined by combining the RBS and thickness measurements. All the experiments were done at a deposition temperature of 300 deg. C. In all the cases almost stoichiometric oxides were obtained being the impurity content function of R. It was also observed that physical properties such as density, surface roughness and shape factor increase with R in the studied interval

  1. The Barrier Properties of PET Coated DLC Film Deposited by Microwave Surface-Wave PECVD

    Science.gov (United States)

    Yin, Lianhua; Chen, Qiang

    2017-12-01

    In this paper we report the investigation of diamond-like carbon (DLC) deposited by microwave surface-wave plasma enhanced chemical vapor deposition (PECVD) on the polyethylene terephthalate (PET) web for the purpose of the barrier property improvement. In order to characterize the properties of DLC coatings, we used several substrates, silicon wafer, glass, and PET web and KBr tablet. The deposition rate was obtained by surface profiler based on the DLC deposited on glass substrates; Fourier transform infrared spectroscope (FTIR) was carried out on KBr tablets to investigate chemical composition and bonding structure; the morphology of the DLC coating was analyzed by atomic force microscope (AFM) on Si substrates. For the barrier properties of PET webs, we measured the oxygen transmission rate (OTR) and water vapor transmission rate (WVTR) after coated with DLC films. We addressed the film barrier property related to process parameters, such as microwave power and pulse parameter in this work. The results show that the DLC coatings can greatly improve the barrier properties of PET webs.

  2. Highly ordered amorphous silicon-carbon alloys obtained by RF PECVD

    CERN Document Server

    Pereyra, I; Carreno, M N P; Prado, R J; Fantini, M C A

    2000-01-01

    We have shown that close to stoichiometry RF PECVD amorphous silicon carbon alloys deposited under silane starving plasma conditions exhibit a tendency towards c-Si C chemical order. Motivated by this trend, we further explore the effect of increasing RF power and H sub 2 dilution of the gaseous mixtures, aiming to obtain the amorphous counterpart of c-Si C by the RF-PECVD technique. Doping experiments were also performed on ordered material using phosphorus and nitrogen as donor impurities and boron and aluminum as acceptor ones. For nitrogen a doping efficiency close to device quality a-Si:H was obtained, the lower activation energy being 0,12 eV with room temperature dark conductivity of 2.10 sup - sup 3 (OMEGA.cm). Nitrogen doping efficiency was higher than phosphorous for all studied samples. For p-type doping, results indicate that, even though the attained conductivity values are not device levels, aluminum doping conducted to a promising shift in the Fermi level. Also, aluminum resulted a more efficie...

  3. Hydrogen dissociation in the deposition of GaN films with ECR-PECVD process

    Science.gov (United States)

    Fu, S. L.; Wang, C. A.; Ding, L. C.; Qin, Y. X.

    2018-05-01

    The hydrogen dissociation and its effect on the GaN film growth in the ECR-PECVD process are investigated in this paper. We use N2 and trimethylgallium (TMG) as N and Ga sources respectively in the ECR- PECVD process. The results show that the rate of hydrogen dissociation increases with the microwave power and it becomes higher at high microwave power (> 500 W). However, this population increase of the H species dissociated from the TMG gas in ECR plasma is not enough to change the growth condition from Ga-rich to N-rich.

  4. PECVD-ONO: A New Deposited Firing Stable Rear Surface Passivation Layer System for Crystalline Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    M. Hofmann

    2008-01-01

    Full Text Available A novel plasma-enhanced chemical vapour deposited (PECVD stack layer system consisting of a-SiOx:H, a-SiNx:H, and a-SiOx:H is presented for silicon solar cell rear side passivation. Surface recombination velocities below 60 cm/s (after firing and below 30 cm/s (after forming gas anneal were achieved. Solar cell precursors without front and rear metallisation showed implied open-circuit voltages Voc values extracted from quasi-steady-state photoconductance (QSSPC measurements above 680 mV. Fully finished solar cells with up to 20.0% energy conversion efficiency are presented. A fit of the cell's internal quantum efficiency using software tool PC1D and a comparison to a full-area aluminium-back surface field (Al-BSF and thermal SiO2 is shown. PECVD-ONO was found to be clearly superior to Al-BSF. A separation of recombination at the metallised and the passivated area at the solar cell's rear is presented using the equations of Fischer and Kray. Nuclear reaction analysis (NRA has been used to evaluate the hydrogen depth profile of the passivation layer system at different stages.

  5. PECVD silicon carbide surface micromachining technology and selected MEMS applications

    NARCIS (Netherlands)

    Rajaraman, V.; Pakula, L.S.; Yang, H.; French, P.J.; Sarro, P.M.

    2011-01-01

    Attractive material properties of plasma enhanced chemical vapour deposited (PECVD) silicon carbide (SiC) when combined with CMOS-compatible low thermal budget processing provides an ideal technology platform for developing various microelectromechanical systems (MEMS) devices and merging them with

  6. Deposition of DLC Film on Stainless Steel Substrates Coated by Nickel Using PECVD Method.

    Science.gov (United States)

    Khalaj, Zahra; Ghoranneviss, Mahmood; Vaghri, Elnaz; Saghaleini, Amir; Diudea, Mircea V

    2012-06-01

    Research on diamond-like carbon (DLC) films has been devoted to find both optimized conditions and characteristics of the deposited films on various substrates. In the present work, we investigate the quality of the DLC films grown on stainless steel substrates using different thickness of the nickel nanoparticle layers on the surface. Nickel nanoparticles were sputtered on the stainless steel substrates at 200 °C by a DC-sputtering system to make a good adherence between DLC coating and steel substrates. Atomic Force Microscopy was used to characterize the surface roughness and distribution function of the nickel nanoparticles on the substrate surface. Diamond like carbon films were deposited on stainless steel substrates coated by nickel using pure acetylene and C2H2/H2 with 15% flow ratio by DC-Plasma Enhanced Chemical Vapor Deposition (PECVD) systems. Microstructural analysis by Raman spectroscopy showed a low intensity ratio ID/IG for DLC films by increasing the Ni layer thickness on the stainless steel substrates. Fourier Transforms Infrared spectroscopy (FTIR) evidenced the peaks attributed to C-H bending and stretching vibration modes in the range of 1300-1700 cm-1 and 2700-3100 cm-1, respectively, in good agreement with the Raman spectroscopy and confirmed the DLC growth in all samples.

  7. Effect of PECVD deposition parameters on structural and optoelectronics properties of hydrogenated polymorphous silicon thin films deposited by dichlorosilane for implementation in solar cells

    International Nuclear Information System (INIS)

    Álvarez-Macías, C.; Hernández González, Oscar Daniel; Barrera Calva, Enrique; Gómez González, L.; Santana, G.

    2015-01-01

    Hydrogenated polymorphous silicon (pm-Si: H) thin films were deposited at room temperature by plasma enhanced chemical vapor deposition (PECVD) using SiH2Cl2 as precursor gas. We examine the effect of deposition pressure (250 y 500 mTorr) and H2 dilution (flow rates 25, 50, 75 y 100 sccm) on the structural and optoelectronics properties. The nano-structural properties was confirmed by Raman spectroscopy studies in terms of the changes in crystallite sizes and their volume fractions. On the other hand, by FTIR analysis we notice bond configurations associated to photostability of the nanostructures, which was confirmed by Light soaking experiments during 250h. We found a tunable band gap and important behaviors on the electronic transport properties measurements for samples with high and low incorporation of oxygen whose compositions were determined by XPS measurements. Understanding structural and chemical properties of pm- Si: H thin films is key towards optimizing their electrical and optical properties for applications in solar cells. (full text)

  8. Tailoring properties of lossy-mode resonance optical fiber sensors with atomic layer deposition technique

    Science.gov (United States)

    Kosiel, Kamil; Koba, Marcin; Masiewicz, Marcin; Śmietana, Mateusz

    2018-06-01

    The paper shows application of atomic layer deposition (ALD) technique as a tool for tailoring sensorial properties of lossy-mode-resonance (LMR)-based optical fiber sensors. Hafnium dioxide (HfO2), zirconium dioxide (ZrO2), and tantalum oxide (TaxOy), as high-refractive-index dielectrics that are particularly convenient for LMR-sensor fabrication, were deposited by low-temperature (100 °C) ALD ensuring safe conditions for thermally vulnerable fibers. Applicability of HfO2 and ZrO2 overlays, deposited with ALD-related atomic level thickness accuracy for fabrication of LMR-sensors with controlled sensorial properties was presented. Additionally, for the first time according to our best knowledge, the double-layer overlay composed of two different materials - silicon nitride (SixNy) and TaxOy - is presented for the LMR fiber sensors. The thin films of such overlay were deposited by two different techniques - PECVD (the SixNy) and ALD (the TaxOy). Such approach ensures fast overlay fabrication and at the same time facility for resonant wavelength tuning, yielding devices with satisfactory sensorial properties.

  9. Synthesis of few-layer graphene on a Ni substrate by using DC plasma enhanced chemical vapor deposition (PE-CVD)

    International Nuclear Information System (INIS)

    Kim, Jeong Hyuk; Castro, Edward Joseph; Hwang, Yong Gyoo; Lee, Choong Hun

    2011-01-01

    In this work, few-layer graphene (FLG) was successfully grown on polycrystalline Ni a large scale by using DC plasma enhanced chemical vapor deposition (DC PE-CVD), which may serve as an alternative route in large-scale graphene synthesis. The synthesis time had an effect on the quality of the graphene produced. The applied DC voltage, on the other hand, influenced the minimization of the defect densities in the graphene grown. We also present a method of producing a free-standing polymethyl methacrylate (PMMA)/graphene membrane on a FeCl 3(aq) solution, which could then be transferred to the desired substrate.

  10. Hydrogen diffusion between plasma-deposited silicon nitride-polyimide polymer interfaces

    International Nuclear Information System (INIS)

    Nguyen, S.V.; Kerbaugh, M.

    1988-01-01

    This paper reports a nuclear reaction analysis (NRA) for hydrogen technique used to analyze the hydrogen concentration near plasma enhanced chemical vapor deposition (PECVD) silicon nitride-polyimide interfaces at various nitride-deposition and polyimide-polymer-curing temperatures. The CF 4 + O 2 (8% O 2 ) plasma-etch-rate variation of PECVD silicon nitride films deposited on polyimide appeared to correlate well with the variation of hydrogen-depth profiles in the nitride films. The NRA data indicate that hydrogen-depth-profile fluctuation in the nitride films is due to hydrogen diffusion between the nitride-polyimide interfaces during deposition. Annealing treatment of polyimide films in a hydrogen atmosphere prior to the nitride film deposition tends to enhance the hydrogen-depth-profile uniformity in the nitride films, and thus substantially reduces or eliminates variation in the nitride plasma-etch rate

  11. Microcrystalline thin-film solar cell deposition on moving substrates using a linear VHF-PECVD reactor and a cross-flow geometry

    International Nuclear Information System (INIS)

    Flikweert, A J; Zimmermann, T; Merdzhanova, T; Weigand, D; Appenzeller, W; Gordijn, A

    2012-01-01

    A concept for high-rate plasma deposition (PECVD) of hydrogenated microcrystalline silicon on moving substrates (dynamic deposition) is developed and evaluated. The chamber allows for substrates up to a size of 40 × 40 cm 2 . The deposition plasma is sustained between linear VHF electrodes (60 MHz) and a moving substrate. Due to the gas flow geometry and the high degree of source gas depletion, from the carrier's point of view the silane concentration varies when passing the electrodes. This is known to lead to different growth conditions which can induce transitions from microcrystalline to amorphous growth. The effect of different silane concentrations is simulated at a standard RF showerhead electrode by intentionally varying the silane concentration during deposition in static mode. This variation may decrease the layer quality of microcrystalline silicon, due to a shift of the crystallinity away from the optimum. However, adapting the input silane concentration, state-of-the-art solar cells are obtained. Microcrystalline cells (ZnO : Al/Ag back contacts) produced by the linear VHF plasma sources show an efficiency of 7.9% and 6.6% for depositions in static and dynamic mode, respectively. (paper)

  12. Elaboration of TiO2 films by PECVD for use in photocatalysis

    International Nuclear Information System (INIS)

    Di Lalla, N; Lasorsa, C; Pineda Ramos, P

    2012-01-01

    We present the first results in the production of films of titanium dioxide (TiO 2 ) deposited by Plasma enhanced chemical vapor deposition (PECVD). The films are destined for use in photocatalysis for water treatment. The deposits were made on glass from titanium isopropoxide as precursor (Ti[OCH(CH 3 ) 2 ]4) and a controlled flow of O 2 . The films were grown at room temperature and 300 o C to compare properties. The characterization of the deposits was performed using scanning electron microscopy, UV-visible transmittance and infrared absorbance. The deposits were obtained with very good adhesion to substrates showing energy values of band gap of 2.83 eV

  13. FTIR and electrical characterization of a-Si:H layers deposited by PECVD at different boron ratios

    Energy Technology Data Exchange (ETDEWEB)

    Orduna-Diaz, A., E-mail: abdu@susu.inaoep.mx [Instituto Nacional de Astrofisica, Optica y Electronica, Luis Enrique Erro No. 1, Tonantzintla, Puebla 72840 (Mexico); Trevino-Palacios, C.G. [Instituto Nacional de Astrofisica, Optica y Electronica, Luis Enrique Erro No. 1, Tonantzintla, Puebla 72840 (Mexico); Rojas-Lopez, M.; Delgado-Macuil, R.; Gayou, V.L. [Centro de Investigacion en Biotecnologia Aplicada (CIBA), IPN, Tlaxcala, Tlax. 72197 (Mexico); Torres-Jacome, A. [Instituto Nacional de Astrofisica, Optica y Electronica, Luis Enrique Erro No. 1, Tonantzintla, Puebla 72840 (Mexico)

    2010-10-25

    Hydrogenated amorphous silicon (a-Si:H) has found applications in flat panel displays, photovoltaic solar cell and recently has been employed in boron doped microbolometer array. We have performed electrical and structural characterizations of a-Si:H layers prepared by plasma enhanced chemical vapor deposition (PECVD) method at 540 K on glass substrates at different diborane (B{sub 2}H{sub 6}) flow ratios (500, 250, 150 and 50 sccm). Fourier transform infrared spectroscopy (FTIR) measurements obtained by specular reflectance sampling mode, show Si-Si, B-O, Si-H, and Si-O vibrational modes (611, 1300, 2100 and 1100 cm{sup -1} respectively) with different strengths which are associated to hydrogen and boron content. The current-voltage curves show that at 250 sccm flow of boron the material shows the lowest resistivity, but for the 150 sccm boron flow it is obtained the highest temperature coefficient of resistance (TCR).

  14. Electrical characterization of low temperature deposited oxide films ...

    Indian Academy of Sciences (India)

    Unknown

    electronics on the same substrate (Water and Chu 2002). Plasma enhanced chemical vapour deposition (PECVD) .... sion in depletion region is due to the presence of inter- face traps. The total capacitance in inversion ... The conductance technique is used to deter- mine the interface state density throughout the depletion.

  15. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  16. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.

  17. Silicon Nitride Deposition for Flexible Organic Electronic Devices by VHF (162 MHz)-PECVD Using a Multi-Tile Push-Pull Plasma Source.

    Science.gov (United States)

    Kim, Ki Seok; Kim, Ki Hyun; Ji, You Jin; Park, Jin Woo; Shin, Jae Hee; Ellingboe, Albert Rogers; Yeom, Geun Young

    2017-10-19

    Depositing a barrier film for moisture protection without damage at a low temperature is one of the most important steps for organic-based electronic devices. In this study, the authors investigated depositing thin, high-quality SiN x film on organic-based electronic devices, specifically, very high-frequency (162 MHz) plasma-enhanced chemical vapor deposition (VHF-PECVD) using a multi-tile push-pull plasma source with a gas mixture of NH 3 /SiH 4 at a low temperature of 80 °C. The thin deposited SiN x film exhibited excellent properties in the stoichiometry, chemical bonding, stress, and step coverage. Thin film quality and plasma damage were investigated by the water vapor transmission rate (WVTR) and by electrical characteristics of organic light-emitting diode (OLED) devices deposited with SiN x , respectively. The thin deposited SiN x film exhibited a low WVTR of 4.39 × 10 -4  g (m 2 · day) -1 for a single thin (430 nm thick) film SiN x and the electrical characteristics of OLED devices before and after the thin SiN x film deposition on the devices did not change, which indicated no electrical damage during the deposition of SiN x on the OLED device.

  18. Characterisation of silicon carbide films deposited by plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Iliescu, Ciprian; Chen Bangtao; Wei Jiashen; Pang, A.J.

    2008-01-01

    The paper presents a characterisation of amorphous silicon carbide films deposited in plasma-enhanced chemical vapour deposition (PECVD) reactors for MEMS applications. The main parameter was optimised in order to achieve a low stress and high deposition rate. We noticed that the high frequency mode (13.56 MHz) gives a low stress value which can be tuned from tensile to compressive by selecting the correct power. The low frequency mode (380 kHz) generates high compressive stress (around 500 MPa) due to ion bombardment and, as a result, densification of the layer achieved. Temperature can decrease the compressive value of the stress (due to annealing effect). A low etching rate of the amorphous silicon carbide layer was noticed for wet etching in KOH 30% at 80 o C (around 13 A/min) while in HF 49% the layer is practically inert. A very slow etching rate of amorphous silicon carbide layer in XeF 2 -7 A/min- was observed. The paper presents an example of this application: PECVD-amorphous silicon carbide cantilevers fabricated using surface micromachining by dry-released technique in XeF 2

  19. Improved PECVD Si x N y film as a mask layer for deep wet etching of the silicon

    Science.gov (United States)

    Han, Jianqiang; Yin, Yi Jun; Han, Dong; Dong, LiZhen

    2017-09-01

    Although plasma enhanced chemical vapor deposition (PECVD) silicon nitride (Si x N y ) films have been extensively investigated by many researchers, requirements of film properties vary from device to device. For some applications utilizing Si x N y film as the mask Layer for deep wet etching of the silicon, it is very desirable to obtain a high quality film. In this study, Si x N y films were deposited on silicon substrates by PECVD technique from the mixtures of NH3 and 5% SiH4 diluted in Ar. The deposition temperature and RF power were fixed at 400 °C and 20 W, respectively. By adjusting the SiH4/NH3 flow ratio, Si x N y films of different compositions were deposited on silicon wafers. The stoichiometry, residual stress, etch rate in 1:50 HF, BHF solution and 40% KOH solution of deposited Si x N y films were measured. The experimental results show that the optimum SiH4/NH3 flow ratio at which deposited Si x N y films can perfectly protect the polysilicon resistors on the front side of wafers during KOH etching is between 1.63 and 2.24 under the given temperature and RF power. Polysilicon resistors protected by the Si x N y films can withstand 6 h 40% KOH double-side etching at 80 °C. At the range of SiH4/NH3 flow ratios, the Si/N atom ratio of films ranges from 0.645 to 0.702, which slightly deviate the ideal stoichiometric ratio of LPCVD Si3N4 film. In addition, the silicon nitride films with the best protection effect are not the films of minimum etch rate in KOH solution.

  20. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  1. Switching Vertical to Horizontal Graphene Growth Using Faraday Cage-Assisted PECVD Approach for High-Performance Transparent Heating Device.

    Science.gov (United States)

    Qi, Yue; Deng, Bing; Guo, Xiao; Chen, Shulin; Gao, Jing; Li, Tianran; Dou, Zhipeng; Ci, Haina; Sun, Jingyu; Chen, Zhaolong; Wang, Ruoyu; Cui, Lingzhi; Chen, Xudong; Chen, Ke; Wang, Huihui; Wang, Sheng; Gao, Peng; Rummeli, Mark H; Peng, Hailin; Zhang, Yanfeng; Liu, Zhongfan

    2018-02-01

    Plasma-enhanced chemical vapor deposition (PECVD) is an applicable route to achieve low-temperature growth of graphene, typically shaped like vertical nanowalls. However, for transparent electronic applications, the rich exposed edges and high specific surface area of vertical graphene (VG) nanowalls can enhance the carrier scattering and light absorption, resulting in high sheet resistance and low transmittance. Thus, the synthesis of laid-down graphene (LG) is imperative. Here, a Faraday cage is designed to switch graphene growth in PECVD from the vertical to the horizontal direction by weakening ion bombardment and shielding electric field. Consequently, laid-down graphene is synthesized on low-softening-point soda-lime glass (6 cm × 10 cm) at ≈580 °C. This is hardly realized through the conventional PECVD or the thermal chemical vapor deposition methods with the necessity of high growth temperature (1000 °C-1600 °C). Laid-down graphene glass has higher transparency, lower sheet resistance, and much improved macroscopic uniformity when compare to its vertical graphene counterpart and it performs better in transparent heating devices. This will inspire the next-generation applications in low-cost transparent electronics. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. High Growth Rate Deposition of Hydrogenated Amorphous Silicon-Germanium Films and Devices Using ECR-PECVD

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yong [Iowa State Univ., Ames, IA (United States)

    2002-01-01

    Hydrogenated amorphous silicon germanium films (a-SiGe:H) and devices have been extensively studied because of the tunable band gap for matching the solar spectrum and mature the fabrication techniques. a-SiGe:H thin film solar cells have great potential for commercial manufacture because of very low cost and adaptability to large-scale manufacturing. Although it has been demonstrated that a-SiGe:H thin films and devices with good quality can be produced successfully, some issues regarding growth chemistry have remained yet unexplored, such as the hydrogen and inert-gas dilution, bombardment effect, and chemical annealing, to name a few. The alloying of the SiGe introduces above an order-of-magnitude higher defect density, which degrades the performance of the a-SiGe:H thin film solar cells. This degradation becomes worse when high growth-rate deposition is required. Preferential attachment of hydrogen to silicon, clustering of Ge and Si, and columnar structure and buried dihydride radicals make the film intolerably bad. The work presented here uses the Electron-Cyclotron-Resonance Plasma-Enhanced Chemical Vapor Deposition (ECR-PECVD) technique to fabricate a-SiGe:H films and devices with high growth rates. Helium gas, together with a small amount of H2, was used as the plasma species. Thickness, optical band gap, conductivity, Urbach energy, mobility-lifetime product, I-V curve, and quantum efficiency were characterized during the process of pursuing good materials. The microstructure of the a-(Si,Ge):H material was probed by Fourier-Transform Infrared spectroscopy. They found that the advantages of using helium as the main plasma species are: (1) high growth rate--the energetic helium ions break the reactive gas more efficiently than hydrogen ions; (2) homogeneous growth--heavy helium ions impinging on the surface promote the surface mobility of the reactive radicals, so that heteroepitaxy growth as clustering of Ge and Si, columnar structure are

  3. Study of the effect of the deposition parameters on the structural, electric and optical characteristics of polymorphous silicon films prepared by low frequency PECVD

    Energy Technology Data Exchange (ETDEWEB)

    Moreno, M., E-mail: mmoreno@inaoep.mx [Instituto Nacional de Astrofisica, Optica y Electronica, INAOE, Puebla (Mexico); Torres, A. [Instituto Nacional de Astrofisica, Optica y Electronica, INAOE, Puebla (Mexico); Ambrosio, R. [Universidad Autonoma de Ciudad Juarez, Electrical Deparment, Chihuahua (Mexico); Zuniga, C.; Torres-Rios, A.; Monfil, K.; Rosales, P.; Itzmoyotl, A. [Instituto Nacional de Astrofisica, Optica y Electronica, INAOE, Puebla (Mexico)

    2011-10-25

    In this work we present our results on the deposition and characterization of polymorphous silicon (pm-Si:H) films prepared by low frequency plasma enhanced chemical vapor deposition (LF-PECVD). We have studied the effect of the plasma deposition parameters (as the chamber pressure and gas flow rates of SiH{sub 4} and H{sub 2}) on the structural, electric, and optical characteristics of the films. The temperature dependence of conductivity ({sigma}(T)), activation energy (E{sub a}), optical band gap (E{sub g}) and deposition rate (V{sub d}) were extracted for pm-Si:H films deposited at different pressure values and different gas flow rates. We observed that the chamber pressure is an important parameter that has a significant effect on the electric characteristics, and as well on the morphology of the pm-Si:H films (deduced from atomic force microscopy). It was found an optimal pressure range, in order to produce pm-Si:H films with high E{sub a} and room temperature conductivity, {sigma}{sub RT}, which are key parameters for thermal detection applications.

  4. Study of the effect of the deposition parameters on the structural, electric and optical characteristics of polymorphous silicon films prepared by low frequency PECVD

    International Nuclear Information System (INIS)

    Moreno, M.; Torres, A.; Ambrosio, R.; Zuniga, C.; Torres-Rios, A.; Monfil, K.; Rosales, P.; Itzmoyotl, A.

    2011-01-01

    In this work we present our results on the deposition and characterization of polymorphous silicon (pm-Si:H) films prepared by low frequency plasma enhanced chemical vapor deposition (LF-PECVD). We have studied the effect of the plasma deposition parameters (as the chamber pressure and gas flow rates of SiH 4 and H 2 ) on the structural, electric, and optical characteristics of the films. The temperature dependence of conductivity (σ(T)), activation energy (E a ), optical band gap (E g ) and deposition rate (V d ) were extracted for pm-Si:H films deposited at different pressure values and different gas flow rates. We observed that the chamber pressure is an important parameter that has a significant effect on the electric characteristics, and as well on the morphology of the pm-Si:H films (deduced from atomic force microscopy). It was found an optimal pressure range, in order to produce pm-Si:H films with high E a and room temperature conductivity, σ RT , which are key parameters for thermal detection applications.

  5. Thick and low-stress PECVD amorphous silicon for MEMS applications

    International Nuclear Information System (INIS)

    Iliescu, Ciprian; Chen Bangtao

    2008-01-01

    This paper presents a solution for the deposition of thick amorphous silicon (α-Si:H) in PECVD reactors for MEMS applications, such as sacrificial layer or mask layer for dry or wet etching of glass. This achievement was possible by tuning the deposition parameters to a 'zero' value of the residual stress in the α-Si:H layer. The influence of the process parameters, such as power, frequency mode, temperature, pressure and SiH 4 /Ar flow rates for tuning the residual stress and for a good deposition rate is analyzed. The deposition of low-stress and thick (more than 12 µm in our case) α-Si:H layers was possible without generation of hillock defects (previously reported in literature for layers thicker then 2 µm). Finally, the paper presents some MEMS applications of such a deposited α-Si:H layer: masking layer for deep wet etching as well as dry etching of glass, and sacrificial layer for dry or wet release

  6. An economic analysis of the deposition of electrochromic WO3 via sputtering or plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Garg, D.; Henderson, P.B.; Hollingsworth, R.E.; Jensen, D.G.

    2005-01-01

    The costs of manufacturing electrochromic WO 3 thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO 3 for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF 6 , sputtering cost is dominated by labor and depreciation

  7. Plasma-enhanced chemical vapor deposition of aluminum oxide using ultrashort precursor injection pulses

    NARCIS (Netherlands)

    Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2012-01-01

    An alternative plasma-enhanced chemical vapor deposition (PECVD) method is developed and applied for the deposition of high-quality aluminum oxide (AlOx) films. The PECVD method combines a continuous plasma with ultrashort precursor injection pulses. We demonstrate that the modulation of the

  8. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  9. Using MDECR-PECVD to study the impact of ion bombardment energy on microstructural properties of μc-Si:H thin film grown from an SiF{sub 4}/H{sub 2} chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Junkang; Florea, Ileana; Bulkin, Pavel V.; Maurice, Jean-Luc; Johnson, Erik V. [LPICM, CNRS, Ecole Polytechnique, Universite Paris Saclay, 91128 Palaiseau (France)

    2016-12-15

    The matrix-distributed electron cyclotron resonance plasma-enhanced chemical vapor deposition (MDECR-PECVD) technique has been shown to achieve high deposition rates for hydrogenated microcrystalline silicon (μc-Si:H) thin film. Due to the fact that plasma is sustained by a microwave discharge, by biasing the substrate holder with additional power supply, one can achieve independent control over the plasma density and the maximum ion bombardment energy (IBE). In this work, we present studies of the impact of IBE on the microstructural properties of the μc-Si:H film deposited by MDECR-PECVD. Insufficient ion bombardment is found to be responsible for the substantial presence of nano-porous regions within the material, resulting in significant post-deposition oxidation. Good agreement between transmission electron microscopy (TEM) Fresnel contrast analysis and the results of infrared absorption and hydrogen effusion measurements for the deposited films suggest that moderate IBE is of vital importance to achieve high quality μc-Si:H. In doing so, denser films with significantly decreased nano-porous regions and better stability are obtained, which is of great interest to optimize the process parameters for solar cell applications. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  10. Synergy between plasma-assisted ALD and roll-to-roll atmospheric pressure PE-CVD processing of moisture barrier films on polymers

    NARCIS (Netherlands)

    Starostin, S.A.; Keuning, W.; Schalken, J.R.G.; Creatore, M.; Kessels, W.M.M.; Bouwstra, J.B.; Sanden, van de M.C.M.; Vries, de H.W.

    2016-01-01

    The synergy between fast (1600 nm · min−1), roll-to-roll plasma-enhanced chemical vapor deposited (PE-CVD) SiO2 layers and plasma-assisted atomic layer deposited (PA-ALD) ultra-thin Al2O3 films has been investigated in terms of moisture permeation barrier properties. The effective and intrinsic

  11. Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers

    NARCIS (Netherlands)

    Starostin, S. A.; Keuning, W.; Schalken, J.; Creatore, M.; Kessels, W. M. M.; Bouwstra, J. B.; van de Sanden, M. C. M.; de Vries, H. W.

    2016-01-01

    The synergy between fast (1600 nm · min−1), roll-to-roll plasma-enhanced chemical vapor deposited (PE-CVD) SiO2 layers and plasma-assisted atomic layer deposited (PA-ALD) ultra-thin Al2O3 films has been investigated in terms of moisture permeation barrier properties. The effective and intrinsic

  12. Preparation of copper doped DLC films by DC PE-CVD method

    International Nuclear Information System (INIS)

    Marton, M.; Vojs, M.; Kotlar, M.; Michniak, P.; Flickyngerova, S.; Vesely, M.; Redhammer, R.

    2012-01-01

    We used PECVD method for deposition of Cu incorporated DLC thin films from CH 4 /Ar gas mixture. The size of nanoparticles varied with changing the deposition conditions in the range of tenth to hundreds of nm. After annealing process, new small Cu particles appeared in the space between the as deposited ones, and all the particles were distributed more homogenous within the films. The resistivity of the DLC films decreased first with adding of copper to 10 to 6·10 3 Ωcm, and second with the annealing process to 4·10 -2 to 3 Ωcm. Raman spectra show the tendency of DLCs to become more graphitic with increasing annealing temperature, which may be one possible contribution to increased conductivity of the annealed Cu-DLC films. (authors)

  13. An economic analysis of the deposition of electrochromic WO{sub 3} via sputtering or plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Garg, D. [Air Products and Chemicals Inc., 7201 Hamilton Blvd., Allentown, PA 18195-7201 (United States); Henderson, P.B. [Air Products and Chemicals Inc., 7201 Hamilton Blvd., Allentown, PA 18195-7201 (United States)]. E-mail: henderpb@airproducts.co; Hollingsworth, R.E. [ITN Energy Systems Inc., 8130 Shaffer Pkwy, Littleton, CO 80127 (United States); Jensen, D.G. [ITN Energy Systems Inc., 8130 Shaffer Pkwy, Littleton, CO 80127 (United States)

    2005-06-15

    The costs of manufacturing electrochromic WO{sub 3} thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO{sub 3} for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF{sub 6}, sputtering cost is dominated by labor and depreciation.

  14. Influence of residual stress on the adhesion and surface morphology of PECVD-coated polypropylene

    Science.gov (United States)

    Jaritz, Montgomery; Hopmann, Christian; Behm, Henrik; Kirchheim, Dennis; Wilski, Stefan; Grochla, Dario; Banko, Lars; Ludwig, Alfred; Böke, Marc; Winter, Jörg; Bahre, Hendrik; Dahlmann, Rainer

    2017-11-01

    The properties of plasma-enhanced chemical vapour deposition (PECVD) coatings on polymer materials depend to some extent on the surface and material properties of the substrate. Here, isotactic polypropylene (PP) substrates are coated with silicon oxide (SiO x ) films. Plasmas for the deposition of SiO x are energetic and oxidative due to the high amount of oxygen in the gas mixture. Residual stress measurements using single Si cantilever stress sensors showed that these coatings contain high compressive stress. To investigate the influence of the plasma and the coatings, residual stress, silicon organic (SiOCH) coatings with different thicknesses between the PP and the SiO x coating are used as a means to protect the substrate from the oxidative SiO x coating process. Pull-off tests are performed to analyse differences in the adhesion of these coating systems. It could be shown that the adhesion of the PECVD coatings on PP depends on the coatings’ residual stress. In a PP/SiOCH/SiO x -multilayer system the residual stress can be significantly reduced by increasing the thickness of the SiOCH coating, resulting in enhanced adhesion.

  15. Structurally controlled deposition of silicon onto nanowires

    Science.gov (United States)

    Wang, Weijie; Liu, Zuqin; Han, Song; Bornstein, Jonathan; Stefan, Constantin Ionel

    2018-03-20

    Provided herein are nanostructures for lithium ion battery electrodes and methods of fabrication. In some embodiments, a nanostructure template coated with a silicon coating is provided. The silicon coating may include a non-conformal, more porous layer and a conformal, denser layer on the non-conformal, more porous layer. In some embodiments, two different deposition processes, e.g., a PECVD layer to deposit the non-conformal layer and a thermal CVD process to deposit the conformal layer, are used. Anodes including the nanostructures have longer cycle lifetimes than anodes made using either a PECVD or thermal CVD method alone.

  16. Influence of the processing conditions on the structural properties of ZnO layers obtained by PECVD

    Energy Technology Data Exchange (ETDEWEB)

    Kitova, S; Danev, G, E-mail: skitova@clf.bas.b [Institute of Optical Materials and Technology ' Acad. J. Malinowski' , Bulgarian Academy of Sciences, Acad. G. Bonchev str., bl.109, 1113 Sofia (Bulgaria)

    2010-11-01

    The plasma enhanced chemical vapor deposition (PECVD) is a powerful and flexible instrument for depositing thin layers, nanocomposites or nanostructures. In this work ZnO layers have been grown by metal-organic PECVD (RF - 13.56 MHz) on Si wafers. Zn acetylacetonate has been used as a precursor and oxygen as oxidant. The influence of the oxygen content in gas mixture, the total pressure, substrate temperature and ZnO seed layer on the structural properties of the layers deposited on Si wafers has been studied. ZnO layer properties were investigated by X-ray diffraction (XRD) and scanning electron microscopy (SEM). XRD data have shown that all layers are crystalline with hexagonal wurtzite structure. The crystallites are preferentially oriented along c-axis direction perpendicular to the substrate surfaces. The results obtained indicate that by controlling the oxygen content in gas mixture, the total pressure and substrate temperature during the film growth one can control the formation of c-axis phase and the crystallite grain size. Nanorods with good alignment, vertically orientated to the substrate surface can be observed in the layers deposited at low content of O{sub 2} in plasma at substrate temperature of 400 {sup o}C. Due to their structural characteristics these layers are potential materials for preparing chemical- and biosensors where inherently large surface to volume ratio of structured materials are important prerequisite for enhanced sensitivity.

  17. Annealing effects on photoluminescence of SiNx films grown by PECVD

    International Nuclear Information System (INIS)

    Komarov, F.F.; Parkhomenko, I.N.; Vlasukova, L.A.; Milchanin, O.V.; Togambayeva, A.K.; Kovalchuk, N.S.

    2013-01-01

    Si-rich and N-rich silicon nitride films were deposited at low temperature 300 °C by using plasma-enhanced chemical vapor deposition (PECVD). The optical and structural properties of these films have been investigated by ellipsometry, Rutherford backscattering (RBS), transmission electron microscopy (TEM), Raman spectroscopy (RS) and photoluminescence (PL). The formation of silicon clusters in both Si-rich and N-rich silicon nitride films after annealing at 900 °C and 1000 °C for hour in N 2 ambient has been revealed by TEM. Dependency of PL spectra on stoichiometry and post-annealing temperature was analyzed. The contribution of Si and N-related defects in emitting properties of Si-rich and N-rich SiN x has been discussed. (authors)

  18. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  19. Robust Wafer-Level Thin-Film Encapsulation (Packaging) of Microstructures (MEMS) using Low Stress PECVD Silicon Carbide

    NARCIS (Netherlands)

    Rajaraman, V.; Pakula, L.S.; Pham, H.T.M.; Sarro, P.M.; French, P.J.

    2009-01-01

    This paper presents a new low-cost, CMOS-compatible and robust wafer-level encapsulation technique developed using a stress-optimised PECVD SiC as the capping and sealing material, imparting harsh environment capability. This technique has been applied for the fabrication and encapsulation of a wide

  20. Growth Assisted by Glancing Angle Deposition: A New Technique to Fabricate Highly Porous Anisotropic Thin Films.

    Science.gov (United States)

    Sanchez-Valencia, Juan Ramon; Longtin, Remi; Rossell, Marta D; Gröning, Pierangelo

    2016-04-06

    We report a new methodology based on glancing angle deposition (GLAD) of an organic molecule in combination with perpendicular growth of a second inorganic material. The resulting thin films retain a very well-defined tilted columnar microstructure characteristic of GLAD with the inorganic material embedded inside the columns. We refer to this new methodology as growth assisted by glancing angle deposition or GAGLAD, since the material of interest (here, the inorganic) grows in the form of tilted columns, though it is deposited under a nonglancing configuration. As a "proof of concept", we have used silver and zinc oxide as the perpendicularly deposited material since they usually form ill-defined columnar microstructures at room temperature by GLAD. By means of our GAGLAD methodology, the typical tilted columnar microstructure can be developed for materials that otherwise do not form ordered structures under conventional GLAD. This simple methodology broadens significantly the range of materials where control of the microstructure can be achieved by tuning the geometrical deposition parameters. The two examples presented here, Ag/Alq3 and ZnO/Alq3, have been deposited by physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD), respectively: two different vacuum techniques that illustrate the generality of the proposed technique. The two type of hybrid samples present very interesting properties that demonstrate the potentiality of GAGLAD. On one hand, the Ag/Alq3 samples present highly optical anisotropic properties when they are analyzed with linearly polarized light. To our knowledge, these Ag/Alq3 samples present the highest angular selectivity reported in the visible range. On the other hand, ZnO/Alq3 samples are used to develop highly porous ZnO thin films by using Alq3 as sacrificial material. In this way, antireflective ZnO samples with very low refractive index and extinction coefficient have been obtained.

  1. Quantitative Auger depth profiling of LPCVD and PECVD silicon nitride films

    International Nuclear Information System (INIS)

    Keim, E.G.; Aite, K.

    1989-01-01

    Thin silicon nitride films (100-210 nm) with refractive indices varying from 1.90 to 2.10 were deposited on silicon substrates by low pressure chemical vapour deposition (LPCVD) and plasma enhanced chemical vapour deposition (PECVD). Rutherford backscattering spectrometry (RBS), ellipsometry, surface profiling measurements and Auger electron spectroscopy (AES) in combination with Ar + sputtering were used to characterize these films. We have found that the use of (p-p)heights of the Si LVV and N KLL Auger transitions in the first derivative of the energy distribution (dN(E)/dE) leads to an accurate determination of the silicon nitride composition in Auger depth profiles over a wide range of atomic Si/N ratios. Moreover, we have shown that the Si KLL Auger transition, generally considered to be a better probe than the low energy Si LVV Auger transition in determining the chemical composition of silicon nitride layers, leads to deviating results. (orig.)

  2. Single-Step Seeded-Growth of Graphene Nanoribbons (GNRs) via Plasma-Enhanced Chemical Vapor Deposition (PECVD)

    Science.gov (United States)

    Hsu, C.-C.; Yang, K.; Tseng, W.-S.; Li, Yiliang; Li, Yilun; Tour, J. M.; Yeh, N.-C.

    One of the main challenges in the fabrication of GNRs is achieving large-scale low-cost production with high quality. Current techniques, including lithography and unzipped carbon nanotubes, are not suitable for mass production. We have recently developed a single-step PECVD growth process of high-quality graphene sheets without any active heating. By adding some substituted aromatic as seeding molecules, we are able to rapidly grow GNRs vertically on various transition-metal substrates. The morphology and electrical properties of the GNRs are dependent on the growth parameters such as the growth time, gas flow and species of the seeding molecules. On the other hand, all GNRs exhibit strong infrared and optical absorption. From studies of the Raman spectra, scanning electron microscopic images, and x-ray/ultraviolet photoelectron spectra of these GNRs as functions of the growth parameters, we propose a model for the growth mechanism. Our findings suggest that our approach opens up a pathway to large-scale, inexpensive production of GNRs for applications to supercapacitors and solar cells. This work was supported by the Grubstake Award and NSF through IQIM at Caltech.

  3. Morphology and Structural Characterization of Carbon Nanowalls Grown via VHF-PECVD

    Science.gov (United States)

    Akmal Hasanudin, M.; Wahab, Y.; Ismail, A. K.; Zahid Jamal, Z. A.

    2018-03-01

    A 150 MHz very high frequency plasma enhanced chemical vapor deposition (150 MHz VHF-PECVD) system was utilized to fabricate two-dimensional carbon nanostructure from the mixture of methane and hydrogen. Morphology and structural properties of the grown nanostructure were investigated by FESEM imaging and Raman spectroscopy. Carbon nanowalls (CNW) with dense and wavy-like structure were successfully synthesized. The wavy-like morphology of CNW was found to be more distinct during growth at small electrode spacing and denser with increasing deposition time due to better flux of hydrocarbon radicals to the substrate and higher rate of reaction, respectively. Typical characteristics of CNW were observed from strong D band, narrow bandwidth of G band and single broad peak of 2D band of Raman spectra indicating the presence of disordered nanocrystalline graphite structure with high degree of graphitization.

  4. Gas diffusion ultrabarriers on polymer substrates using Al2O3 atomic layer deposition and SiN plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Carcia, P. F.; McLean, R. S.; Groner, M. D.; Dameron, A. A.; George, S. M.

    2009-01-01

    Thin films grown by Al 2 O 3 atomic layer deposition (ALD) and SiN plasma-enhanced chemical vapor deposition (PECVD) have been tested as gas diffusion barriers either individually or as bilayers on polymer substrates. Single films of Al 2 O 3 ALD with thicknesses of ≥10 nm had a water vapor transmission rate (WVTR) of ≤5x10 -5 g/m 2 day at 38 deg. C/85% relative humidity (RH), as measured by the Ca test. This WVTR value was limited by H 2 O permeability through the epoxy seal, as determined by the Ca test for the glass lid control. In comparison, SiN PECVD films with a thickness of 100 nm had a WVTR of ∼7x10 -3 g/m 2 day at 38 deg. C/85% RH. Significant improvements resulted when the SiN PECVD film was coated with an Al 2 O 3 ALD film. An Al 2 O 3 ALD film with a thickness of only 5 nm on a SiN PECVD film with a thickness of 100 nm reduced the WVTR from ∼7x10 -3 to ≤5x10 -5 g/m 2 day at 38 deg. C/85% RH. The reduction in the permeability for Al 2 O 3 ALD on the SiN PECVD films was attributed to either Al 2 O 3 ALD sealing defects in the SiN PECVD film or improved nucleation of Al 2 O 3 ALD on SiN.

  5. PECVD SiO2 dielectric for niobium Josephson IC process

    International Nuclear Information System (INIS)

    Lee, S.Y.; Nandakumar, V.; Murdock, B.; Hebert, D.

    1991-01-01

    PECVD SiO 2 dielectric has been evaluated as an insulator for a Nb-based, all-refractory Josephson integrated circuit process. First, the properties of PECVD SiO 2 films were measured and compared with those of evaporated SiO films. Second, the PECVD SiO 2 dielectric film was used in our Nb-based Josephson integrated circuit process. The main problem was found to be the deterioration of the critical temperature of the superconducting niobium adjacent to the SiO 2 . The cause and a solution of the problem were investigated. Finally, a Josephson integrated sampler circuit was fabricated and tested. This paper shows acceptable junction I-V characteristics and a measured time resolution of a 4.9 ps pulse in liquid helium

  6. PECVD Tekniği ile Büyütülmüş İnce Filmlerde Oluşan Ge ve SiGe Nanokristallerin Geçirgen Elektron Mikroskobu (TEM) ,Raman ve Fotoışıma Spektroskopisi Teknikleri ile İncelenmesi

    OpenAIRE

    Şahin, Bünyamin; Ağan, Sedat

    2009-01-01

    We report an experimental study, optical properties of Ge and SiGe nanocrystals in SiOx structures are investigated by using Transmission Electron Microscopy (TEM), Raman and Photlüminescence Spectroscopy techniques. Ge nanocrystals in silicon oxide thin films have been grown with different annealing time by Plasma Enhanced Chemical Vapor Deposition (PECVD) technique. The aim of our work is to determine size and size distiributions Ge, SiGe nanocrystals in SiOx martix due to annealing process...

  7. Transport mechanisms through PE-CVD coatings: influence of temperature, coating properties and defects on permeation of water vapour

    International Nuclear Information System (INIS)

    Kirchheim, Dennis; Jaritz, Montgomery; Hopmann, Christian; Dahlmann, Rainer; Mitschker, Felix; Awakowicz, Peter; Gebhard, Maximilian; Devi, Anjana; Brochhagen, Markus; Böke, Marc

    2017-01-01

    Gas transport mechanisms through plastics are usually described by the temperature-dependent Arrhenius-model and compositions of several plastic layers are represented by the CLT. When it comes to thin films such as plasma-enhanced chemical vapour deposition (PE-CVD) or plasma-enhanced atomic layer deposition (PE-ALD) coatings on substrates of polymeric material, a universal model is lacking. While existing models describe diffusion through defects, these models presume that permeation does not occur by other means of transport mechanisms. This paper correlates the existing transport models with data from water vapour transmission experiments. (paper)

  8. In situ growth rate measurements during plasma-enhanced chemical vapour deposition of vertically aligned multiwall carbon nanotube films

    International Nuclear Information System (INIS)

    Joensson, M; Nerushev, O A; Campbell, E E B

    2007-01-01

    In situ laser reflectivity measurements are used to monitor the growth of multiwalled carbon nanotube (MWCNT) films grown by DC plasma-enhanced chemical vapour deposition (PECVD) from an iron catalyst film deposited on a silicon wafer. In contrast to thermal CVD growth, there is no initial increase in the growth rate; instead, the initial growth rate is high (as much as 10 μm min -1 ) and then drops off rapidly to reach a steady level (2 μm min -1 ) for times beyond 1 min. We show that a limiting factor for growing thick films of multiwalled nanotubes (MWNTs) using PECVD can be the formation of an amorphous carbon layer at the top of the growing nanotubes. In situ reflectivity measurements provide a convenient technique for detecting the onset of the growth of this layer

  9. Optimization of silicon oxynitrides by plasma-enhanced chemical vapor deposition for an interferometric biosensor

    Science.gov (United States)

    Choo, Sung Joong; Lee, Byung-Chul; Lee, Sang-Myung; Park, Jung Ho; Shin, Hyun-Joon

    2009-09-01

    In this paper, silicon oxynitride layers deposited with different plasma-enhanced chemical vapor deposition (PECVD) conditions were fabricated and optimized, in order to make an interferometric sensor for detecting biochemical reactions. For the optimization of PECVD silicon oxynitride layers, the influence of the N2O/SiH4 gas flow ratio was investigated. RF power in the PEVCD process was also adjusted under the optimized N2O/SiH4 gas flow ratio. The optimized silicon oxynitride layer was deposited with 15 W in chamber under 25/150 sccm of N2O/SiH4 gas flow rates. The clad layer was deposited with 20 W in chamber under 400/150 sccm of N2O/SiH4 gas flow condition. An integrated Mach-Zehnder interferometric biosensor based on optical waveguide technology was fabricated under the optimized PECVD conditions. The adsorption reaction between bovine serum albumin (BSA) and the silicon oxynitride surface was performed and verified with this device.

  10. Morphology, optical and electrical properties of Cu-Ni nanoparticles in a-C:H prepared by co-deposition of RF-sputtering and RF-PECVD

    Energy Technology Data Exchange (ETDEWEB)

    Ghodselahi, T., E-mail: ghodselahi@ipm.ir [School of Physics, Institute for Research in Fundamental Sciences (IPM), P.O. Box 19395-5531, Tehran (Iran, Islamic Republic of); Vesaghi, M.A. [School of Physics, Institute for Research in Fundamental Sciences (IPM), P.O. Box 19395-5531, Tehran (Iran, Islamic Republic of); Department of Physics, Sharif University of Technology, P.O. Box 11365-9161, Tehran (Iran, Islamic Republic of); Gelali, A.; Zahrabi, H.; Solaymani, S. [Young Researchers Club, Islamic Azad University, Kermanshah Branch, Kermanshah (Iran, Islamic Republic of)

    2011-11-01

    We report optical and electrical properties of Cu-Ni nanoparticles in hydrogenated amorphous carbon (Cu-Ni NPs - a-C:H) with different surface morphology. Ni NPs with layer thicknesses of 5, 10 and 15 nm over Cu NPs - a-C:H were prepared by co-deposition of RF-sputtering and RF-Plasma Enhanced Chemical Vapor Deposition (RF-PECVD) from acetylene gas and Cu and Ni targets. A nonmetal-metal transition was observed as the thickness of Ni over layer increases. The surface morphology of the sample was described by a two dimensional (2D) Gaussian self-affine fractal, except the sample with 10 nm thickness of Ni over layer, which is in the nonmetal-metal transition region. X-ray diffraction profile indicates that Cu NPs and Ni NPs with fcc crystalline structure are formed in these films. Localized Surface Plasmon Resonance (LSPR) peak of Cu NPs is observed around 600 nm in visible spectra, which is widen and shifted to lower wavelengths as the thickness of Ni over layer increases. The variation of LSPR peak width correlates with conductivity variation of these bilayers. We assign both effects to surface electron delocalization of Cu NPs.

  11. Thermionic Properties of Carbon Based Nanomaterials Produced by Microhollow Cathode PECVD

    Science.gov (United States)

    Haase, John R.; Wolinksy, Jason J.; Bailey, Paul S.; George, Jeffrey A.; Go, David B.

    2015-01-01

    Thermionic emission is the process in which materials at sufficiently high temperature spontaneously emit electrons. This process occurs when electrons in a material gain sufficient thermal energy from heating to overcome the material's potential barrier, referred to as the work function. For most bulk materials very high temperatures (greater than 1500 K) are needed to produce appreciable emission. Carbon-based nanomaterials have shown significant promise as emission materials because of their low work functions, nanoscale geometry, and negative electron affinity. One method of producing these materials is through the process known as microhollow cathode PECVD. In a microhollow cathode plasma, high energy electrons oscillate at very high energies through the Pendel effect. These high energy electrons create numerous radical species and the technique has been shown to be an effective method of growing carbon based nanomaterials. In this work, we explore the thermionic emission properties of carbon based nanomaterials produced by microhollow cathode PECVD under a variety of synthesis conditions. Initial studies demonstrate measureable current at low temperatures (approximately 800 K) and work functions (approximately 3.3 eV) for these materials.

  12. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  13. Optical, mechanical and surface properties of amorphous carbonaceous thin films obtained by plasma enhanced chemical vapor deposition and plasma immersion ion implantation and deposition

    Science.gov (United States)

    Turri, Rafael G.; Santos, Ricardo M.; Rangel, Elidiane C.; da Cruz, Nilson C.; Bortoleto, José R. R.; Dias da Silva, José H.; Antonio, César Augusto; Durrant, Steven F.

    2013-09-01

    Diverse amorphous hydrogenated carbon-based films (a-C:H, a-C:H:F, a-C:H:N, a-C:H:Cl and a-C:H:Si:O) were obtained by radiofrequency plasma enhanced chemical vapor deposition (PECVD) and plasma immersion ion implantation and deposition (PIIID). The same precursors were used in the production of each pair of each type of film, such as a-C:H, using both PECVD and PIIID. Optical properties, namely the refractive index, n, absorption coefficient, α, and optical gap, ETauc, of these films were obtained via transmission spectra in the ultraviolet-visible near-infrared range (wavelengths from 300 to 3300 nm). Film hardness, elastic modulus and stiffness were obtained as a function of depth using nano-indentation. Surface energy values were calculated from liquid drop contact angle data. Film roughness and morphology were assessed using atomic force microscopy (AFM). The PIIID films were usually thinner and possessed higher refractive indices than the PECVD films. Determined refractive indices are consistent with literature values for similar types of films. Values of ETauc were increased in the PIIID films compared to the PECVD films. An exception was the a-C:H:Si:O films, for which that obtained by PIIID was thicker and exhibited a decreased ETauc. The mechanical properties - hardness, elastic modulus and stiffness - of films produced by PECVD and PIIID generally present small differences. An interesting effect is the increase in the hardness of a-C:H:Cl films from 1.0 to 3.0 GPa when ion implantation is employed. Surface energy correlates well with surface roughness. The implanted films are usually smoother than those obtained by PECVD.

  14. Optical, mechanical and surface properties of amorphous carbonaceous thin films obtained by plasma enhanced chemical vapor deposition and plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Turri, Rafael G.; Santos, Ricardo M.; Rangel, Elidiane C.; Cruz, Nilson C. da; Bortoleto, José R.R.; Dias da Silva, José H.; Antonio, César Augusto; Durrant, Steven F.

    2013-01-01

    Diverse amorphous hydrogenated carbon-based films (a-C:H, a-C:H:F, a-C:H:N, a-C:H:Cl and a-C:H:Si:O) were obtained by radiofrequency plasma enhanced chemical vapor deposition (PECVD) and plasma immersion ion implantation and deposition (PIIID). The same precursors were used in the production of each pair of each type of film, such as a-C:H, using both PECVD and PIIID. Optical properties, namely the refractive index, n, absorption coefficient, α, and optical gap, E Tauc , of these films were obtained via transmission spectra in the ultraviolet–visible near-infrared range (wavelengths from 300 to 3300 nm). Film hardness, elastic modulus and stiffness were obtained as a function of depth using nano-indentation. Surface energy values were calculated from liquid drop contact angle data. Film roughness and morphology were assessed using atomic force microscopy (AFM). The PIIID films were usually thinner and possessed higher refractive indices than the PECVD films. Determined refractive indices are consistent with literature values for similar types of films. Values of E Tauc were increased in the PIIID films compared to the PECVD films. An exception was the a-C:H:Si:O films, for which that obtained by PIIID was thicker and exhibited a decreased E Tauc . The mechanical properties – hardness, elastic modulus and stiffness – of films produced by PECVD and PIIID generally present small differences. An interesting effect is the increase in the hardness of a-C:H:Cl films from 1.0 to 3.0 GPa when ion implantation is employed. Surface energy correlates well with surface roughness. The implanted films are usually smoother than those obtained by PECVD.

  15. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  16. Study of CNT growth using nanocatalyst Ag precursor by HWC-VHF-PECVD

    International Nuclear Information System (INIS)

    Eliyana, Ajeng; Rosikin, Ahmad; Winata, Toto

    2015-01-01

    The study of CNT growth has been done by using silver (Ag) nanocatalyst as a guide precursor on corning glass 7059 substrate. The silver catalyst was prepared by the evaporation method by varying deposition time for 50, 25, and 14 seconds. The silver films were then annealed at temperature of 400°C for 4 hours. From Scanning Electron Microscope (SEM) and Energy Dispersive X-ray Spectroscopy (EDX) results the grain sizes are 65 nm, 57 nm, and 33 nm, and also the atomic compositions are 6,06%, 4,52%, and 3,73% for 14, 25 and 50 seconds samples, respectively. The 33 nm samples were then used for CNT growth by using Hot Wire Cell (HWC) – Very High Frequency (VHF) – Plasma Enhanced Chemical Vapor Deposition (PECVD) at 275 ° C deposition temperature and pressure of 300 mTorr. The rf power was varied from 8 to 20 watts, with deposition time for 60 minutes. The methane (CH4) 99.999% was used as Carbon sources. Hydrogen gas (H2) was used to etch the oxide layer formed during the pre-deposition process. The diameter and length for the CNT are 125 nm and 1.650 to 2.989 nm respectively

  17. Study of CNT growth using nanocatalyst Ag precursor by HWC-VHF-PECVD

    Energy Technology Data Exchange (ETDEWEB)

    Eliyana, Ajeng; Rosikin, Ahmad; Winata, Toto, E-mail: toto@fi.itb.ac.id [Physics of Electronics Material Research Division, Physics Programme, Faculty of Mathematics and Natural Sciences, Institut Teknologi Bandung, Jl. Ganesha 10, Bandung 40132, West Java (Indonesia)

    2015-04-16

    The study of CNT growth has been done by using silver (Ag) nanocatalyst as a guide precursor on corning glass 7059 substrate. The silver catalyst was prepared by the evaporation method by varying deposition time for 50, 25, and 14 seconds. The silver films were then annealed at temperature of 400°C for 4 hours. From Scanning Electron Microscope (SEM) and Energy Dispersive X-ray Spectroscopy (EDX) results the grain sizes are 65 nm, 57 nm, and 33 nm, and also the atomic compositions are 6,06%, 4,52%, and 3,73% for 14, 25 and 50 seconds samples, respectively. The 33 nm samples were then used for CNT growth by using Hot Wire Cell (HWC) – Very High Frequency (VHF) – Plasma Enhanced Chemical Vapor Deposition (PECVD) at 275 ° C deposition temperature and pressure of 300 mTorr. The rf power was varied from 8 to 20 watts, with deposition time for 60 minutes. The methane (CH4) 99.999% was used as Carbon sources. Hydrogen gas (H2) was used to etch the oxide layer formed during the pre-deposition process. The diameter and length for the CNT are 125 nm and 1.650 to 2.989 nm respectively.

  18. Remote PECVD silicon nitride films with improved electrical properties for GaAs P-HEMT passivation

    CERN Document Server

    Sohn, M K; Kim, K H; Yang, S G; Seo, K S

    1998-01-01

    In order to obtain thin silicon nitride films with excellent electrical and mechanical properties, we employed RPECVD (Remote Plasma Enhanced Chemical Vapor Deposition) process which produces less plasma-induced damage than the conventional PECVD. Through the optical and electrical measurements of the deposited films, we optimized the various RPECVD process parameters. The optimized silicon nitride films showed excellent characteristics such as small etch rate (approx 33 A/min by 7:1 BHF), high breakdown field (>9 MV/cm), and low compressive stress (approx 3.3x10 sup 9 dyne/cm sup 2). We successfully applied thin RPECVD silicon nitride films to the surface passivation of GaAs pseudomorphic high electron mobility transistors (P-HEMTs) with negligible degradations in DC and RF characteristics.

  19. Preparation of SiC thin films by ion beam technology and PECVD

    International Nuclear Information System (INIS)

    Chen Changqing; Ren Congxin; Yang Lixin; Yan Jinlong; Zheng Zhihong; Zhou Zuyao; Chen Ping; Liu Xianghuai; Chen Xueliang

    1998-01-01

    The formation of β-SiC buried layers in p-type Si by ion beam methods is reported and a comparison of the results obtained under different experimental conditions is made. The preparation of amorphous SiC thin films by IBED is presented and the enhanced deposition of Xe + is found superior to that of Ar + . The work of synthesizing hydrogenated amorphous SiC films by RIBS and RIBAD is described with a discussion on the dependence of some physical parameters on the partial pressure ratio pCH 4 /pAr. Finally given is a brief introduction to a high quality α-SiC:H film which is prepared by PECVD and can exhibit green luminescence at room temperature

  20. Structural and optical properties of arsenic sulfide films synthesized by a novel PECVD-based approach

    Science.gov (United States)

    Mochalov, Leonid; Kudryashov, Mikhail; Logunov, Aleksandr; Zelentsov, Sergey; Nezhdanov, Aleksey; Mashin, Alexandr; Gogova, Daniela; Chidichimo, Giuseppe; De Filpo, Giovanni

    2017-11-01

    A new plasma-enhanced chemical vapor deposition-based (PECVD) approach for synthesizing of As-S films, with As content in the range 60-40 at.%, is demonstrated. The process has been carried out in a low-temperature Ar-plasma, employing for the first time volatile As and S as precursors. Utilization of inorganic elemental precursors, in contrast to the typically used in CVD metal-organic compounds or volatile hydrides/halides of Va- and VIa-group-elements, gives the possibility to reach the highest quality and purity of the As-S ≿halcogenide films. Quantum-chemical calculations have been performed to gain insight into the PECVD As-S chalcogenide films structure and the mechanism of its formation in the plasma discharge. An additional vibrational band near 650 cm-1 corresponding to cycled 2-dimensional units is observed by Raman spectroscopy. The process developed is cost-efficient one due to the very precise control and the long-term stability of the plasma parameters and it possesses a high potential for large-area applications such as fabrication of miniature integrated optical elements and 2D/3D printing of optical devices.

  1. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  2. Influence of PECVD deposited SiNx passivation layer thickness on In0.18Al0.82N/GaN/Si HEMT

    International Nuclear Information System (INIS)

    Singh, Sarab Preet; Liu, Yi; Ngoo, Yi Jie; Kyaw, Lwin Min; Bera, Milan Kumar; Chor, Eng Fong; Dolmanan, S B; Tripathy, Sudhiranjan

    2015-01-01

    The influence of plasma enhanced chemical vapour deposited (PECVD) silicon nitride (SiN x ) passivation film thickness on In 0.18 Al 0.82 N/GaN/Si heterostructures and HEMTs has been investigated. The formation of Si 3 N 4 was confirmed by x-ray photoelectron spectroscopy (XPS) measurements. X-ray reflectivity (XRR) measurements reveal that both the density and roughness of the SiN x film increase with increasing film thickness. With an increase in SiN x film thickness, a significant increase in two-dimensional electron gas (2DEG) density, drain current, extrinsic transconductance and negative threshold voltage shift of the In 0.18 Al 0.82 /GaN/Si HEMTs are observed. An optimal thickness of SiN x is ∼100 nm and it yields a substantial increase in 2DEG density (∼30%) with a minimum sheet resistance for In 0.18 Al 0.82 N/GaN/Si heterostructures. Furthermore, we correlate the observed SiN x film thickness-dependent electrical characteristics of In 0.18 Al 0.82 /GaN/Si HEMTs with the density of the SiN x film. (paper)

  3. Thermal post-deposition treatment effects on nanocrystalline hydrogenated silicon prepared by PECVD under different hydrogen flow rates

    Energy Technology Data Exchange (ETDEWEB)

    Amor, Sana Ben, E-mail: sana.benamor1@gmail.com [Photovoltaic Laboratory Research and Technology Centre of Energy, Borj-Cedria Science and Technology Park, BP 95, 2050 Hammam-Lif (Tunisia); University of Applied Medical Sciences of Hafr El Baten (Saudi Arabia); Meddeb, Hosny; Daik, Ridha; Othman, Afef Ben; Slama, Sonia Ben; Dimassi, Wissem; Ezzaouia, Hatem [Photovoltaic Laboratory Research and Technology Centre of Energy, Borj-Cedria Science and Technology Park, BP 95, 2050 Hammam-Lif (Tunisia)

    2016-01-01

    Graphical abstract: At high annealing temperatures, many atoms do not suffer the attraction of surface species due to the thermal agitation and consequently few atoms are adsorbed. As the temperature is lowered the adsorption is more efficient to the point that is no more atoms in the gas phase. Indeed at relatively low temperatures, the atoms have too little energy to escape from the surface or even to vibrate against it. They lost their degree of freedom in the direction perpendicular to the surface. But this does not prevent the atoms to diffuse along the surface. As a result, the layer's thickness decrease with increasing the annealing temperature. - Highlights: The results extracted from this work are: • The post-deposition thermal treatment improves the crystallinity the film at moderate temperature (500 °C). • The higher annealing temperature can lead to decrease the silicon–hydrogen bonds and increase the Si–Si bonds. • Moderate annealing temperature (700 °C) seems to be crucial for obtaining high minority carrier life times. • Hydrogen effusion phenomenon start occurring at 500–550 °C and get worsen at 900 °C. - Abstract: In this paper, hydrogenated nanocrystalline silicon (nc-Si:H) thin films were deposited on mono-crystalline silicon substrate by plasma enhanced chemical vapor deposition (PECVD) under different hydrogen flow rates followed by a thermal treatment in an infrared furnace at different temperature ranging from 300 to 900 °C. The investigated structural, morphological and optoelectronic properties of samples were found to be strongly dependent on the annealing temperature. Raman spectroscopy revealed that nc-Si:H films contain crystalline, amorphous and mixed structures as well. We find that post-deposition thermal treatment may lead to a tendency for structural improvement and a decrease of the disorder in the film network at moderate temperature under 500 °C. As for annealing at higher temperature up to 900

  4. Embedded layer of Ag nanoparticles prepared by a combined PECVD/PVD process producing SiOxCy-Ag nanocomposite thin films.

    Science.gov (United States)

    Bedel, Laurent; Cayron, Cyril; Jouve, Michel; Maury, Francis

    2012-01-13

    Structural properties of SiO(x)C(y)-Ag nanocomposite thin films prepared by a dual process PVD-PECVD in the same reactor have been investigated. The experimental results have demonstrated the influence of a PECVD process carried out at room temperature for the growth of a dielectric matrix on the size and the distribution density of Ag nanoparticles (NPs) deposited beforehand by magnetron sputtering. The plasma during the growth of the encapsulation SiO(x)C(y) layer caused a diffusion of silver from NPs through the SiO(x)C(y) matrix associated with a decrease in the average size of nanoparticles and an increase of their distribution density. Silver diffusion is blocked at a barrier interface to form a buried layer of individual Ag NPs which, for instance, can find plasmonic applications. Silver also diffuses toward the outer surface inducing antibacterial properties. In both cases initial Ag NPs act as reservoirs for multifunctional properties of advanced nanostructured films.

  5. Using KrF ELA to Improve Gate-Stacked LaAlO₃/ZrO₂ Indium Gallium Zinc Oxide Thin-Film Transistors with Novel Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition Technique.

    Science.gov (United States)

    Wu, Chien-Hung; Chang, Kow-Ming; Chen, Yi-Ming; Huang, Bo-Wen; Zhang, Yu-Xin; Wang, Shui-Jinn

    2018-03-01

    Atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique and KrF excimer laser annealing (ELA) were employed for the fabrication of indium gallium zinc oxide thin-film transistors (IGZO-TFTs). Device with a 150 mJ/cm2 laser annealing densities demonstrated excellent electrical characteristics with improved on/off current ratio of 4.7×107, high channel mobility of 10 cm2/V-s, and low subthreshold swing of 0.15 V/dec. The improvements are attributed to the adjustment of oxygen vacancies in the IGZO channel to an appropriate range of around 28.3% and the reduction of traps at the high-k/IGZO interface.

  6. Synthesis and electrochemical properties of Ti-doped DLC films by a hybrid PVD/PECVD process

    Science.gov (United States)

    Jo, Yeong Ju; Zhang, Teng Fei; Son, Myoung Jun; Kim, Kwang Ho

    2018-03-01

    Low electrical conductivity and poor adhesion to metallic substrates are the main drawbacks of diamond-like carbon (DLC) films when used in electrode applications. In this study, Ti-doped DLC films with various Ti contents were synthesized on metal Ti substrates by a hybrid PVD/PECVD process, where PECVD was used for deposition of DLC films and PVD was used for Ti doping. The effects of the Ti doping ratio on the microstructure, adhesion strength, and electrical and electrochemical properties of the DLC films were systematically investigated. An increase in the Ti content led to increased surface roughness and a higher sp2/sp3 ratio of the Ti-DLC films. Ti atoms existed as amorphous-phase Ti carbide when the Ti doping ratio was less than 2.8 at.%, while the nanocrystalline TiC phase was formed in DLC films when the Ti doping ratio was exceeded 4.0 at.%. The adhesion strength, electrical resistivity, electrochemical activity and reversibility of the DLC films were greatly improved by Ti doping. The influence of Ti doping ratio on the electrical and electrochemical properties of the DLC films were also investigated and the best performance was obtained at a Ti content of 2.8 at.%.

  7. Laser annealed HWCVD and PECVD thin silicon films. Electron field emission

    International Nuclear Information System (INIS)

    O'Neill, K.A.; Shaikh, M.Z.; Lyttle, G.; Anthony, S.; Fan, Y.C.; Persheyev, S.K.; Rose, M.J.

    2006-01-01

    Electron Field Emission (FE) properties of various laser annealed thin silicon films on different substrates were investigated. HWCVD microcrystalline and PECVD amorphous silicon films were irradiated with Nd : YAG and XeCl Excimer lasers at varying energy densities. Encouraging FE results were mainly from XeCl Excimer laser processed PECVD and HWCVD films on metal backplanes. FE measurements were complemented by the study of film surface morphology. Geometric field enhancement factors from surface measurements and Fowler-Nordheim Theory (FNT) were compared. FE properties of the films were also found to be particularly influenced by the backplane material

  8. Spray deposition using impulse atomization technique

    International Nuclear Information System (INIS)

    Ellendt, N.; Schmidt, R.; Knabe, J.; Henein, H.; Uhlenwinkel, V.

    2004-01-01

    A novel technique, impulse atomization, has been used for spray deposition. This single fluid atomization technique leads to different spray characteristics and impact conditions of the droplets compared to gas atomization technique which is the common technique used for spray deposition. Deposition experiments with a Cu-6Sn alloy were conducted to evaluate the appropriateness of impulse atomization to produce dense material. Based on these experiments, a model has been developed to simulate the thermal history and the local solidification rates of the deposited material. A numerical study shows how different cooling conditions affect the solidification rate of the material

  9. Deposition of SiC thin films by PECVD

    CERN Document Server

    Cho, N I; Kim, C K

    1999-01-01

    The SiC films were deposited on Si substrate by the decomposition of CH sub 3 SiCl sub 3 (methylthrichlorosilane) molecules in a high frequency discharge field. From the Raman spectra, it is conjectured that the deposited film are formed into the polycrystalline structure. The photon absorption measurement reveal that the band gap of the electron energy state are to be 2.4 eV for SiC, and 2.6 eV for Si sub 0 sub . sub 4 C sub 0 sub . sub 6 , respectively. In the high power density regime, methyl-radicals decompose easily and increases the carbon concentration in plasma and result in the growing films.

  10. PECVD de composes de silicium sur polymeres: Etude de la premiere phase du depot

    Science.gov (United States)

    Dennler, Gilles

    Since their first introduction in the early 90's, transparent barriers against oxygen and/or water vapor permeation through polymers, such as SiO 2, are the object of increasing interest in the food and pharmaceutical packaging industries, and more recently for the encapsulation of organic-based displays. It is now well known that these thin layers possess barrier properties only if they are thicker than a certain critical thickness, dc. For example, dc is around 12 nm in the case of SiO2 on KaptonRTM PI; below this value, the measured "Oxygen Transmission Rate" (OTR, in standard cm3/m2/day/bar) is roughly the same as that of the uncoated polymer. Until now, no detailed research has been carried out to explain this observation, but a hypothesis was proposed in the literature, based on island-like growth structure of the coating for d ≤ dc. According to this hypothesis, the surface energy of the polymeric substrates is so low that the Volmer-Weber (island-coalescence) growth mode occurs. We have aimed to verify this explanation, that is, to study the initial phase of silicon-compound (SiO2 and SiN) growth on four different polymeric substrates, namely polyimide (KaptonRTM PI), polycarbonate (LexanRTM PC), polypropylene (PP), and polyethyleneterephthalate (MylarRTM PET). Three different deposition methods were used, namely reactive evaporation of SiO, radio-frequency (RF) Plasma Enhanced Chemical Vapor Deposition (RF PECVD), and Distributed Electron Cyclotron Resonance (DECR) PECVD. In this latter case, the substrates were placed in three different positions: (i) in the active glow zone, (ii) downstream, and (iii) downstream, but shielded from photon emission (e.g. VUV) from the plasma. Angle-Resolved X-Ray Photoelectron Spectroscopy (ARXPS), Rutherford Backscattering Spectroscopy (RBS), and Scanning Electron Microscopy (SEM), the latter performed after Reactive Ion Etching (RIE) by oxygen plasma, revealed that growth indeed occurs in a Volmer-Weber mode in the

  11. Inductively and capacitively coupled plasmas at interface: A comparative study towards highly efficient amorphous-crystalline Si solar cells

    Science.gov (United States)

    Guo, Yingnan; Ong, Thiam Min Brian; Levchenko, I.; Xu, Shuyan

    2018-01-01

    A comparative study on the application of two quite different plasma-based techniques to the preparation of amorphous/crystalline silicon (a-Si:H/c-Si) interfaces for solar cells is presented. The interfaces were fabricated and processed by hydrogen plasma treatment using the conventional plasma-enhanced chemical vacuum deposition (PECVD) and inductively coupled plasma chemical vapour deposition (ICP-CVD) methods The influence of processing temperature, radio-frequency power, treatment duration and other parameters on interface properties and degree of surface passivation were studied. It was found that passivation could be improved by post-deposition treatment using both ICP-CVD and PECVD, but PECVD treatment is more efficient for the improvement on passivation quality, whereas the minority carrier lifetime increased from 1.65 × 10-4 to 2.25 × 10-4 and 3.35 × 10-4 s after the hydrogen plasma treatment by ICP-CVD and PECVD, respectively. In addition to the improvement of carrier lifetimes at low temperatures, low RF powers and short processing times, both techniques are efficient in band gap adjustment at sophisticated interfaces.

  12. P-6 : Impact of buffer layers on the self-aligned top-gate a-IGZO TFT characteristics

    NARCIS (Netherlands)

    Nag, M.; en de rest

    2015-01-01

    In this work we present the impact of buffer layers deposited by various techniques such as plasma enhanced chemical deposition (PECVD), physical vapor deposition (PVD) and atomic layer deposition (ALD) techniques on self-aligned (SA) top gate amorphous-Indium-Gallium-Zinc-Oxide (a-IGZO) TFT

  13. Confirming the key role of Ar+ ion bombardment in the growth feature of nanostructured carbon materials by PECVD

    Science.gov (United States)

    Liu, Yulin; Lin, Jinghuang; Jia, Henan; Chen, Shulin; Qi, Junlei; Qu, Chaoqun; Cao, Jian; Feng, Jicai; Fei, Weidong

    2017-11-01

    In order to confirm the key role of Ar+ ion bombardment in the growth feature of nanostructured carbon materials (NCMs), here we report a novel strategy to create different Ar+ ion states in situ in plasma enhanced chemical vapor deposition (PECVD) by separating catalyst film from the substrate. Different bombardment environments on either side of the catalyst film were created simultaneously to achieve multi-layered structural NCMs. Results showed that Ar+ ion bombardment is crucial and complex for the growth of NCMs. Firstly, Ar+ ion bombardment has both positive and negative effects on carbon nanotubes (CNTs). On one hand, Ar+ ions can break up the graphic structure of CNTs and suppress thin CNT nucleation and growth. On the other hand, Ar+ ion bombardment can remove redundant carbon layers on the surface of large catalyst particles which is essential for thick CNTs. As a result, the diameter of the CNTs depends on the Ar+ ion state. As for vertically oriented few-layer graphene (VFG), Ar+ ions are essential and can even convert the CNTs into VFG. Therefore, by combining with the catalyst separation method, specific or multi-layered structural NCMs can be obtained by PECVD only by changing the intensity of Ar+ ion bombardment, and these special NCMs are promising in many fields.

  14. Confirming the key role of Ar+ ion bombardment in the growth feature of nanostructured carbon materials by PECVD.

    Science.gov (United States)

    Liu, Yulin; Lin, Jinghuang; Jia, Henan; Chen, Shulin; Qi, Junlei; Qu, Chaoqun; Cao, Jian; Feng, Jicai; Fei, Weidong

    2017-11-24

    In order to confirm the key role of Ar + ion bombardment in the growth feature of nanostructured carbon materials (NCMs), here we report a novel strategy to create different Ar + ion states in situ in plasma enhanced chemical vapor deposition (PECVD) by separating catalyst film from the substrate. Different bombardment environments on either side of the catalyst film were created simultaneously to achieve multi-layered structural NCMs. Results showed that Ar + ion bombardment is crucial and complex for the growth of NCMs. Firstly, Ar + ion bombardment has both positive and negative effects on carbon nanotubes (CNTs). On one hand, Ar + ions can break up the graphic structure of CNTs and suppress thin CNT nucleation and growth. On the other hand, Ar + ion bombardment can remove redundant carbon layers on the surface of large catalyst particles which is essential for thick CNTs. As a result, the diameter of the CNTs depends on the Ar + ion state. As for vertically oriented few-layer graphene (VFG), Ar + ions are essential and can even convert the CNTs into VFG. Therefore, by combining with the catalyst separation method, specific or multi-layered structural NCMs can be obtained by PECVD only by changing the intensity of Ar + ion bombardment, and these special NCMs are promising in many fields.

  15. Photonic crystal waveguides in PECVD glass

    DEFF Research Database (Denmark)

    Liu, Haoling; Frandsen, Lars Hagedorn; Têtu, Amélie

    Silicon oxynitride (SiON) on silicon has found wide use as a robust and versatileplatform for integrated, optical devices. With plasma-enhanced chemical vapourdeposition (PECVD) the refractive index can be varied all the way from 1.5 (pure silica,SiO2) to 2.0 (pure silicon nitride, Si3N4). We have...... fabricated glasses with refractive indexup to approximately 1.75, with which value it is possible to fabricate photonic crystalwaveguides. These structures have the advantage of being transparent in the whole of thevisible region, which makes them different from photonic crystals made...

  16. Compensation of decreased ion energy by increased hydrogen dilution in plasma deposition of thin film silicon solar cells at low substrate temperatures

    NARCIS (Netherlands)

    Verkerk, A.D.; de Jong, M.M.; Rath, J.K.; Brinza, M.; Schropp, R.E.I.; Goedheer, W.J.; Krzhizhanovskaya, V.V.; Gorbachev, Y.E.; Orlov, K.E.; Khilkevitch, E.M.; Smirnov, A.S.

    2009-01-01

    In order to deposit thin film silicon solar cells on plastics and papers, the deposition process needs to be adapted for low deposition temperatures. In a very high frequency plasma-enhanced chemical vapor deposition (VHF PECVD) process, both the gas phase and the surface processes are affected by

  17. High-Rate Fabrication of a-Si-Based Thin-Film Solar Cells Using Large-Area VHF PECVD Processes

    Energy Technology Data Exchange (ETDEWEB)

    Deng, Xunming [University of Toledo; Fan, Qi Hua

    2011-12-31

    The University of Toledo (UT), working in concert with it’s a-Si-based PV industry partner Xunlight Corporation (Xunlight), has conducted a comprehensive study to develop a large-area (3ft x 3ft) VHF PECVD system for high rate uniform fabrication of silicon absorber layers, and the large-area VHF PECVD processes to achieve high performance a-Si/a-SiGe or a-Si/nc-Si tandem junction solar cells during the period of July 1, 2008 to Dec. 31, 2011, under DOE Award No. DE-FG36-08GO18073. The project had two primary goals: (i) to develop and improve a large area (3 ft × 3 ft) VHF PECVD system for high rate fabrication of > = 8 Å/s a-Si and >= 20 Å/s nc-Si or 4 Å/s a-SiGe absorber layers with high uniformity in film thicknesses and in material structures. (ii) to develop and optimize the large-area VHF PECVD processes to achieve high-performance a-Si/nc-Si or a-Si/a-SiGe tandem-junction solar cells with >= 10% stable efficiency. Our work has met the goals and is summarized in “Accomplishments versus goals and objectives”.

  18. Low-macroscopic field emission from silicon-incorporated diamond-like carbon film synthesized by dc PECVD

    International Nuclear Information System (INIS)

    Ahmed, Sk.F.; Mitra, M.K.; Chattopadhyay, K.K.

    2007-01-01

    Silicon-incorporated diamond-like carbon (Si-DLC) films were deposited via dc plasma-enhanced chemical vapor deposition (PECVD), on glass and alumina substrates at a substrate temperature 300 deg. C. The precursor gas used was acetylene and for Si incorporation, tetraethyl orthosilicate dissolved in methanol was used. Si atomic percentage in the films was varied from 0% to 19.3% as measured from energy-dispersive X-ray analysis (EDX). The binding energies of C 1s, Si 2s and Si 2p were determined from X-ray photoelectron spectroscopic studies. We have observed low-macroscopic field electron emission from Si-DLC thin films deposited on glass substrates. The emission properties have been studied for a fixed anode-sample separation of 80 μm for different Si atomic percentages in the films. The turn-on field was also found to vary from 16.19 to 3.61 V/μm for a fixed anode-sample separation of 80 μm with a variation of silicon atomic percentage in the films 0% to 19.3%. The turn-on field and approximate work function are calculated and we have tried to explain the emission mechanism there from. It was found that the turn-on field and effective emission barrier were reduced by Si incorporation than undoped DLC

  19. Substrate Effect on Plasma Clean Efficiency in Plasma Enhanced Chemical Vapor Deposition System

    Directory of Open Access Journals (Sweden)

    Shiu-Ko JangJian

    2007-01-01

    Full Text Available The plasma clean in a plasma-enhanced chemical vapor deposition (PECVD system plays an important role to ensure the same chamber condition after numerous film depositions. The periodic and applicable plasma clean in deposition chamber also increases wafer yield due to less defect produced during the deposition process. In this study, the plasma clean rate (PCR of silicon oxide is investigated after the silicon nitride deposited on Cu and silicon oxide substrates by remote plasma system (RPS, respectively. The experimental results show that the PCR drastically decreases with Cu substrate compared to that with silicon oxide substrate after numerous silicon nitride depositions. To understand the substrate effect on PCR, the surface element analysis and bonding configuration are executed by X-ray photoelectron spectroscopy (XPS. The high resolution inductively coupled plasma mass spectrometer (HR-ICP-MS is used to analyze microelement of metal ions on the surface of shower head in the PECVD chamber. According to Cu substrate, the results show that micro Cu ion and the CuOx bonding can be detected on the surface of shower head. The Cu ion contamination might grab the fluorine radicals produced by NF3 ddissociation in the RPS and that induces the drastic decrease on PCR.

  20. High density gold nanoparticles immobilized on surface via plasma deposited APTES film for decomposing organic compounds in microchannels

    Science.gov (United States)

    Rao, Xi; Guyon, Cédric; Ognier, Stephanie; Da Silva, Bradley; Chu, Chenglin; Tatoulian, Michaël; Hassan, Ali Abou

    2018-05-01

    Immobilization of colloidal particles (e.g. gold nanoparticles (AuNps)) on the inner surface of micro-/nano- channels has received a great interest for catalysis. A novel catalytic ozonation setup using a gold-immobilized microchannel reactor was developed in this work. To anchor AuNps, (3-aminopropyl) triethoxysilane (APTES) with functional amine groups was deposited using plasma enhanced chemical vapor deposition (PECVD) process. The results clearly evidenced that PECVD processing exhibited relatively high efficiency for grafting amine groups and further immobilizing AuNPs. The catalytic activity of gold immobilized microchannel was evaluated by pyruvic acid ozonation. The decomposition rate calculated from High Performance Liquid Chromatography (HPLC) indicated a much better catalytic performance of gold in microchannel than that in batch. The results confirmed immobilizing gold nanoparticles on plasma deposited APTES for preparing catalytic microreactors is promising for the wastewater treatment in the future.

  1. Plasma enhanced chemical vapor deposition of ZrO2 thin films

    Energy Technology Data Exchange (ETDEWEB)

    Saravanan, Kolandaivelu [Iowa State Univ., Ames, IA (United States)

    1993-12-09

    Amorphous ZrO2 thin films were deposited in an inductively coupled PECVD system using a Zr β-diketonate, Zr(C11H19O2)4, as the precursor. The deposits were air annealed at 900C for 5 min to get pure, single phase, oriented, polycrystalline α-ZrO2. Feasibility of using 2 different types of reactors was investigated. The inductively heated horizontal reactor depositions at 600C had a lower deposition rate and the films were non-uniform in thickness with a columnar structure. The resistively heated vertical reactor depositions at 350C had a higher deposition rate and the films were more uniform in thickness with a fine grained microstructure. The statistical design was demonstrated as an effective technique to analyze the effect of process conditions on the rate of deposition and relative (h00) orientation. The factorial design was used to quantify the two responses in terms of the process variables and their mutual interactions. The statistical design for rate of deposition was found to correlate with the trends observed in classical design.

  2. The Performance Improvement of N2 Plasma Treatment on ZrO2 Gate Dielectric Thin-Film Transistors with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition IGZO Channel.

    Science.gov (United States)

    Wu, Chien-Hung; Huang, Bo-Wen; Chang, Kow-Ming; Wang, Shui-Jinn; Lin, Jian-Hong; Hsu, Jui-Mei

    2016-06-01

    The aim of this paper is to illustrate the N2 plasma treatment for high-κ ZrO2 gate dielectric stack (30 nm) with indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs). Experimental results reveal that a suitable incorporation of nitrogen atoms could enhance the device performance by eliminating the oxygen vacancies and provide an amorphous surface with better surface roughness. With N2 plasma treated ZrO2 gate, IGZO channel is fabricated by atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique. The best performance of the AP-PECVD IGZO TFTs are obtained with 20 W-90 sec N2 plasma treatment with field-effect mobility (μ(FET)) of 22.5 cm2/V-s, subthreshold swing (SS) of 155 mV/dec, and on/off current ratio (I(on)/I(off)) of 1.49 x 10(7).

  3. The method of synthesizing of superhydrophobic surfaces by PECVD

    Science.gov (United States)

    Orazbayev, Sagi; Gabdullin, Maratbek; Ramazanov, Tlekkabul; Dosbolayev, Merlan; Zhunisbekov, Askar; Omirbekov, Dulat; Otarbay, Zhuldyz

    2018-03-01

    The aim of this work was to obtain superhydrophobic surfaces in a plasma medium. The experiment was carried out using the PECVD method in two different modes: constant and pulsing. The surface roughness was obtained by applying nanoparticles synthesized in plasma in a mixture of argon and methane. The resulting particles were deposited on the surface of silicon and glass materials. The contact angle increased linearly depending on the number of cycles, until it reached 160° at 150-160th cycles, after that the increase in cycles does not affect the contact angle, since the saturation process is in progress. Also the effect of the working gas composition on the hydrophobicity of the surface was studied. At low concentrations of methane (1%) only particles are synthesized in the working gas, and hydrophobicity is unstable, with an increase in methane concentration (7%) nanofilms are synthesized from nanoclusters, and surface hydrophobicity is relatively stable. In addition, a pulsing plasma mode was used to obtain superhydrophobic surfaces. The hydrophobicity of the sample showed that the strength of the nanofilm was stable in comparison with the sample obtained in the first mode, but the contact angle was lower. The obtained samples were examined using SEM, SPM, optical analysis, and their contact angles were determined.

  4. Experimental setup for producing tungsten coated graphite tiles using plasma enhanced chemical vapor deposition technique for fusion plasma applications

    International Nuclear Information System (INIS)

    Chauhan, Sachin Singh; Sharma, Uttam; Choudhary, K.K.; Sanyasi, A.K.; Ghosh, J.; Sharma, Jayshree

    2013-01-01

    Plasma wall interaction (PWI) in fusion grade machines puts stringent demands on the choice of materials in terms of high heat load handling capabilities and low sputtering yields. Choice of suitable material still remains a challenge and open topic of research for the PWI community. Carbon fibre composites (CFC), Beryllium (Be), and Tungsten (W) are now being considered as first runners for the first wall components of future fusion machines. Tungsten is considered to be one of the suitable materials for the job because of its superior properties than carbon like low physical sputtering yield and high sputter energy threshold, high melting point, fairly high re-crystallization temperature, low fuel retention capabilities, low chemical sputtering with hydrogen and its isotopes and most importantly the reparability with various plasma techniques both ex-situ and in-situ. Plasma assisted chemical vapour deposition is considered among various techniques as the most preferable technique for fabricating tungsten coated graphite tiles to be used as tokamak first wall and target components. These coated tiles are more favourable compared to pure tungsten due to their light weight and easier machining. A system has been designed, fabricated and installed at SVITS, Indore for producing tungsten coated graphite tiles using Plasma Enhanced Chemical Vapor Deposition (PE-CVD) technique for Fusion plasma applications. The system contains a vacuum chamber, a turbo-molecular pump, two electrodes, vacuum gauges, mass analyzer, mass flow controllers and a RF power supply for producing the plasma using hydrogen gas. The graphite tiles will be put on one of the electrodes and WF6 gas will be inserted in a controlled manner in the hydrogen plasma to achieve the tungsten-coating with WF6 dissociation. The system is integrated at SVITS, Indore and a vacuum of the order of 3*10 -6 is achieved and glow discharge plasma has been created to test all the sub-systems. The system design with

  5. Bimodal gate-dielectric deposition for improved performance of AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors

    International Nuclear Information System (INIS)

    Pang Liang; Kim, Kyekyoon

    2012-01-01

    A bimodal deposition scheme combining radiofrequency magnetron sputtering and plasma enhanced chemical vapour deposition (PECVD) is proposed as a means for improving the performance of GaN-based metal-oxide-semiconductor high-electron-mobility transistors (MOSHEMTs). High-density sputtered-SiO 2 is utilized to reduce the gate leakage current and enhance the breakdown voltage while low-density PECVD-SiO 2 is employed to buffer the sputtering damage and further increase the drain current by engineering the stress-induced-polarization. Thus-fabricated MOSHEMT exhibited a low leakage current of 4.21 × 10 -9 A mm -1 and high breakdown voltage of 634 V for a gate-drain distance of 6 µm, demonstrating the promise of bimodal-SiO 2 deposition scheme for the development of GaN-based MOSHEMTs for high-power application. (paper)

  6. Preparation and characterization of thin organosilicon films deposited on SPR chip

    Energy Technology Data Exchange (ETDEWEB)

    Szunerits, Sabine [Laboratoire d' Electrochimie et de Physicochimie des Materiaux et des Interfaces (LEPMI), CNRS-INPG-UJF, 1130 rue de la piscine, BP 75, 38402 St. Martin d' Heres Cedex (France)], E-mail: sabine.szunerits@lepmi.inpg.fr; Rich, Sami Abou [Laboratoire de Genie des Procedes d' Interaction de Fluides Reactifs-Materiaux U.S.T.L., Cite Scientifique, 59655 Villeneuve d' Ascq (France); Coffinier, Yannick [Institut de Recherche Interdisciplinaire (IRI), FRE CNRS 2963, Institut d' lectronique, de Microelectronique et de Nanotechnologie (IEMN), UMR CNRS-8520, Cite Scientifique, Avenue Poincare, BP 60069, 59652 Villeneuve d' Ascq (France); Languille, Marie-Angelique [Unite de Catalyse et de Chimie du Solide, UCCS UMR CNRS-8181, Universite des Sciences et Technologies de Lille, Bat. C3, 59655 Villeneuve d' Ascq (France); Supiot, Philippe [Laboratoire de Genie des Procedes d' Interaction de Fluides Reactifs-Materiaux U.S.T.L., Cite Scientifique, 59655 Villeneuve d' Ascq (France); Boukherroub, Rabah [Institut de Recherche Interdisciplinaire (IRI), FRE CNRS 2963, Institut d' lectronique, de Microelectronique et de Nanotechnologie (IEMN), UMR CNRS-8520, Cite Scientifique, Avenue Poincare, BP 60069, 59652 Villeneuve d' Ascq (France)], E-mail: rabah.boukherroub@iemn.univ-lille1.fr

    2008-04-20

    The paper reports on the preparation and characterization of organosilicon thin polymer films deposited on glass slides coated with 5 nm adhesion layer of titanium and 50 nm of gold. The polymer was obtained by the decomposition of 1,1,3,3-tetramethyldisiloxane precursor (TMDSO) premixed with oxygen induced in a N{sub 2} plasma afterglow using remote plasma-enhanced chemical vapor deposition (PECVD) technique. The film thickness was controlled by laser interferometry and was 9 nm. The chemical stability of the gold substrate coated with the organosilicon polymer film (p-TMDSO) was studied in different acidic and basic solutions (pH 1-14). While the gold/polymer interface showed a high stability in acidic media, the film was almost completely removed in basic solutions. The resulting surfaces were characterized using atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), water contact angle measurements, cyclic voltammetry, and surface plasmon resonance (SPR)

  7. Preparation and characterization of thin organosilicon films deposited on SPR chip

    International Nuclear Information System (INIS)

    Szunerits, Sabine; Rich, Sami Abou; Coffinier, Yannick; Languille, Marie-Angelique; Supiot, Philippe; Boukherroub, Rabah

    2008-01-01

    The paper reports on the preparation and characterization of organosilicon thin polymer films deposited on glass slides coated with 5 nm adhesion layer of titanium and 50 nm of gold. The polymer was obtained by the decomposition of 1,1,3,3-tetramethyldisiloxane precursor (TMDSO) premixed with oxygen induced in a N 2 plasma afterglow using remote plasma-enhanced chemical vapor deposition (PECVD) technique. The film thickness was controlled by laser interferometry and was 9 nm. The chemical stability of the gold substrate coated with the organosilicon polymer film (p-TMDSO) was studied in different acidic and basic solutions (pH 1-14). While the gold/polymer interface showed a high stability in acidic media, the film was almost completely removed in basic solutions. The resulting surfaces were characterized using atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), water contact angle measurements, cyclic voltammetry, and surface plasmon resonance (SPR)

  8. Influence of flow rate on different properties of diamond-like nanocomposite thin films grown by PECVD

    Directory of Open Access Journals (Sweden)

    T. S. Santra

    2012-06-01

    Full Text Available Diamond-like nanocomposite (DLN thin films were deposited on pyrex glass substrate using different flow rate of haxamethyldisiloxane (HMDSO based liquid precursor with nitrogen gas as a glow discharged decomposition by plasma enhanced chemical vapor deposition (PECVD technique. The significant influence of different precursor flow rates on refractive index and thickness of the DLN films was measured by using spectroscopic filmatrics and DEKTAK profilometer. Optical transparency of the DLN thin films was analyzed by UV-VIS-NIR spectrometer. FTIR spectroscopy, provides the information about shifted bonds like SiC2, Si-C, Si-O, C-C, Si-H, C-H, N-H, and O-H with different precursor flow rate. We have estimated the hardness of the DLN films from Raman spectroscopy using Gaussian deconvolution method and tried to investigate the correlation between hardness, refractive index and thickness of the films with different precursor flow rates. The composition and surface morphology of the DLN films were investigated by X-ray photo electron spectroscopy (XPS and atomic force microscopy (AFM respectively. We have analyzed the hardness by intensity ratio (ID/IG of D and G peaks and correlates with hardness measurement by nanoindentation test where hardness increases from 27.8 μl/min to 80.6μl/min and then decreases with increase of flow rate from 80.6μl/min to 149.5μl/min. Finally, we correlates different parameters of structural, optical and tribological properties like film-thickness, refractive index, light transmission, hardness, surface roughness, modulus of elasticity, contact angle etc. with different precursor flow rates of DLN films.

  9. On the intrinsic moisture permeation rate of remote microwave plasma-deposited silicon nitride layers

    NARCIS (Netherlands)

    van Assche, F. J. H.; Unnikrishnan, S.; Michels, J. J.; van Mol, A. M. B.; van de Weijer, P.; M. C. M. van de Sanden,; Creatore, M.

    2014-01-01

    We report on a low substrate temperature (110 °C) remote microwave plasma-enhanced chemical vapor deposition (PECVD) process of silicon nitride barrier layers against moisture permeation for organic light emitting diodes (OLEDs) and other moisture sensitive devices such as organic

  10. Preparation of Hydrophobic Metal-Organic Frameworks via Plasma Enhanced Chemical Vapor Deposition of Perfluoroalkanes for the Removal of Ammonia

    Science.gov (United States)

    DeCoste, Jared B.; Peterson, Gregory W.

    2013-01-01

    Plasma enhanced chemical vapor deposition (PECVD) of perfluoroalkanes has long been studied for tuning the wetting properties of surfaces. For high surface area microporous materials, such as metal-organic frameworks (MOFs), unique challenges present themselves for PECVD treatments. Herein the protocol for development of a MOF that was previously unstable to humid conditions is presented. The protocol describes the synthesis of Cu-BTC (also known as HKUST-1), the treatment of Cu-BTC with PECVD of perfluoroalkanes, the aging of materials under humid conditions, and the subsequent ammonia microbreakthrough experiments on milligram quantities of microporous materials. Cu-BTC has an extremely high surface area (~1,800 m2/g) when compared to most materials or surfaces that have been previously treated by PECVD methods. Parameters such as chamber pressure and treatment time are extremely important to ensure the perfluoroalkane plasma penetrates to and reacts with the inner MOF surfaces. Furthermore, the protocol for ammonia microbreakthrough experiments set forth here can be utilized for a variety of test gases and microporous materials. PMID:24145623

  11. Synthesis of crystalline Ge nanoclusters in PE-CVD-deposited SiO2 films

    DEFF Research Database (Denmark)

    Leervad Pedersen, T.P.; Skov Jensen, J.; Chevallier, J.

    2005-01-01

    The synthesis of evenly distributed Ge nanoclusters in plasma-enhanced chemical-vapour-deposited (PE-CVD) SiO2 thin films containing 8 at. % Ge is reported. This is of importance for the application of nanoclusters in semiconductor technology. The average diameter of the Ge nanoclusters can...

  12. Tuning the mechanical properties of vertical graphene sheets through atomic layer deposition

    International Nuclear Information System (INIS)

    Davami, Keivan; Jiang, Yijie; Cortes, John; Lin, Chen; Turner, Kevin T; Bargatin, Igor; Shaygan, Mehrdad

    2016-01-01

    We report the fabrication and characterization of graphene nanostructures with mechanical properties that are tuned by conformal deposition of alumina. Vertical graphene (VG) sheets, also called carbon nanowalls (CNWs), were grown on copper foil substrates using a radio-frequency plasma-enhanced chemical vapor deposition (RF-PECVD) technique and conformally coated with different thicknesses of alumina (Al_2O_3) using atomic layer deposition (ALD). Nanoindentation was used to characterize the mechanical properties of pristine and alumina-coated VG sheets. Results show a significant increase in the effective Young’s modulus of the VG sheets with increasing thickness of deposited alumina. Deposition of only a 5 nm thick alumina layer on the VG sheets nearly triples the effective Young’s modulus of the VG structures. Both energy absorption and strain recovery were lower in VG sheets coated with alumina than in pure VG sheets (for the same peak force). This may be attributed to the increase in bending stiffness of the VG sheets and the creation of connections between the sheets after ALD deposition. These results demonstrate that the mechanical properties of VG sheets can be tuned over a wide range through conformal atomic layer deposition, facilitating the use of VG sheets in applications where specific mechanical properties are needed. (paper)

  13. Chemistry of plasma-polymerized vinyltriethoxysilane controlled by deposition conditions

    Czech Academy of Sciences Publication Activity Database

    Čech, V.; Zemek, Josef; Peřina, Vratislav

    2008-01-01

    Roč. 5, č. 8 (2008), s. 745-752 ISSN 1612-8850 Grant - others:GAČR(CZ) GA104/06/0437 Institutional research plan: CEZ:AV0Z10100521; CEZ:AV0Z10480505 Keywords : ESCA/XPS * FTIR * plasma-enhanced chemical vapor deposition (PECVD) * Rutherford back-scattering (RBS) * thin films Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.921, year: 2008

  14. Plasma deposition of silver nanoparticles on ultrafiltration membranes: antibacterial and anti-biofouling properties.

    Science.gov (United States)

    Cruz, Mercedes Cecilia; Ruano, Gustavo; Wolf, Marcus; Hecker, Dominic; Vidaurre, Elza Castro; Schmittgens, Ralph; Rajal, Verónica Beatriz

    2015-02-01

    A novel and versatile plasma reactor was used to modify Polyethersulphone commercial membranes. The equipment was applied to: i) functionalize the membranes with low-temperature plasmas, ii) deposit a film of poly(methyl methacrylate) (PMMA) by Plasma Enhanced Chemical Vapor Deposition (PECVD) and, iii) deposit silver nanoparticles (SNP) by Gas Flow Sputtering. Each modification process was performed in the same reactor consecutively, without exposure of the membranes to atmospheric air. Scanning electron microscopy and transmission electron microscopy were used to characterize the particles and modified membranes. SNP are evenly distributed on the membrane surface. Particle fixation and transport inside membranes were assessed before- and after-washing assays by X-ray photoelectron spectroscopy depth profiling analysis. PMMA addition improved SNP fixation. Plasma-treated membranes showed higher hydrophilicity. Anti-biofouling activity was successfully achieved against Gram-positive ( Enterococcus faecalis ) and -negative ( Salmonella Typhimurium) bacteria. Therefore, disinfection by ultrafiltration showed substantial resistance to biofouling. The post-synthesis functionalization process developed provides a more efficient fabrication route for anti-biofouling and anti-bacterial membranes used in the water treatment field. To the best of our knowledge, this is the first report of a gas phase condensation process combined with a PECVD procedure in order to deposit SNP on commercial membranes to inhibit biofouling formation.

  15. Formation of SiN{sub x}:H by PECVD: optimization of the optical, bulk passivation and structural properties for photovoltaic applications; Elaboration de SiN{sub x}:H par PECVD: optimisation des proprietes optiques, passivantes et structurales pour applications photovoltaiques

    Energy Technology Data Exchange (ETDEWEB)

    Lelievre, J.F

    2007-04-15

    The hydrogenated silicon nitride SiNx:H is widely used as antireflection coating and passivation layer in the manufacture of silicon photovoltaic cells. The aim of this work was to implement a low frequency (440 kHz) PECVD reactor and to characterize the obtained SiN layers. After having determined the parameters of the optimal deposition, the physico-chemical structure of the layers has been studied. The optical properties have been studied with the aim to improve the antireflection coating of the photovoltaic cells. The surface and bulk passivation properties, induced by the SiN layer in terms of its stoichiometry, have been analyzed and have revealed the excellent passivating efficiency of this material. At last, have been studied the formation conditions of the silicon nano-crystals in the SiN matrix. (O.M.)

  16. Characterization of transparent silica films deposited on polymeric materials

    International Nuclear Information System (INIS)

    Teshima, K.; Sugimura, H.; Inoue, Y.; Takai, O.

    2002-01-01

    Silica films were synthesized by capacitively coupled RF PECVD using mixtures of organo-silane and oxygen as a source. The chemical bonding states and compositions of the films deposited were evaluated with FTIR and XPS. Film surfaces and cross-sections were observed by SEM. Oxygen transmission rates (OTR) of the films coated on polyethylene terephthalate (PET) substrates were measured by an isopiestic method. (Authors)

  17. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    Energy Technology Data Exchange (ETDEWEB)

    Ghrib, M., E-mail: mondherghrib@yahoo.fr [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Gaidi, M.; Ghrib, T.; Khedher, N. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Ben Salam, M. [L3M, Department of Physics, Faculty of Sciences of Bizerte, 7021 Zarzouna (Tunisia); Ezzaouia, H. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia)

    2011-08-15

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  18. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    International Nuclear Information System (INIS)

    Ghrib, M.; Gaidi, M.; Ghrib, T.; Khedher, N.; Ben Salam, M.; Ezzaouia, H.

    2011-01-01

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  19. Metal (Ag/Ti)-Containing Hydrogenated Amorphous Carbon Nanocomposite Films with Enhanced Nanoscratch Resistance: Hybrid PECVD/PVD System and Microstructural Characteristics.

    Science.gov (United States)

    Constantinou, Marios; Nikolaou, Petros; Koutsokeras, Loukas; Avgeropoulos, Apostolos; Moschovas, Dimitrios; Varotsis, Constantinos; Patsalas, Panos; Kelires, Pantelis; Constantinides, Georgios

    2018-03-30

    This study aimed to develop hydrogenated amorphous carbon thin films with embedded metallic nanoparticles (a-C:H:Me) of controlled size and concentration. Towards this end, a novel hybrid deposition system is presented that uses a combination of Plasma Enhanced Chemical Vapor Deposition (PECVD) and Physical Vapor Deposition (PVD) technologies. The a-C:H matrix was deposited through the acceleration of carbon ions generated through a radio-frequency (RF) plasma source by cracking methane, whereas metallic nanoparticles were generated and deposited using terminated gas condensation (TGC) technology. The resulting material was a hydrogenated amorphous carbon film with controlled physical properties and evenly dispersed metallic nanoparticles (here Ag or Ti). The physical, chemical, morphological and mechanical characteristics of the films were investigated through X-ray reflectivity (XRR), Raman spectroscopy, Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM), Transmission Electron Microscopy (TEM) and nanoscratch testing. The resulting amorphous carbon metal nanocomposite films (a-C:H:Ag and a-C:H:Ti) exhibited enhanced nanoscratch resistance (up to +50%) and low values of friction coefficient (<0.05), properties desirable for protective coatings and/or solid lubricant applications. The ability to form nanocomposite structures with tunable coating performance by potentially controlling the carbon bonding, hydrogen content, and the type/size/percent of metallic nanoparticles opens new avenues for a broad range of applications in which mechanical, physical, biological and/or combinatorial properties are required.

  20. Frequency effects and properties of plasma deposited fluorinated silicon nitride

    International Nuclear Information System (INIS)

    Chang, C.; Flamm, D.L.; Ibbotson, D.E.; Mucha, J.A.

    1988-01-01

    The properties of low-hydrogen, fluorinated plasma-enhanced chemical vapor deposition (PECVD) silicon nitride films grown using NF 3 /SiH 4 /N 2 feed mixtures in 200 kHz and 14 MHz discharges were compared. High-energy ion bombardment at 200 kHz is expected to enhance surface diffusion and chemical reconstruction. Compared to fluorinated silicon nitride deposited at 14 MHz under otherwise comparable conditions, the 200 kHz films had a lower Si--H bond concentration (approx. 21 cm -3 ), lower total hydrogen content (5--8 x 10 21 cm -3 ), better resistance to oxidation, lower compressive stress (-0.7 to -1.5 Gdyne/cm), and higher density (3.1 g/cm 3 ). The dielectric constant of better low-frequency Class I films was constant to 500 MHz, while that of high-frequency films fell up to 15% between 100 Hz and 10 MHz. The absorption edges of low-frequency PECVD fluorinated silicon nitride films were between 5.0 and 6.1 eV, which compare with 4.4 to 5.6 eV for the high-excitation frequency fluorinated material and 3 to 4 eV for conventional PECVD nitride. However high-frequency films may have fewer trap centers and a lower dielectric constant. 14 MHz p-SiN:F films grown with NH 3 as an auxiliary nitrogen source showed absorption edges similar to low-frequency material grown from NF 3 /SiH 4 /N 2 , but they have substantially more N--H bonding. The dielectric constant and absorption edge of these films were comparable to those of low-frequency p-SiN:F from NF 3 /SiH 4 /N 2

  1. Cuprous oxide thin films grown by hydrothermal electrochemical deposition technique

    International Nuclear Information System (INIS)

    Majumder, M.; Biswas, I.; Pujaru, S.; Chakraborty, A.K.

    2015-01-01

    Semiconducting cuprous oxide films were grown by a hydrothermal electro-deposition technique on metal (Cu) and glass (ITO) substrates between 60 °C and 100 °C. X-ray diffraction studies reveal the formation of cubic cuprous oxide films in different preferred orientations depending upon the deposition technique used. Film growth, uniformity, grain size, optical band gap and photoelectrochemical response were found to improve in the hydrothermal electrochemical deposition technique. - Highlights: • Cu 2 O thin films were grown on Cu and glass substrates. • Conventional and hydrothermal electrochemical deposition techniques were used. • Hydrothermal electrochemical growth showed improved morphology, thickness and optical band gap

  2. Electrical characterization of MIS devices using PECVD SiN{sub x}:H films for application of silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Yoo, Jin-Su; Cho, Jun-Sik; Park, Joo-Hyung; Ahn, Seung-Kyu; Shin, Kee-Shik; Yoon, Kyung-Hoon [Korea Institute of Energy Research, Daejeon (Korea, Republic of); Yi, Jun-Sin [Sungkyunkwan University, Suwon (Korea, Republic of)

    2012-07-15

    The surface passivation of crystalline silicon solar cells using plasma enhanced chemical vapor deposition (PECVD), hydrogenated, silicon-nitride (SiN{sub x}:H) thin films has become significant due to a low-temperature, low-cost and very effective defect passivation process. Also, a good quality antireflection coating can be formed. In this work, SiN{sub x}:H thin films were deposited by varying the gas ratio R (=NH{sub 3}/SiH{sub 4}+NH{sub 3}) and were annealed by rapid thermal processing (RTP). Metal-insulator- semiconductor (MIS) devices were fabricated using SiN{sub x}:H thin films as insulator layers and they were analyzed in the temperature range of 100 - 400 K by using capacitance-voltage (C-V) and current-voltage (I-V) measurements. The annealed SiN{sub x}:H thin films were evaluated by using the electrical properties at different temperature to determine the effect of surface passivation. We achieved an energy conversion efficiency of 18.1% under one-sun standard testing conditions for large-area (156 mm x 156 mm) crystalline-silicon solar cells.

  3. Low temperature synthesis and field emission characteristics of single to few layered graphene grown using PECVD

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Avshish; Khan, Sunny; Zulfequar, M.; Harsh; Husain, Mushahid, E-mail: mush_reslab@rediffmail.com

    2017-04-30

    Highlights: • Graphene was synthesized by PECVD system at a low temperature of 600 °C. • From different characterization techniques, the presence of single and few layered graphene was confirmed. • X-ray diffraction pattern of the graphene showed single crystalline nature of the film. • The as-grown graphene films were observed extremely good field emitters with long term emission current stability. - Abstract: In this work, high-quality graphene has successfully been synthesized on copper (Cu) coated Silicon (Si) substrate at very large-area by plasma enhanced chemical vapor deposition system. This method is low cost and highly effective for synthesizing graphene relatively at low temperature of 600 °C. Electron microscopy images have shown that surface morphology of the grown samples is quite uniform consisting of single layered graphene (SLG) to few layered graphene (FLG). Raman spectra reveal that graphene has been grown with high-quality having negligible defects and the observation of G and G' peaks is also an indicative of stokes phonon energy shift caused due to laser excitation. Scanning probe microscopy image also depicts the synthesis of single to few layered graphene. The field emission characteristics of as-grown graphene samples were studied in a planar diode configuration at room temperature. The graphene samples were observed to be a good field emitter having low turn-on field, higher field amplification factor and long term emission current stability.

  4. Low-temperature synthesis of diamond films by photoemission-assisted plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kawata, Mayuri, E-mail: kawata@mail.tagen.tohoku.ac.jp; Ojiro, Yoshihiro; Ogawa, Shuichi; Takakuwa, Yuji [Institute of Multidisciplinary Research for Advanced Materials, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan); Masuzawa, Tomoaki; Okano, Ken [International Christian University, 3-10-2 Osawa, Mitaka 181-8585 (Japan)

    2014-03-15

    Photoemission-assisted plasma-enhanced chemical vapor deposition (PA-PECVD), a process in which photoelectrons emitted from a substrate irradiated with ultraviolet light are utilized as a trigger for DC discharge, was investigated in this study; specifically, the DC discharge characteristics of PA-PECVD were examined for an Si substrate deposited in advance through hot-filament chemical vapor deposition with a nitrogen-doped diamond layer of thickness ∼1 μm. Using a commercially available Xe excimer lamp (hν = 7.2 eV) to illuminate the diamond surface with and without hydrogen termination, the photocurrents were found to be 3.17 × 10{sup 12} and 2.11 × 10{sup 11} electrons/cm{sup 2}/s, respectively. The 15-fold increase in photocurrent was ascribed to negative electron affinity (NEA) caused by hydrogen termination on the diamond surfaces. The DC discharge characteristics revealed that a transition bias voltage from a Townsend-to-glow discharge was considerably decreased because of NEA (from 490 to 373 V for H{sub 2} gas and from 330 to 200 V for Ar gas), enabling a reduction in electric power consumption needed to synthesize diamond films through PA-PECVD. In fact, the authors have succeeded in growing high-quality diamond films of area 2.0 cm{sup 2} at 540 °C with a discharge power of only 1.8 W, plasma voltage of 156.4 V, and discharge current of 11.7 mA under the glow discharge of CH{sub 4}/H{sub 2}/Ar mixed gases. In addition to having only negligible amounts of graphite and amorphous carbon, the diamond films exhibit a relatively high diamond growth rate of 0.5 μm/h at temperatures as low as 540 °C, which is attributed to Ar{sup +} ions impinging on the diamond surface, and causing the removal of hydrogen atoms from the surface through sputtering. This process leads to enhanced CH{sub x} radical adsorption, because the sample was applied with a negative potential to accelerate photoelectrons in PA-PECVD.

  5. Doping efficiency analysis of highly phosphorous doped epitaxial/amorphous silicon emitters grown by PECVD for high efficiency silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    El-Gohary, H.G.; Sivoththaman, S. [Waterloo Univ., ON (Canada). Dept. of Electrical and Computer Engineering

    2008-08-15

    The efficient doping of hydrogenated amorphous and crystalline silicon thin films is a key factor in the fabrication of silicon solar cells. The most popular method for developing those films is plasma enhanced chemical vapor deposition (PECVD) because it minimizes defect density and improves doping efficiency. This paper discussed the preparation of different structure phosphorous doped silicon emitters ranging from epitaxial to amorphous films at low temperature. Phosphine (PH{sub 3}) was employed as the doping gas source with the same gas concentration for both epitaxial and amorphous silicon emitters. The paper presented an analysis of dopant activation by applying a very short rapid thermal annealing process (RTP). A spreading resistance profile (SRP) and SIMS analysis were used to detect both the active dopant and the dopant concentrations, respectively. The paper also provided the results of a structural analysis for both bulk and cross-section at the interface using high-resolution transmission electron microscopy and Raman spectroscopy, for epitaxial and amorphous films. It was concluded that a unity doping efficiency could be achieved in epitaxial layers by applying an optimized temperature profile using short time processing rapid thermal processing technique. The high quality, one step epitaxial layers, led to both high conductive and high doping efficiency layers.

  6. Sputtering. [as deposition technique in mechanical engineering

    Science.gov (United States)

    Spalvins, T.

    1976-01-01

    This paper primarily reviews the potential of using the sputtering process as a deposition technique; however, the manufacturing and sputter etching aspects are also discussed. Since sputtering is not regulated by classical thermodynamics, new multicomponent materials can be developed in any possible chemical composition. The basic mechanism for dc and rf sputtering is described. Sputter-deposition is described in terms of the unique advantageous features it offers such as versatility, momentum transfer, stoichiometry, sputter-etching, target geometry (coating complex surfaces), precise controls, flexibility, ecology, and sputtering rates. Sputtered film characteristics, such as strong adherence and coherence and film morphology, are briefly evaluated in terms of varying the sputtering parameters. Also described are some of the specific industrial areas which are turning to sputter-deposition techniques.

  7. Formation of SiNx:H by PECVD: optimization of the optical, bulk passivation and structural properties for photovoltaic applications

    International Nuclear Information System (INIS)

    Lelievre, J.F.

    2007-04-01

    The hydrogenated silicon nitride SiNx:H is widely used as antireflection coating and passivation layer in the manufacture of silicon photovoltaic cells. The aim of this work was to implement a low frequency (440 kHz) PECVD reactor and to characterize the obtained SiN layers. After having determined the parameters of the optimal deposition, the physico-chemical structure of the layers has been studied. The optical properties have been studied with the aim to improve the antireflection coating of the photovoltaic cells. The surface and bulk passivation properties, induced by the SiN layer in terms of its stoichiometry, have been analyzed and have revealed the excellent passivating efficiency of this material. At last, have been studied the formation conditions of the silicon nano-crystals in the SiN matrix. (O.M.)

  8. Multiscale Computational Fluid Dynamics: Methodology and Application to PECVD of Thin Film Solar Cells

    Directory of Open Access Journals (Sweden)

    Marquis Crose

    2017-02-01

    Full Text Available This work focuses on the development of a multiscale computational fluid dynamics (CFD simulation framework with application to plasma-enhanced chemical vapor deposition of thin film solar cells. A macroscopic, CFD model is proposed which is capable of accurately reproducing plasma chemistry and transport phenomena within a 2D axisymmetric reactor geometry. Additionally, the complex interactions that take place on the surface of a-Si:H thin films are coupled with the CFD simulation using a novel kinetic Monte Carlo scheme which describes the thin film growth, leading to a multiscale CFD model. Due to the significant computational challenges imposed by this multiscale CFD model, a parallel computation strategy is presented which allows for reduced processing time via the discretization of both the gas-phase mesh and microscopic thin film growth processes. Finally, the multiscale CFD model has been applied to the PECVD process at industrially relevant operating conditions revealing non-uniformities greater than 20% in the growth rate of amorphous silicon films across the radius of the wafer.

  9. Surface wet-ability modification of thin PECVD silicon nitride layers by 40 keV argon ion treatments

    Science.gov (United States)

    Caridi, F.; Picciotto, A.; Vanzetti, L.; Iacob, E.; Scolaro, C.

    2015-10-01

    Measurements of wet-ability of liquid drops have been performed on a 30 nm silicon nitride (Si3N4) film deposited by a PECVD reactor on a silicon wafer and implanted by 40 keV argon ions at different doses. Surface treatments by using Ar ion beams have been employed to modify the wet-ability. The chemical composition of the first Si3N4 monolayer was investigated by means of X-ray Photoelectron Spectroscopy (XPS). The surface morphology was tested by Atomic Force Microscopy (AFM). Results put in evidence the best implantation conditions for silicon nitride to increase or to reduce the wet-ability of the biological liquid. This permits to improve the biocompatibility and functionality of Si3N4. In particular experimental results show that argon ion bombardment increases the contact angle, enhances the oxygen content and increases the surface roughness.

  10. Factors determining properties of multi-walled carbon nanotubes/fibres deposited by PECVD

    International Nuclear Information System (INIS)

    Bell, M S; Teo, K B K; Milne, W I

    2007-01-01

    This paper presents a number of factors which have been found to be important to the growth of carbon nanotubes and nanofibres by plasma enhanced chemical vapour deposition. The effect of the electric field in a plasma discharge on nanotube growth is investigated and shown to be important in achieving nanotube alignment. The use of a plasma discharge also enables deposition to take place at lower temperatures, facilitating the use of substrates which would otherwise be damaged. The effect of varying the ratio of carbon feedstock gas to etchant gas is investigated and the ratio is shown to be important for controlling the shape of deposited nanostructures. The effects of varying plasma power are investigated, showing that greater plasma power results in a lower growth rate. Higher levels of plasma power are also shown to cause the sidewalls of deposited carbon nanotubes to be etched. Finally, the growth rate of carbon nanotubes and nanofibres is shown to depend upon the strength of the local electric field. It is proposed that a higher field causes greater ionization within the plasma, which results in a higher growth rate. This is borne out by comparing simulation results with experimental observations

  11. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  12. Metal (Ag/Ti-Containing Hydrogenated Amorphous Carbon Nanocomposite Films with Enhanced Nanoscratch Resistance: Hybrid PECVD/PVD System and Microstructural Characteristics

    Directory of Open Access Journals (Sweden)

    Marios Constantinou

    2018-03-01

    Full Text Available This study aimed to develop hydrogenated amorphous carbon thin films with embedded metallic nanoparticles (a–C:H:Me of controlled size and concentration. Towards this end, a novel hybrid deposition system is presented that uses a combination of Plasma Enhanced Chemical Vapor Deposition (PECVD and Physical Vapor Deposition (PVD technologies. The a–C:H matrix was deposited through the acceleration of carbon ions generated through a radio-frequency (RF plasma source by cracking methane, whereas metallic nanoparticles were generated and deposited using terminated gas condensation (TGC technology. The resulting material was a hydrogenated amorphous carbon film with controlled physical properties and evenly dispersed metallic nanoparticles (here Ag or Ti. The physical, chemical, morphological and mechanical characteristics of the films were investigated through X-ray reflectivity (XRR, Raman spectroscopy, Scanning Electron Microscopy (SEM, Atomic Force Microscopy (AFM, Transmission Electron Microscopy (TEM and nanoscratch testing. The resulting amorphous carbon metal nanocomposite films (a–C:H:Ag and a–C:H:Ti exhibited enhanced nanoscratch resistance (up to +50% and low values of friction coefficient (<0.05, properties desirable for protective coatings and/or solid lubricant applications. The ability to form nanocomposite structures with tunable coating performance by potentially controlling the carbon bonding, hydrogen content, and the type/size/percent of metallic nanoparticles opens new avenues for a broad range of applications in which mechanical, physical, biological and/or combinatorial properties are required.

  13. The effect of copper substrate’s roughness on graphene growth process via PECVD

    Science.gov (United States)

    Fan, Tengfei; Yan, Cuixia; Lu, Jianchen; Zhang, Lianchang; Cai, Jinming

    2018-04-01

    Despite many excellent properties, the synthesis of high quality graphene with low-cost way is still a challenge, thus many different factors have been researched. In this work, the effect of surface roughness to the graphene quality was studied. Graphene was synthesized by plasma enhanced chemical vapor deposition (PECVD) method on copper substrates with different roughness from 0.074 μm to 0.339 μm, which were prepared via annealing, corrosion or polishing, respectively. Ar+ plasma cleaning was applied before graphene growth in order to accommodate similar surface chemical reactivity to each other. Scanning electron microscope and Raman spectroscope were employed to investigate the effect of surface roughness, which reveals that the graphene quality decrease first and then increase again according to the ratio of ID/IG in Raman spectroscopy. When the ratio of ID/IG reaches the largest number, the substrate roughness is 0.127 μm, where is the graphene quality changing point. First principle calculation was applied to explain the phenomenon and revealed that it is strongly affected by the graphene grain size and quantity which can induce defects. This strategy is expected to guide the industrial production of graphene.

  14. Hard Coat Layers by PE-CVD Process for the Top Surface of Touch Panel

    International Nuclear Information System (INIS)

    Okunishi, T; Sato, N; Yazawa, K

    2013-01-01

    In order to protect surface from damages, the high pencil hardness and the high abrasion resistance are required for the hard coat layers on polyethylene telephthalate (PET) films for the application of touch panel surface. We have already found that the UV-curing-hard-coat-polymer (UHP) coated PET films show the poor abrasion resistance, while they have the high pencil hardness. It reveals that the abrasion resistance of hard coat layers of the UHP is not simply dependent on the pencil hardness. In this work, we have studied to improve the abrasion resistance of SiOC films as hard coat layers, which were formed by PE-CVD process on UHP coated PET. The abrasion resistance was evaluated by Taber abrasion test. PE-CVD hard coat layers which formed on UHP coater PET films have showed the better abrasion resistance and have the possibility of substitution to the thin glass sheets for touch panel application.

  15. SnS thin films deposited by chemical bath deposition, dip coating and SILAR techniques

    Science.gov (United States)

    Chaki, Sunil H.; Chaudhary, Mahesh D.; Deshpande, M. P.

    2016-05-01

    The SnS thin films were synthesized by chemical bath deposition (CBD), dip coating and successive ionic layer adsorption and reaction (SILAR) techniques. In them, the CBD thin films were deposited at two temperatures: ambient and 70 °C. The energy dispersive analysis of X-rays (EDAX), X-ray diffraction (XRD), Raman spectroscopy, scanning electron microscopy (SEM) and optical spectroscopy techniques were used to characterize the thin films. The electrical transport properties studies on the as-deposited thin films were done by measuring the I-V characteristics, DC electrical resistivity variation with temperature and the room temperature Hall effect. The obtained results are deliberated in this paper.

  16. Effect of dc negative-bias and silicon introduction on performance of Si-B-N composite film by RF-PECD technique

    International Nuclear Information System (INIS)

    Meng Hua; Yu Xiang; Yu Junfeng; Wang Chengbiao

    2005-01-01

    Under action of different dc negative-bias voltages on samples incorporating with silicon, a series of Si-B-N composite films were synthesized on steel 1045 using RF-PECVD technique (radio-frequency plasma enhanced chemical vapor deposition), and the surface analysis of X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and etc. were followed. The experimental results showed: Si-B-N composite films had an obvious mixture phase of c-BN and h-BN crystal at a certain dc negative bias, and the film's mechanical performances including micro-hardness and adhesion were improved. Moreover, bias effect on deposition performance of Si-B-N composite film has been systematically investigated, and silicon introduction was found to be necessary for the growth of Si-B-N film and the improvement of adhesion

  17. Biomaterial thin film deposition and characterization by means of MAPLE technique

    International Nuclear Information System (INIS)

    Bloisi, F.; Vicari, L.; Papa, R.; Califano, V.; Pedrazzani, R.; Bontempi, E.; Depero, L.E.

    2007-01-01

    Polyethylene glycol (PEG) is a polymer with technologically important applications, especially as a biomaterial. Several biomedical applications (such as tissue engineering, spatial patterning of cells, anti-biofouling and biocompatible coatings) require the application of high quality PEG thin films. In order to have a good adhesion to substrate chemically modified polymer molecules have been used, but for some 'in vivo' applications it is essential to deposit a film with the same chemical and structural properties of bulk PEG. Pulsed laser deposition (PLD) technique is generally able to produce high quality thin films but it is inadequate for polymer/organic molecules. MAPLE (Matrix Assisted Pulsed Laser Evaporation) is a recently developed PLD based thin film deposition technique, particularly well suited for organic/polymer thin film deposition. Up to now MAPLE depositions have been carried out mainly by means of modified PLD systems, using excimer lasers operating in UV, but the use of less energetic radiations can minimize the photochemical decomposition of the polymer molecules. We have used a deposition system explicitly designed for MAPLE technique connected to a Q-switched Ng:YAG pulsed laser which can be operated at different wavelength ranging from IR to UV in order to optimise the deposition parameters. The capability of MAPLE technique to deposit PEG has been confirmed and preliminary results show that visible (532 nm wavelength) radiation gives better results with respect to UV (355 nm) radiation. Despite usually UV wavelengths have been used and even if more systematic tests must be performed, it is important to underline that the choice of laser wavelength plays an important role in the application of MAPLE thin film deposition technique

  18. Tungsten deposition by hydrogen-atom reaction with tungsten hexafluoride

    International Nuclear Information System (INIS)

    Lee, W.W.

    1991-01-01

    Using gaseous hydrogen atoms with WF 6 , tungsten atoms can be produced in a gas-phase reaction. The atoms then deposit in a near-room temperature process, which results in the formation of tungsten films. The W atoms (10 10 -10 11 /cm 3 ) were measured in situ by atomic absorption spectroscopy during the CVD process. Deposited W films were characterized by Auger electron spectroscopy, Rutherford backscattering, and X-ray diffraction. The surface morphology of the deposited films and filled holes was studied using scanning electron microscopy. The deposited films were highly adherent to different substrates, such as Si, SiO 2 , Ti/Si, TiN/Si and Teflon. The reaction mechanism and kinetics were studied. The experimental results indicated that this method has three advantages compared to conventional CVD or PECVD: (1) film growth occurs at low temperatures; (2) deposition takes place in a plasma-free environment; and (3) a low level of impurities results in high-quality adherent films

  19. Surface-modified polymeric pads for enhanced performance during chemical mechanical planarization

    International Nuclear Information System (INIS)

    Deshpande, S.; Dakshinamurthy, S.; Kuiry, S.C.; Vaidyanathan, R.; Obeng, Y.S.; Seal, S.

    2005-01-01

    The chemical mechanical planarization (CMP) process occurs at an atomic level at the slurry/wafer interface and hence slurries and polishing pads play a critical role in their successful implementation. Polyurethane is a commonly used polymer in the manufacturing of CMP pads. These pads are incompatible with some chemicals present in the CMP slurries, such as hydrogen peroxide. To overcome these problems, Psiloquest has developed new Application Specific Pads (ASP). Surface of such pads has been modified by depositing a thin film of tetraethyl orthosilicate using plasma-enhanced chemical vapor deposition (PECVD) process. In the present study, mechanical properties of such coated pads have been investigated using nanoindentation. The surface morphology and the chemistry of the ASP were studied using scanning electron microcopy, X-ray photoelectron spectroscopy, and Fourier transform infrared spectroscopy techniques. It was observed that mechanical and chemical properties of the pad top surface are a function of the PECVD coating time. Such PECVD-treated pads are found to be hydrophilic and do not require storage in aqueous media during the not-in-use period. The metal removal rate using such surface-modified polishing pads was found to increase linearly with the PECVD coating time

  20. Sensing performance of plasma-enhanced chemical vapor deposition SiC-SiO2-SiC horizontal slot waveguides

    NARCIS (Netherlands)

    Pandraud, G.; Margallo-Balbas, E.; Sarro, P.M.

    2012-01-01

    We have studied, for the first time, the sensing capabilities of plasma-enhanced chemical vapor deposition (PECVD) SiC-SiO2-SiC horizontal slot waveguides. Optical propagation losses were measured to be 23.9 dB?cm for the quasi-transverse magnetic mode. To assess the potential of this device as a

  1. Thermionic vacuum arc (TVA) technique for magnesium thin film deposition

    Energy Technology Data Exchange (ETDEWEB)

    Balbag, M.Z., E-mail: zbalbag@ogu.edu.t [Eskisehir Osmangazi University, Education Faculty, Primary Education, Meselik Campus, Eskisehir 26480 (Turkey); Pat, S.; Ozkan, M.; Ekem, N. [Eskisehir Osmangazi University, Art and Science Faculty, Physics Department, Eskisehir 26480 (Turkey); Musa, G. [Ovidius University, Physics Department, Constanta (Romania)

    2010-08-15

    In this study, magnesium thin films were deposited on glass substrate by the Thermionic Vacuum Arc (TVA) technique for the first time. We present a different technique for deposition of high-quality magnesium thin films. By means of this technique, the production of films is achieved by condensing the plasma of anode material generated using Thermionic Vacuum Arc (TVA) under high vacuum conditions onto the surface to be coated. The crystal orientation and morphology of the deposited films were investigated by using XRD, EDX, SEM and AFM. The aim of this study is to search the use of TVA technique to coat magnesium thin films and to determine some of the physical properties of the films generated. Furthermore, this study will contribute to the scientific studies which search the thin films of magnesium or the compounds containing magnesium. In future, this study will be preliminary work to entirely produce magnesium diboride (MgB{sub 2}) superconductor thin film with the TVA technique.

  2. Solar cell of 6.3% efficiency employing high deposition rate (8 nm/s) microcrystalline silicon photovoltaic layer

    Energy Technology Data Exchange (ETDEWEB)

    Sobajima, Yasushi; Nishino, Mitsutoshi; Fukumori, Taiga; Kurihara, Masanori; Higuchi, Takuya; Nakano, Shinya; Toyama, Toshihiko; Okamoto, Hiroaki [Department of Systems Innovation, Graduate School of Engineering Science, Osaka University, Toyonaka, Machikaneyama-cho 1-3, Osaka 560-8531 (Japan)

    2009-06-15

    Microcrystalline silicon ({mu}c-Si) films deposited at high growth rates up to 8.1 nm/s prepared by very-high-frequency-plasma-enhanced chemical vapor deposition (VHF-PECVD) at 18-24 Torr have been investigated. The relation between the deposition rates and input power revealed the depletion of silane. Under high-pressure deposition (HPD) conditions, the structural properties were improved. Furthermore, applying {mu}c-Si to n-i-p solar cells, short-circuit current density (J{sub SC}) was increased in accordance with the improvement of microstructure of i-layer. As a result, a conversion efficiency of 6.30% has been achieved employing the i-layer deposited at 8.1 nm/s under the HPD conditions. (author)

  3. Lipase biofilm deposited by Matrix Assisted Pulsed Laser Evaporation technique

    International Nuclear Information System (INIS)

    Aronne, Antonio; Bloisi, Francesco; Calabria, Raffaela; Califano, Valeria; Depero, Laura E.; Fanelli, Esther; Federici, Stefania; Massoli, Patrizio; Vicari, Luciano R.M.

    2015-01-01

    Highlights: • A lipase film was deposited with Matrix Assisted Pulsed Laser Evaporation technique. • FTIR spectra show that laser irradiation do not damage lipase molecule. • Laser fluence controls the characteristics of complex structure generated by MAPLE. - Abstract: Lipase is an enzyme that finds application in biodiesel production and for detection of esters and triglycerides in biosensors. Matrix Assisted Pulsed Laser Evaporation (MAPLE), a technique derived from Pulsed Laser Deposition (PLD) for deposition of undamaged biomolecules or polymers, is characterized by the use of a frozen target obtained from a solution/suspension of the guest material (to be deposited) in a volatile matrix (solvent). The presence of the solvent avoids or at least reduces the potential damage of guest molecules by laser radiation but only the guest material reaches the substrate in an essentially solvent-free deposition. MAPLE can be used for enzymes immobilization, essential for industrial application, allowing the development of continuous processes, an easier separation of products, the reuse of the catalyst and, in some cases, enhancing enzyme properties (pH, temperature stability, etc.) and catalytic activity in non-aqueous media. Here we show that MAPLE technique can be used to deposit undamaged lipase and that the complex structure (due to droplets generated during extraction from target) of the deposited material can be controlled by changing the laser beam fluence

  4. Lipase biofilm deposited by Matrix Assisted Pulsed Laser Evaporation technique

    Energy Technology Data Exchange (ETDEWEB)

    Aronne, Antonio [Department of Chemical Engineering, Materials and Industrial Production, University of Naples “Federico II”, Napoli (Italy); Bloisi, Francesco, E-mail: bloisi@na.infn.it [SPIN – CNR, Naples (Italy); Department of Physics, University of Naples “Federico II”, Napoli (Italy); Calabria, Raffaela; Califano, Valeria [Istituto Motori – CNR, Naples (Italy); Depero, Laura E. [Department of Mechanical and Industrial Engineering, University of Brescia, Brescia (Italy); Fanelli, Esther [Department of Chemical Engineering, Materials and Industrial Production, University of Naples “Federico II”, Napoli (Italy); Federici, Stefania [Department of Mechanical and Industrial Engineering, University of Brescia, Brescia (Italy); Massoli, Patrizio [Istituto Motori – CNR, Naples (Italy); Vicari, Luciano R.M. [SPIN – CNR, Naples (Italy); Department of Physics, University of Naples “Federico II”, Napoli (Italy)

    2015-05-01

    Highlights: • A lipase film was deposited with Matrix Assisted Pulsed Laser Evaporation technique. • FTIR spectra show that laser irradiation do not damage lipase molecule. • Laser fluence controls the characteristics of complex structure generated by MAPLE. - Abstract: Lipase is an enzyme that finds application in biodiesel production and for detection of esters and triglycerides in biosensors. Matrix Assisted Pulsed Laser Evaporation (MAPLE), a technique derived from Pulsed Laser Deposition (PLD) for deposition of undamaged biomolecules or polymers, is characterized by the use of a frozen target obtained from a solution/suspension of the guest material (to be deposited) in a volatile matrix (solvent). The presence of the solvent avoids or at least reduces the potential damage of guest molecules by laser radiation but only the guest material reaches the substrate in an essentially solvent-free deposition. MAPLE can be used for enzymes immobilization, essential for industrial application, allowing the development of continuous processes, an easier separation of products, the reuse of the catalyst and, in some cases, enhancing enzyme properties (pH, temperature stability, etc.) and catalytic activity in non-aqueous media. Here we show that MAPLE technique can be used to deposit undamaged lipase and that the complex structure (due to droplets generated during extraction from target) of the deposited material can be controlled by changing the laser beam fluence.

  5. Magnetic filtered plasma deposition and implantation technique

    CERN Document Server

    Zhang Hui Xing; Wu Xian Ying

    2002-01-01

    A high dense metal plasma can be produced by using cathodic vacuum arc discharge technique. The microparticles emitted from the cathode in the metal plasma can be removed when the metal plasma passes through the magnetic filter. It is a new technique for making high quality, fine and close thin films which have very widespread applications. The authors describe the applications of cathodic vacuum arc technique, and then a filtered plasma deposition and ion implantation system as well as its applications

  6. Metal-boride phase formation on tungsten carbide (WC-Co) during microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Johnston, Jamin M.; Catledge, Shane A., E-mail: catledge@uab.edu

    2016-02-28

    Graphical abstract: - Highlights: • A detailed phase analysis after PECVD boriding shows WCoB, CoB and/or W{sub 2}CoB{sub 2}. • EDS of PECVD borides shows boron diffusion into the carbide grain structure. • Nanoindentation hardness and modulus of borides is 23–27 GPa and 600–780 GPa. • Scratch testing shows hard coating with cracking at 40N and spallation at 70N. - Abstract: Strengthening of cemented tungsten carbide by boriding is used to improve the wear resistance and lifetime of carbide tools; however, many conventional boriding techniques render the bulk carbide too brittle for extreme conditions, such as hard rock drilling. This research explored the variation in metal-boride phase formation during the microwave plasma enhanced chemical vapor deposition process at surface temperatures from 700 to 1100 °C. We showed several well-adhered metal-boride surface layers consisting of WCoB, CoB and/or W{sub 2}CoB{sub 2} with average hardness from 23 to 27 GPa and average elastic modulus of 600–730 GPa. The metal-boride interlayer was shown to be an effective diffusion barrier against elemental cobalt; migration of elemental cobalt to the surface of the interlayer was significantly reduced. A combination of glancing angle X-ray diffraction, electron dispersive spectroscopy, nanoindentation and scratch testing was used to evaluate the surface composition and material properties. An evaluation of the material properties shows that plasma enhanced chemical vapor deposited borides formed at substrate temperatures of 800 °C, 850 °C, 900 °C and 1000 °C strengthen the material by increasing the hardness and elastic modulus of cemented tungsten carbide. Additionally, these boride surface layers may offer potential for adhesion of ultra-hard carbon coatings.

  7. Metal-boride phase formation on tungsten carbide (WC-Co) during microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Johnston, Jamin M.; Catledge, Shane A.

    2016-01-01

    Graphical abstract: - Highlights: • A detailed phase analysis after PECVD boriding shows WCoB, CoB and/or W_2CoB_2. • EDS of PECVD borides shows boron diffusion into the carbide grain structure. • Nanoindentation hardness and modulus of borides is 23–27 GPa and 600–780 GPa. • Scratch testing shows hard coating with cracking at 40N and spallation at 70N. - Abstract: Strengthening of cemented tungsten carbide by boriding is used to improve the wear resistance and lifetime of carbide tools; however, many conventional boriding techniques render the bulk carbide too brittle for extreme conditions, such as hard rock drilling. This research explored the variation in metal-boride phase formation during the microwave plasma enhanced chemical vapor deposition process at surface temperatures from 700 to 1100 °C. We showed several well-adhered metal-boride surface layers consisting of WCoB, CoB and/or W_2CoB_2 with average hardness from 23 to 27 GPa and average elastic modulus of 600–730 GPa. The metal-boride interlayer was shown to be an effective diffusion barrier against elemental cobalt; migration of elemental cobalt to the surface of the interlayer was significantly reduced. A combination of glancing angle X-ray diffraction, electron dispersive spectroscopy, nanoindentation and scratch testing was used to evaluate the surface composition and material properties. An evaluation of the material properties shows that plasma enhanced chemical vapor deposited borides formed at substrate temperatures of 800 °C, 850 °C, 900 °C and 1000 °C strengthen the material by increasing the hardness and elastic modulus of cemented tungsten carbide. Additionally, these boride surface layers may offer potential for adhesion of ultra-hard carbon coatings.

  8. Development of Advanced Deposition Technology for Microcrystalline Si Based Solar Cells and Modules: Final Technical Report, 1 May 2002-31 July 2004

    Energy Technology Data Exchange (ETDEWEB)

    Li, Y. M.

    2004-12-01

    The key objective of this subcontract was to take the first steps to extend the radio-frequency plasma-enhanced chemical vapor deposition (RF-PECVD) manufacturing technology of Energy Photovoltaics, Inc. (EPV), to the promising field of a-Si/nc-Si solar cell fabrication by demonstrating ''proof-of-concept'' devices of good efficiencies that previously were believed to be unobtainable in single-chamber reactors owing to contamination problems. A complementary goal was to find a new high-rate deposition method that can conceivably be deployed in large PECVD-type reactors. We emphasize that our goal was not to produce 'champion' devices of near-record efficiencies, but rather, to achieve modestly high efficiencies using a far simpler (cheaper) system, via practical processing methods and materials. To directly attack issues in solar-cell fabrication at EPV, the nc-Si thin films were studied almost exclusively in the p-i-n device configuration (as absorbers or i-layers), not as stand-alone films. Highly efficient, p-i-n type, nc-Si-based solar cells are generally grown on expensive, laboratory superstrates, such as custom ZnO/glass of high texture (granular surface) and low absorption. Also standard was the use of a highly effective back-reflector ZnO/Ag, where the ZnO can be surface-textured for efficient diffuse reflection. The high-efficiency ''champion'' devices made by the PECVD methods were invariably prepared in sophisticated (i.e., expensive), multi-chamber, or at least load-locked deposition systems. The electrode utilization efficiency, defined as the surface-area ratio of the powered electrode to that of the substrates, was typically low at about one (1:1). To evaluate the true potential of nc-Si absorbers for cost-competitive, commercially viable manufacturing of large-area PV modules, we took a more down-to-earth approach, based on our proven production of a-Si PV modules by a massively parallel batch

  9. Sticking non-stick: Surface and Structure control of Diamond-like Carbon in Plasma Enhanced Chemical Vapour Deposition

    Science.gov (United States)

    Jones, B. J.; Nelson, N.

    2016-10-01

    This short review article explores the practical use of diamond-like carbon (DLC) produced by plasma enhanced chemical vapour deposition (PECVD). Using as an example issues relating to the DLC coating of a hand-held surgical device, we draw on previous works using atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy, scanning electron microscopy, tensiometry and electron paramagnetic resonance. Utilising data from these techniques, we examine the surface structure, substrate-film interface and thin film microstructure, such as sp2/sp3 ratio (graphitic/diamond-like bonding ratio) and sp2 clustering. We explore the variations in parameters describing these characteristics, and relate these to the final device properties such as friction, wear resistance, and diffusion barrier integrity. The material and device characteristics are linked to the initial plasma and substrate conditions.

  10. Sticking non-stick: Surface and Structure control of Diamond-like Carbon in Plasma Enhanced Chemical Vapour Deposition

    International Nuclear Information System (INIS)

    Jones, B J; Nelson, N

    2016-01-01

    This short review article explores the practical use of diamond-like carbon (DLC) produced by plasma enhanced chemical vapour deposition (PECVD). Using as an example issues relating to the DLC coating of a hand-held surgical device, we draw on previous works using atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy, scanning electron microscopy, tensiometry and electron paramagnetic resonance. Utilising data from these techniques, we examine the surface structure, substrate-film interface and thin film microstructure, such as sp 2 /sp 3 ratio (graphitic/diamond-like bonding ratio) and sp 2 clustering. We explore the variations in parameters describing these characteristics, and relate these to the final device properties such as friction, wear resistance, and diffusion barrier integrity. The material and device characteristics are linked to the initial plasma and substrate conditions. (paper)

  11. Nanostructured titanium/diamond-like carbon multilayer films: deposition, characterization, and applications.

    Science.gov (United States)

    Dwivedi, Neeraj; Kumar, Sushil; Malik, Hitendra K

    2011-11-01

    Titanium/diamond-like carbon multilayer (TDML) films were deposited using a hybrid system combining radio frequency (RF)-sputtering and RF-plasma enhanced chemical vapor deposition (PECVD) techniques under a varied number of Ti/diamond-like carbon (DLC) bilayers from 1 to 4, at high base pressure of 1 × 10(-3) Torr. The multilayer approach was used to create unique structures such as nanospheres and nanorods in TDML films, which is confirmed by scanning electron microscopy (SEM) analysis and explained by a hypothetical model. Surface composition was evaluated by X-ray photoelectron spectroscopy (XPS), whereas energy dispersive X-ray analysis (EDAX) and time-of-flight secondary ion mass spectrometer (ToF-SIMS) measurements were performed to investigate the bulk composition. X-ray diffraction (XRD) was used to evaluate the phase and crystallinity of the deposited TDML films. Residual stress in these films was found to be significantly low. These TDML films were found to have excellent nanomechanical properties with maximum hardness of 41.2 GPa. In addition, various nanomechanical parameters were calculated and correlated with each other. Owing to metallic interfacial layer of Ti in multilayer films, the optical properties, electrical properties, and photoluminescence were improved significantly. Due to versatile nanomechanical properties and biocompatibility of DLC and DLC based films, these TDML films may also find applications in biomedical science.

  12. Investigation of Low-Cost Surface Processing Techniques for Large-Size Multicrystalline Silicon Solar Cells

    OpenAIRE

    Cheng, Yuang-Tung; Ho, Jyh-Jier; Lee, William J.; Tsai, Song-Yeu; Lu, Yung-An; Liou, Jia-Jhe; Chang, Shun-Hsyung; Wang, Kang L.

    2010-01-01

    The subject of the present work is to develop a simple and effective method of enhancing conversion efficiency in large-size solar cells using multicrystalline silicon (mc-Si) wafer. In this work, industrial-type mc-Si solar cells with area of 125×125 mm2 were acid etched to produce simultaneously POCl3 emitters and silicon nitride deposition by plasma-enhanced chemical vapor deposited (PECVD). The study of surface morphology and reflectivity of different mc-Si etched surfaces has also been d...

  13. Bulletin of Materials Science | Indian Academy of Sciences

    Indian Academy of Sciences (India)

    Silver-doped hydrogenated amorphous carbon (Ag-DLC) films were deposited on Si substrates using a hybrid plasma vapour deposition–plasma enhanced chemical vapour deposition (PVD–PECVD) process combining Ag target magnetron sputtering and PECVD in an Ar–CH4 plasma. Processing parameters (working ...

  14. Tuning the optical properties of RF-PECVD grown μc-Si:H thin films using different hydrogen flow rate

    Science.gov (United States)

    Dushaq, Ghada; Nayfeh, Ammar; Rasras, Mahmoud

    2017-07-01

    In this paper we study the effect of H2/SiH4 dilution ratio (R) on the structural and optical properties of hydrogenated microcrystalline silicon embedded in amorphous matrix thin films. The thin films are prepared using standard RF-PECVD process at substrate temperature of 200 °C. The effect of hydrogen dilution ratio on the optical index of refraction and the absorption coefficient were investigated. It was observed that by incorporating higher hydrogen flow rate in the films with low SiH4 concentration, the optical index of refraction can be tuned over a broad range of wavelengths due to the variation of crystalline properties of the produced films. By varying the hydrogen flow of μc-Si:H samples, ∼8% and 12% reduction in the index of refraction at 400 nm and at 1500 nm can be achieved, respectively. In addition a 78% reduction in surface roughness is obtained when 60sccm of H2 is used in the deposition compared to the sample without any H2 incorporation.

  15. RF plasma deposition of thin SixGeyCz:H films using a combination of organometallic source materials

    International Nuclear Information System (INIS)

    Rapiejko, C.; Gazicki-Lipman, M.; Klimek, L.; Szymanowski, H.; Strojek, M.

    2004-01-01

    Elements of the IV group of periodic table have been strongly present in the fast development of PECVD techniques for the last two decades at least. As a result, deposition technologies of such materials as a-Si:H, a-C:H, mμ-C:H or DLC have been successfully established. What has followed is an ever growing interest in binary systems of the A x (IV)B y (IV):H kind. One possible way to deposit such systems is to use organosilicon compounds (to deposit Si x C y :H films) or organogermanium compounds (to deposit Ge x C y :H films), as source substances. The present paper reports on a RF plasma deposition of a Si x Ge y C z :H ternary system, using a combination of organosilicon and organogermanium compounds. Thin Si/Ge/C films have been fabricated in a small volume (ca. 2 dm 3 ) parallel plate RF plasma reactor using, as a source material, a combination of tetramethylsilane (TMS) and tetramethylgermanium (TMG) vapours carried by argon. SEM investigations reveal a continuous compact character of the coatings and their uniform thickness. The elemental composition of the films has been studied using EDX analysis. The results of the analysis show that the elemental composition of the films can be controlled by both the TMG/TMS ratio of the initial mixture and the RF power input. Ellipsometric measurements show good homogeneity of these materials. Chemical bonding in the films has been studied using the FTIR technique. Bandgap calculations have been carried out using ellipsometric data and by applying both the Tauc law and the Moss approach

  16. Engineering stress in thin films for the field of bistable MEMS

    International Nuclear Information System (INIS)

    Ratnayake, Dilan; Gowrishetty, Usha R; McNamara, Shamus P; Walsh, Kevin M; Martin, Michael D; Porter, Daniel A; Berfield, Thomas A

    2015-01-01

    While stress-free and tensile films are well-suited for released in-plane MEMS designs, compressive films are needed for released out-of-plane MEMS structures such as buckled beams and diaphragms. This study presents a characterization of stress on a variety of sputtered and plasma-enhanced chemical vapour deposition (PECVD)-deposited films, including titanium tungsten, invar, silicon nitride and amorphous silicon, appropriate for the field of bistable MEMS. Techniques and strategies are presented (including varying substrate bias, pressure, temperature, and frequency multiplexing) for tuning internal stress across the spectrum from highly compressive (−2300 MPa) to highly tensile (1500 MPa). Conditions for obtaining stress-free films are also presented in this work. Under certain conditions during the PECVD deposition of amorphous silicon, interesting ‘micro-bubbles’ formed within the deposited films. Strategies to mitigate their formation are presented, resulting in a dramatic improvement in surface roughness quality from 667 nm root mean square (RMS) to 16 nm RMS. All final deposited films successfully passed the traditional ‘tape test’ for adhesion. (paper)

  17. SFG analysis of the molecular structures at the surfaces and buried interfaces of PECVD ultralow-dielectric constant pSiCOH

    Science.gov (United States)

    Zhang, Xiaoxian; Myers, John N.; Huang, Huai; Shobha, Hosadurga; Chen, Zhan; Grill, Alfred

    2016-02-01

    PECVD deposited porous SiCOH with ultralow dielectric constant has been successfully integrated as the insulator in advanced interconnects to decrease the RC delay. The effects of NH3 plasma treatment and the effectiveness of the dielectric repair on molecular structures at the surface and buried interface of a pSiCOH film deposited on top of a SiCNH film on a Si wafer were fully characterized using sum frequency generation vibrational spectroscopy (SFG), supplemented by X-ray photoelectron spectroscopy. After exposure to NH3 plasma for 18 s, about 40% of the methyl groups were removed from the pSiCOH surface, and the average orientation of surface methyl groups tilted more towards the surface. The repair method used here effectively repaired the molecular structures at the pSiCOH surface but did not totally recover the entire plasma-damaged layer. Additionally, simulated SFG spectra with various average orientations of methyl groups at the SiCNH/pSiCOH buried interface were compared with the experimental SFG spectra collected using three different laser input angles to determine the molecular structural information at the SiCNH/pSiCOH buried interface after NH3 plasma treatment and repair. The molecular structures including the coverage and the average orientation of methyl groups at the buried interface were found to be unchanged by NH3 plasma treatment and repair.

  18. RIR MAPLE procedure for deposition of carbon rich Si/C/H films

    International Nuclear Information System (INIS)

    Dřínek, Vladislav; Strašák, Tomáš; Novotný, Filip; Fajgar, Radek; Bastl, Zdeněk

    2014-01-01

    We applied the resonant infrared matrix assisted pulsed laser evaporation (RIR MAPLE) technique to demonstrate a new approach to a controlled deposition of carbon rich amorphous Si/C/H film. In absence of radicals and accelerated species commonly generated in PECVD and sputtering setups, the RIR MAPLE method does not decompose precursor molecules. Moreover, unlike the standard MAPLE procedure, in which solvent molecules absorb laser energy from excimer or near infrared lasers, we applied the pulsed TEA CO 2 laser to excite the dendrimer precursor molecules in a frozen target. In this manner we achieved just cross-linking of the starting precursor on substrates and the deposition of carbon rich Si/C/H film. The film was analyzed by Fourier Transformed Infrared (FTIR), UV/VIS, Raman and X-ray Photoelectron (XPS) spectroscopy and Atomic Force Microscopy (AFM) technique. According to analyses the film retained the precursor elemental composition free of graphitic (sp 2 ) clusters. In course of reaction only the peripheral allyl groups containing C=C bonds were opened to achieve cross-linking. Whereas annealing to 300 °C was necessary for the elimination of =C–H 1 , 2 bonds in the films prepared at 200 °C, those bonds vanished completely for the films prepared at substrate temperature 255 °C. The film posseses a smooth surface with root mean square (RMS) parameter up to 10 nm within scanned distance 2.5 μm.

  19. RIR MAPLE procedure for deposition of carbon rich Si/C/H films

    Energy Technology Data Exchange (ETDEWEB)

    Dřínek, Vladislav, E-mail: drinek@icpf.cas.cz [Institute of Chemical Process Fundamentals of the ASCR, v. v. i., Rozvojova 135, 165 02 Prague 6 (Czech Republic); Strašák, Tomáš [Institute of Chemical Process Fundamentals of the ASCR, v. v. i., Rozvojova 135, 165 02 Prague 6 (Czech Republic); Novotný, Filip [Faculty of Nuclear Sciences and Physical Engineering, Czech Technical University, 115 19 Prague (Czech Republic); Fajgar, Radek [Institute of Chemical Process Fundamentals of the ASCR, v. v. i., Rozvojova 135, 165 02 Prague 6 (Czech Republic); Bastl, Zdeněk [J. Heyrovsky Institute of Physical Chemistry of the ASCR, v. v. i., Dolejškova 2155/3, 182 23 Prague 8 (Czech Republic)

    2014-02-15

    We applied the resonant infrared matrix assisted pulsed laser evaporation (RIR MAPLE) technique to demonstrate a new approach to a controlled deposition of carbon rich amorphous Si/C/H film. In absence of radicals and accelerated species commonly generated in PECVD and sputtering setups, the RIR MAPLE method does not decompose precursor molecules. Moreover, unlike the standard MAPLE procedure, in which solvent molecules absorb laser energy from excimer or near infrared lasers, we applied the pulsed TEA CO{sub 2} laser to excite the dendrimer precursor molecules in a frozen target. In this manner we achieved just cross-linking of the starting precursor on substrates and the deposition of carbon rich Si/C/H film. The film was analyzed by Fourier Transformed Infrared (FTIR), UV/VIS, Raman and X-ray Photoelectron (XPS) spectroscopy and Atomic Force Microscopy (AFM) technique. According to analyses the film retained the precursor elemental composition free of graphitic (sp{sup 2}) clusters. In course of reaction only the peripheral allyl groups containing C=C bonds were opened to achieve cross-linking. Whereas annealing to 300 °C was necessary for the elimination of =C–H{sub 1}, {sub 2} bonds in the films prepared at 200 °C, those bonds vanished completely for the films prepared at substrate temperature 255 °C. The film posseses a smooth surface with root mean square (RMS) parameter up to 10 nm within scanned distance 2.5 μm.

  20. Chemical vapor deposition: A technique for applying protective coatings

    Energy Technology Data Exchange (ETDEWEB)

    Wallace, T.C. Sr.; Bowman, M.G.

    1979-01-01

    Chemical vapor deposition is discussed as a technique for applying coatings for materials protection in energy systems. The fundamentals of the process are emphasized in order to establish a basis for understanding the relative advantages and limitations of the technique. Several examples of the successful application of CVD coating are described. 31 refs., and 18 figs.

  1. Effects of plasma-deposited silicon nitride passivation on the radiation hardness of CMOS integrated circuits

    International Nuclear Information System (INIS)

    Clement, J.J.

    1980-01-01

    The use of plasma-deposited silicon nitride as a final passivation over metal-gate CMOS integrated circuits degrades the radiation hardness of these devices. The hardness degradation is manifested by increased radiation-induced threshold voltage shifts caused principally by the charging of new interface states and, to a lesser extent, by the trapping of holes created upon exposure to ionizing radiation. The threshold voltage shifts are a strong function of the deposition temperature, and show very little dependence on thickness for films deposited at 300 0 C. There is some correlation between the threshold voltage shifts and the hydrogen content of the PECVD silicon nitride films used as the final passivation layer as a function of deposition temperature. The mechanism by which the hydrogen contained in these films may react with the Si/SiO 2 interface is not clear at this point

  2. ZrN coatings deposited by high power impulse magnetron sputtering and cathodic arc techniques

    Energy Technology Data Exchange (ETDEWEB)

    Purandare, Yashodhan, E-mail: Y.Purandare@shu.ac.uk; Ehiasarian, Arutiun; Hovsepian, Papken [Nanotechnology Centre for PVD Research, Materials and Engineering Research Institute, Sheffield Hallam University, Sheffield S1 1WB (United Kingdom); Santana, Antonio [Ionbond AG Olten, Industriestrasse 211, CH-4600 Olten (Switzerland)

    2014-05-15

    Zirconium nitride (ZrN) coatings were deposited on 1 μm finish high speed steel and 316L stainless steel test coupons. Cathodic Arc (CA) and High Power Impulse Magnetron Sputtering (HIPIMS) + Unbalanced Magnetron Sputtering (UBM) techniques were utilized to deposit coatings. CA plasmas are known to be rich in metal and gas ions of the depositing species as well as macroparticles (droplets) emitted from the arc sports. Combining HIPIMS technique with UBM in the same deposition process facilitated increased ion bombardment on the depositing species during coating growth maintaining high deposition rate. Prior to coating deposition, substrates were pretreated with Zr{sup +} rich plasma, for both arc deposited and HIPIMS deposited coatings, which led to a very high scratch adhesion value (L{sub C2}) of 100 N. Characterization results revealed the overall thickness of the coatings in the range of 2.5 μm with hardness in the range of 30–40 GPa depending on the deposition technique. Cross-sectional transmission electron microscopy and tribological experiments such as dry sliding wear tests and corrosion studies have been utilized to study the effects of ion bombardment on the structure and properties of these coatings. In all the cases, HIPIMS assisted UBM deposited coating fared equal or better than the arc deposited coatings, the reasons being discussed in this paper. Thus H+U coatings provide a good alternative to arc deposited where smooth, dense coatings are required and macrodroplets cannot be tolerated.

  3. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  4. Fabrication of silicon condenser microphones using single wafer technology

    NARCIS (Netherlands)

    Scheeper, P.R.; van der Donk, A.G.H.; Olthuis, Wouter; Bergveld, Piet

    1992-01-01

    A condenser microphone design that can be fabricated using the sacrificial layer technique is proposed and tested. The microphone backplate is a 1-¿m plasma-enhanced chemical-vapor-deposited (PECVD) silicon nitride film with a high density of acoustic holes (120-525 holes/mm2), covered with a thin

  5. Effect of Different Catalyst Deposition Technique on Aligned Multiwalled Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Mohamed Shuaib Mohamed Saheed

    2014-01-01

    Full Text Available The paper reported the investigation of the substrate preparation technique involving deposition of iron catalyst by electron beam evaporation and ferrocene vaporization in order to produce vertically aligned multiwalled carbon nanotubes array needed for fabrication of tailored devices. Prior to the growth at 700°C in ethylene, silicon dioxide coated silicon substrate was prepared by depositing alumina followed by iron using two different methods as described earlier. Characterization analysis revealed that aligned multiwalled carbon nanotubes array of 107.9 µm thickness grown by thermal chemical vapor deposition technique can only be achieved for the sample with iron deposited using ferrocene vaporization. The thick layer of partially oxidized iron film can prevent the deactivation of catalyst and thus is able to sustain the growth. It also increases the rate of permeation of the hydrocarbon gas into the catalyst particles and prevents agglomeration at the growth temperature. Combination of alumina-iron layer provides an efficient growth of high density multiwalled carbon nanotubes array with the steady growth rate of 3.6 µm per minute for the first 12 minutes and dropped by half after 40 minutes. Thicker and uniform iron catalyst film obtained from ferrocene vaporization is attributed to the multidirectional deposition of particles in the gaseous form.

  6. Characterization of new a-Si:H detectors fabricated from amorphous silicon deposited at high rate by helium enhanced PECVD

    International Nuclear Information System (INIS)

    Pochet, T.; Ilie, A.; Foulon, F.

    1993-01-01

    This paper is concerned with the characterization of new detectors fabricated from a-Si:H films deposited at high rates through the dilution of SiH 4 in helium. Rates of up to ten times (5.5 micrometer/h) that of the standard technique are obtained, allowing for the feasible fabrication of detectors having thickness up to 100 micrometers. The electrical characteristics (depletion voltage, residual space charge density) of the helium diluted material, have been investigated and compared to that of the standard material. The response of detectors, made from both materials, to 5.5 MeV alpha particles are compared. 6 figs., 5 tabs., 13 refs

  7. New fabrication technique using side-wall-type plasma-enhanced chemical-vapor deposition for a floating gate memory with a Si nanodot

    Energy Technology Data Exchange (ETDEWEB)

    Ichikawa, Kazunori; Punchaipetch, Prakaipetch; Yano, Hiroshi; Hatayama, Tomoaki; Uraoka, Yukiharu; Fuyuki, Takashi [Nara Institute of Science and Techonology, Ikoma, Nara (Japan); Tomyo, Atsushi; Takahashi, Eiji; Hayashi, Tsukasa; Ogata, Kiyoshi [Nissin Electric Co., Ltd., Kyoto (Japan)

    2006-08-15

    We have used side-wall-type plasma-enhanced chemical-vapor deposition (PECVD)to fabricate a floating gate memory using a Si nano-crystal dot on thermal SiO{sub 2} at a low temperature of 430 .deg. C. Atomic and radical hydrogen plays an important role in the low-temperature formation of the dot. Transmission electron microscopy (TEM) and atomic force microscopy (AFM) analyses revealed that the average dot size and density were approximately 5 nm and 8.5 X 10{sup 11} cm{sup -2}, respectively. The electronic properties were investigated with metal-oxide-semiconductor-field-effect transistors (MOSFETs) by embedding the nanocrystal dots into SiO{sub 2} fabricated using CVD. Electron charging and discharging were clearly confirmed at room temperature by the transient behavior of the capacitance and the transfer curve. The number of electrons confined in a single dot was approximately one. Furthermore, we evaluated the electronic behavior by varying the bias condition or the operating temperature. The critical charge density could be confirmed to be independent of the injection condition.

  8. Obtention of thin depositions by the vacuum evaporation technique

    International Nuclear Information System (INIS)

    Gonzalez Mateu, D.; Labrada, A.; Voronin, A.

    1991-01-01

    The vacuum evaporating technique used to prepare thin depositions, and the technical characteristics of the constructed installation are described. 235 U y 238 U nuclear target for the fission researches were obtained. Aluminium and gold self-supporting foils were obtained too

  9. Ex-situ XPS-investigation of the interface between PE-CVD SiO{sub 2} and wet chemically etched MO-CVD epitaxial layers of In{sub 0.53}Ga{sub 0.47}As

    Energy Technology Data Exchange (ETDEWEB)

    Procop, M. [Bundesanstalt fuer Materialforschung und -pruefung, Berlin (Germany); Wandel, K. [Humboldt-Universitaet, Berlin (Germany). Inst. fuer Festkoerperphysik; Verucchi, R. [Modena Univ. (Italy). Ist. di Fisica

    1995-11-01

    The As rich SiO{sub 2}/In{sub 0.53}Ga{sub 0.47}As interface which is produced by wet chemical etching before SiO{sub 2} deposition to improve the electronic properties of the interface has been studied. SiO{sub 2}-layers of about 10 to 20 nm thickness have been deposited in a plasma enhanced chemical vapour deposition (PECVD) reactor and then thinned down to about 4 to 3 nm by 1.5 keV Ar ion beam bombardment at grazing incidence (85 ) in the XPS analysis chamber. The photoelectron spectra show that an additional broadening of the In and As lines due to a possible ion beam damage can be neglected in case of a qualitative interpretation of the interface spectra. Moreover, TRIM simulations of the collision cascade reveal low damage production in the SiO{sub 2}/In{sub 0.53}Ga{sub 0.47}As interface region. Therefore such ex-situ XPS experiments allow a supervision of the interface chemistry after the fabrication process and an optimisation of the technology with regard to the etching solution and deposition conditions. The conservation or removal of the elemental arsenic and the oxidation of the semiconductor due to the SiO{sub 2} deposition are well reflected in the photoelectron spectra. (orig.)

  10. Fabrication of Single, Vertically Aligned Carbon Nanotubes in 3D Nanoscale Architectures

    Science.gov (United States)

    Kaul, Anupama B.; Megerian, Krikor G.; Von Allmen, Paul A.; Baron, Richard L.

    2010-01-01

    Plasma-enhanced chemical vapor deposition (PECVD) and high-throughput manufacturing techniques for integrating single, aligned carbon nanotubes (CNTs) into novel 3D nanoscale architectures have been developed. First, the PECVD growth technique ensures excellent alignment of the tubes, since the tubes align in the direction of the electric field in the plasma as they are growing. Second, the tubes generated with this technique are all metallic, so their chirality is predetermined, which is important for electronic applications. Third, a wafer-scale manufacturing process was developed that is high-throughput and low-cost, and yet enables the integration of just single, aligned tubes with nanoscale 3D architectures with unprecedented placement accuracy and does not rely on e-beam lithography. Such techniques should lend themselves to the integration of PECVD grown tubes for applications ranging from interconnects, nanoelectromechanical systems (NEMS), sensors, bioprobes, or other 3D electronic devices. Chemically amplified polyhydroxystyrene-resin-based deep UV resists were used in conjunction with excimer laser-based (lambda = 248 nm) step-and-repeat lithography to form Ni catalyst dots = 300 nm in diameter that nucleated single, vertically aligned tubes with high yield using dc PECVD growth. This is the first time such chemically amplified resists have been used, resulting in the nucleation of single, vertically aligned tubes. In addition, novel 3D nanoscale architectures have been created using topdown techniques that integrate single, vertically aligned tubes. These were enabled by implementing techniques that use deep-UV chemically amplified resists for small-feature-size resolution; optical lithography units that allow unprecedented control over layer-to-layer registration; and ICP (inductively coupled plasma) etching techniques that result in near-vertical, high-aspect-ratio, 3D nanoscale architectures, in conjunction with the use of materials that are

  11. A comparative study of CdS thin films deposited by different techniques

    Energy Technology Data Exchange (ETDEWEB)

    Pérez-Hernández, G., E-mail: german.perez@ujat.mx [Universidad Juárez Autónoma de Tabasco, Avenida Universidad s/n, Col. Magisterial, Villahermosa, Tabasco 86040 (Mexico); Pantoja-Enríquez, J. [Centro de Investigación y Desarrollo Tecnológico en Energías Renovables, UNICACH, Libramiento Norte No 1150, Tuxtla Gutiérrez, Chiapas 29039 (Mexico); Escobar-Morales, B. [Instituto Tecnológico de Cancún, Avenida Kábah Km 3, Cancún, Quintana Roo 77500 (Mexico); Martinez-Hernández, D.; Díaz-Flores, L.L.; Ricardez-Jiménez, C. [Universidad Juárez Autónoma de Tabasco, Avenida Universidad s/n, Col. Magisterial, Villahermosa, Tabasco 86040 (Mexico); Mathews, N.R.; Mathew, X. [Centro de Investigación en Energía, Universidad Nacional Autónoma de México, Temixco, Morelos 62580 (Mexico)

    2013-05-01

    Cadmium sulfide thin-films were deposited on glass slides and SnO{sub 2}:F coated glass substrates by chemical bath deposition, sputtering and close-spaced sublimation techniques. The films were studied for the structural and opto-electronic properties after annealing in an ambient identical to that employed in the fabrication of CdTe/CdS devices. Quantum efficiency of the CdTe/CdS solar cells fabricated with CdS buffer films prepared by the three methods were investigated to understand the role of CdS film preparation method on the blue response of the devices. The higher blue response observed for the devices fabricated with chemical bath deposited CdS film is discussed. - Highlights: ► CdS films were prepared by different techniques. ► Role of CdS on the blue response of device was studied. ► Structural and optical properties of CdS were analyzed. ► Chemically deposited CdS has high blue transmittance. ► CdS deposition method influences diffusion of S and Te.

  12. Impacts of Thermal Atomic Layer-Deposited AlN Passivation Layer on GaN-on-Si High Electron Mobility Transistors.

    Science.gov (United States)

    Zhao, Sheng-Xun; Liu, Xiao-Yong; Zhang, Lin-Qing; Huang, Hong-Fan; Shi, Jin-Shan; Wang, Peng-Fei

    2016-12-01

    Thermal atomic layer deposition (ALD)-grown AlN passivation layer is applied on AlGaN/GaN-on-Si HEMT, and the impacts on drive current and leakage current are investigated. The thermal ALD-grown 30-nm amorphous AlN results in a suppressed off-state leakage; however, its drive current is unchanged. It was also observed by nano-beam diffraction method that thermal ALD-amorphous AlN layer barely enhanced the polarization. On the other hand, the plasma-enhanced chemical vapor deposition (PECVD)-deposited SiN layer enhanced the polarization and resulted in an improved drive current. The capacitance-voltage (C-V) measurement also indicates that thermal ALD passivation results in a better interface quality compared with the SiN passivation.

  13. Temperature dependence of InN film deposition by an RF plasma-assisted reactive ion beam sputtering deposition technique

    International Nuclear Information System (INIS)

    Shinoda, Hiroyuki; Mutsukura, Nobuki

    2005-01-01

    Indium nitride (InN) films were deposited on Si(100) substrates using a radiofrequency (RF) plasma-assisted reactive ion beam sputtering deposition technique at various substrate temperatures. The X-ray diffraction patterns of the InN films suggest that the InN films deposited at substrate temperatures up to 370 deg C were cubic crystalline InN; and at 500 deg C, the InN film was hexagonal crystalline InN. In a scanning electron microscope image of the InN film surface, facets of cubic single-crystalline InN grains were clearly observed on the InN film deposited at 370 deg C. The inclusion of metallic indium appeared on the InN film deposited at 500 deg C

  14. A review of hydroxyapatite-based coating techniques: Sol-gel and electrochemical depositions on biocompatible metals.

    Science.gov (United States)

    Asri, R I M; Harun, W S W; Hassan, M A; Ghani, S A C; Buyong, Z

    2016-04-01

    New promising techniques for depositing biocompatible hydroxyapatite-based coatings on biocompatible metal substrates for biomedical applications have continuously been exploited for more than two decades. Currently, various experimental deposition processes have been employed. In this review, the two most frequently used deposition processes will be discussed: a sol-gel dip coating and an electrochemical deposition. This study deliberates the surface morphologies and chemical composition, mechanical performance and biological responses of sol-gel dip coating as well as the electrochemical deposition for two different sample conditions, with and without coating. The review shows that sol-gel dip coatings and electrochemical deposition were able to obtain the uniform and homogeneous coating thickness and high adherent biocompatible coatings even in complex shapes. It has been accepted that both coating techniques improve bone strength and initial osseointegration rate. The main advantages and limitations of those techniques of hydroxyapatite-based coatings are presented. Furthermore, the most significant challenges and critical issues are also highlighted. Copyright © 2015 Elsevier Ltd. All rights reserved.

  15. Structural characterization of the nickel thin film deposited by glad technique

    Directory of Open Access Journals (Sweden)

    Potočnik J.

    2013-01-01

    Full Text Available In this work, a columnar structure of nickel thin film has been obtained using an advanced deposition technique known as Glancing Angle Deposition. Nickel thin film was deposited on glass sample at the constant emission current of 100 mA. Glass sample was positioned 15 degrees with respect to the nickel vapor flux. The obtained nickel thin film was characterized by Force Modulation Atomic Force Microscopy and by Scanning Electron Microscopy. Analysis indicated that the formation of the columnar structure occurred at the film thickness of 1 μm, which was achieved for the deposition time of 3 hours. [Projekat Ministarstva nauke Republike Srbije, br. III45005

  16. Post-deposition thermal annealing studies of hydrogenated microcrystalline silicon deposited at 40 deg. C

    International Nuclear Information System (INIS)

    Bronsveld, P.C.P.; Wagt, H.J. van der; Rath, J.K.; Schropp, R.E.I.; Beyer, W.

    2007-01-01

    Post-deposition thermal annealing studies, including gas effusion measurements, measurements of infrared absorption versus annealing state, cross-sectional transmission electron microscopy (X-TEM) and atomic force microscopy (AFM), are used for structural characterization of hydrogenated amorphous and microcrystalline silicon films, prepared by very high frequency plasma enhanced chemical vapor deposition (VHF-PECVD) at low substrate temperature (T S ). Such films are of interest for application in thin semiconductor devices deposited on cheap plastics. For T S ∼ 40 deg. C, H-evolution shows rather complicated spectra for (near-) microcrystalline material, with hydrogen effusion maxima seen at ∼ 200-250 deg. C, 380 deg. C and ∼ 450-500 deg. C, while for the amorphous material typical spectra for good-quality dense material are found. Effusion experiments of implanted He demonstrate for the microcrystalline material the presence of a rather open (void-rich) structure. A similar tendency can be concluded from Ne effusion experiments. Fourier Transform infrared (FTIR) spectra of stepwise annealed samples show Si-H bond rupture already at annealing temperatures of 150 deg. C. Combined AFM/X-TEM studies reveal a columnar microstructure for all of these (near-) microcrystalline materials, of which the open structure is the most probable explanation of the shift of the H-effusion maximum in (near-) microcrystalline material to lower temperature

  17. Annealing temperature dependence of photoluminescent characteristics of silicon nanocrystals embedded in silicon-rich silicon nitride films grown by PECVD

    International Nuclear Information System (INIS)

    Chao, D.S.; Liang, J.H.

    2013-01-01

    Recently, light emission from silicon nanostructures has gained great interest due to its promising potential of realizing silicon-based optoelectronic applications. In this study, luminescent silicon nanocrystals (Si–NCs) were in situ synthesized in silicon-rich silicon nitride (SRSN) films grown by plasma-enhanced chemical vapor deposition (PECVD). SRSN films with various excess silicon contents were deposited by adjusting SiH 4 flow rate to 100 and 200 sccm and keeping NH 3 one at 40 sccm, and followed by furnace annealing (FA) treatments at 600, 850 and 1100 °C for 1 h. The effects of excess silicon content and post-annealing temperature on optical properties of Si–NCs were investigated by photoluminescence (PL) and Fourier transform infrared spectroscopy (FTIR). The origins of two groups of PL peaks found in this study can be attributed to defect-related interface states and quantum confinement effects (QCE). Defect-related interface states lead to the photon energy levels almost kept constant at about 3.4 eV, while QCE results in visible and tunable PL emission in the spectral range of yellow and blue light which depends on excess silicon content and post-annealing temperature. In addition, PL intensity was also demonstrated to be highly correlative to the excess silicon content and post-annealing temperature due to its corresponding effects on size, density, crystallinity, and surface passivation of Si–NCs. Considering the trade-off between surface passivation and structural properties of Si–NCs, an optimal post-annealing temperature of 600 °C was suggested to maximize the PL intensity of the SRSN films

  18. RF plasma deposition of thin Si{sub x}Ge{sub y}C{sub z}:H films using a combination of organometallic source materials

    Energy Technology Data Exchange (ETDEWEB)

    Rapiejko, C. [Institute for Materials Science and Engineering, Technical University of LodzLz Stefanowskiego 1, 90-924 Lodz (Poland); Gazicki-Lipman, M. [Institute for Materials Science and Engineering, Technical University of LodzLz Stefanowskiego 1, 90-924 Lodz (Poland)]. E-mail: gazickim@p.lodz.pl; Klimek, L. [Institute for Materials Science and Engineering, Technical University of LodzLz Stefanowskiego 1, 90-924 Lodz (Poland); Szymanowski, H. [Institute for Materials Science and Engineering, Technical University of LodzLz Stefanowskiego 1, 90-924 Lodz (Poland); Strojek, M. [Institute for Materials Science and Engineering, Technical University of LodzLz Stefanowskiego 1, 90-924 Lodz (Poland)

    2004-12-22

    Elements of the IV group of periodic table have been strongly present in the fast development of PECVD techniques for the last two decades at least. As a result, deposition technologies of such materials as a-Si:H, a-C:H, m{mu}-C:H or DLC have been successfully established. What has followed is an ever growing interest in binary systems of the A{sub x}(IV)B{sub y}(IV):H kind. One possible way to deposit such systems is to use organosilicon compounds (to deposit Si{sub x}C{sub y}:H films) or organogermanium compounds (to deposit Ge{sub x}C{sub y}:H films), as source substances. The present paper reports on a RF plasma deposition of a Si{sub x}Ge{sub y}C{sub z}:H ternary system, using a combination of organosilicon and organogermanium compounds. Thin Si/Ge/C films have been fabricated in a small volume (ca. 2 dm{sup 3}) parallel plate RF plasma reactor using, as a source material, a combination of tetramethylsilane (TMS) and tetramethylgermanium (TMG) vapours carried by argon. SEM investigations reveal a continuous compact character of the coatings and their uniform thickness. The elemental composition of the films has been studied using EDX analysis. The results of the analysis show that the elemental composition of the films can be controlled by both the TMG/TMS ratio of the initial mixture and the RF power input. Ellipsometric measurements show good homogeneity of these materials. Chemical bonding in the films has been studied using the FTIR technique. Bandgap calculations have been carried out using ellipsometric data and by applying both the Tauc law and the Moss approach.

  19. Leakage current of amorphous silicon p-i-n diodes made by ion shower doping

    International Nuclear Information System (INIS)

    Kim, Hee Joon; Cho, Gyuseong; Choi, Joonhoo; Jung, Kwan-Wook

    2002-01-01

    In this letter, we report the leakage current of amorphous silicon (a-Si:H) p-i-n photodiodes, of which the p layer is formed by ion shower doping. The ion shower doping technique has an advantage over plasma-enhanced chemical vapor deposition (PECVD) in the fabrication of a large-area amorphous silicon flat-panel detector. The leakage current of the ion shower diodes shows a better uniformity within a 30 cmx40 cm substrate than that of the PECVD diodes. However, it shows a higher leakage current of 2-3 pA/mm 2 at -5 V. This high current originates from the high injection current at the p-i junction

  20. Charged particle detectors based on high quality amorphous silicon deposited with hydrogen or helium dilution of silane

    International Nuclear Information System (INIS)

    Hong, Wan-Shick; Drewery, J.S.; Jing, Tao; Lee, Hyoung-Koo; Kaplan, S.N.; Perez-Mendez, V.; Mireshghi, Ali; Kitsuno, Yu

    1994-11-01

    Electrical transport properties of the authors PECVD a-Si:H material has been improved by using hydrogen and/or helium dilution of silane and lower substrate temperature for deposition. For hydrogen-diluted material they have measured electron and hole mobilities ∼ 4 times larger, and μτ values 2-3 times higher than for their standard a-Si:H. The density of ionized dangling bonds (N D *) also showed a factor of 5-10 improvement. Due to its higher conductivity, the improved a- Si:H material is more suitable than conventional a-Si:H for TFT applications. However, it is difficult to make thick layers by H-dilution because of high internal stress. On the other hand, thick detectors can be made at a faster rate and lower stress by low temperature deposition with He-dilution and subsequent annealing. The internal stress, which causes substrate bending and delamination, was reduced by a factor of 4 to ∼90 MPa, while the electronic quality was kept as good as that of the standard material. By this technique 35 μm-thick n-i-p diodes were made without significant substrate bending, and the electronic properties, such as electron mobility and ionized dangling bond density, were suitable for detecting minimum ionizing particles

  1. Charged particle detectors based on high quality amorphous silicon deposited with hydrogen or helium dilution of silane

    International Nuclear Information System (INIS)

    Hong, W.S.; Drewery, J.S.; Jing, T.; Lee, H.; Kaplan, S.N.; Perez-Mendez, V.; Kitsuno, Y.

    1995-01-01

    Electrical transport properties of the PECVD a-Si:H material has been improved by using hydrogen and/or helium dilution of silane and lower substrate temperature for deposition. For hydrogen-diluted material the authors measured electron and hole mobilities ∼4 times larger, and microτ values 2--3 times higher than for the standard a-Si:H. The density of ionized dangling bonds (N D *) also showed a factor of 5--10 improvement. Due to its higher conductivity, the improved a-Si:H material is more suitable than conventional a-Si:H for TFT applications. However, it is difficult to make thick layers by H-dilution because of high internal stress. On the other hand, thick detectors can be made at a faster rate and lower stress by low temperature deposition with He-dilution and subsequent annealing. The internal stress, which causes substrate bending and delamination, was reduced by a factor of 4 to ∼90 MPa, while the electronic quality was kept as good as that of the standard material. By this technique 35 microm-thick n-i-p diodes were made without significant substrate bending, and the electronic properties, such as electron mobility and ionized dangling bond density, were suitable for detecting minimum ionizing particles

  2. Formation of apatite on hydrogenated amorphous silicon (a-Si:H) film deposited by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu Xuanyong; Chu, Paul K.; Ding Chuanxian

    2007-01-01

    Hydrogenated amorphous silicon films were fabricated on p-type, 100 mm diameter silicon wafers by plasma-enhanced chemical vapor deposition (PECVD) using silane and hydrogen. The structure and composition of the hydrogenated amorphous silicon films were investigated using micro-Raman spectroscopy and cross-sectional transmission electron microscopy (XTEM). The hydrogenated amorphous silicon films were subsequently soaked in simulated body fluids to evaluate apatite formation. Carbonate-containing hydroxyapatite (bone-like apatite) was formed on the surface suggesting good bone conductivity. The amorphous structure and presence of surface Si-H bonds are believed to induce apatite formation on the surface of the hydrogenated amorphous silicon film. A good understanding of the surface bioactivity of silicon-based materials and means to produce a bioactive surface is important to the development of silicon-based biosensors and micro-devices that are implanted inside humans

  3. Formation of apatite on hydrogenated amorphous silicon (a-Si:H) film deposited by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Liu Xuanyong [Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China) and Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)]. E-mail: xyliu@mail.sic.ac.cn; Chu, Paul K. [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)]. E-mail: paul.chu@cityu.edu.hk; Ding Chuanxian [Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China)

    2007-01-15

    Hydrogenated amorphous silicon films were fabricated on p-type, 100 mm diameter <1 0 0> silicon wafers by plasma-enhanced chemical vapor deposition (PECVD) using silane and hydrogen. The structure and composition of the hydrogenated amorphous silicon films were investigated using micro-Raman spectroscopy and cross-sectional transmission electron microscopy (XTEM). The hydrogenated amorphous silicon films were subsequently soaked in simulated body fluids to evaluate apatite formation. Carbonate-containing hydroxyapatite (bone-like apatite) was formed on the surface suggesting good bone conductivity. The amorphous structure and presence of surface Si-H bonds are believed to induce apatite formation on the surface of the hydrogenated amorphous silicon film. A good understanding of the surface bioactivity of silicon-based materials and means to produce a bioactive surface is important to the development of silicon-based biosensors and micro-devices that are implanted inside humans.

  4. A review of basic phenomena and techniques for sputter-deposition of high temperature superconducting films

    Energy Technology Data Exchange (ETDEWEB)

    Auciello, O. (Microelectronics Center of North Carolina, Research Triangle Park, NC (USA) North Carolina State Univ., Raleigh, NC (USA). Dept. of Materials Science and Engineering); Ameen, M.S.; Kingon, A.I.; Lichtenwalner, D.J. (North Carolina State Univ., Raleigh, NC (USA). Dept. of Materials Science and Engineering); Krauss, A.R. (Argonne National Lab., IL (USA))

    1990-01-01

    The processes involved in plasma and ion beam sputter-deposition of high temperature superconducting thin films are critically reviewed. Recent advances in the development of these techniques are discussed in relation to basic physical phenomena, specific to each technique, which must be understood before high quality films can be produced. Control of film composition is a major issue in sputter-deposition of multicomponent materials. Low temperature processing of films is a common goal for each technique, particularly in relation to integrating high temperature superconducting films with the current microelectronics technology. It has been understood for some time that for Y{sub 1}Ba{sub 2}Cu{sub 3}O{sub 7} deposition, the most intensely studied high-{Tc} compound, incorporation of sufficient oxygen into the film during deposition is necessary to produce as-deposited superconducting films at relatively substrate temperatures. Recent results have shown that with the use of suitable buffer layers, high quality Y{sub 1}Ba{sub 2}Cu{sub 3}O{sub 7} sputtered films can be obtained on Si substrates without the need for post-deposition anneal processing. This review is mainly focussed on issues related to sputter-deposition of Y{sub 1}Ba{sub 2}Cu{sub 3}O{sub 7} thin films, although representative results concerning the bismuth and thallium based compounds are included. 143 refs., 11 figs.

  5. Nanostructure and optical properties of CeO{sub 2} thin films obtained by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Barreca, D.; Bruno, G.; Gasparotto, A.; Losurdo, M.; Tondello, E

    2003-12-15

    In the present study, Spectroscopic Ellipsometry (SE) is used to investigate the interrelations between nanostructure and optical properties of CeO{sub 2} thin films deposited by Plasma-Enhanced Chemical Vapor Deposition (PE-CVD). The layers were synthesized in Ar and Ar-O{sub 2} plasmas on Si(100) substrates at temperatures lower than 300 deg. C. Both the real and imaginary parts of the complex dielectric functions and, subsequently, the optical constants of the films are derived up to 6.0 eV photon energy. Particular attention is devoted to the influence of synthesis conditions and sample properties on the optical response, taking into account the effects of surface roughness and SiO{sub 2} interface layer on Si.

  6. Synthesis of conductive semi-transparent silver films deposited by a Pneumatically-Assisted Ultrasonic Spray Pyrolysis Technique

    Energy Technology Data Exchange (ETDEWEB)

    Zaleta-Alejandre, E.; Balderas-Xicoténcatl, R. [Centro de Investigación y de Estudios Avanzados-IPN, Departamento de Física, , Apdo. Postal 14-470, Del, Gustavo A. Madero, C.P. 07000, México, D.F. (Mexico); Arrieta, M.L. Pérez [Universidad Autónoma de Zacatecas, Unidad Académica de Física, Calzada Solidaridad esq. Paseo, La Bufa s/n, C.P. 98060, Zacatecas, México (Mexico); Meza-Rocha, A.N.; Rivera-Álvarez, Z. [Centro de Investigación y de Estudios Avanzados-IPN, Departamento de Física, , Apdo. Postal 14-470, Del, Gustavo A. Madero, C.P. 07000, México, D.F. (Mexico); Falcony, C., E-mail: cfalcony@fis.cinvestav.mx [Centro de Investigación y de Estudios Avanzados-IPN, Departamento de Física, , Apdo. Postal 14-470, Del, Gustavo A. Madero, C.P. 07000, México, D.F. (Mexico)

    2013-10-01

    Highlights: • We deposited metallic silver films without post-deposition annealing. • The spray pyrolysis technique is of low cost and scalable for industrial applications. • We obtained deposition rate of 60 nm min{sup −1} at 300 °C. • The average resistivity was 1E−7 Ω m. • Semi-transparent silver films were obtained at 350 °C and deposition time of 45 s. -- Abstract: The synthesis and characterization of nanostructured silver films deposited on corning glass by a deposition technique called Pneumatically-Assisted Ultrasonic Spray Pyrolysis are reported. Silver nitrate and triethanolamine were used as silver precursor and reducer agent, respectively. The substrate temperatures during deposition were in the range of 300–450 °C and the deposition times from 30 to 240 s. The deposited films are polycrystalline with cubic face-centered structure, and crystalline grain size less than 30 nm. Deposition rates up to 600 Å min{sup −1} were obtained at substrate temperature as low as 300 °C. The electrical, optical, and morphological properties of these films are also reported. Semi-transparent conductive silver films were obtained at 350 °C with a deposition time of 45 s.

  7. Synthesis of conductive semi-transparent silver films deposited by a Pneumatically-Assisted Ultrasonic Spray Pyrolysis Technique

    International Nuclear Information System (INIS)

    Zaleta-Alejandre, E.; Balderas-Xicoténcatl, R.; Arrieta, M.L. Pérez; Meza-Rocha, A.N.; Rivera-Álvarez, Z.; Falcony, C.

    2013-01-01

    Highlights: • We deposited metallic silver films without post-deposition annealing. • The spray pyrolysis technique is of low cost and scalable for industrial applications. • We obtained deposition rate of 60 nm min −1 at 300 °C. • The average resistivity was 1E−7 Ω m. • Semi-transparent silver films were obtained at 350 °C and deposition time of 45 s. -- Abstract: The synthesis and characterization of nanostructured silver films deposited on corning glass by a deposition technique called Pneumatically-Assisted Ultrasonic Spray Pyrolysis are reported. Silver nitrate and triethanolamine were used as silver precursor and reducer agent, respectively. The substrate temperatures during deposition were in the range of 300–450 °C and the deposition times from 30 to 240 s. The deposited films are polycrystalline with cubic face-centered structure, and crystalline grain size less than 30 nm. Deposition rates up to 600 Å min −1 were obtained at substrate temperature as low as 300 °C. The electrical, optical, and morphological properties of these films are also reported. Semi-transparent conductive silver films were obtained at 350 °C with a deposition time of 45 s

  8. A comparative study of two advanced spraying techniques for the deposition of biologically active enzyme coatings onto bone-substituting implants

    International Nuclear Information System (INIS)

    Jonge, Lise T. de; Ju, J.; Leeuwenburgh, S.C.G.; Yamagata, Y.; Higuchi, T.; Wolke, J.G.C.; Inoue, K.; Jansen, J.A.

    2010-01-01

    Surface modification of implant materials with biomolecule coatings is of high importance to improve implant fixation in bone tissue. In the current study, we present two techniques for the deposition of biologically active enzyme coatings onto implant materials. The well-established thin film ElectroSpray Deposition (ESD) technique was compared with the SAW-ED technique that combines high-frequency Surface Acoustic Wave atomization with Electrostatic Deposition. By immobilizing the enzyme alkaline phosphatase (ALP) onto implant surfaces, the influence of both SAW-ED and ESD deposition parameters on ALP deposition efficiency and ALP biological activity was investigated. ALP coatings with preserved enzyme activity were deposited by means of both the SAW-ED and ESD technique. The advantages of SAW-ED over ESD include the possibility to spray highly conductive protein solutions, and the 60-times faster deposition rate. Furthermore, significantly higher deposition efficiencies were observed for the SAW-ED technique compared to ESD. Generally, it was shown that protein inactivation is highly dependent on both droplet dehydration and the applied electrical field strength. The current study shows that SAW-ED is a versatile and flexible technique for the fabrication of functionally active biomolecule coatings.

  9. Chemical changes in DMP1-null murine bone & silica based pecvd coatings for titanium implant osseoapplications

    Science.gov (United States)

    Maginot, Megen

    In order to improve clinical outcomes in bone-implant systems, a thorough understanding of both local bone chemistry and implant surface chemistry is necessary. This study consists, therefore, of two main parts: one focused on determining the nature of the changes in bone chemistry in a DMP1-null transgenic disease model and the other on the development of amorphous silica-based coatings for potential use as titanium bone implant coatings. For the study of bone mineral in the DMP1 transgenic model, which is known to have low serum phosphate levels, transgenic DMP1-null and wild type mice were fed a high phosphate diet, sacrificed, and had their long bone harvested. This bone was characterized using SEM, FTIR, microCT and XANES and compared to DMP1-null and wild type control groups to assess the therapeutic effect of high Pi levels on the phenotype and the role of DMP1 in mineralization in vivo. Findings suggest that though the high phosphate diet results in restoring serum phosphate levels, it does not completely rescue the bone mineral phenotype at an ultrastructural level and implicates DMP1 in phosphate nucleation. Since plasma enhanced chemical vapor deposition (PECVD) silica like coatings have not previously been fabricated for use in oessoapplications, the second part of this study initially focused on the characterization of novel SiOx chemistries fabricated via a chemical vapor deposition process that were designed specifically to act as bioactive coatings with a loose, hydrogenated structure. These coatings were then investigated for their potential initial stage response to bone tissue through immersion in a simulated body fluid and through the culture of MC3T3 cells on the coating surfaces. Coating surfaces were characterized by SEM, FTIR, contact angle measurements, and XANES. Coating dissolution and ionic release were also investigated by ICP-OES. Findings suggest that some SiOx chemistries may form a bioactive coating while more highly substituted

  10. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jiang Hao [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States)]. E-mail: hao.jiang@wpafb.af.mil; Hong Lianggou [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States); Venkatasubramanian, N. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Grant, John T. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Eyink, Kurt [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Wiacek, Kevin [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Fries-Carr, Sandra [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Enlow, Jesse [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Bunning, Timothy J. [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States)

    2007-02-26

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant ({epsilon} {sub r}) and dielectric loss (tan {delta}) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F {sub b}) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F{sub b} of 610 V/{mu}m, an {epsilon} {sub r} of 3.07, and a tan {delta} of 7.0 x 10{sup -3} at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss.

  11. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    International Nuclear Information System (INIS)

    Jiang Hao; Hong Lianggou; Venkatasubramanian, N.; Grant, John T.; Eyink, Kurt; Wiacek, Kevin; Fries-Carr, Sandra; Enlow, Jesse; Bunning, Timothy J.

    2007-01-01

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant (ε r ) and dielectric loss (tan δ) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F b ) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F b of 610 V/μm, an ε r of 3.07, and a tan δ of 7.0 x 10 -3 at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss

  12. Atomic Layer Deposition of ZnO on Multi-walled Carbon Nanotubes and Its Use for Synthesis of CNT–ZnO Heterostructures

    Directory of Open Access Journals (Sweden)

    Li C

    2010-01-01

    Full Text Available Abstract In this article, direct coating of ZnO on PECVD-grown multi-walled carbon nanotubes (MWCNTs is achieved using atomic layer deposition (ALD. Transmission electron microscopy investigation shows that the deposited ZnO shell is continuous and uniform, in contrast to the previously reported particle morphology. The ZnO layer has a good crystalline quality as indicated by Raman and photoluminescence (PL measurements. We also show that such ZnO layer can be used as seed layer for subsequent hydrothermal growth of ZnO nanorods, resulting in branched CNT–inorganic hybrid nanostructures. Potentially, this method can also apply to the fabrication of ZnO-based hybrid nanostructures on other carbon nanomaterials.

  13. Effect of dual-dielectric hydrogen-diffusion barrier layers on the performance of low-temperature processed transparent InGaZnO thin-film transistors

    Science.gov (United States)

    Tari, Alireza; Wong, William S.

    2018-02-01

    Dual-dielectric SiOx/SiNx thin-film layers were used as back-channel and gate-dielectric barrier layers for bottom-gate InGaZnO (IGZO) thin-film transistors (TFTs). The concentration profiles of hydrogen, indium, gallium, and zinc oxide were analyzed using secondary-ion mass spectroscopy characterization. By implementing an effective H-diffusion barrier, the hydrogen concentration and the creation of H-induced oxygen deficiency (H-Vo complex) defects during the processing of passivated flexible IGZO TFTs were minimized. A bilayer back-channel passivation layer, consisting of electron-beam deposited SiOx on plasma-enhanced chemical vapor-deposition (PECVD) SiNx films, effectively protected the TFT active region from plasma damage and minimized changes in the chemical composition of the semiconductor layer. A dual-dielectric PECVD SiOx/PECVD SiNx gate-dielectric, using SiOx as a barrier layer, also effectively prevented out-diffusion of hydrogen atoms from the PECVD SiNx-gate dielectric to the IGZO channel layer during the device fabrication.

  14. Uranium solution mining cost estimating technique: means for rapid comparative analysis of deposits

    International Nuclear Information System (INIS)

    Anon.

    1978-01-01

    Twelve graphs provide a technique for determining relative cost ranges for uranium solution mining projects. The use of the technique can provide a consistent framework for rapid comparative analysis of various properties of mining situations. The technique is also useful to determine the sensitivities of cost figures to incremental changes in mining factors or deposit characteristics

  15. Geochemical Exploration Techniques Applicable in the Search for Copper Deposits

    Science.gov (United States)

    Chaffee, Maurice A.

    1975-01-01

    Geochemical exploration is an important part of copper-resource evaluation. A large number of geochemical exploration techniques, both proved and untried, are available to the geochemist to use in the search for new copper deposits. Analyses of whole-rock samples have been used in both regional and local geochemical exploration surveys in the search for copper. Analyses of mineral separates, such as biotite, magnetite, and sulfides, have also been used. Analyses of soil samples are widely used in geochemical exploration, especially for localized surveys. It is important to distinguish between residual and transported soil types. Orientation studies should always be conducted prior to a geochemical investigation in a given area in order to determine the best soil horizon and the best size of soil material for sampling in that area. Silty frost boils, caliche, and desert varnish are specialized types of soil samples that might be useful sampling media. Soil gas is a new and potentially valuable geochemical sampling medium, especially in exploring for buried mineral deposits in arid regions. Gaseous products in samples of soil may be related to base-metal deposits and include mercury vapor, sulfur dioxide, hydrogen sulfide, carbon oxysulfide, carbon dioxide, hydrogen, oxygen, nitrogen, the noble gases, the halogens, and many hydrocarbon compounds. Transported materials that have been used in geochemical sampling programs include glacial float boulders, glacial till, esker gravels, stream sediments, stream-sediment concentrates, and lake sediments. Stream-sediment sampling is probably the most widely used and most successful geochemical exploration technique. Hydrogeochemical exploration programs have utilized hot- and cold-spring waters and their precipitates as well as waters from lakes, streams, and wells. Organic gel found in lakes and at stream mouths is an unproved sampling medium. Suspended material and dissolved gases in any type of water may also be useful

  16. HfO2 as gate dielectric on Ge: Interfaces and deposition techniques

    International Nuclear Information System (INIS)

    Caymax, M.; Van Elshocht, S.; Houssa, M.; Delabie, A.; Conard, T.; Meuris, M.; Heyns, M.M.; Dimoulas, A.; Spiga, S.; Fanciulli, M.; Seo, J.W.; Goncharova, L.V.

    2006-01-01

    To fabricate MOS gate stacks on Ge, one can choose from a multitude of metal oxides as dielectric material which can be deposited by many chemical or physical vapor deposition techniques. As a few typical examples, we will discuss here the results from atomic layer deposition (ALD), metal organic CVD (MOCVD) and molecular beam deposition (MBD) using HfO 2 /Ge as materials model system. It appears that a completely interface layer free HfO 2 /Ge combination can be made in MBD, but this results in very bad capacitors. The same bad result we find if HfGe y (Hf germanides) are formed like in the case of MOCVD on HF-dipped Ge. A GeO x interfacial layer appears to be indispensable (if no other passivating materials are applied), but the composition of this interfacial layer (as determined by XPS, TOFSIMS and MEIS) is determining for the C/V quality. On the other hand, the presence of Ge in the HfO 2 layer is not the most important factor that can be responsible for poor C/V, although it can still induce bumps in C/V curves, especially in the form of germanates (Hf-O-Ge). We find that most of these interfacial GeO x layers are in fact sub-oxides, and that this could be (part of) the explanation for the high interfacial state densities. In conclusion, we find that the Ge surface preparation is determining for the gate stack quality, but it needs to be adapted to the specific deposition technique

  17. Deposition of porous cathodes using plasma spray technique for reduced-temperature SOFCs

    Energy Technology Data Exchange (ETDEWEB)

    Jankovic, J.; Hui, S.; Roller, J.; Kesler, O.; Xie, Y.; Maric, R.; Ghosh, D. [National Research Council of Canada, Vancouver, BC (Canada). Inst. for Fuel Cell Innovation

    2005-07-01

    Current techniques for Solid Oxide Fuel Cell (SOFC) materials deposition are often expensive and time-consuming. Plasma-spraying techniques provide higher deposition rates, short processing times and control over porosity and composition during deposition. Optimum plasma spraying for lanthanum based cathode materials were discussed. Plasma-spraying was used to deposit cathode materials onto ceramic and stainless steel substrates to obtain highly porous structures. Lanthanum cathode materials with composition of La{sub 0.6}Sr{sub 0.4}C{sub 0.2}Fe{sub 0.8}O{sub 3} were employed in the powder form. The powder was prepared from powder precursors with different power formers and binder levels, or from produced single-phase lanthanum powders. The (La{sub 0.8}Sr{sub 0.2}){sub 0.98}MnO{sub 3} cathode material was also processed for comparison purposes. The deposition process was developed to obtain coatings with good bond strength, porosity, film thickness and residual stresses. The phase and microstructure of deposited materials were characterized using X-Ray Diffraction and Scanning Electron Microscopy (SEM). It was concluded that good flow of the powder precursors is achieved by spraying 50-100 um particle size powders and using vibrating feeders. Further processing of the spraying powders was recommended. It was noted that oxide precursors showed greater reactivity among the precursors. The best precursor reactivity and coating morphology was obtained using 40 volume per cent of graphite pore former, incorporated into the precursor mixture during wet ball milling. It was concluded that higher power levels and larger distances between the plasma gun and the substrate result in coatings with the highest porosities and best phase compositions. 5 refs., 1 tab., 6 figs.

  18. Positron and positronium annihilation in low-dielectric-constant films studied by a pulsed positron beam

    International Nuclear Information System (INIS)

    Suzuki, R.; Ohdaira, T.; Kobayashi, Y.; Ito, K.; Yu, R.S.; Shioya, Y.; Ichikawa, H.; Hosomi, H.; Ishikiriyama, K.; Shirataki, H.; Matsuno, S.; Xu, J.

    2004-01-01

    Positron and positronium annihilation in porous low-dielectric-constant (low-k) films deposited by plasma-enhanced chemical vapor deposition (PECVD) and spin-on dielectric (SOD) have been investigated by means of positron annihilation lifetime spectroscopy (PALS) and age-momentum correlation (AMOC) spectroscopy with a pulsed slow positron beam. The ortho-positronium (o-Ps) lifetime strongly depends on the deposition condition. In general, PECVD low-k films have shorter o-Ps lifetimes than SOD low-k films, indicating PECVD low-k films have smaller pores. Since o-Ps diffusion and escaping from the surface occurs in most of porous SOD films, three-gamma annihilation measurement is important. To investigate o-Ps behavior in SOD films, we have carried out two-dimensional (2D) PALS measurement, which measures annihilation time and pulse-height of the scintillation detector simultaneously. Monte-Carlo simulation of the o-Ps diffusion and escaping in porous films has been carried out to simulate the 2D-PALS results. (orig.)

  19. Low-temperature oxidizing plasma surface modification and composite polymer thin-film fabrication techniques for tailoring the composition and behavior of polymer surfaces

    Science.gov (United States)

    Tompkins, Brendan D.

    This dissertation examines methods for modifying the composition and behavior of polymer material surfaces. This is accomplished using (1) low-temperature low-density oxidizing plasmas to etch and implant new functionality on polymers, and (2) plasma enhanced chemical vapor deposition (PECVD) techniques to fabricate composite polymer materials. Emphases are placed on the structure of modified polymer surfaces, the evolution of polymer surfaces after treatment, and the species responsible for modifying polymers during plasma processing. H2O vapor plasma modification of high-density polyethylene (HDPE), low-density polyethylene (LDPE), polypropylene (PP), polystyrene (PS), polycarbonate (PC), and 75A polyurethane (PU) was examined to further our understanding of polymer surface reorganization leading to hydrophobic recovery. Water contact angles (wCA) measurements showed that PP and PS were the most susceptible to hydrophobic recovery, while PC and HDPE were the most stable. X-ray photoelectron spectroscopy (XPS) revealed a significant quantity of polar functional groups on the surface of all treated polymer samples. Shifts in the C1s binding energies (BE) with sample age were measured on PP and PS, revealing that surface reorganization was responsible for hydrophobic recovery on these materials. Differential scanning calorimetry (DSC) was used to rule out the intrinsic thermal properties as the cause of reorganization and hydrophobic recovery on HDPE, LDPE, and PP. The different contributions that polymer cross-linking and chain scission mechanisms make to polymer aging effects are considered. The H2O plasma treatment technique was extended to the modification of 0.2 microm and 3.0 microm track-etched polycarbonate (PC-TE) and track-etched polyethylene terephthalate (PET-TE) membranes with the goal of permanently increasing the hydrophilicity of the membrane surfaces. Contact angle measurements on freshly treated and aged samples confirmed the wettability of the

  20. Influence of Deposition Pressure on the Properties of Round Pyramid Textured a-Si:H Solar Cells for Maglev.

    Science.gov (United States)

    Lee, Jaehyeong; Choi, Wonseok; Lee, Kyuil; Lee, Daedong; Kang, Hyunil

    2016-05-01

    HIT (Heterojunction with Intrinsic Thin-layer) photovoltaic cells is one of the highest efficiencies in the commercial solar cells. The pyramid texturization for reducing surface reflectance of HIT solar cells silicon wafers is widely used. For the low leakage current and high shunt of solar cells, the intrinsic amorphous silicon (a-Si:H) on substrate must be uniformly thick of pyramid structure. However, it is difficult to control the thickness in the traditional pyramid texturing process. Thus, we textured the intrinsic a-Si:H thin films with the round pyramidal structure by using HNO3, HF, and CH3COOH solution. The characteristics of round pyramid a-Si:H solar cells deposited at pressure of 500, 1000, 1500, and 2000 mTorr by PECVD (Plasma Enhanced Chemical Vapor Deposition) was investigated. The lifetime, open circuit voltage, fill factor and efficiency of a-Si:H solar cells were investigated with respect to various deposition pressure.

  1. Superhydrophobic polytetrafluoroethylene thin films with hierarchical roughness deposited using a single step vapor phase technique

    International Nuclear Information System (INIS)

    Gupta, Sushant; Arjunan, Arul Chakkaravarthi; Deshpande, Sameer; Seal, Sudipta; Singh, Deepika; Singh, Rajiv K.

    2009-01-01

    Superhydrophobic polytetrafluoroethylene films with hierarchical surface roughness were deposited using pulse electron deposition technique. We were able to modulate roughness of the deposited films by controlling the beam energy and hence the electron penetration depth. The films deposited at higher beam energy showed contact angle as high as 166 o . The scanning electron and atomic force microscope studies revealed clustered growth and two level sub-micron asperities on films deposited at higher energies. Such dual-scale hierarchical roughness and heterogeneities at the water-surface interface was attributed to the observed contact angle and thus its superhydrophobic nature.

  2. Superhydrophobic polytetrafluoroethylene thin films with hierarchical roughness deposited using a single step vapor phase technique

    Energy Technology Data Exchange (ETDEWEB)

    Gupta, Sushant, E-mail: sushant3@ufl.ed [Department of Materials Science and Engineering, University of Florida, Gainesville, FL 32611 (United States); Arjunan, Arul Chakkaravarthi [Sinmat Incorporated, 2153 SE Hawthorne Road, 129, Gainesville, Florida 32641 (United States); Deshpande, Sameer; Seal, Sudipta [Advanced Material Processing and Analysis Center, University of Central Florida, Orlando, Florida 32816 (United States); Singh, Deepika [Sinmat Incorporated, 2153 SE Hawthorne Road, 129, Gainesville, Florida 32641 (United States); Singh, Rajiv K. [Department of Materials Science and Engineering, University of Florida, Gainesville, FL 32611 (United States)

    2009-06-30

    Superhydrophobic polytetrafluoroethylene films with hierarchical surface roughness were deposited using pulse electron deposition technique. We were able to modulate roughness of the deposited films by controlling the beam energy and hence the electron penetration depth. The films deposited at higher beam energy showed contact angle as high as 166{sup o}. The scanning electron and atomic force microscope studies revealed clustered growth and two level sub-micron asperities on films deposited at higher energies. Such dual-scale hierarchical roughness and heterogeneities at the water-surface interface was attributed to the observed contact angle and thus its superhydrophobic nature.

  3. Preparation and analysis of amorphous carbon films deposited from (C{sub 6}H{sub 12})/Ar/He chemistry for application as the dry etch hard mask in the semiconductor manufacturing process

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Seungmoo [Department of Materials Science and Engineering, Korea University, Seoul, 136-701 (Korea, Republic of); TC Technology Team, Samsung Electronics Co. Ltd., Gyeounggi-Do, 446-711 (Korea, Republic of); Won, Jaihyung; Choi, Jongsik [TC Technology Team, Samsung Electronics Co. Ltd., Gyeounggi-Do, 446-711 (Korea, Republic of); Jang, Samseok [Department of Materials Science and Engineering, Korea University, Seoul, 136-701 (Korea, Republic of); Jee, Yeonhong; Lee, Hyeondeok [TC Technology Team, Samsung Electronics Co. Ltd., Gyeounggi-Do, 446-711 (Korea, Republic of); Byun, Dongjin, E-mail: dbyun@korea.ac.kr [Department of Materials Science and Engineering, Korea University, Seoul, 136-701 (Korea, Republic of)

    2011-08-01

    Amorphous carbon layers (ACL) were deposited on Si (100) wafers by plasma enhanced chemical vapor deposition (PECVD) by using 1-hexene (C{sub 6}H{sub 12}) as a carbon source for dry etch hard mask of semiconductor devices manufacturing process. The deposition characteristics and film properties were investigated by means of ellipsometry, Raman spectroscopy, X-ray photo electron spectroscopy (XPS) and stress analysis. Hardness, Young's modulus, and surface roughness of ACL deposited at 550 deg. C were investigated by using nano-indentation and AFM. The deposition rate was decreased from 5050 A/min to 2160 A/min, and dry etch rate was decreased from 2090 A/min to 1770 A/min, and extinction coefficient was increased from 0.1 to 0.5. Raman analysis revealed a higher shift of the G-peak and a lower shift of the D-peak and the increase of I(D)/I(G) ratio as the deposition temperature was increased from 350 deg. C to 550 deg. C. XPS results of ACL deposited at 550 deg. C revealed a carbon 1s binding energy of 284.4 eV. The compressive film stress was decreased from 2.95 GPa to 1.28 GPa with increasing deposition temperature. The hardness and Young's modulus of ACL deposited at 550 deg. C were 5.8 GPa and 48.7 GPa respectively. The surface roughness RMS of ACL deposited at 550 deg. C was 2.24 A, and that after cleaning in diluted HF solution (H{sub 2}O:HF = 200:1), SC1 (NH{sub 4}OH:H{sub 2}O{sub 2}:H{sub 2}O = 1:4:20) solution, and sulfuric acid solution (H{sub 2}SO{sub 4}:H{sub 2}O{sub 2} = 6:1) was 2.28 A, 2.30 A and 7.34 A, respectively. The removal amount of ACL deposited at 550 deg. C in diluted HF solution, SC1 solution and sulfuric acid solution was 6 A, 36 A and 110 A, respectively. These results demonstrated the viability of ACL deposited by PECVD from C{sub 6}H{sub 12} at 550 deg. C for application as the dry etch hard mask in fabrication of semiconductor devices.

  4. Microdroplet deposition through a film-free laser forward printing technique

    International Nuclear Information System (INIS)

    Patrascioiu, A.; Fernández-Pradas, J.M.; Morenza, J.L.; Serra, P.

    2012-01-01

    Highlights: ► Circular droplets are obtained for a wide range of focusing depths at fixed energy. ► Focusing depth variation study reveals two abrupt transitions in droplet diameter. ► Liquid ejection mechanism is mediated by two types of jets of different origin. ► Evolution of jets depends on the focusing depth accounting for the seen transitions. - Abstract: A recently developed film-free laser forward microprinting technique allows printing transparent and weakly absorbing liquids with high resolution and reproducibility. Its operating principle consists in the tight focusing of ultrashort laser pulses inside the liquid, and near its free surface, such that all the laser energy is absorbed in a small region around the beam waist. A cavitation bubble is then created inside the liquid, whose subsequent expansion results into the ejection of liquid. The collection of the ejected liquid on a substrate leads to the deposition of micron-sized droplets. In this work, we investigate a relevant process parameter of the technique, namely the laser focusing depth, and its influence on the morphology of the deposited droplets. The study reveals that for a fixed laser pulse energy there exists a relatively wide range of focusing depths at which circular and uniform droplets can be printed. The process of liquid ejection is also investigated. Time-resolved images reveal that liquid ejection proceeds through the formation of two kinds of jets which display clearly differentiated dynamics, and which could provide an interpretation for the dependence observed between the morphology of the deposited droplets and the laser focusing depth.

  5. Tensile test of a silicon microstructure fully coated with submicrometer-thick diamond like carbon film using plasma enhanced chemical vapor deposition method

    Science.gov (United States)

    Zhang, Wenlei; Uesugi, Akio; Hirai, Yoshikazu; Tsuchiya, Toshiyuki; Tabata, Osamu

    2017-06-01

    This paper reports the tensile properties of single-crystal silicon (SCS) microstructures fully coated with sub-micrometer thick diamond like carbon (DLC) film using plasma enhanced chemical vapor deposition (PECVD). To minimize the deformations or damages caused by non-uniform coating of DLC, which has high compression residual stress, released SCS specimens with the dimensions of 120 µm long, 4 µm wide, and 5 µm thick were coated from the top and bottom side simultaneously. The thickness of DLC coating is around 150 nm and three different bias voltages were used for deposition. The tensile strength improved from 13.4 to 53.5% with the increasing of negative bias voltage. In addition, the deviation in strength also reduced significantly compared to bare SCS sample.

  6. Gas phase considerations for the deposition of thin film silicon solar cells by VHF-PECVD at low substrate temperatures

    NARCIS (Netherlands)

    Rath, J.K.; Verkerk, A.D.; Brinza, M.; Schropp, R.E.I.; Goedheer, W.J.; Krzhizhanovskaya, V.V.; Gorbachev, Y.E.; Orlov, K.E.; Khilkevitch, E.M.; Smirnov, A.S.

    2008-01-01

    Fabrication of thin film silicon solar cells on cheap plastics or paper-like substrate requires deposition process at very low substrate temperature, typically ≤ 100 °C. In a chemical vapor deposition process, low growth temperatures lead to materials with low density, high porosity, high disorder

  7. Comparative study of CdTe sources used for deposition of CdTe thin films by close spaced sublimation technique

    Directory of Open Access Journals (Sweden)

    Wagner Anacleto Pinheiro

    2006-03-01

    Full Text Available Unlike other thin film deposition techniques, close spaced sublimation (CSS requires a short source-substrate distance. The kind of source used in this technique strongly affects the control of the deposition parameters, especially the deposition rate. When depositing CdTe thin films by CSS, the most common CdTe sources are: single-crystal or polycrystalline wafers, powders, pellets or pieces, a thick CdTe film deposited onto glass or molybdenum substrate (CdTe source-plate and a sintered CdTe powder. In this work, CdTe thin films were deposited by CSS technique from different CdTe sources: particles, powder, compact powder, a paste made of CdTe and propylene glycol and source-plates (CdTe/Mo and CdTe/glass. The largest deposition rate was achieved when a paste made of CdTe and propylene glycol was used as the source. CdTe source-plates led to lower rates, probably due to the poor heat transmission, caused by the introduction of the plate substrate. The results also showed that compacting the powder the deposition rate increases due to the better thermal contact between powder particles.

  8. Effect of Radio-Frequency and Low-Frequency Bias Voltage on the Formation of Amorphous Carbon Films Deposited by Plasma Enhanced Chemical Vapor Deposition

    International Nuclear Information System (INIS)

    Manis-Levy, Hadar; Mintz, Moshe H.; Livneh, Tsachi; Zukerman Ido; Raveh, Avi

    2014-01-01

    The effect of radio-frequency (RF) or low-frequency (LF) bias voltage on the formation of amorphous hydrogenated carbon (a-C:H) films was studied on silicon substrates with a low methane (CH 4 ) concentration (2–10 vol.%) in CH 4 +Ar mixtures. The bias substrate was applied either by RF (13.56 MHz) or by LF (150 kHz) power supply. The highest hardness values (∼18–22 GPa) with lower hydrogen content in the films (∼20 at.%) deposited at 10 vol.% CH 4 , was achieved by using the RF bias. However, the films deposited using the LF bias, under similar RF plasma generation power and CH 4 concentration (50 W and 10 vol.%, respectively), displayed lower hardness (∼6–12 GPa) with high hydrogen content (∼40 at.%). The structures analyzed by Fourier Transform Infrared (FTIR) and Raman scattering measurements provide an indication of trans-polyacetylene structure formation. However, its excessive formation in the films deposited by the LF bias method is consistent with its higher bonded hydrogen concentration and low level of hardness, as compared to the film prepared by the RF bias method. It was found that the effect of RF bias on the film structure and properties is stronger than the effect of the low-frequency (LF) bias under identical radio-frequency (RF) powered electrode and identical PECVD (plasma enhanced chemical vapor deposition) system configuration. (plasma technology)

  9. Novel geochemical techniques integrated in exploration for uranium deposits at depth

    International Nuclear Information System (INIS)

    Kyser, K.

    2014-01-01

    Mineral deposits are in fact geochemical anomalies, and as such their detection and assessment of their impact on the environment should be facilitated using geochemical techniques. Although geochemistry has been used directly in the discovery of uranium deposits and more indirectly in shaping deposit models, the novel applications of geochemistry and integration with other data can be more effective in formulating exploration and remediation strategies. Recent research on the use of geochemistry in detecting uranium deposits at depth include: (1) more effective integration of geochemical with geophysical data to refine targets, (2) revealing element distributions in and around deposits to adequately assess the total chemical environment associated with the deposit, (3) the use of element tracing using elemental concentrations and isotopic compositions in the near surface environment to detect specific components that have migrated to the surface from uranium deposits at depth, (4) understand the effects of both macro- and micro-environments on element mobility across the geosphere-biosphere interface to enhance exploration using select media for uranium at depth. Geophysical data used in exploration can identify areas of conductors where redox contrasts may host mineralization, structures that act to focus fluids during formation of the deposits and act as conduits for element migration to the surface, and contrasts in geology that are required for the deposits. However, precision of these data is greatly diminished with depth, but geochemical data from drill core or surface media can enhance target identification when integrated with geophysical data. Geochemical orientation surveys over known unconformity-related deposits at depth clearly identify mineralization 900m deep. Drill core near the deposit, clay-size fractions separated from soil horizons and vegetation over and far from the deposit record element migration from the deposit as radiogenic He, Rn and Pb

  10. A Effect discussion of transient electromagnetic sounding technique in paleochannel-type sandstone-hosted uranium deposit

    International Nuclear Information System (INIS)

    Yang Jianchun; Fang Genxian; Yang Yaxin

    2003-01-01

    On the base of the application of transient electromagnetic technique of paleochannel-type sandstone-hosted uranium deposits in Tengchong region of Yunan Province, this paper analyses the detect example. It discusses the theory foundation of TEM, fieldwork means, data processing and interpret. By contrast with routine electricity farad, the transient electromagnetic technique have the special merit and favorable space resolve gender under conditions of intricacy terrain. This means can get good effect in detecting paleochannel-type sandstone-hosted uranium deposits space position. It is a good reference for other prospecting and exploration work

  11. Improved amorphous/crystalline silicon interface passivation for heterojunction solar cells by low-temperature chemical vapor deposition and post-annealing treatment.

    Science.gov (United States)

    Wang, Fengyou; Zhang, Xiaodan; Wang, Liguo; Jiang, Yuanjian; Wei, Changchun; Xu, Shengzhi; Zhao, Ying

    2014-10-07

    In this study, hydrogenated amorphous silicon (a-Si:H) thin films are deposited using a radio-frequency plasma-enhanced chemical vapor deposition (RF-PECVD) system. The Si-H configuration of the a-Si:H/c-Si interface is regulated by optimizing the deposition temperature and post-annealing duration to improve the minority carrier lifetime (τeff) of a commercial Czochralski (Cz) silicon wafer. The mechanism of this improvement involves saturation of the microstructural defects with hydrogen evolved within the a-Si:H films due to the transformation from SiH2 into SiH during the annealing process. The post-annealing temperature is controlled to ∼180 °C so that silicon heterojunction solar cells (SHJ) could be prepared without an additional annealing step. To achieve better performance of the SHJ solar cells, we also optimize the thickness of the a-Si:H passivation layer. Finally, complete SHJ solar cells are fabricated using different temperatures for the a-Si:H film deposition to study the influence of the deposition temperature on the solar cell parameters. For the optimized a-Si:H deposition conditions, an efficiency of 18.41% is achieved on a textured Cz silicon wafer.

  12. Metal-doped diamond-like carbon films synthesized by filter-arc deposition

    International Nuclear Information System (INIS)

    Weng, K.-W.; Chen, Y.-C.; Lin, T.-N.; Wang, D.-Y.

    2006-01-01

    Diamond-like carbon (DLC) thin films are extensively utilized in the semiconductor, electric and cutting machine industries owing to their high hardness, high elastic modulus, low friction coefficients and high chemical stability. DLC films are prepared by ion beam-assisted deposition (BAD), sputter deposition, plasma-enhanced chemical vapor deposition (PECVD), cathodic arc evaporation (CAE), and filter arc deposition (FAD). The major drawbacks of these methods are the degraded hardness associated with the low sp 3 /sp 2 bonding ratio, the rough surface and poor adhesion caused by the presence of particles. In this study, a self-developed filter arc deposition (FAD) system was employed to prepare metal-containing DLC films with a low particle density. The relationships between the DLC film properties, such as film structure, surface morphology and mechanical behavior, with variation of substrate bias and target current, are examined. Experimental results demonstrate that FAD-DLC films have a lower ratio, suggesting that FAD-DLC films have a greater sp 3 bonding than the CAE-DLC films. FAD-DLC films also exhibit a low friction coefficient of 0.14 and half of the number of surface particles as in the CAE-DLC films. Introducing a CrN interfacial layer between the substrate and the DLC films enables the magnetic field strength of the filter to be controlled to improve the adhesion and effectively eliminate the contaminating particles. Accordingly, the FAD system improves the tribological properties of the DLC films

  13. Techniques for freeing deposited canisters. Final report

    International Nuclear Information System (INIS)

    Kalbantner, P.; Sjoeblom, R.

    2000-06-01

    Four different techniques for removal of the bentonite buffer around a deposited canister have been identified, studied and evaluated: mechanical, hydrodynamical, thermal, and electrical techniques. Different techniques to determine the position of the canister in the buffer have also been studied: mechanical, electromagnetic, thermal and acoustic techniques. The mechanical techniques studied are full-face boring, milling and core-drilling. It is expected that the bentonite can be machined relatively easily. It is assessed that cooling by means of flushing water over the outer surfaces of the tools is not feasible in view of the tendency of bentonite to form a gel. The mechanical techniques are characterized by the potential of damaging the canister, a high degree of complexity, and high requirements of energy/power input. The generated byproduct is solid and cannot be removed by means of flushing. Removal is assessed to be simplest in conjunction with full-face boring and most difficult when coredrilling is applied. The hydrodynamical techniques comprise high-pressure hydrodynamic techniques, where pressures above and below 100 bar, and low pressure hydrodynamical techniques (< 10 bar) are separated. At pressures above 100 bar, a water jet with a diameter of approximately a millimetre cuts through the material. If desired, sand can be added to the jet. At pressures below 100 bar the jet has a diameter of one or a few centimetres. The liquid contains a few percent of salt, which is essential for the efficiency of the process. The flushing is important not only because it removes the modified bentonite but also because it frees previously unaffected bentonite and thereby makes it accessible to chemical modification. All of the hydrodynamical techniques are applicable for freeing the end surface as well as the mantle surface. The degree of complexity and the requirement on energy/power decrease with a decrease in pressure. A significant potential for damaging the

  14. Development of scientific and technological basis for the fabrication of thin film solar cells on the basis of a-Si:H and {mu}c-Si:H using the 'hot-wire' deposition technique. Final report; Entwicklung wissenschaftlicher und technischer Grundlagen fuer die Herstellung von Duennschichtsolarzellen auf der Basis des a-Si:H und {mu}c-Si:H mit der 'Hot-Wire'-Depositionstechnik. Abschlussbericht

    Energy Technology Data Exchange (ETDEWEB)

    Schroeder, B.

    2002-01-22

    Two new deposition systems were realized enabling the entire and respectively, large area deposition of a-Si:H based solar cells using the so called 'hot-wire' (HW) CVD. The deposition conditions for appropriate n- and p-doped a-Si:H and {mu}c-Si:H layers have been developed. For the first time in the world a-Si:H based pin solar cells were entirely deposited by the HWCVD method. A maximum initial conversion efficiency of {eta}{sub initial}=8.9% was obtained. After the development of a suitable p/n-tunnel/recombination junction pin-pin tandem structures with a-Si:H absorbers could be entirely fabricated by the HWCVD for the first time in the world, too. A conversion efficiency of {eta}=7% was measured for the tandem cell, after some structural degradation took place. In general, the stability of the HWCVD solar cells is not satisfactory, what could be attributed to a structural instability of the HWCVD-p-layers. For the first time we have deposited nip solar cells on stainless steel substrates entirely by HWCVD ({eta}{sub initial}>6%). The incorporation of {mu}c-Si:H absorber layers by HWCVD or ECWR-PECVD into pin solar cells was not successfull until now. Large area deposition of a-Si:H films has been performed in a simple vacuum vessel. Under consideration of appropriate filament and gas supply geometry as well as simulation calculations a good electronic quality and a film thickness uniformity of {delta}d={+-}2.5% of the material was obtained. i-layers for small area solar cells on an area of 20 x 20 cm{sup 2} have been deposited which could be completed to solar cells with very uniform conversion efficiencies of {eta}{sub initial} = 6,1{+-}0.2%. This result represents a proof of concept for the large area deposition of a-Si:H based solar cells using the HWCVD. Also for the first time the HWCVD was used for the deposition of emitter layers on c-Si-wafers to realize hetero solar cells. Hetero solar cells with amorphous, microcrystalline and epitaxial n

  15. Microdroplet deposition through a film-free laser forward printing technique

    Energy Technology Data Exchange (ETDEWEB)

    Patrascioiu, A.; Fernandez-Pradas, J.M.; Morenza, J.L. [Departament de Fisica Aplicada i Optica, Universitat de Barcelona, Marti i Franques 1, 08028 Barcelona (Spain); Serra, P., E-mail: pserra@ub.edu [Departament de Fisica Aplicada i Optica, Universitat de Barcelona, Marti i Franques 1, 08028 Barcelona (Spain)

    2012-09-15

    Highlights: Black-Right-Pointing-Pointer Circular droplets are obtained for a wide range of focusing depths at fixed energy. Black-Right-Pointing-Pointer Focusing depth variation study reveals two abrupt transitions in droplet diameter. Black-Right-Pointing-Pointer Liquid ejection mechanism is mediated by two types of jets of different origin. Black-Right-Pointing-Pointer Evolution of jets depends on the focusing depth accounting for the seen transitions. - Abstract: A recently developed film-free laser forward microprinting technique allows printing transparent and weakly absorbing liquids with high resolution and reproducibility. Its operating principle consists in the tight focusing of ultrashort laser pulses inside the liquid, and near its free surface, such that all the laser energy is absorbed in a small region around the beam waist. A cavitation bubble is then created inside the liquid, whose subsequent expansion results into the ejection of liquid. The collection of the ejected liquid on a substrate leads to the deposition of micron-sized droplets. In this work, we investigate a relevant process parameter of the technique, namely the laser focusing depth, and its influence on the morphology of the deposited droplets. The study reveals that for a fixed laser pulse energy there exists a relatively wide range of focusing depths at which circular and uniform droplets can be printed. The process of liquid ejection is also investigated. Time-resolved images reveal that liquid ejection proceeds through the formation of two kinds of jets which display clearly differentiated dynamics, and which could provide an interpretation for the dependence observed between the morphology of the deposited droplets and the laser focusing depth.

  16. The influence of inhalation technique on Technegas particle deposition and image appearance in normal volunteers

    International Nuclear Information System (INIS)

    Lloyd, J.J.; James, J.M.; Shields, R.A.; Testa, H.J.

    1994-01-01

    The aim of this work was to investigate the influence of inhalation technique on Technegas image quality and on fractional particle deposition. This was investigated in six normal volunteers using three different types of breathing pattern. Fractional deposition was determined by analysis of dynamic gamma camera images acquired during Technegas administration. Static lung images were subsequently acquired and assessed independently by three experienced observers. High-quality images were obtained in all cases although slight differences were noted. The images produced using a slow deep inspiration with a breath hold (i.e. the standard method) were of more uniform texture and also had the least gradient in activity from apex to base. The converse was true for a rapid inhalation technique. The average fractional deposition per breath was 55%, but this varied between individuals and with breathing pattern, being most influenced by the total duration of a breath. We conclude that for patient studies the standard inhalation technique is best, although variation to suit individual patients would be acceptable. (orig./MG)

  17. Plasma-enhanced growth, composition, and refractive index of silicon oxy-nitride films

    DEFF Research Database (Denmark)

    Mattsson, Kent Erik

    1995-01-01

    Secondary ion mass spectrometry and refractive index measurements have been carried out on silicon oxy-nitride produced by plasma-enhanced chemical vapor deposition (PECVD). Nitrous oxide and ammonia were added to a constant flow of 2% silane in nitrogen, to produce oxy-nitride films with atomic...... nitrogen concentrations between 2 and 10 at. %. A simple atomic valence model is found to describe both the measured atomic concentrations and published material compositions for silicon oxy-nitride produced by PECVD. A relation between the Si–N bond concentration and the refractive index is found......-product. A model, that combine the chemical net reaction and the stoichiometric rules, is found to agree with measured deposition rates for given material compositions. Effects of annealing in a nitrogen atmosphere has been investigated for the 400 °C– 1100 °C temperature range. It is observed that PECVD oxy...

  18. On the deposition parameters of indium oxide (IO) and tin oxide (TO) by reactive evaporation technique

    International Nuclear Information System (INIS)

    Hassan, F.; Abdullah, A.H.; Salam, R.

    1990-01-01

    Thin films of tin oxide (TO) and indium oxide (IO) are prepared by the reactive evaporation technique, where indium or tin sources are evaporated and made to react with oxygen gas injected close to the substrate. In both depositions a substrate temperature of 380 0 C and a chamber pressure of 2x10 -5 mbar are utilized, but however different oxygen flow rates has been maintained. For TO, the deposition rate is found to be constant up to about 55 minutes of deposition time with a deposition rate of about 0.10 A/s, but for longer deposition time the deposition rate increases rapidly up to about 0.30 A/s. The IO displays a higher deposition rate of about 0.80 A/s over a deposition time 30 minutes, beyond which the deposition rate increases gradually

  19. Regression Methods for Virtual Metrology of Layer Thickness in Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Purwins, Hendrik; Barak, Bernd; Nagi, Ahmed

    2014-01-01

    The quality of wafer production in semiconductor manufacturing cannot always be monitored by a costly physical measurement. Instead of measuring a quantity directly, it can be predicted by a regression method (Virtual Metrology). In this paper, a survey on regression methods is given to predict...... average Silicon Nitride cap layer thickness for the Plasma Enhanced Chemical Vapor Deposition (PECVD) dual-layer metal passivation stack process. Process and production equipment Fault Detection and Classification (FDC) data are used as predictor variables. Various variable sets are compared: one most...... algorithm, and Support Vector Regression (SVR). On a test set, SVR outperforms the other methods by a large margin, being more robust towards changes in the production conditions. The method performs better on high-dimensional multivariate input data than on the most predictive variables alone. Process...

  20. The Development of a Differential Deposition Technique for Figure Correction in Grazing Incidence Optics

    Data.gov (United States)

    National Aeronautics and Space Administration — We propose the development of a physical-vapor-deposition coating technique to correct residual figure errors in grazing-incidence optics. The process involves...

  1. Experimental and theoretical rationalization of the growth mechanism of silicon quantum dots in non-stoichiometric SiN x : role of chlorine in plasma enhanced chemical vapour deposition

    Science.gov (United States)

    Mon-Pérez, E.; Salazar, J.; Ramos, E.; Santoyo Salazar, J.; López Suárez, A.; Dutt, A.; Santana, G.; Marel Monroy, B.

    2016-11-01

    Silicon quantum dots (Si-QDs) embedded in an insulator matrix are important from a technological and application point of view. Thus, being able to synthesize them in situ during the matrix growth process is technologically advantageous. The use of SiH2Cl2 as the silicon precursor in the plasma enhanced chemical vapour deposition (PECVD) process allows us to obtain Si-QDs without post-thermal annealing. Foremost in this work, is a theoretical rationalization of the mechanism responsible for Si-QD generation in a film including an analysis of the energy released by the extraction of HCl and the insertion of silylene species into the terminal surface bonds. From the results obtained using density functional theory (DFT), we propose an explanation of the mechanism responsible for the formation of Si-QDs in non-stoichiometric SiN x starting from chlorinated precursors in a PECVD system. Micrograph images obtained through transmission electron microscopy confirmed the presence of Si-QDs, even in nitrogen-rich (N-rich) samples. The film stoichiometry was controlled by varying the growth parameters, in particular the NH3/SiH2Cl2 ratio and hydrogen dilution. Experimental and theoretical results together show that using a PECVD system, along with chlorinated precursors it is possible to obtain Si-QDs at a low substrate temperature without annealing treatment. The optical property studies carried out in the present work highlight the prospects of these thin films for down shifting and as an antireflection coating in silicon solar cells.

  2. Rubidium-strontium isotoppe study of Muruntan deposit. 1.Ore vien dating by isochrone technique

    International Nuclear Information System (INIS)

    Kostitsyn, Yu.A.

    1993-01-01

    Hydrothermal viens of Muruntau gold-ore deposit (Central Kyzylkum) have been studies by the isochrone technique. The ages obtained for the quartz-tourmaline (257+13 Ma), quartz-arsenopyrite (230.3+-3.5 Ma) and quartz-adularia (219.4+-4.2 Ma) hydrothermal viens reflect the different stages of the deposit evolution: gold-ore and gold-silver one. Strontium isotope analysis reveals that the matter of hydrothermal viens is originated from the surrounding black schists

  3. Overlayer structure of subphthalocyanine derivative deposited on Au (111) surface by a spray-jet technique

    International Nuclear Information System (INIS)

    Suzuki, Hitoshi; Yamada, Toshiki; Miki, Hideki; Mashiko, Shinro

    2006-01-01

    A new spray-jet technique was used to deposit subphthalocyanine derivative (chloro[tri-tert-butyl subphthalocyaninato]boron (TBSubPc)) on Au (111) surface in an ultra-high vacuum (UHV) chamber. The deposited molecular overlayer was observed with UHV scanning tunneling microscopy (STM) at 77 K. The STM images showed that TBSubPc molecules formed a stripe pattern with regular spacing, indicating that they preferentially adsorbed along the herringbone structure of the Au (111) surface. This behavior was very similar to that of TBSubPc molecules deposited by thermal evaporation

  4. Characterization of CuS nanocrystalline thin films synthesized by chemical bath deposition and dip coating techniques

    International Nuclear Information System (INIS)

    Chaki, Sunil H.; Deshpande, M.P.; Tailor, Jiten P.

    2014-01-01

    CuS thin films were synthesized by chemical bath deposition and dip coating techniques at ambient temperature. The energy dispersive analysis of X-rays of the thin films confirmed that both the as synthesized thin films are stoichiometric. The X-ray diffraction of the chemical bath deposited and dip coating deposited thin films showed that the films possess hexagonal structure having lattice parameters, a = b = 3.79 A and c = 16.34 A. The crystallite sizes determined from the X-ray diffraction data using Scherrer's formula for the chemical bath deposition and dip coating deposition thin films came out to be nearly 11 nm and 13 nm, respectively. The optical microscopy of the as deposited thin films surfaces showed that the substrates are well covered in both the deposited films. The scanning electron microscopy of the thin films clearly showed that in chemical bath deposited thin films the grain size varies from few μm to nm, while in dip coating deposited films the grain size ranges in nm. The optical bandgap determined from the optical absorbance spectrum analysis showed, chemical bath deposited thin films possess direct bandgap of 2.2 eV and indirect bandgap of 1.8 eV. In the case of dip coating deposited thin films, the direct bandgap is 2.5 eV and indirect bandgap is 1.9 eV. The d.c. electrical resistivity variation with temperature for both the deposited films showed that the resistivity decreases with temperature thus confirming the semiconducting nature. The thermoelectric power variations with temperature and the room temperature Hall Effect study of both the synthesized CuS thin films showed them to be of p-type conductivity. The obtained results are discussed in details. - Highlights: • CuS thin films were synthesized by chemical bath deposition and dip coating techniques. • The films possessed hexagonal structure. • The optical absorption showed that the films had direct and indirect bandgap. • Study of electrical transport properties

  5. Electrical dependence on the chemical composition of the gate dielectric in indium gallium zinc oxide thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Tari, Alireza, E-mail: atari@uwaterloo.ca; Lee, Czang-Ho; Wong, William S. [Department of Electrical and Computer Engineering, University of Waterloo, 200 University Avenue West, Waterloo, Ontario N2L 3G1 (Canada)

    2015-07-13

    Bottom-gate thin-film transistors were fabricated by depositing a 50 nm InGaZnO (IGZO) channel layer at 150 °C on three separate gate dielectric films: (1) thermal SiO{sub 2}, (2) plasma-enhanced chemical-vapor deposition (PECVD) SiN{sub x}, and (3) a PECVD SiO{sub x}/SiN{sub x} dual-dielectric. X-ray photoelectron and photoluminescence spectroscopy showed the V{sub o} concentration was dependent on the hydrogen concentration of the underlying dielectric film. IGZO films on SiN{sub x} (high V{sub o}) and SiO{sub 2} (low V{sub o}) had the highest and lowest conductivity, respectively. A PECVD SiO{sub x}/SiN{sub x} dual-dielectric layer was effective in suppressing hydrogen diffusion from the nitride layer into the IGZO and resulted in higher resistivity films.

  6. Industrially relevant Al2O3 deposition techniques for the surface passivation of Si solar cells

    NARCIS (Netherlands)

    Schmidt, J.; Werner, F.; Veith, B.; Zielke, D.; Bock, R.; Tiba, M.V.; Poodt, P.; Roozeboom, F.; Li, A.; Cuevas, A.; Brendel, R.

    2010-01-01

    We present independently confirmed efficiencies of 21.4% for PERC cells with plasma-assisted atom-ic-layer-deposited (plasma ALD) Al2O3 rear passivation and 20.7% for cells with thermal ALD-Al2O3. Additionally, we evaluate three different industrially relevant techniques for the deposition of

  7. Observation of apparent MOS regimes on Al/PECVD grown boron nitride/p-c-Si/Al MIS structure, investigated through admittance spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Oezdemir, Orhan [Yildiz Technical University, Department of Physics, Esenler, istanbul (Turkey)

    2009-02-15

    PECVD grown boron nitride (BN) on crystalline silicon (c-Si) semiconductor was investigated by admittance measurement in the form of metal/insulator/semiconductor (MIS) structure. Apart from well-known regimes of traditional MOS structure, gradual bypassing of depletion layer was observed once ambient temperature (frequency) increased (decreased). Such an anomalous behavior was interpreted through modulations of charges located within BN film and/or at the interfacial layer of BN film/c-Si junction in terms of weighted average concept. (author)

  8. Handbook of thin film deposition processes and techniques principles, methods, equipment and applications

    CERN Document Server

    Seshan, Krishna

    2002-01-01

    New second edition of the popular book on deposition (first edition by Klaus Schruegraf) for engineers, technicians, and plant personnel in the semiconductor and related industries. This book traces the technology behind the spectacular growth in the silicon semiconductor industry and the continued trend in miniaturization over the last 20 years. This growth has been fueled in large part by improved thin film deposition techniques and the development of highly specialized equipment to enable this deposition. The book includes much cutting-edge material. Entirely new chapters on contamination and contamination control describe the basics and the issues-as feature sizes shrink to sub-micron dimensions, cleanliness and particle elimination has to keep pace. A new chapter on metrology explains the growth of sophisticated, automatic tools capable of measuring thickness and spacing of sub-micron dimensions. The book also covers PVD, laser and e-beam assisted deposition, MBE, and ion beam methods to bring together a...

  9. Growth, structural, optical and electrical study of ZnS thin films deposited by solution growth technique (SGT)

    Energy Technology Data Exchange (ETDEWEB)

    Sadekar, H K [Arts, Commerce and Science college, Sonai 414105 (M.S.) (India); Thin film and Nanotechnology Laboratory, Department of Physics, Dr. B.A.M. University, Aurangabad 431004 (M.S.) (India); Deshpande, N G; Gudage, Y G; Ghosh, A; Chavhan, S D; Gosavi, S R [Thin film and Nanotechnology Laboratory, Department of Physics, Dr. B.A.M. University, Aurangabad 431004 (M.S.) (India); Sharma, Ramphal [Thin film and Nanotechnology Laboratory, Department of Physics, Dr. B.A.M. University, Aurangabad 431004 (M.S.) (India)

    2008-04-03

    ZnS thin films have been deposited onto glass substrates at temperature 90 deg. C by solution growth technique (SGT). The deposition parameters were optimized. Triethanolamine (TEA) was used as a complexing agent for uniform deposition of the thin films. The elemental composition of the film was confirmed by energy dispersive analysis by X-ray (EDAX) technique. Structure and surface morphology of as-deposited films were characterized by X-ray diffraction (XRD) and scanning electron microscopy (SEM), atomic force microscopy (AFM), respectively. XRD patterns reveal that as-deposited thin films were amorphous in nature; while the obtained precipitate powder was polycrystalline in nature. SEM results revealed that deposited ZnS material has {approx}120 {+-} 20 nm average grain size and the spherical grains are distributed over the entire glass substrate. Low surface roughness was found to be 2.7 nm from AFM studies. Transmission spectra indicate a high transmission coefficient ({approx}75%) with direct band gap energy equal to 3.72 eV while indirect band gap was found to be 3.45 eV. A photoluminescence (PL) study of the ZnS at room temperature (300 K) indicates a strong luminescence band at energy 2.02 eV.

  10. An economic CVD technique for pure SnO2 thin films deposition ...

    Indian Academy of Sciences (India)

    An economic CVD technique for pure SnO2 thin films deposition: Temperature effects ..... C are depicted in figure 7. It is observed that the cut-off wave- ... cating that the energy gap of the SnO2 films varies among. 3·54, 3·35 and 1·8 eV.

  11. Effect of Coating Thickness on the Properties of TiN Coatings Deposited on Tool Steels Using Cathodic Arc Pvd Technique

    Science.gov (United States)

    Mubarak, A.; Akhter, Parvez; Hamzah, Esah; Mohd Toff, Mohd Radzi Hj.; Qazi, Ishtiaq A.

    Titanium nitride (TiN) widely used as hard coating material, was coated on tool steels, namely on high-speed steel (HSS) and D2 tool steel by physical vapor deposition method. The study concentrated on cathodic arc physical vapor deposition (CAPVD), a technique used for the deposition of hard coatings for tooling applications, and which has many advantages. The main drawback of this technique, however, is the formation of macrodroplets (MDs) during deposition, resulting in films with rougher morphology. Various standard characterization techniques and equipment, such as electron microscopy, atomic force microscopy, hardness testing machine, scratch tester, and pin-on-disc machine, were used to analyze and quantify the following properties and parameters: surface morphology, thickness, hardness, adhesion, and coefficient of friction (COF) of the deposited coatings. Surface morphology revealed that the MDs produced during the etching stage, protruded through the TiN film, resulting in film with deteriorated surface features. Both coating thickness and indentation loads influenced the hardness of the deposited coatings. The coatings deposited on HSS exhibit better adhesion compared to those on D2 tool steel. Standard deviation indicates that the coating deposited with thickness around 6.7 μm showed the most stable trend of COF versus sliding distance.

  12. The effects of phase transformation on the structure and mechanical properties of TiSiCN nanocomposite coatings deposited by PECVD method

    Science.gov (United States)

    Abedi, Mohammad; Abdollah-zadeh, Amir; Bestetti, Massimiliano; Vicenzo, Antonello; Serafini, Andrea; Movassagh-Alanagh, Farid

    2018-06-01

    In the present study, the effects of phase transformations on the structure and mechanical properties of TiSiCN coatings were investigated. TiSiCN nanocomposite coatings were deposited on AISI H13 hot-work tool steel by a pulsed direct current plasma-enhanced chemical vapor deposition process at 350 or 500 °C, using TiCl4 and SiCl4 as the precursors of Ti and Si, respectively, in a CH4/N2/H2/Ar plasma as the source of carbon and nitrogen and reducing environment. Some samples deposited at 350 °C were subsequently annealed at 500 °C under Ar atmosphere. Super hard self-lubricant TiSiCN coatings, having nanocomposite structure consisting of TiCN nanocrystals and amorphous carbon particles embedded in an amorphous SiCNx matrix, formed through spinodal decomposition in the specimens deposited or annealed at 500 °C. In addition, it was revealed that either uncomplete or relatively coarse phase segregation of titanium compounds was achieved during deposition at 350 °C and 500 °C, respectively. On the contrary, by deposition at 350 °C followed by annealing at 500 °C, a finer structure was obtained with a sensible improvement of the mechanical properties of coatings. Accordingly, the main finding of this work is that significant enhancement in key properties of TiSiCN coatings, such as hardness, adhesion and friction coefficient, can be obtained by deposition at low temperature and subsequent annealing at higher temperature, thanks to the formation of a fine grained nanocomposite structure.

  13. Single, aligned carbon nanotubes in 3D nanoscale architectures enabled by top-down and bottom-up manufacturable processes

    International Nuclear Information System (INIS)

    Kaul, Anupama B; Megerian, Krikor G; Von Allmen, Paul; Baron, Richard L

    2009-01-01

    We have developed manufacturable approaches for forming single, vertically aligned carbon nanotubes, where the tubes are centered precisely, and placed within a few hundred nm of 1-1.5 μm deep trenches. These wafer-scale approaches were enabled by using chemically amplified resists and high density, low pressure plasma etching techniques to form the 3D nanoscale architectures. The tube growth was performed using dc plasma-enhanced chemical vapor deposition (PECVD), and the materials used in the pre-fabricated 3D architectures were chemically and structurally compatible with the high temperature (700 deg. C) PECVD synthesis of our tubes, in an ammonia and acetylene ambient. Such scalable, high throughput top-down fabrication processes, when integrated with the bottom-up tube synthesis techniques, should accelerate the development of plasma grown tubes for a wide variety of applications in electronics, such as nanoelectromechanical systems, interconnects, field emitters and sensors. Tube characteristics were also engineered to some extent, by adjusting the Ni catalyst thickness, as well as the pressure and plasma power during growth.

  14. CdTe deposition by successive ionic layer adsorption and reaction (SILAR) technique onto ZnO nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Salazar, Raul; Delamoreanu, Alexandru; Saidi, Bilel; Ivanova, Valentina [CEA, LETI, MINATEC Campus, 17 Rue des Martyrs, 38054, Grenoble (France); Levy-Clement, Claude [CNRS, Institut de Chimie et des Materiaux de Paris-Est, 94320, Thiais (France)

    2014-09-15

    In this study is reported CdTe deposition by Successive Ionic Layer Adsorption and reaction (SILAR) at room temperature onto ZnO nanowires (NWs). The as-deposited CdTe layer exhibits poor crystalline quality and not well defined optical transition which is probably result of its amorphous nature. The implementation of an annealing step and chemical treatment by CdCl{sub 2} to the classical SILAR technique improved significantly the CdTe film quality. The XRD analysis showed that the as treated layers are crystallized in the cubic zinc blende structure. The full coverage of ZnO nanowires and thickness of the CdTe shell, composed of small crystallites, was confirmed by STEM and TEM analysis. The layer thickness could be controlled by the number of SILAR cycles. The sharper optical transitions for the annealed and CdCl{sub 2} treated heterostructures additionally proves the enhancement of the layer crystalline quality. For comparison CdTe was also deposited by close space sublimation (CSS) method onto ZnO nanowires. It is shown that the SILAR deposited CdTe exhibits equal crystalline and optical properties to that prepared by CSS. These results demonstrate that SILAR technique is more suitable for conformal thin film deposition on nanostructures. CdTe extremely thin film deposited by SILAR method onto ZnO nanowire. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  15. CdTe deposition by successive ionic layer adsorption and reaction (SILAR) technique onto ZnO nanowires

    International Nuclear Information System (INIS)

    Salazar, Raul; Delamoreanu, Alexandru; Saidi, Bilel; Ivanova, Valentina; Levy-Clement, Claude

    2014-01-01

    In this study is reported CdTe deposition by Successive Ionic Layer Adsorption and reaction (SILAR) at room temperature onto ZnO nanowires (NWs). The as-deposited CdTe layer exhibits poor crystalline quality and not well defined optical transition which is probably result of its amorphous nature. The implementation of an annealing step and chemical treatment by CdCl 2 to the classical SILAR technique improved significantly the CdTe film quality. The XRD analysis showed that the as treated layers are crystallized in the cubic zinc blende structure. The full coverage of ZnO nanowires and thickness of the CdTe shell, composed of small crystallites, was confirmed by STEM and TEM analysis. The layer thickness could be controlled by the number of SILAR cycles. The sharper optical transitions for the annealed and CdCl 2 treated heterostructures additionally proves the enhancement of the layer crystalline quality. For comparison CdTe was also deposited by close space sublimation (CSS) method onto ZnO nanowires. It is shown that the SILAR deposited CdTe exhibits equal crystalline and optical properties to that prepared by CSS. These results demonstrate that SILAR technique is more suitable for conformal thin film deposition on nanostructures. CdTe extremely thin film deposited by SILAR method onto ZnO nanowire. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  16. Characterization of defects in hydrogenated amorphous silicon deposited on different substrates by capacitance techniques

    International Nuclear Information System (INIS)

    Darwich, R.; Roca i Cabarrocas, P.

    2011-01-01

    Hydrogenated amorphous silicon (a-Si:H) thin films deposited on crystalline silicon and Corning glass substrate were analyzed using different capacitance techniques. The distribution of localized states and some electronic properties were studied using the temperature, frequency and bias dependence of the Schottky barrier capacitance and deep level transient spectroscopy. Our results show that the distribution of the gap states depends on the type of substrate. We have found that the films deposited on c-Si substrate represent only one positively charged or prerelaxed neutral deep state and one interface state, while the films deposited on glass substrate have one interface state and three types of deep defect states, positively or prerelaxed neutral, neutral and negatively charged.

  17. Characterization of microcrystalline I-layer for solar cells prepared in low temperature - plastic compatible process

    KAUST Repository

    Sliz, Rafal; Ahnood, Arman; Nathan, Arokia; Myllyla, Risto; Jabbour, Ghassan E.

    2012-01-01

    Microcrystalline silicon (mc-Si) lms deposited using a Plasma Enhanced Chemical Vapour Deposition (PECVD) process constitute an important material for manufacturing low-cost, large-area thin-lm devices, such as solar cells or thin-lm transistors

  18. Carbon Nanotube Field Emitters Synthesized on Metal Alloy Substrate by PECVD for Customized Compact Field Emission Devices to Be Used in X-Ray Source Applications

    Directory of Open Access Journals (Sweden)

    Sangjun Park

    2018-05-01

    Full Text Available In this study, a simple, efficient, and economical process is reported for the direct synthesis of carbon nanotube (CNT field emitters on metal alloy. Given that CNT field emitters can be customized with ease for compact and cold field emission devices, they are promising replacements for thermionic emitters in widely accessible X-ray source electron guns. High performance CNT emitter samples were prepared in optimized plasma conditions through the plasma-enhanced chemical vapor deposition (PECVD process and subsequently characterized by using a scanning electron microscope, tunneling electron microscope, and Raman spectroscopy. For the cathode current, field emission (FE characteristics with respective turn on (1 μA/cm2 and threshold (1 mA/cm2 field of 2.84 and 4.05 V/μm were obtained. For a field of 5.24 V/μm, maximum current density of 7 mA/cm2 was achieved and a field enhancement factor β of 2838 was calculated. In addition, the CNT emitters sustained a current density of 6.7 mA/cm2 for 420 min under a field of 5.2 V/μm, confirming good operational stability. Finally, an X-ray generated image of an integrated circuit was taken using the compact field emission device developed herein.

  19. Investigation of defects in ultra-thin Al{sub 2}O{sub 3} films deposited on pure copper by the atomic layer deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Chang, M.L.; Wang, L.C. [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 10617, Taiwan (China); Lin, H.C., E-mail: hclinntu@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 10617, Taiwan (China); Chen, M.J., E-mail: mjchen@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 10617, Taiwan (China); Lin, K.M. [Department of Materials Science and Engineering, Feng Chia University, No. 100, Wenhwa Road, Seatwen, Taichung 40724, Taiwan (China)

    2015-12-30

    Graphical abstract: Some residual OH ligands originating from incomplete reaction between TMA and surface species of OH* during ALD process induce the defects in deposited Al{sub 2}O{sub 3} films. Three possible types of defects are suggested. The analytic results indicate the defects are Type-I and/or Type-II but do not directly expose the substrate, like pinholes (Type-III). - Highlights: • Oxidation trials were conducted to investigate the defects in ultra-thin Al{sub 2}O{sub 3} films deposited ALD technique on pure copper. • The residual OH ligands in the deposited Al{sub 2}O{sub 3} films induce looser micro-structure which has worse oxidation resistance. • Superficial contamination particles on substrate surface are confirmed to be one of nucleation sites of the defects. - Abstract: Al{sub 2}O{sub 3} films with various thicknesses were deposited by the atomic layer deposition (ALD) technique on pure copper at temperatures of 100–200 °C. Oxidation trials were conducted in air at 200 °C to investigate the defects in these films. The analytic results show that the defects have a looser micro-structure compared to their surroundings, but do not directly expose the substrate, like pinholes. The film's crystallinity, mechanical properties and oxidation resistance could also be affected by these defects. Superficial contamination particles on the substrate surface are confirmed to be nucleation sites of the defects. A model for the mechanism of defect formation is proposed in this study.

  20. Growth, structural, optical and electrical study of ZnS thin films deposited by solution growth technique (SGT)

    International Nuclear Information System (INIS)

    Sadekar, H.K.; Deshpande, N.G.; Gudage, Y.G.; Ghosh, A.; Chavhan, S.D.; Gosavi, S.R.; Sharma, Ramphal

    2008-01-01

    ZnS thin films have been deposited onto glass substrates at temperature 90 deg. C by solution growth technique (SGT). The deposition parameters were optimized. Triethanolamine (TEA) was used as a complexing agent for uniform deposition of the thin films. The elemental composition of the film was confirmed by energy dispersive analysis by X-ray (EDAX) technique. Structure and surface morphology of as-deposited films were characterized by X-ray diffraction (XRD) and scanning electron microscopy (SEM), atomic force microscopy (AFM), respectively. XRD patterns reveal that as-deposited thin films were amorphous in nature; while the obtained precipitate powder was polycrystalline in nature. SEM results revealed that deposited ZnS material has ∼120 ± 20 nm average grain size and the spherical grains are distributed over the entire glass substrate. Low surface roughness was found to be 2.7 nm from AFM studies. Transmission spectra indicate a high transmission coefficient (∼75%) with direct band gap energy equal to 3.72 eV while indirect band gap was found to be 3.45 eV. A photoluminescence (PL) study of the ZnS at room temperature (300 K) indicates a strong luminescence band at energy 2.02 eV

  1. Potential of ITO nanoparticles formed by hydrogen treatment in PECVD for improved performance of back grid contact crystalline silicon solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Mandal, Sourav; Mitra, Suchismita; Dhar, Sukanta; Ghosh, Hemanta; Banerjee, Chandan, E-mail: chandanbanerjee74@gmail.com; Datta, Swapan K.; Saha, Hiranmoy

    2015-09-15

    Highlights: • Indium tin oxide (ITO) nanoparticles as back scatterers in c-Si solar cells. • ITO NP have comparatively low dissipative losses and tunable optical properties. • ITO NP formed by hydrogen plasma treatment on sputtered ITO film. • Enhanced absorption and carrier collection at longer wavelengths due to enhanced light trapping. - Abstract: This paper discusses the prospect of using indium tin oxide (ITO) nanoparticles as back scatterers in crystalline silicon solar cells instead of commonly used metal nanoparticles as ITO nanoparticles have comparatively low dissipative losses and tunable optical properties. ITO nanoparticles of ∼5–10 nm size is developed on the rear side of the solar cell by deposition of ∼5–10 nm thick ITO layer by DC magnetron sputtering followed by hydrogen treatment in PECVD. The silicon solar cell is fabricated in the laboratory using conventional method with grid metal contact at the back surface. Various characterizations like FESEM, TEM, AFM, XRD, EQE and IV characteristics are performed to analyze the morphology, chemical composition, optical characteristics and electrical performance of the device. ITO nanoparticles at the back surface of the solar cell significantly enhances the short circuit current, open circuit voltage and efficiency of the solar cell. These enhancements may be attributed to the increased absorption and carrier collection at longer wavelengths of solar spectrum due to enhanced light trapping by the ITO nanoparticles and surface passivation by the hydrogen treatment of the back surface.

  2. Yb2Si2O7 Environmental Barrier Coatings Deposited by Various Thermal Spray Techniques: A Preliminary Comparative Study

    Science.gov (United States)

    Bakan, Emine; Marcano, Diana; Zhou, Dapeng; Sohn, Yoo Jung; Mauer, Georg; Vaßen, Robert

    2017-08-01

    Dense, crack-free, uniform, and well-adhered environmental barrier coatings (EBCs) are required to enhance the environmental durability of silicon (Si)-based ceramic matrix composites in high pressure, high gas velocity combustion atmospheres. This paper represents an assessment of different thermal spray techniques for the deposition of Yb2Si2O7 EBCs. The Yb2Si2O7 coatings were deposited by means of atmospheric plasma spraying (APS), high-velocity oxygen fuel spraying (HVOF), suspension plasma spraying (SPS), and very low-pressure plasma spraying (VLPPS) techniques. The initial feedstock, as well as the deposited coatings, were characterized and compared in terms of their phase composition. The as-sprayed amorphous content, microstructure, and porosity of the coatings were further analyzed. Based on this preliminary investigation, the HVOF process stood out from the other techniques as it enabled the production of vertical crack-free coatings with higher crystallinity in comparison with the APS and SPS techniques in atmospheric conditions. Nevertheless, VLPPS was found to be the preferred process for the deposition of Yb2Si2O7 coatings with desired characteristics in a controlled-atmosphere chamber.

  3. Fabrication of SERS Substrate by Multilayered Nanosphere Deposition Technique

    International Nuclear Information System (INIS)

    Fu, Chit Yaw; Dinish, U. S.; Praveen, Thoniyot; Koh, Zhen Yu; Kho, Khiang Wei; Malini, Olivo

    2010-01-01

    Metal film over nanosphere (MFON) has been employed as a reproducible and predictable SERS-active device in biosensing applications. In addition to its economic fabrication process, such substrate can be further processed to a prism-structure with increased SERS enhancement and wider Plasmon tunability. In this work, we investigate an alternative coating method to deposit a larger area of well-ordered PS beads with different sizes (oe = 100nm and 400 nm) onto a glass. The result suggests that the proposed well-coating technique can be suitably used to form closely-packed PS beads with diameter less than 100 nm for developing MFON substrates.

  4. Influence of solution deposition rate on properties of V_2O_5 thin films deposited by spray pyrolysis technique

    International Nuclear Information System (INIS)

    Abd–Alghafour, N. M.; Ahmed, Naser M.; Hassan, Zai; Mohammad, Sabah M.

    2016-01-01

    Vanadium oxide (V_2O_5) thin films were deposited on glass substrates by using a cost-efficient spray pyrolysis technique. The films were grown at 350° through thermal decomposition of VCl_3 in deionized water with different solution spray rates. The high resolution X-ray diffraction results revealed the formation of nanocrystalline films having orthorhombic structures with preferential orientation along (101) direction. The spray rate influenced the surface morphology and crystallite size of the films. The crystallite size was found to increase whereas the micro-strain was decreased by increasing the spray deposition rates. The increase in crystallite size and decrease in the macrostrain resulted in an improvement in the films’ crystallinity. The UV-Visible spectroscopy analysis indicated that the average transmittance of all films lies in the range 75-80 %. The band gap of V_2O_5 film was decreased from 2.65 to 2.46 eV with increase of the spray deposition rate from 5 ml/min to 10 ml/min. first, second, and third level headings (first level heading).

  5. Experimental and theoretical rationalization of the growth mechanism of silicon quantum dots in non-stoichiometric SiN x : role of chlorine in plasma enhanced chemical vapour deposition.

    Science.gov (United States)

    Mon-Pérez, E; Salazar, J; Ramos, E; Salazar, J Santoyo; Suárez, A López; Dutt, A; Santana, G; Monroy, B Marel

    2016-11-11

    Silicon quantum dots (Si-QDs) embedded in an insulator matrix are important from a technological and application point of view. Thus, being able to synthesize them in situ during the matrix growth process is technologically advantageous. The use of SiH 2 Cl 2 as the silicon precursor in the plasma enhanced chemical vapour deposition (PECVD) process allows us to obtain Si-QDs without post-thermal annealing. Foremost in this work, is a theoretical rationalization of the mechanism responsible for Si-QD generation in a film including an analysis of the energy released by the extraction of HCl and the insertion of silylene species into the terminal surface bonds. From the results obtained using density functional theory (DFT), we propose an explanation of the mechanism responsible for the formation of Si-QDs in non-stoichiometric SiN x starting from chlorinated precursors in a PECVD system. Micrograph images obtained through transmission electron microscopy confirmed the presence of Si-QDs, even in nitrogen-rich (N-rich) samples. The film stoichiometry was controlled by varying the growth parameters, in particular the NH 3 /SiH 2 Cl 2 ratio and hydrogen dilution. Experimental and theoretical results together show that using a PECVD system, along with chlorinated precursors it is possible to obtain Si-QDs at a low substrate temperature without annealing treatment. The optical property studies carried out in the present work highlight the prospects of these thin films for down shifting and as an antireflection coating in silicon solar cells.

  6. All-dry resist processes for 193-nm lithography

    Science.gov (United States)

    Horn, Mark W.; Maxwell, Brian E.; Kunz, Roderick R.; Hibbs, Michael S.; Eriksen, Lynn M.; Palmateer, Susan C.; Forte, Anthony R.

    1995-06-01

    We report on two different all-dry resist schemes for 193-nm lithography, one negative tone and one positive tone. Our negative tone resist is an extension of our initial work on all-dry photoresists. This scheme employs a bilayer in which the imaging layer is formed by plasma enhanced chemical vapor deposition (PECVD) from tetramethylsilane (TMS) and deposited onto PECVD carbon-based planarizing layers. Figure 1 shows SEMs of dark field and light field octagons patterned in projection on Lincoln Laboratory's 0.5-NA 193-nm Micrascan system. These 0.225-micrometers and 0.200-micrometers line and space features were obtained at a dose of approximately 58 mJ/cm2. Dry development of the exposed resist was accomplished using Cl2 chemistry in a helicon high-ion-density etching tool. Pattern transfer was performed in the helicon tool with oxygen-based chemistries. Recently, we have also developed an all-dry positive-tone silylation photoresist. This photoresist is a PECVD carbon-based polymer which is crosslinked by 193-nm exposure, enabling selective silylation similar to that initially reported by Hartney et al., with spin-applied polymers. In those polymers, for example polyvinylphenol, the silylation site concentration is fixed by the hydroxyl groups on the polymer precursors, thus limiting the silicon uptake per unit volume. With PECVD polymers, the total concentration of silylation sites and their depth can be tailored by varying plasma species as a function of time during the deposition. This affords the possibility of greater silicon uptake per unit volume and better depth control of the silylation profile. Figure 2 shows a SEM of 0.5-micrometers features patterned in plasma deposited silylation resist.

  7. Versatile technique for assessing thickness of 2D layered materials by XPS

    Science.gov (United States)

    Zemlyanov, Dmitry Y.; Jespersen, Michael; Zakharov, Dmitry N.; Hu, Jianjun; Paul, Rajib; Kumar, Anurag; Pacley, Shanee; Glavin, Nicholas; Saenz, David; Smith, Kyle C.; Fisher, Timothy S.; Voevodin, Andrey A.

    2018-03-01

    X-ray photoelectron spectroscopy (XPS) has been utilized as a versatile method for thickness characterization of various two-dimensional (2D) films. Accurate thickness can be measured simultaneously while acquiring XPS data for chemical characterization of 2D films having thickness up to approximately 10 nm. For validating the developed technique, thicknesses of few-layer graphene (FLG), MoS2 and amorphous boron nitride (a-BN) layer, produced by microwave plasma chemical vapor deposition (MPCVD), plasma enhanced chemical vapor deposition (PECVD), and pulsed laser deposition (PLD) respectively, were accurately measured. The intensity ratio between photoemission peaks recorded for the films (C 1s, Mo 3d, B 1s) and the substrates (Cu 2p, Al 2p, Si 2p) is the primary input parameter for thickness calculation, in addition to the atomic densities of the substrate and the film, and the corresponding electron attenuation length (EAL). The XPS data was used with a proposed model for thickness calculations, which was verified by cross-sectional transmission electron microscope (TEM) measurement of thickness for all the films. The XPS method determines thickness values averaged over an analysis area which is orders of magnitude larger than the typical area in cross-sectional TEM imaging, hence provides an advanced approach for thickness measurement over large areas of 2D materials. The study confirms that the versatile XPS method allows rapid and reliable assessment of the 2D material thickness and this method can facilitate in tailoring growth conditions for producing very thin 2D materials effectively over a large area. Furthermore, the XPS measurement for a typical 2D material is non-destructive and does not require special sample preparation. Therefore, after XPS analysis, exactly the same sample can undergo further processing or utilization.

  8. Evolution of a Native Oxide Layer at the a-Si:H/c-Si Interface and Its Influence on a Silicon Heterojunction Solar Cell.

    Science.gov (United States)

    Liu, Wenzhu; Meng, Fanying; Zhang, Xiaoyu; Liu, Zhengxin

    2015-12-09

    The interface microstructure of a silicon heterojunction (SHJ) solar cell was investigated. We found an ultrathin native oxide layer (NOL) with a thickness of several angstroms was formed on the crystalline silicon (c-Si) surface in a very short time (∼30 s) after being etched by HF solution. Although the NOL had a loose structure with defects that are detrimental for surface passivation, it acted as a barrier to restrain the epitaxial growth of hydrogenated amorphous silicon (a-Si:H) during the plasma-enhanced chemical vapor deposition (PECVD). The microstructure change of the NOL during the PECVD deposition of a-Si:H layers with different conditions and under different H2 plasma treatments were systemically investigated in detail. When a brief H2 plasma was applied to treat the a-Si:H layer after the PECVD deposition, interstitial oxygen and small-size SiO2 precipitates were transformed to hydrogenated amorphous silicon suboxide alloy (a-SiO(x):H, x ∼ 1.5). In the meantime, the interface defect density was reduced by about 50%, and the parameters of the SHJ solar cell were improved due to the post H2 plasma treatment.

  9. In situ, real-time thickness measurement techniques for bath-deposited CdS thin films on Cu(In,Ga)Se2

    International Nuclear Information System (INIS)

    Mann, Jonathan R.; Noufi, Rommel

    2012-01-01

    A technique has been developed that can measure the thickness of a 30–70 nm thin film of cadmium sulfide on a Cu(In,Ga)Se 2 substrate, in real time, as it grows in a chemical bath. The technique does not damage the film, and can be used to monitor batch depositions and roll-to-roll depositions with equal accuracy. The technique is based on reflectance spectroscopy through the chemical bath. - Highlights: ► Reflection spectra were collected during the chemical bath deposition of CdS. ► Two algorithms were generated to extract film thickness from each spectrum. ► Two conventional techniques were used to independently verify CdS film thicknesses. ► The accuracies of the algorithms are within 7% of the actual thicknesses. ► The algorithms offer in situ, real time thicknesses through the chemical bath.

  10. Tandem solar cells deposited using hot-wire chemical vapor deposition

    NARCIS (Netherlands)

    Veen, M.K. van

    2003-01-01

    In this thesis, the application of the hot-wire chemical vapor deposition (HWCVD) technique for the deposition of silicon thin films is described. The HWCVD technique is based on the dissociation of silicon-containing gasses at the catalytic surface of a hot filament. Advantages of this technique

  11. Plasma-polymerized hexamethyldisilazane treated by nitrogen plasma immersion ion implantation technique

    Energy Technology Data Exchange (ETDEWEB)

    Honda, R Y; Mota, R P; Batocki, R G S; Santos, D C R; Nicoleti, T; Kostov, K G; Kayama, M E; Algatti, M A [Laboratorio de Plasma, Faculdade de Engenharia, UNESP, Av. Dr Ariberto Pereira da Cunha-333, 12516-410, Guaratingueta, SP (Brazil); Cruz, N C [Laboratorio de Plasmas Tecnologicos, Unidade Diferenciada, UNESP, Av. Tres de Marco-511, 18085-180, Sorocaba, SP (Brazil); Ruggiero, L, E-mail: honda@feg.unesp.b [Faculdade de Ciencias, UNESP, Av. Luis E. Carrijo Coube 14-1, 17033-360, Bauru, SP (Brazil)

    2009-05-01

    This paper describes the effect of nitrogen Plasma Immersion Ion Implantation (PIII) on chemical structure, refraction index and surface hardness of plasma-polymerized hexamethyldisilazane (PPHMDSN) thin films. Firstly, polymeric films were deposited at 13.56 MHz radiofrequency (RF) Plasma Enhanced Chemical Vapour Deposition (PECVD) and then, were treated by nitrogen PIII from 15 to 60 min. Fourier Transformed Infrared (FTIR) spectroscopy was employed to analyse the molecular structure of the samples, and it revealed that vibrations modes at 3350 cm{sup -1}, 2960 cm{sup -1}, 1650 cm{sup -1}, 1250 cm{sup -1} and 1050 cm{sup -1} were altered by nitrogen PIII. Visible-ultraviolet (vis-UV) spectroscopy was used to evaluate film refractive index and the results showed a slight increase from 1.6 to 1.8 following the implantation time. Nanoindentation revealed a surface hardness rise from 0.5 to 2.3 GPa as PIII treatment time increased. These results indicate nitrogen PIII is very promising in improving optical and mechanical properties of PPHMDSN films.

  12. Effect of the substrate surface topology and temperature on the structural properties of ZnO layers obtained by plasma enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kitova, S; Danev, G, E-mail: skitova@clf.bas.b [Acad. J .Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl.109, 1113 Sofia (Bulgaria)

    2010-04-01

    In this work thin ZnO layers were grown by metal-organic PECVD (RF 13.56 MHz) on Si wafers. Zn acetylacetonate was used as a precursor and oxygen as oxidant. A system for dosed injection of the precursor and oxidant into the plasma reactor was developed. The influence of the substrate surface topology and temperature on the structural properties of the deposited layers was studied. ZnO and graphite powder dispersions were used to modify the silicon wafers before starting the deposition process of the layers. Some of the ZnO layers were deposited on the back, unpolished, side of Si wafers. Depositions at 400 {sup 0}C were performed to examine the effect of the substrate temperatures on the layer growth. The film structure was examined by XRD and SEM. The results show that all layers are crystalline with hexagonal wurtzite structure. The crystallites are preferentially oriented along the c-axis direction perpendicular to the substrate surfaces. ZnO layers deposited on thin ZnO seed films and clean Si surface exhibit well-developed grain structures and more c-axis preferred phase with better crystal quality than that of the layers deposited on graphite seed layer or rough, unpolished Si wafer.

  13. Synthesis and growth mechanism of Fe-catalyzed carbon nanotubes by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Jiang Jun; Feng Tao; Cheng Xinhong; Dai Lijuan; Cao Gongbai; Jiang Bingyao; Wang Xi; Liu Xianghuai; Zou Shichang

    2006-01-01

    Plasma-enhanced chemical vapor deposition (PECVD) was used to grow Fe-catalyzed carbon nanotubes (CNTs). The nanotubes had a uniform diameter in the range of about 10-20 nm. A base growth mode was responsible for the CNTs growth using a mixture of H 2 (60 sccm) and C 2 H 2 (15 sccm). For a mixture of H 2 (100 sccm) and C 2 H 2 (25 sccm), a complicated growth mechanism took place involving both the base growth and the tip growth. X-ray photoelectron spectroscopy measurements revealed that the grown CNTs contained C-H covalent bonds and Fe-C bonds located at the interface between them and the substrates. The factors determining the growth mechanism of CNTs are discussed and their growth mechanisms with the different gas ratios are suggested

  14. Effect of spray application technique on spray deposition in greenhouse strawberries and tomatoes.

    Science.gov (United States)

    Braekman, Pascal; Foque, Dieter; Messens, Winy; Van Labeke, Marie-Christine; Pieters, Jan G; Nuyttens, David

    2010-02-01

    Increasingly, Flemish greenhouse growers are using spray booms instead of spray guns to apply plant protection products. Although the advantages of spray booms are well known, growers still have many questions concerning nozzle choice and settings. Spray deposition using a vertical spray boom in tomatoes and strawberries was compared with reference spray equipment. Five different settings of nozzle type, size and pressure were tested with the spray boom. In general, the standard vertical spray boom performed better than the reference spray equipment in strawberries (spray gun) and in tomatoes (air-assisted sprayer). Nozzle type and settings significantly affected spray deposition and crop penetration. Highest overall deposits in strawberries were achieved using air-inclusion or extended-range nozzles. In tomatoes, the extended-range nozzles and the twin air-inclusion nozzles performed best. Using smaller-size extended-range nozzles above the recommended pressure range resulted in lower deposits, especially inside the crop canopy. The use of a vertical spray boom is a promising technique for applying plant protection products in a safe and efficient way in tomatoes and strawberries, and nozzle choice and setting should be carefully considered.

  15. Room and low temperature synthesis of carbon nanofibres

    International Nuclear Information System (INIS)

    Boskovic, Bojan O.

    2002-01-01

    Carbon nanotubes and nanofibres have attracted attention in recent years as new materials with a number of very promising potential applications. Carbon nanotubes are potential candidates for field emitters in flat panel displays. Carbon nanofibres could also be used as a hydrogen storage material and as a filling material in polymer composites. Carbon nanotubes are already used as tips in scanning probe microscopy due to their remarkable mechanical and electrical properties, and could be soon used as nanotweezers. Use of carbon nanotubes in nanoelectronics will open further miniaturisation prospects. Temperatures ranging from 450 to 1000 deg C have been a required for catalytic growth of carbon nanotubes and nanofibres. Researchers have been trying to reduce the growth temperatures for decades. Low temperature growth conditions will allow the growth of carbon nanotubes on different substrates, such glass (below 650 deg C) and as plastics (below 150 deg C) over relatively large areas, which is especially suitable for fiat panel display applications. Room temperature growth conditions could open up the possibility of using different organic substrates and bio-substrates for carbon nanotubes synthesis. Carbon nanofibres have been synthesised at room temperature and low temperatures below 250 deg C using radio frequency plasma enhanced chemical vapour deposition (r.f. PECVD). Previously, the growth of carbon nanofibres has been via catalytic decomposition of hydrocarbons or carbon monoxide at temperatures above 300 deg C. To the best of our knowledge, this is the first evidence of the growth of carbon nanofibres at temperatures lower than 300 deg C by any method. The use of a transition metal catalyst and r.f.-PECVD system is required for the growth of the carbon nanofibre when a hydrocarbon flows above the catalyst. Within the semiconductor industry r.f.-PECVD is a well established technique which lends itself for the growth of carbon nanofibres for various

  16. Characterization of microcrystalline I-layer for solar cells prepared in low temperature - plastic compatible process

    KAUST Repository

    Sliz, Rafal

    2012-06-01

    Microcrystalline silicon (mc-Si) lms deposited using a Plasma Enhanced Chemical Vapour Deposition (PECVD) process constitute an important material for manufacturing low-cost, large-area thin-lm devices, such as solar cells or thin-lm transistors. Although the deposition of electronic-grade mc-Si using the PECVD process is now well established, the high substrate temperature required (~400°C) does not lend itself to electronic devices with exible form factors fabricated on low-cost plastic substrates. In this study, we rst investigated an intrinsic mc-Si layer deposited at plastic-compatible substrate temperatures (~150°C) by characterising the properties of the lm and then evaluated its applicability to p-i-n solar cells though device characterisation. When the performance of the solar cell was correlated with lm properties, it was found that, although it compared unfavourably with mc-Si deposited at higher temperatures, it remained a very promising option. Nonetheless, further development is required to increase the overall eciency of mc-Si exible solar cells.

  17. Coating of diamond-like carbon nanofilm on alumina by microwave plasma enhanced chemical vapor deposition process.

    Science.gov (United States)

    Rattanasatien, Chotiwan; Tonanon, Nattaporn; Bhanthumnavin, Worawan; Paosawatyanyong, Boonchoat

    2012-01-01

    Diamond-like carbon (DLC) nanofilms with thickness varied from under one hundred to a few hundred nanometers have been successfully deposited on alumina substrates by microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. To obtain dense continuous DLC nanofilm coating over the entire sample surface, alumina substrates were pre-treated to enhance the nucleation density. Raman spectra of DLC films on samples showed distinct diamond peak at around 1332 cm(-1), and the broad band of amorphous carbon phase at around 1550 cm(-1). Full width at half maximum height (FWHM) values indicated good formation of diamond phase in all films. The result of nano-indentation test show that the hardness of alumina samples increase from 7.3 +/- 2.0 GPa in uncoated samples to 15.8 +/- 4.5-52.2 +/- 2.1 GPa in samples coated with DLC depending on the process conditions. It is observed that the hardness values are still in good range although the thickness of the films is less than a hundred nanometer.

  18. High-pressure condition of SiH{sub 4}+Ar+H{sub 2} plasma for deposition of hydrogenated nanocrystalline silicon film

    Energy Technology Data Exchange (ETDEWEB)

    Parashar, A.; Kumar, Sushil; Dixit, P.N.; Gope, Jhuma; Rauthan, C.M.S. [Plasma Processed Materials Group, National Physical Laboratory, Dr. K.S. Krishnan Road, New Delhi 110012 (India); Hashmi, S.A. [Department of Physics and Astro Physics, University of Delhi, Delhi 110007 (India)

    2008-10-15

    The characteristics of 13.56-MHz discharged SiH{sub 4}+Ar+H{sub 2} plasma at high pressure (2-8 Torr), used for the deposition of hydrogenated nanocrystalline silicon (nc-Si:H) films in a capacitively coupled symmetric PECVD system, has been investigated. Plasma parameters such as average electron density, sheath field and bulk field are extracted from equivalent circuit model of the plasma using outputs (current, voltage and phase) of RF V-I probe under different pressure conditions. The conditions of growth in terms of plasma parameters are correlated with properties of the hydrogenated nanocrystalline silicon films characterized by Raman, AFM and dc conductivity. The film deposited at 4 Torr of pressure, where relatively low sheath/bulk field ratio is observed, exhibits high crystallinity and conductivity. The crystalline volume fraction of the films estimated from the Raman spectra is found to vary from 23% to 79%, and the trend of variation is similar to the RF real plasma impedance data. (author)

  19. Techniques for the research on mass deposition effects in the bio-materials induced by heavy ion implantation

    International Nuclear Information System (INIS)

    Yuan Shibin; Wei Zengquan; Li Qiang

    2002-01-01

    Researchers have used heavy ion beams to implant small biomolecules, followed by advanced instrumental analysis to make preliminary studies on mass deposition induced by ion implantation. But research reports on the biological effects, i.e. mass deposition effects induced by mass deposition in living tissues, cells and macro-biomolecules have not been delivered hitherto. In the near future radioactive heavy ion beams will be possible to implant living cells and biomolecules, and auto-radiography, radioactive measurements and molecular biological techniques will be employed to further studies on the effects

  20. Laboratory Deposition Apparatus to Study the Effects of Wax Deposition on Pipe Magnetic Field Leakage Signals

    Directory of Open Access Journals (Sweden)

    Karim Mohd Fauzi Abd

    2014-07-01

    Full Text Available Accurate technique for wax deposition detection and severity measurement on cold pipe wall is important for pipeline cleaning program. Usually these techniques are validated by conventional techniques on laboratory scale wax deposition flow loop. However conventional techniques inherent limitations and it is difficult to reproduce a predetermine wax deposit profile and hardness at designated location in flow loop. An alternative wax deposition system which integrates modified pour casting method and cold finger method is presented. This system is suitable to reproduce high volume of medium hard wax deposit in pipe with better control of wax deposit profile and hardness.

  1. Investigation of Low-Cost Surface Processing Techniques for Large-Size Multicrystalline Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Yuang-Tung Cheng

    2010-01-01

    Full Text Available The subject of the present work is to develop a simple and effective method of enhancing conversion efficiency in large-size solar cells using multicrystalline silicon (mc-Si wafer. In this work, industrial-type mc-Si solar cells with area of 125×125 mm2 were acid etched to produce simultaneously POCl3 emitters and silicon nitride deposition by plasma-enhanced chemical vapor deposited (PECVD. The study of surface morphology and reflectivity of different mc-Si etched surfaces has also been discussed in this research. Using our optimal acid etching solution ratio, we are able to fabricate mc-Si solar cells of 16.34% conversion efficiency with double layers silicon nitride (Si3N4 coating. From our experiment, we find that depositing double layers silicon nitride coating on mc-Si solar cells can get the optimal performance parameters. Open circuit (Voc is 616 mV, short circuit current (Jsc is 34.1 mA/cm2, and minority carrier diffusion length is 474.16 μm. The isotropic texturing and silicon nitride layers coating approach contribute to lowering cost and achieving high efficiency in mass production.

  2. Annealing effects on the structural and optical properties of vanadium oxide film obtained by the hot-filament metal oxide deposition technique (HFMOD)

    Energy Technology Data Exchange (ETDEWEB)

    Scarminio, Jair; Silva, Paulo Rogerio Catarini da, E-mail: scarmini@uel.br, E-mail: prcsilva@uel.br [Universidade Estadual de Londrina (UEL), PR (Brazil). Departamento de Fisica; Gelamo, Rogerio Valentim, E-mail: rogelamo@gmail.com [Universidade Federal do Triangulo Mineiro (UFTM), Uberaba, MG (Brazil); Moraes, Mario Antonio Bica de, E-mail: bmoraes@mailhost.ifi.unicamp.br [Universidade Estadual de Campinas (UNICAMP), SP (Brazil)

    2017-01-15

    Vanadium oxide films amorphous, nonstoichiometric and highly absorbing in the optical region were deposited on ITO-coated glass and on silicon substrates, by the hot-filament metal oxide deposition technique (HFMOD) and oxidized by ex-situ annealing in a furnace at 200, 300, 400 and 500 deg C, under an atmosphere of argon and rarefied oxygen. X-ray diffraction, Raman and Rutherford backscattering spectroscopy as well as optical transmission were employed to characterize the amorphous and annealed films. When annealed at 200 and 300 deg C the as-deposited opaque films become transparent but still amorphous. Under treatments at 400 and 500 deg C a crystalline nonstoichiometric V{sub 2}O{sub 5} structure is formed. All the annealed films became semiconducting, with their optical absorption coefficients changing with the annealing temperature. An optical gap of 2.25 eV was measured for the films annealed at 400 and 500 deg C. The annealing in rarefied oxygen atmosphere proved to be a useful and simple ex-situ method to modulate the structural and optical properties of vanadium oxide films deposited by HFMOD technique. This technique could be applied to other amorphous and non-absorbing oxide films, replacing the conventional and sometimes expensive method of modulate desirable film properties by controlling the film deposition parameters. Even more, the HFMOD technique can be an inexpensive alternative to deposit metal oxide films. (author)

  3. Tungsten Deposition on Graphite using Plasma Enhanced Chemical Vapour Deposition

    International Nuclear Information System (INIS)

    Sharma, Uttam; Chauhan, Sachin S; Sharma, Jayshree; Sanyasi, A K; Ghosh, J; Choudhary, K K; Ghosh, S K

    2016-01-01

    The tokamak concept is the frontrunner for achieving controlled thermonuclear reaction on earth, an environment friendly way to solve future energy crisis. Although much progress has been made in controlling the heated fusion plasmas (temperature ∼ 150 million degrees) in tokamaks, technological issues related to plasma wall interaction topic still need focused attention. In future, reactor grade tokamak operational scenarios, the reactor wall and target plates are expected to experience a heat load of 10 MW/m 2 and even more during the unfortunate events of ELM's and disruptions. Tungsten remains a suitable choice for the wall and target plates. It can withstand high temperatures, its ductile to brittle temperature is fairly low and it has low sputtering yield and low fuel retention capabilities. However, it is difficult to machine tungsten and hence usages of tungsten coated surfaces are mostly desirable. To produce tungsten coated graphite tiles for the above-mentioned purpose, a coating reactor has been designed, developed and made operational at the SVITS, Indore. Tungsten coating on graphite has been attempted and successfully carried out by using radio frequency induced plasma enhanced chemical vapour deposition (rf -PECVD) for the first time in India. Tungsten hexa-fluoride has been used as a pre-cursor gas. Energy Dispersive X-ray spectroscopy (EDS) clearly showed the presence of tungsten coating on the graphite samples. This paper presents the details of successful operation and achievement of tungsten coating in the reactor at SVITS. (paper)

  4. ZnO nanowall network grown by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Mukherjee, Amrita, E-mail: but.then.perhaps@gmail.com; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology Bombay, Powai, Mumbai-400076 (India)

    2015-06-24

    Network of wedge shaped ZnO nanowalls are grown on c-sapphire by Chemical Vapor Deposition (CVD) technique. Structural studies using x-ray diffraction show much better crystallinity in the nanowall sample as compared to the continuous film. Moreover, the defect related broad green luminescence is found to be suppressed in the nanowall sample. The low temperature photoluminescence study also suggests the quantum confinement of carriers in nanowall sample. Electrical studies performed on the nanowalls show higher conductivity, which has been explained in terms of the reduction of scattering cross-section as a result of 1D quantum confinement of carriers on the tip of the nanowalls.

  5. A comparative study on defect estimation using XPS and Raman spectroscopy in few layer nanographitic structures.

    Science.gov (United States)

    Ganesan, K; Ghosh, Subrata; Gopala Krishna, Nanda; Ilango, S; Kamruddin, M; Tyagi, A K

    2016-08-10

    Defects in planar and vertically oriented nanographitic structures (NGSs) synthesized by plasma enhanced chemical vapor deposition (PECVD) have been investigated using Raman and X-ray photoelectron spectroscopy. While Raman spectra reveal the dominance of vacancy and boundary type defects respectively in vertical and planar NGSs, XPS provides additional information on vacancy related defect peaks in the C 1s spectrum, which originate from non-conjugated carbon atoms in the hexagonal lattice. Although an excellent correlation prevails between these two techniques, our results show that estimation of surface defects by XPS is more accurate than Raman analysis. Nuances of these techniques are discussed in the context of assessing defects in nanographitic structures.

  6. Influence of solution deposition rate on properties of V{sub 2}O{sub 5} thin films deposited by spray pyrolysis technique

    Energy Technology Data Exchange (ETDEWEB)

    Abd–Alghafour, N. M., E-mail: na2013bil@gmail.com [Iraqi Ministry of Education, Anbar (Iraq); Ahmed, Naser M.; Hassan, Zai; Mohammad, Sabah M. [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, University Sains Malaysia,11800 Penang (Malaysia)

    2016-07-19

    Vanadium oxide (V{sub 2}O{sub 5}) thin films were deposited on glass substrates by using a cost-efficient spray pyrolysis technique. The films were grown at 350° through thermal decomposition of VCl{sub 3} in deionized water with different solution spray rates. The high resolution X-ray diffraction results revealed the formation of nanocrystalline films having orthorhombic structures with preferential orientation along (101) direction. The spray rate influenced the surface morphology and crystallite size of the films. The crystallite size was found to increase whereas the micro-strain was decreased by increasing the spray deposition rates. The increase in crystallite size and decrease in the macrostrain resulted in an improvement in the films’ crystallinity. The UV-Visible spectroscopy analysis indicated that the average transmittance of all films lies in the range 75-80 %. The band gap of V{sub 2}O{sub 5} film was decreased from 2.65 to 2.46 eV with increase of the spray deposition rate from 5 ml/min to 10 ml/min. first, second, and third level headings (first level heading).

  7. Microstructure and surface morphology of YSZ thin films deposited by e-beam technique

    International Nuclear Information System (INIS)

    Laukaitis, G.; Dudonis, J.; Milcius, D.

    2008-01-01

    In present study yttrium-stabilized zirconia (YSZ) thin films were deposited on optical quartz (amorphous SiO 2 ), porous Ni-YSZ and crystalline Alloy 600 (Fe-Ni-Cr) substrates using e-beam deposition technique and controlling technological parameters: substrate temperature and electron gun power which influence thin-film deposition mechanism. X-ray diffraction, scanning electron microscopy (SEM), and atomic force microscopy (AFM) were used to investigate how thin-film structure and surface morphology depend on these parameters. It was found that the crystallite size, roughness and growth mechanism of YSZ thin films are influenced by electron gun power. To clarify the experimental results, YSZ thin-film formation as well evolution of surface roughness at its initial growing stages were analyzed. The evolution of surface roughness could be explained by the processes of surface mobility of adatoms and coalescence of islands. The analysis of these experimental results explain that surface roughness dependence on substrate temperature and electron gun power non-monotonous which could result from diffusivity of adatoms and the amount of atomic clusters in the gas stream of evaporated material

  8. Optimal properties for coated titanium implants with the hydroxyapatite layer formed by the pulsed laser deposition technique

    Science.gov (United States)

    Himmlova, Lucia; Dostalova, Tatjana; Jelinek, Miroslav; Bartova, Jirina; Pesakova, V.; Adam, M.

    1999-02-01

    Pulsed laser deposition technique allow to 'tailor' bioceramic coat for metal implants by the change of deposition conditions. Each attribute is influenced by the several deposition parameters and each parameter change several various properties. Problem caused that many parameters has an opposite function and improvement of one property is followed by deterioration of other attribute. This study monitor influence of each single deposition parameter and evaluate its importance form the point of view of coat properties. For deposition KrF excimer laser in stainless-steel deposition chamber was used. Deposition conditions (ambient composition and pressures, metallic substrate temperature, energy density and target-substrate distance) were changed according to the film properties. A non-coated titanium implant was used as a control. Films with promising mechanical quality underwent an in vitro biological tests -- measurement of proliferation activity, observing cell interactions with macrophages, fibroblasts, testing toxicity of percolates, observing a solubility of hydroxyapatite (HA) coat. Deposition conditions corresponding with the optimal mechanical and biochemical properties are: metal temperature 490 degrees Celsius, ambient-mixture of argon and water vapor, energy density 3 Jcm-2, target-substrate distance 7.5 cm.

  9. Synthesis of carbon nanotubes using the cobalt nanocatalyst by thermal chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Madani, S.S. [Department of Chemistry, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Zare, K. [Department of Chemistry, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Department of Chemistry, Shahid Beheshti University, Tehran (Iran, Islamic Republic of); Ghoranneviss, M. [Plasma Physics Research Center, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Salar Elahi, A., E-mail: Salari_phy@yahoo.com [Plasma Physics Research Center, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of)

    2015-11-05

    The three main synthesis methods of Carbon nanotubes (CNTs) are the arc discharge, the laser ablation and the chemical vapour deposition (CVD) with a special regard to the latter one. CNTs were produced on a silicon wafer by Thermal Chemical Vapor Deposition (TCVD) using acetylene as a carbon source, cobalt as a catalyst and ammonia as a reactive gas. The DC-sputtering system was used to prepare cobalt thin films on Si substrates. A series of experiments was carried out to investigate the effects of reaction temperature and deposition time on the synthesis of the nanotubes. The deposition time was selected as 15 and 25 min for all growth temperatures. Energy Dispersive X-ray (EDX) measurements were used to investigate the elemental composition of the Co nanocatalyst deposited on Si substrates. Atomic Force Microscopy (AFM) was used to characterize the surface topography of the Co nanocatalyst deposited on Si substrates. The as-grown CNTs were characterized under Field Emission Scanning Electron Microscopy (FESEM) to study the morphological properties of CNTs. Also, the grown CNTs have been investigated by High Resolution Transmission Electron Microscopy (HRTEM) and Raman spectroscopy. The results demonstrated that increasing the temperature leads to increasing the diameter of CNTs. The ideal reaction temperature was 850 °C and the deposition time was 15 min. - Graphical abstract: FESEM images of CNTs grown on the cobalt catalyst at growth temperatures of (a) 850 °C, (b) 900 °C, (c) 950 °C and (d) 1000 °C during the deposition time of 15 min. - Highlights: • Carbon nanotubes (CNTs) were produced on a silicon wafer by TCVD technique. • EDX and AFM were used to investigate the elemental composition and surface topography. • FESEM was used to study the morphological properties of CNTs. • The grown CNTs have been investigated by HRTEM and Raman spectroscopy.

  10. The adhesion of SiNx thin layers on silica-acrylate coated polymer substrates

    NARCIS (Netherlands)

    Abdallah, Amir; Lu, K.; Ovchinnikov, C.D.; Bulle-Lieuwma, C.W.T.; Bouten, P.C.P.; With, de G.

    2009-01-01

    Plasma Enhanced Chemical Vapor Deposition (PECVD) was used to grow 200, 300 and 400 nm thick silicon nitride layers (SiN x ) on a high temperature aromatic polyester substrate spin coated with a silica-acrylate hybrid coating (hard coat). Layers deposited without oxygen plasma treatment remained

  11. Single and multijunction silicon based thin film solar cells on a flexible substrate with absorber layers made by hot-wire CVD

    Science.gov (United States)

    Li, Hongbo

    2007-09-01

    With the worldwide growing concern about reliable energy supply and the environmental problems of fossil and nuclear energy production, the need for clean and sustainable energy sources is evident. Solar energy conversion, such as in photovoltaic systems, can play a major role in the urgently needed energy transition in electricity production. Solar cells based on thin film silicon and its alloys are a promising candidate that is capable of fulfilling the fast increasing demand of a reliable solar cell supply. The conventional method to deposit silicon thin films is based on plasma enhanced chemical vapour deposition (PECVD) techniques, which have the disadvantage of increasing film inhomogeneity at a high deposition rate when scaling up for the industrial production. In this thesis, we study the possibility of making high efficiency single and multijunction thin film silicon solar cells with the so-called hot-wire CVD technique, in which no strong electromagnetic field is involved in the deposition. Therefore, the up-scaling for industrial production is straightforward. We report and discuss our findings on the correlation of substrate surface rms roughness and the main output parameter of a solar cell, the open circuit voltage Voc of c-Si:H n i p cells. By considering all the possible reasons that could influence the Voc of such cells, we conclude that the near linear correlation of Voc and substrate surface rms roughness is the result the two most probable reasons: the unintentional doping through the cracks originated near the valleys of the substrate surface due to the in-diffusion of impurities, and the high density electrical defects formed by the collision of columnar silicon structures. Both of them relate to the morphology of substrate surface. Therefore, to have the best cell performance on a rough substrate surface, a good control on the substrate surface morphology is necessary. Another issue influencing the performance of c-Si:H solar cells is the

  12. Uranium exploration techniques

    International Nuclear Information System (INIS)

    Nichols, C.E.

    1984-01-01

    The subject is discussed under the headings: introduction (genetic description of some uranium deposits; typical concentrations of uranium in the natural environment); sedimentary host rocks (sandstones; tabular deposits; roll-front deposits; black shales); metamorphic host rocks (exploration techniques); geologic techniques (alteration features in sandstones; favourable features in metamorphic rocks); geophysical techniques (radiometric surveys; surface vehicle methods; airborne methods; input surveys); geochemical techniques (hydrogeochemistry; petrogeochemistry; stream sediment geochemistry; pedogeochemistry; emanometry; biogeochemistry); geochemical model for roll-front deposits; geologic model for vein-like deposits. (U.K.)

  13. Vapor deposition of tantalum and tantalum compounds

    International Nuclear Information System (INIS)

    Trkula, M.

    1996-01-01

    Tantalum, and many of its compounds, can be deposited as coatings with techniques ranging from pure, thermal chemical vapor deposition to pure physical vapor deposition. This review concentrates on chemical vapor deposition techniques. The paper takes a historical approach. The authors review classical, metal halide-based techniques and current techniques for tantalum chemical vapor deposition. The advantages and limitations of the techniques will be compared. The need for new lower temperature processes and hence new precursor chemicals will be examined and explained. In the last section, they add some speculation as to possible new, low-temperature precursors for tantalum chemical vapor deposition

  14. Deposition of carbon nanostructures by surfatron generated discharge

    Czech Academy of Sciences Publication Activity Database

    Davydova, Marina; Šmíd, Jiří; Hubička, Zdeněk; Kromka, Alexander

    2014-01-01

    Roč. 54, č. 6 (2014), s. 389-393 ISSN 1210-2709 R&D Projects: GA TA ČR TA01011740; GA ČR(CZ) GP14-06054P Institutional support: RVO:68378271 Keywords : carbon nanostructures * microwave plasma * PECVD * surfatron Subject RIV: BL - Plasma and Gas Discharge Physics

  15. Determination of Redistribution of Erosion/Deposition Rate in Cultivated Area Using 137Cs Technique

    International Nuclear Information System (INIS)

    Nita Suhartini; Syamsul Abbas RAS; Barokah A; Ali Arman L

    2004-01-01

    The aim of the research is to determine the rate of redistribution of erosion/deposition in cultivated area. The application of 137 Cs technique was carried out at cultivated area in Bojong - Ciawi, with slope less than 10 o and slope length of about 2 km. A reference site was selected at the top of the slope, and this site is flat, open and covered with grass. Two sites in the cultivated area were selected as study site namely LU-I ( 15 x 25 ) m with the distance of 1000 m from the top, and LU-II (17.5 x 20) m with the distance of 1300 m from the top. Sampling of soil at reference site was done by using scraper (20 x 50) cm, while sampling at study site by using core sampling (di = 7 cm). Soil samples were brought to the laboratorium for preparation and analysis of 137 Cs content. Preparation are including of drying, weighing the total dry, sieving and crushing. Analysis of 137 Cs content was done using multi channel analyzer (MCA) that connected to high purity germanium (HPGe), at 661 keV, and the minimum counting time of 16 hours. To estimate the erosion/deposit rate, two mathematical model were used, namely Proportional Model (PM) and Mass Balance Model 1 (MBM1). The result for application of 137 Cs technique showed that MBM1 gives somewhat higher value for deposit rate and somewhat lower value for erosion than PM. Land use - I (LU-I) of Bojong - Ciawi was suffering from erosion with the erosion rate from 1 t/(ha.y) to 13 t/(ha.y), and LU-II has deposit rate from 1 t/(ha.y) to 50 t/(ha.y). (author)

  16. Morphology and electronic transport of polycrystalline silicon films deposited by SiF sub 4 /H sub 2 at a substrate temperature of 200 deg. C

    CERN Document Server

    Hazra, S; Ray, S

    2002-01-01

    Undoped and phosphorous doped polycrystalline silicon (poly-Si) films were deposited using a SiF sub 4 /H sub 2 gas mixture at a substrate temperature of 200 deg. C by radio frequency plasma enhanced chemical vapor deposition (rf-PECVD). Fourier transform infrared (FTIR) spectroscopy and x-ray diffraction (XRD) experiments reveal that the present poly-Si films are equivalent to the poly-Si films deposited at high temperature (>600 deg. C). XRD and scanning electron microscope observations show that the crystalline quality of slightly P-doped film is better compared to that of undoped poly-Si films. Phosphorus atom concentration in the slightly P-doped poly-Si film is 5.0x10 sup 1 sup 6 atoms/cm sup 3. Association of a few phosphorous atoms in the silicon matrix enhances crystallization as eutectic-forming metals do. Dark conductivity of slightly P-doped film is 4 orders of magnitude higher, although mobility-lifetime product (eta mu tau) is 2 orders of magnitude lower than that of undoped film. The presence o...

  17. Effect of the hydrogen flow rate on the structural and optical properties of hydrogenated amorphous silicon thin films prepared by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ben Amor, Sana; Dimassi, Wissem; Ali Tebai, Mohamed; Ezzaouia, Hatem [Photovoltaic Laboratory Research and Technology Centre of Energy, Borj-Cedria Science and Technology Park, BP 95, 2050 Hammam-Lif (Tunisia)

    2012-10-15

    Hydrogenated amorphous silicon (a-Si:H) thin films were deposited from pure silane (SiH{sub 4}) and hydrogen (H{sub 2}) gas mixture by plasma enhanced chemical vapor deposition (PECVD) method at low temperature (400 C) using high rf power (60 W). The structural and optical properties of these films are systematically investigated as a function of the flow rate of hydrogen (F{sub H2}).The surface morphology is analyzed by atomic force microscopy (AFM). The characterization of these films with low angle X-ray diffraction revealed that the crystallite size in the films tends to decrease with increase in (F{sub H2}). The Fourier transform infrared (FTIR) spectroscopic analysis showed that at low values of (F{sub H2}),the hydrogen bonding in Si:H films shifts from di-hydrogen (Si-H{sub 2}) and (Si-H{sub 2})n complexes to the mono-hydrogen (Si-H) bonding configuration. Finally, for these optimized conditions, the deposition rate decreases with increasing (F{sub H2}). (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. Germanium MOS capacitors grown on Silicon using low temperature RF-PECVD

    Science.gov (United States)

    Dushaq, Ghada; Rasras, Mahmoud; Nayfeh, Ammar

    2017-10-01

    In this paper, Ge metal-oxide-semiconductor capacitors (MOSCAPs) are fabricated on Si using a low temperature two-step deposition technique by radio frequency plasma enhanced chemical vapor deposition. The MOSCAP gate stack consists of atomic layer deposition of Al2O3 as the gate oxide and a Ti/Al metal gate electrode. The electrical characteristics of 9 nm Al2O3/i-Ge/Si MOSCAPs exhibit an n-type (p-channel) behavior and normal high frequency C-V responses. In addition to CV measurements, the gate leakage versus the applied voltage is measured and discussed. Moreover, the electrical behavior is discussed in terms of the material and interface quality. The Ge/high-k interface trap density versus the surface potential is extracted using the most commonly used methods in detemining the interface traps based on the capacitance-voltage (C-V) curves. The discussion included the Dit calculation from the conductance method, the high-low frequency (Castagné-Vapaille) method, and the Terman (high-frequency) method. Furthermore, the origins of the discrepancies in the interface trap densities determined from the different methods are discussed. The study of the post annealed Ge layers at different temperatures in H2 and N2 gas ambient revealed an improved electrical and transport properties of the films treated at T  Ge/Si demonstrates a great potential for p-channel transistor applications in a monolithically integrated CMOS platform.

  19. Seasonal atmospheric deposition variations of polychlorinated biphenyls (PCBs) and comparison of some deposition sampling techniques.

    Science.gov (United States)

    Birgül, Askın; Tasdemir, Yücel

    2011-03-01

    Ambient air and bulk deposition samples were collected between June 2008 and June 2009. Eighty-three polychlorinated biphenyl (PCB) congeners were targeted in the samples. The average gas and particle PCB concentrations were found as 393 ± 278 and 70 ± 102 pg/m(3), respectively, and 85% of the atmospheric PCBs were in the gas phase. Bulk deposition samples were collected by using a sampler made of stainless steel. The average PCB bulk deposition flux value was determined as 6,020 ± 4,350 pg/m(2) day. The seasonal bulk deposition fluxes were not statistically different from each other, but the summer flux had higher values. Flux values differed depending on the precipitation levels. The average flux value in the rainy periods was 7,480 ± 4,080 pg/m(2) day while the average flux value in dry periods was 5,550 ± 4,420 pg/m(2) day. The obtained deposition values were lower than the reported values given for the urban and industrialized areas, yet close to the ones for the rural sites. The reported deposition values were also influenced by the type of the instruments used. The average dry deposition and total deposition velocity values calculated based on deposition and concentration values were found as 0.23 ± 0.21 and 0.13 ± 0.13 cm/s, respectively.

  20. Site control technique for quantum dots using electron beam induced deposition

    Energy Technology Data Exchange (ETDEWEB)

    Iizuka, Kanji; Jung, JaeHun; Yokota, Hiroshi [Nippon Institute of Technology, 4-1 Gakuendai, Miyashiro, Minami-saitama, Saitama 3458501 (Japan)

    2014-05-15

    To develop simple and high throughput sit definition technique for quantum dots (QDs), the electron beam induced deposition (EBID) method was used as desorption guide of phosphorus atoms form InP substrate. As the results one or a few indium (In) droplets (DLs) were created in the carbon grid pattern by thermal annealing at a temperature of 450°C for 10 min in the ultra high vacuum condition. The size of In DLs was larger than QDs, but arsenide DLs by molecular beam in growth chamber emitted wavelength of 1.028μm at 50K by photoluminescence measurement.

  1. Site control technique for quantum dots using electron beam induced deposition

    International Nuclear Information System (INIS)

    Iizuka, Kanji; Jung, JaeHun; Yokota, Hiroshi

    2014-01-01

    To develop simple and high throughput sit definition technique for quantum dots (QDs), the electron beam induced deposition (EBID) method was used as desorption guide of phosphorus atoms form InP substrate. As the results one or a few indium (In) droplets (DLs) were created in the carbon grid pattern by thermal annealing at a temperature of 450°C for 10 min in the ultra high vacuum condition. The size of In DLs was larger than QDs, but arsenide DLs by molecular beam in growth chamber emitted wavelength of 1.028μm at 50K by photoluminescence measurement

  2. Synthesis of nanostructured SiC using the pulsed laser deposition technique

    International Nuclear Information System (INIS)

    Zhang, H.X.; Feng, P.X.; Makarov, V.; Weiner, B.R.; Morell, G.

    2009-01-01

    We report the new results on the direct synthesis of nanostructured silicon carbide (SiC) materials using the pulsed laser deposition technique. Scanning electron microscopy images revealed that SiC nanoholes, nanosprouts, nanowires, and nanoneedles were obtained. The crystallographic structure, chemical composition, and bond structure of the nanoscale SiC materials were investigated using X-ray diffraction, energy dispersive X-ray spectroscopy, X-ray photoelectron spectroscopy, and Raman scattering spectroscopy. The transverse optical mode and longitudinal optical mode in Raman spectra were found to become sharper as the substrate temperature was increased, while the material structure evolved from amorphous to crystalline

  3. Synthesis of Colloidal ZnO Nanoparticles and Deposit of Thin Films by Spin Coating Technique

    Directory of Open Access Journals (Sweden)

    Jose Alberto Alvarado

    2013-01-01

    Full Text Available ZnO colloidal nanoparticles were synthesized, the average size of these nanoparticles is around 25 nm with hexagonal form. It was noted that stabilization depends directly on the purifying process; in this work we do not change the nature of the solution as a difference from Meulekamp's method, and we do not use any alkanes to remove the byproducts; only a centrifuge to remove those ones was used, thereby the stabilization increases up to 24 days. It is observed from the results that only three times of washing is enough to prevent the rapid aging process. The effect of annealing process on the composition, size, and geometrical shape of ZnO nanoparticles was studied in order to know whether the annealing process affects the crystallization and growth of the nanoparticles. After the synthesis, the colloidal nanoparticles were deposited by spin coating technique showing that the formed nanoparticles have no uniformly deposition pattern. But is possible to deposit those ones in glass substrates. A possible deposition process of the nanoparticles is proposed.

  4. The study of metal sulphide nanomaterials obtained by chemical bath deposition and hot-injection technique

    Science.gov (United States)

    Maraeva, E. V.; Alexandrova, O. A.; Forostyanaya, N. A.; Levitskiy, V. S.; Mazing, D. S.; Maskaeva, L. N.; Markov, V. Ph; Moshnikov, V. A.; Shupta, A. A.; Spivak, Yu M.; Tulenin, S. S.

    2015-11-01

    In this study lead sulphide - cadmium sulphide based layers were obtained through chemical deposition of water solutions and cadmium sulphide quantum dots were formed through hot-injection technique. The article discusses the results of surface investigations with the use of atomic force microscopy, Raman spectroscopy and photoluminescence measurements.

  5. Structural and electrical characterizations of BiFeO{sub 3} capacitors deposited by sol–gel dip coating technique

    Energy Technology Data Exchange (ETDEWEB)

    Cetinkaya, Ali Osman, E-mail: cetinkayaaliosman@gmail.com [Physics Department, Abant Izzet Baysal University, 14280 Bolu (Turkey); Center for Nuclear Radiation Detector Research and Applications, 14280 Bolu (Turkey); Kaya, Senol; Aktag, Aliekber [Physics Department, Abant Izzet Baysal University, 14280 Bolu (Turkey); Center for Nuclear Radiation Detector Research and Applications, 14280 Bolu (Turkey); Budak, Erhan [Chemistry Department, Abant Izzet Baysal University, 14280 Bolu (Turkey); Yilmaz, Ercan [Physics Department, Abant Izzet Baysal University, 14280 Bolu (Turkey); Center for Nuclear Radiation Detector Research and Applications, 14280 Bolu (Turkey)

    2015-09-01

    Bismuth ferrite (BiFeO{sub 3}) thin films were deposited by sol–gel dip coating (SGDC) technique on Si-P(100) and glass substrates to investigate the structural and electrical characteristics. The aluminum (Al) metal contacts were formed on the samples deposited on the Si-P(100) to fabricate metal-oxide-semiconductor (MOS) capacitors. The fabricated MOS structures were characterized electrically by capacitance–voltage (C–V) and conductance–voltage (G/ω–V) measurements. The structural characterizations were performed by X-ray diffraction technique and scanning electron microscopy. The compositions of the films were investigated by energy-dispersive X-ray spectroscopy. The results exhibit that pure rhombohedral perovskite phase films were fabricated without any elemental contamination. Average grain sizes of the BiFeO{sub 3} deposited on silicon and glass wafers were found to be about 34,50 and 30,00 nm, respectively. In addition, while the thin films deposited on glass substrate exhibit porous surface, those deposited on Si-P(100) wafers exhibit dense microstructure with a homogenous surface. Moreover, the C–V and G/ω–V characteristics are sensitive to applied voltage frequency due to frequency dependent charges (N{sub ss}) and series resistance (R{sub s}). The peak values of R{sub s} have been decreased from 2,6 kΩ to 40 Ω, while N{sub ss} is varied from 6,57 × 10{sup 12} to 3,68 × 10{sup 12} eV{sup −1} cm{sup −2} with increasing in frequency. Consequently, pure phase polycrystalline BiFeO{sub 3} thin films were fabricated successfully by SGDC technique and BiFeO{sub 3} dielectric layer exhibits stable insulation characteristics. - Highlights: • Bismuth ferrite thin films were deposited onto silicon and glass substrates by sol–gel. • Structural and electrical properties of fabricated films have been investigated. • Pure rhombohedral perovskite phase films without any contamination were deposited. • Series resistance and interface

  6. Preparation and characterization of VOx nanorods using pulsed laser deposition technique

    International Nuclear Information System (INIS)

    Rama, N.; Senthil Kumar, E.; Ramachandra Rao, M.S.

    2009-01-01

    Full text: Vanadium oxide (VO x ) is one of the most functional oxides of the transition metal oxide family. This versatility comes because of the ability of Vanadium to exist as both monovalent and multivalent in these oxides. These oxides find potential usage in the field of thermochromism electrochromism catalysts, electrochemistry etc. especially in their nano-form because of their increased sensitivity to these applications. These nano-forms are usually prepared using conventional techniques such as solgel techniques, vapour phase transport, hydrothermal synthesis etc. In this work we have used pulsed laser deposition technique to fabricate vanadium oxide nanorods for the first time. The grown nanorods has a predominant VO 2 phase with a secondary phase of V 3 O 7 . The diameters of the rods were around 300 nm with Raman spectra showing all the group vibrations corresponding to VO x phase. The nanorods exhibited photoluminescence in the visible range due to the presence of oxygen defects. These results, including the mechanism of growth of these nanorods, will be discussed in detail. The existence of multivalence in these rods finds potential applications in electrochemistry while the visible photoluminescence in optical applications

  7. Comprehensive geophysical survey technique in exploration for deep-buried hydrothermal type uranium deposits in Xiangshan volcanic basin, China

    International Nuclear Information System (INIS)

    Ke, D.

    2014-01-01

    According to recent drilling results, uranium mineralization has been found underground more than 1000 m deep in the Xiangshan volcanic basin, in where uranium exploration has been carried out for over 50 years. This paper presents a comprehensive geophysical survey technique, including audio magnetotelluric method (AMT), high resolution ground magnetic and radon survey, which aim to prospect deep-buried and concealed uranium deposits in Xiangshan volcanic basin. Based on research and application, a comprehensive geophysical technique consisting of data acquisition, processing and interpretation has been established. Concealed rock and ore-controlling structure buried deeper than 1000 m can be detected by using this technique. Moreover, one kind of anti-interference technique of AMT survey is presented, which can eliminate the interference induced by the high-voltage power lines. Result of AMT in Xiangshan volcanic basin is demonstrated as high-low-high mode, which indicates there are three layers in geology. The upper layer with high resistivity is mainly the react of porphyroclastic lava. The middle layer with low resistivity is metamorphic schists or dellenite whereas the lower layer with high resistivity is inferred as granite. The interface between middle and lower layer is recognized as the potential zone for occurrence of uranium deposits. According to the corresponding relation of the resistivity and magnetic anomaly with uranium ore bodies, the tracing model of faults and interfaces between the different rocks, and the forecasting model of advantageous area for uranium deposits have been established. In terms of the forecasting model, some significant sections for uranium deposits were delineated in the west of the Xiangshan volcanic basin. As a result, some achievements on uranium prospecting have been acquired. High grade economic uranium ore bodies have been found in several boreholes, which are located in the forecasted zones. (author)

  8. The study of metal sulphide nanomaterials obtained by chemical bath deposition and hot-injection technique

    International Nuclear Information System (INIS)

    Maraeva, E V; Alexandrova, O A; Levitskiy, V S; Mazing, D S; Moshnikov, V A; Shupta, A A; Spivak, Yu M; Forostyanaya, N A; Maskaeva, L N; Markov, V Ph; Tulenin, S S

    2015-01-01

    In this study lead sulphide – cadmium sulphide based layers were obtained through chemical deposition of water solutions and cadmium sulphide quantum dots were formed through hot-injection technique. The article discusses the results of surface investigations with the use of atomic force microscopy, Raman spectroscopy and photoluminescence measurements. (paper)

  9. Characterization of Ultra thin chromium layers deposited ou to SiO2 using the Le-PIXE and the RB S techniques

    International Nuclear Information System (INIS)

    Zahraman, K.; Nsouli, B.; Roumie, M.

    2007-01-01

    In this paper, we demonstrate the ability of the Le-PIXE (Low Energy PIXE) technique, using proton energies < 1 MeV, for the monitoring of the thickness and the thickness uniformity of ultra thin (0.5 nm < t < 20 nm) chromium layers deposited onto quartz substrates. Chromium is a good candidate for obtaining conductive ultra thin layers on insulator substrates such as quartz (SiO2). The resistivity of such layers is highly related to the quality of the deposited chromium film. In order to optimize the deposition process, there is a need for rapid and accurate monitoring of such films (film thickness, thickness uniformity over a big surface...). The acquisition time needed to obtain results with less than 3-4 % precision was 5 minutes for the thinnest layers. The validation for the use of the Le-PIXE technique was checked by means of conventional RB S technique.

  10. Effect of the spray application technique on the deposition of entomopathogenic nematodes in vegetables.

    Science.gov (United States)

    Brusselman, Eva; Beck, Bert; Pollet, Sabien; Temmerman, Femke; Spanoghe, Pieter; Moens, Maurice; Nuyttens, David

    2012-03-01

    The present study compared entomopathogenic nematode delivery at the base of savoy cabbage and cauliflower, at the lower side of savoy cabbage and cauliflower leaves and in leek stems and the ground deposition using a five-nozzle spray boom equipped with an ISO 08 flat fan, an air induction flat fan and Twinjet spray nozzles. Additionally, an air support system and a row application system were evaluated. Approximately 40% of the applied nematodes did not reach the foot of the cabbage plants. The use of an air support system or a row application system improved nematode deposition at the savoy cabbage base. Relative nematode deposition on the lower side of savoy cabbage leaves was 27.20%, while only 2.64% of the applied nematodes reached the lower side of cauliflower leaves. After spraying leek with a standard boom, a low relative nematode deposition (26.64%) was measured in the leek stem. Nozzle type affected the distribution of nematodes in droplet spots. Nozzle type has a minor effect on the number of entomopathogenic nematodes delivered on difficult-to-reach targets. The use of modified spray application techniques directing the spray to the target site are necessary to increase the chances of contact of entomopathogenic nematodes with their target. Copyright © 2011 Society of Chemical Industry.

  11. Synthesis of Patterned Vertically Aligned Carbon Nanotubes by PECVD Using Different Growth Techniques: A Review.

    Science.gov (United States)

    Gangele, Aparna; Sharma, Chandra Shekhar; Pandey, Ashok Kumar

    2017-04-01

    Immense development has been taken place not only to increase the bulk production, repeatability and yield of carbon nanotubes (CNTs) in last 25 years but preference is also given to acknowledge the basic concepts of nucleation and growth methods. Vertically aligned carbon nanotubes (VAC-NTs) are forest of CNTs accommodated perpendicular on a substrate. Their exceptional chemical and physical properties along with sequential arrangement and dense structure make them suitable in various fields. The effect of different type of selected substrate, carbon precursor, catalyst and their physical and chemical status, reaction conditions and many other key parameters have been thoroughly studied and analysed. The aim of this paper is to specify the trend and summarize the effect of key parameters instead of only presenting all the experiments reported till date. The identified trends will be compared with the recent observations on the growth of different types of patterned VACNTs. In this review article, we have presented a comprehensive analysis of different techniques to precisely determine the role of different parameters responsible for the growth of patterned vertical aligned carbon nanotubes. We have covered various techniques proposed in the span of more than two decades to fabricate the different structures and configurations of carbon nanotubes on different types of substrates. Apart from a detailed discussion of each technique along with their specific process and implementation, we have also provided a critical analysis of the associated constraints, benefits and shortcomings. To sum it all for easy reference for researchers, we have tabulated all the techniques based on certain main key factors. This review article comprises of an exhaustive discussion and a handy reference for researchers who are new in the field of synthesis of CNTs or who wants to get abreast with the techniques of determining the growth of VACNTs arrays.

  12. The ALU+ concept: n-type silicon solar cells with surface passivated screen-printed aluminum-alloyed rear emitter

    NARCIS (Netherlands)

    Bock, R.; Schmidt, J.; Mau, S.; Hoex, B.; Kessels, W.M.M.; Brendel, R.

    2009-01-01

    Aluminum-doped p-type (Al-p+) silicon emitters fabricated by means of screen-printing and firing are effectively passivated by plasma-enhanced chemicalvapor deposited (PECVD) amorphous silicon (a-Si) and atomic-layer-deposited (ALD) aluminum oxide (Al2O3) as well as Al2O3/SiNx stacks, where the

  13. Pulsed laser deposition in Twente: from research tool towards industrial deposition

    NARCIS (Netherlands)

    Blank, David H.A.; Dekkers, Jan M.; Rijnders, Augustinus J.H.M.

    2014-01-01

    After the discovery of the perovskite high Tc superconductors in 1986, a rare and almost unknown deposition technique attracted attention. Pulsed laser deposition (PLD), or laser ablation as it was called in the beginning, became popular because of the possibility to deposit complex materials, like

  14. Ion-substituted calcium phosphate coatings deposited by plasma-assisted techniques: A review.

    Science.gov (United States)

    Graziani, Gabriela; Bianchi, Michele; Sassoni, Enrico; Russo, Alessandro; Marcacci, Maurilio

    2017-05-01

    One of the main critical aspects behind the failure or success of an implant resides in its ability to fast bond with the surrounding bone. To boost osseointegration, the ideal implant material should exhibit composition and structure similar to those of biological apatite. To this aim, the most common approach is to coat the implant surface with a coating of hydroxyapatite (HA), resembling the main component of mineralized tissues. However, bone apatite is a non-stoichiometric, multi-substituted poorly-crystalline apatite, containing significant amounts of foreign ions, with high biological relevance. Ion-substituted HAs can be deposited by so called "wet methods", which are however poorly reproducible and hardly industrially feasible; at the same time bioactive coatings realized by plasma assisted method, interesting for industrial applications, are generally made of stoichiometric (i.e. un-substituted) HA. In this work, the literature concerning plasma-assisted deposition methods used to deposit ion-substituted HA was reviewed and the last advances in this field discussed. The ions taken into exam are those present in mineralized tissues and possibly having biological relevance. Notably, literature about this topic is scarce, especially relating to in vivo animal and clinical trials; further on, available studies evaluate the performance of substituted coatings from different points of view (mechanical properties, bone growth, coating dissolution, etc.) which hinders a proper evaluation of the real efficacy of ion-doped HA in promoting bone regeneration, compared to stoichiometric HA. Moreover, results obtained for plasma sprayed coatings (which is the only method currently employed for deposition at the industrial scale) were collected and compared to those of novel plasma-assisted techniques, that are expected to overcome its limitations. Data so far available on the topic were discussed to highlight advantages, limitations and possible perspectives of these

  15. Low Temperature Graphene Growth and Its Applications in Electronic and Optical Devices

    Science.gov (United States)

    Chugh, Sunny

    Graphene, a two dimensional allotrope of carbon in a honeycomb lattice, has gathered wide attention due to its excellent electrical, thermal, optical and mechanical properties. It has extremely high electron/hole mobility, very high thermal conductivity and fascinating optical properties, and combined with its mechanical strength and elasticity, graphene is believed to find commercial applications in existing as well as novel technologies. One of the biggest reasons behind the rapid development in graphene research during the last decade is the fact that laboratory procedures to obtain high quality graphene are rather cheap and simple. However, any new material market is essentially driven by the progress in its large scale commercial production with minimal costs, with properties that are suited for different applications. And it is in this aspect that graphene is still required to make a huge progress before its commercial benefits can be derived. Laboratory graphene synthesis techniques such as mechanical exfoliation, liquid phase exfoliation and SiC graphene growth pose several challenges in terms of cost, reliability and scalability. To this end, Chemical Vapor Deposition (CVD) growth of graphene has emerged as a widely used synthesis method that overcomes these problems. Unfortunately, conventional thermal CVD requires a high temperature of growth and a catalytic metal substrate, making the undesirable step of graphene transfer a necessity. Besides requiring a catalyst, the high temperature of growth also limits the range of growth substrates. In this work, I have successfully demonstrated low temperature ( 550 °C) growth of graphene directly on dielectric materials using a Plasma-Enhanced CVD (PECVD) process. The PECVD technique described here solves the issues faced by conventional CVD methods and provides a direct route for graphene synthesis on arbitrary materials at relatively low temperatures. Detailed growth studies, as described here, illustrate the

  16. Studies of CdS/CdTe interface: Comparison of CdS films deposited by close space sublimation and chemical bath deposition techniques

    Energy Technology Data Exchange (ETDEWEB)

    Han, Jun-feng, E-mail: pkuhjf@bit.edu.cn [Institut des Matériaux Jean Rouxel (IMN), Université de Nantes, UMR CNRS 6502, 2 rue de la Houssinière, BP 32229, 44322 Nantes Cedex 3 (France); Institute of Materials Science, Darmstadt University of Technology, Petersenstr. 23, 64287 Darmstadt (Germany); School of Physics, Beijing Institute of Technology, Beijing 100081 (China); Fu, Gan-hua; Krishnakumar, V.; Schimper, Hermann-Josef [Institute of Materials Science, Darmstadt University of Technology, Petersenstr. 23, 64287 Darmstadt (Germany); Liao, Cheng [Department of Physics, Peking University, Beijing 100871 (China); Jaegermann, Wolfram [Institute of Materials Science, Darmstadt University of Technology, Petersenstr. 23, 64287 Darmstadt (Germany); Besland, M.P. [Institut des Matériaux Jean Rouxel (IMN), Université de Nantes, UMR CNRS 6502, 2 rue de la Houssinière, BP 32229, 44322 Nantes Cedex 3 (France)

    2015-05-01

    The CdS layers were deposited by two different methods, close space sublimation (CSS) and chemical bath deposition (CBD) technique. The CdS/CdTe interface properties were investigated by transmission electron microscope (TEM) and X-ray photoelectron spectroscopy (XPS). The TEM images showed a large CSS-CdS grain size in the range of 70-80 nm. The interface between CSS-CdS and CdTe were clear and sharp, indicating an abrupt hetero-junction. On the other hand, CBD-CdS layer had much smaller grain size in the 5-10 nm range. The interface between CBD-CdS and CdTe was not as clear as CSS-CdS. With the stepwise coverage of CdTe layer, the XPS core levels of Cd 3d and S 2p in CSS-CdS had a sudden shift to lower binding energies, while those core levels shifted gradually in CBD-CdS. In addition, XPS depth profile analyses indicated a strong diffusion in the interface between CBD-CdS and CdTe. The solar cells prepared using CSS-CdS yielded better device performance than the CBD-CdS layer. The relationships between the solar cell performances and properties of CdS/CdTe interfaces were discussed. - Highlights: • Studies of CdS deposited by close space sublimation and chemical bath deposition • An observation of CdS/CdTe interface by transmission electron microscope • A careful investigation of CdS/CdTe interface by X ray photoelectron spectra • An easier diffusion at the chemical bath deposition CdS and CdTe interface.

  17. Metallization on FDM Parts Using the Chemical Deposition Technique

    Directory of Open Access Journals (Sweden)

    Azhar Equbal

    2014-08-01

    Full Text Available Metallization of ABS (acrylonitrile-butadiene-styrene parts has been studied on flat part surfaces. These parts are fabricated on an FDM (fused deposition modeling machine using the layer-wise deposition principle using ABS as a part material. Electroless copper deposition on ABS parts was performed using two different surface preparation processes, namely ABS parts prepared using chromic acid for etching and ABS parts prepared using a solution mixture of sulphuric acid and hydrogen peroxide (H2SO4/H2O2 for etching. After surface preparations using these routes, copper (Cu is deposited electrolessly using four different acidic baths. The acidic baths used are 5 wt% CuSO4 (copper sulfate with 15 wt% of individual acids, namely HF (hydrofluoric acid, H2SO4 (sulphuric acid, H3PO4 (phosphoric acid and CH3COOH (acetic acid. Cu deposition under different acidic baths used for both the routes is presented and compared based on their electrical performance, scanning electron microscopy (SEM and energy dispersive X-ray spectrometry (EDS. The result shows that chromic acid etched samples show better electrical performance and Cu deposition in comparison to samples etched via H2SO4/H2O2.

  18. Low-temperature deposition of ZnO thin films on PET and glass substrates by DC-sputtering technique

    International Nuclear Information System (INIS)

    Banerjee, A.N.; Ghosh, C.K.; Chattopadhyay, K.K.; Minoura, Hideki; Sarkar, Ajay K.; Akiba, Atsuya; Kamiya, Atsushi; Endo, Tamio

    2006-01-01

    The structural, optical and electrical properties of ZnO thin films (260 - 490 nm thick) deposited by direct-current sputtering technique, at a relatively low-substrate temperature (363 K), onto polyethylene terephthalate and glass substrates have been investigated. X-ray diffraction patterns confirm the proper phase formation of the material. Optical transmittance data show high transparency (80% to more than 98%) of the films in the visible portion of solar radiation. Slight variation in the transparency of the films is observed with a variation in the deposition time. Electrical characterizations show the room-temperature conductivity of the films deposited onto polyethylene terephthalate substrates for 4 and 5 h around 0.05 and 0.25 S cm -1 , respectively. On the other hand, for the films deposited on glass substrates, these values are 8.5 and 9.6 S cm -1 for similar variation in the deposition time. Room-temperature conductivity of the ZnO films deposited on glass substrates is at least two orders of magnitude higher than that of ZnO films deposited onto polyethylene terephthalate substrates under identical conditions. Hall-measurements show the maximum carrier concentration of the films on PET and glass substrate around 2.8 x 10 16 and 3.1 x 10 2 cm -3 , respectively. This report will provide newer applications of ZnO thin films in flexible display technology

  19. Epitaxial growth of silicon and germanium on (100-oriented crystalline substrates by RF PECVD at 175 °C

    Directory of Open Access Journals (Sweden)

    Mauguin O.

    2012-11-01

    Full Text Available We report on the epitaxial growth of crystalline Si and Ge thin films by standard radio frequency plasma enhanced chemical vapor deposition at 175 °C on (100-oriented silicon substrates. We also demonstrate the epitaxial growth of silicon films on epitaxially grown germanium layers so that multilayer samples sustaining epitaxy could be produced. We used spectroscopic ellipsometry, Raman spectroscopy, transmission electron microscopy and X-ray diffraction to characterize the structure of the films (amorphous, crystalline. These techniques were found to provide consistent results and provided information on the crystallinity and constraints in such lattice-mismatched structures. These results open the way to multiple quantum-well structures, which have been so far limited to few techniques such as Molecular Beam Epitaxy or MetalOrganic Chemical Vapor Deposition.

  20. Variable-energy positron annihilation study of subnanopores in SiOCH-based PECVD films

    International Nuclear Information System (INIS)

    Ito, Kenji; Oka, Toshitaka; Kobayashi, Yoshinori; Suzuki, Ryoichi; Ohdaira, Toshiyuki

    2007-01-01

    Subnanoporosity was introduced into SiOCH-based thin films by mixing tetraethyl orthosilicate with hexamethyldisiloxane (HMDSO) in the plasma enhanced chemical vapor deposition process, and was evaluated by the variable-energy positron annihilation lifetime technique. It was found that with increasing the HMDSO fraction both porosity and pore size were enhanced, as evidenced by the decreased refractive index and increased ortho-positronium lifetime. The lifetimes from 2.0 to 6.8 ns suggested the tunable pore volumes within a range of 0.1-0.7 nm 3

  1. Si Nano wires Produced by Very High Frequency Plasma Enhanced Chemical Vapor Deposition (PECVD) via VLS Mechanism

    International Nuclear Information System (INIS)

    Yussof Wahab; Yussof Wahab; Habib Hamidinezhad; Habib Hamidinezhad

    2013-01-01

    Silicon nano wires (SiNWs) with diameter of about a few nanometers and length of 3 μm on silicon wafers were synthesized by very high frequency plasma enhanced chemical vapor deposition. Scanning electron microscopy (SEM) observations showed that the silicon nano wires were grown randomly and energy-dispersive X-ray spectroscopy analysis indicates that the nano wires have the composition of Si, Au and O elements. The SiNWs were characterized by high resolution transmission electron microscopy (HRTEM) and Raman spectroscopy. SEM micrographs displayed SiNWs that are needle-like with a diameter ranged from 30 nm at the top to 100 nm at the bottom of the wire and have length a few of micrometers. In addition, HRTEM showed that SiNWs consist of crystalline silicon core and amorphous silica layer. (author)

  2. Investigation of the composition-structure-property relationship of AsxTe100 - x films prepared by plasma deposition

    Science.gov (United States)

    Mochalov, Leonid; Dorosz, Dominik; Nezhdanov, Aleksey; Kudryashov, Mikhail; Zelentsov, Sergey; Usanov, Dmitry; Logunov, Alexandr; Mashin, Aleksandr; Gogova, Daniela

    2018-02-01

    AsxTe100 - x amorphous films of different chemical content were prepared by Plasma-Enhanced Chemical Vapor Deposition (PECVD). For the first time the optical properties of As-Te chalcogenide materials have been measured in UV-VIS-IR ranges (from 0.2 to 25 μm) for a very wide range of chemical compositions (20-80 at.% As). As-Te films have been tuned from 0.80 to 1.10 eV. The IR results obtained have been juxtaposed with the Raman spectroscopy findings to establish the correlation between optical and structural properties of the materials developed. Reversible and irreversible changes in the phase composition of the As-Te films under annealing of the surface by laser irradiation have been demonstrated and studied. In order to determine the potential areas of application of the prepared As-Te films the thermal and photo sensitivity has been also investigated.

  3. Patterned deposition by atmospheric pressure plasma-enhanced spatial atomic layer deposition

    NARCIS (Netherlands)

    Poodt, P.; Kniknie, B.J.; Branca, A.; Winands, G.J.J.; Roozeboom, F.

    2011-01-01

    An atmospheric pressure plasma enhanced atomic layer deposition reactor has been developed, to deposit Al2O3 films from trimethyl aluminum and an He/O2 plasma. This technique can be used for 2D patterned deposition in a single in-line process by making use of switched localized plasma sources. It

  4. Economical Atomic Layer Deposition

    Science.gov (United States)

    Wyman, Richard; Davis, Robert; Linford, Matthew

    2010-10-01

    Atomic Layer Deposition is a self limiting deposition process that can produce films at a user specified height. At BYU we have designed a low cost and automated atomic layer deposition system. We have used the system to deposit silicon dioxide at room temperature using silicon tetrachloride and tetramethyl orthosilicate. Basics of atomic layer deposition, the system set up, automation techniques and our system's characterization are discussed.

  5. Modification of the morphology and optical properties of SnS films using glancing angle deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Sazideh, M.R., E-mail: Mohammadrezasazideh@gmail.com [Thin Film Lab., Faculty of Physics, Semnan University, Semnan, Islamic Republic of Iran (Iran, Islamic Republic of); Dizaji, H. Rezagholipour, E-mail: hrgholipour@semnan.ac.ir [Faculty of Physics, Semnan University, P.O. Box: 35195-363, Semnan, Islamic Republic of Iran (Iran, Islamic Republic of); Ehsani, M.H., E-mail: mhe_ehsani@yahoo.com [Faculty of Physics, Semnan University, P.O. Box: 35195-363, Semnan, Islamic Republic of Iran (Iran, Islamic Republic of); Moghadam, R. Zarei, E-mail: r.zarei1991@gmail.com [Thin Film Lab., Faculty of Physics, Semnan University, Semnan, Islamic Republic of Iran (Iran, Islamic Republic of)

    2017-05-31

    Highlights: • SnS thin films produced by thermal evaporation method using glancing angle deposition technique. • At α = 85° the layers show a considerable change in effective refractive index (Δn = 1.7) at near-IR spectral range. • FESEM images showed drastic changes in the structure and morphology of individual nano-plates as a function of incident angle deposition. - Abstract: Tin sulfide (SnS) films were prepared by thermal evaporation method using Glancing Angle Deposition (GLAD) technique at zero and different oblique incident flux angles (α = 45°, 55°, 65°, 75° and 85°). The physical properties of prepared films were systematically investigated. The X-ray diffraction analysis indicated that the film deposited at α = 0° formed as single phase with an orthorhombic structure. However, the layers became amorphous at α = 45°, 55°, 65°, 75° and 85°. Beside the appearance of amorphous feature in the film prepared at α higher than zero, Sn{sub 2}S{sub 3} phase was also observed. The top and cross-sectional field emission scanning electron microscope (FESEM) images of the samples showed noticeable changes in the structure and morphology of individual nano-plates as a function of incident angle. The band gap and refractive index values of the films were calculated by optical transmission measurements. The optical band-gap values were observed to increase with increasing the incident flux angle. This can be due to presence of Sn{sub 2}S{sub 3} phase observed in the samples produced at α values other than zero. The effective refractive index and porosity exhibit an opposite evolution as the incident angle α rises. At α = 85° the layers show a considerable change in effective refractive index (Δn = 1.7) at near-IR spectral range.

  6. MAPLE deposition of nanomaterials

    International Nuclear Information System (INIS)

    Caricato, A.P.; Arima, V.; Catalano, M.; Cesaria, M.; Cozzoli, P.D.; Martino, M.; Taurino, A.; Rella, R.; Scarfiello, R.; Tunno, T.; Zacheo, A.

    2014-01-01

    The matrix-assisted pulsed laser evaporation (MAPLE) has been recently exploited for depositing films of nanomaterials by combining the advantages of colloidal inorganic nanoparticles and laser-based techniques. MAPLE-deposition of nanomaterials meeting applicative purposes demands their peculiar properties to be taken into account while planning depositions to guarantee a congruent transfer (in terms of crystal structure and geometric features) and explain the deposition outcome. In particular, since nanofluids can enhance thermal conductivity with respect to conventional fluids, laser-induced heating can induce different ablation thermal regimes as compared to the MAPLE-treatment of soft materials. Moreover, nanoparticles exhibit lower melting temperatures and can experience pre-melting phenomena as compared to their bulk counterparts, which could easily induce shape and or crystal phase modification of the material to be deposited even at very low fluences. In this complex scenario, this review paper focuses on examples of MAPLE-depositions of size and shape controlled nanoparticles for different applications highlights advantages and challenges of the MAPLE-technique. The influence of the deposition parameters on the physical mechanisms which govern the deposition process is discussed.

  7. MAPLE deposition of nanomaterials

    Energy Technology Data Exchange (ETDEWEB)

    Caricato, A.P., E-mail: annapaola.caricato@le.infn.it [Department of Mathematics and Physics “E. De Giorgi”, University of Salento, Via Arnesano, I-73100 Lecce (Italy); Arima, V.; Catalano, M. [National Nanotechnology Laboratory (NNL), CNR Istituto Nanoscienze, c/o Distretto Tecnologico, Via Arnesano n. 16, I-73100 Lecce (Italy); Cesaria, M. [Department of Mathematics and Physics “E. De Giorgi”, University of Salento, Via Arnesano, I-73100 Lecce (Italy); Cozzoli, P.D. [Department of Mathematics and Physics “E. De Giorgi”, University of Salento, Via Arnesano, I-73100 Lecce (Italy); National Nanotechnology Laboratory (NNL), CNR Istituto Nanoscienze, c/o Distretto Tecnologico, Via Arnesano n. 16, I-73100 Lecce (Italy); Martino, M. [Department of Mathematics and Physics “E. De Giorgi”, University of Salento, Via Arnesano, I-73100 Lecce (Italy); Taurino, A.; Rella, R. [Institute for Microelectronics and Microsystems, IMM-CNR, Via Monteroni, I-73100 Lecce (Italy); Scarfiello, R. [National Nanotechnology Laboratory (NNL), CNR Istituto Nanoscienze, c/o Distretto Tecnologico, Via Arnesano n. 16, I-73100 Lecce (Italy); Tunno, T. [Department of Mathematics and Physics “E. De Giorgi”, University of Salento, Via Arnesano, I-73100 Lecce (Italy); Zacheo, A. [Department of Mathematics and Physics “E. De Giorgi”, University of Salento, Via Arnesano, I-73100 Lecce (Italy); National Nanotechnology Laboratory (NNL), CNR Istituto Nanoscienze, c/o Distretto Tecnologico, Via Arnesano n. 16, I-73100 Lecce (Italy)

    2014-05-01

    The matrix-assisted pulsed laser evaporation (MAPLE) has been recently exploited for depositing films of nanomaterials by combining the advantages of colloidal inorganic nanoparticles and laser-based techniques. MAPLE-deposition of nanomaterials meeting applicative purposes demands their peculiar properties to be taken into account while planning depositions to guarantee a congruent transfer (in terms of crystal structure and geometric features) and explain the deposition outcome. In particular, since nanofluids can enhance thermal conductivity with respect to conventional fluids, laser-induced heating can induce different ablation thermal regimes as compared to the MAPLE-treatment of soft materials. Moreover, nanoparticles exhibit lower melting temperatures and can experience pre-melting phenomena as compared to their bulk counterparts, which could easily induce shape and or crystal phase modification of the material to be deposited even at very low fluences. In this complex scenario, this review paper focuses on examples of MAPLE-depositions of size and shape controlled nanoparticles for different applications highlights advantages and challenges of the MAPLE-technique. The influence of the deposition parameters on the physical mechanisms which govern the deposition process is discussed.

  8. A comparison of biological effect and spray liquid distribution and deposition for different spray application techniques in different crops

    OpenAIRE

    Larsolle, Anders; Wretblad, Per; Westberg, Carl

    2002-01-01

    The objective of this study was to compare a selection of spray application techniques with different application volumes, with respect to the spray liquid distribution on flat surfaces, the deposition in fully developed crops and the biological effect. The spray application techniques in this study were conventional spray technique with three different nozzles: Teelet XR, Lechler ID and Lurmark DriftBeta, and also AirTec, Danfoil, Hardi Twin, Kyndestoit and Släpduk. The dynamic spray liquid ...

  9. Frequency effects in silane plasmas for PECVD

    International Nuclear Information System (INIS)

    Howling, A.A.; Dorier, J.L.; Hollenstein, C.; Finger, F.; Kroll, U.

    1991-09-01

    It is generally recognised that the excitation frequency is an important parameter in rf plasma-assisted deposition. VHF silane plasmas (50-100 MHz) have been shown to produce high quality amorphous silicon films up to 20 A/s, and therefore the aim of this work is to compare the VHF range with the 13.56 MHz industrial frequency in the same reactor. The principal diagnostics used are electrical measurements and a CCD camera for spatially-resolved plasma-induced emission with Abel inversion of the plasma image. We present a comparative study of key discharge parameters such as deposition rates, plasma uniformity, ion impact energy, power transfer efficiency and powder formation for the rf range 13-70 MHz. (author) 5 figs., 19 refs

  10. The Influence of Various Deposition Techniques on the Photoelectrochemical Properties of the Titanium Dioxide Thin Fil

    Czech Academy of Sciences Publication Activity Database

    Morozová, Magdalena; Klusoň, Petr; Dzik, P.; Veselý, M.; Baudyš, M.; Krýsa, J.; Šolcová, Olga

    2013-01-01

    Roč. 65, č. 3 (2013), s. 452-458 ISSN 0928-0707 R&D Projects: GA TA ČR TA01020804 Grant - others:GA ČR(CZ) GP104/09/P165 Institutional support: RVO:67985858 Keywords : titanium dioxide * photoelectrochemical properties * deposition techniques Subject RIV: CI - Industrial Chemistry, Chemical Engineering Impact factor: 1.547, year: 2013

  11. High quality aluminide and thermal barrier coatings deposition for new and service exposed parts by CVD techniques

    Energy Technology Data Exchange (ETDEWEB)

    Pedraza, F.; Tuohy, C.; Whelan, L.; Kennedy, A.D. [SIFCO Turbine Components, Carrigtwohill, Cork (Ireland)

    2004-07-01

    In this work, the performance of CVD aluminide coatings is compared to that of coatings deposited by the classical pack cementation technique using standard SIFCO procedures. The CVD coatings always seem to behave better upon exposure to isothermal and cyclic oxidation conditions. This is explained by a longer term stability of CVD coatings, with higher Al amounts in the diffusion zone and less refractory element precipitation in the additive layer. The qualities of Pt/Al coatings by out-of-pack and CVD are also compared as a previous step for further thermal barrier coating deposition. As an example, YSZ thermal barrier coatings are deposited by MO-CVD on Pt/Al CVD bond coats rendering adherent and thick coatings around the surface of turbine blades. This process under development does not require complex manipulation of the component to be coated. (orig.)

  12. Layer-by-layer deposition of superconducting Sr-Ca-Cu-O films by the spray pyrolysis technique

    International Nuclear Information System (INIS)

    Pawar, S.H.; Pawaskar, P.N.; Ubale, M.J.; Kulkarni, S.B.

    1995-01-01

    Layer-by-layer deposition of Sr-Ca-Cu-O films has been carried out using the spray pyrolysis technique. Reagent-grade nitrates of strontium, calcium and copper were used to prepare starting solutions for spray pyrolysis. A two-step procedure was used for every layer of the constituents in the sequence Sr-Cu-Ca-Cu-Sr: first, deposition onto silver substrate at 350 C, then firing at T≥450 C, both at atmospheric pressure. The films were 2-3 μm thick and showed adequate adhesion to the substrate. The films were then characterised by studying their electron micrographs, X-ray diffraction patterns and electrical resistivity. The films showed superconductivity below 104 K. ((orig.))

  13. Electrochemical performances of proton-conducting SOFC with La-Sr-Fe-O cathode fabricated by electrophoretic deposition techniques

    International Nuclear Information System (INIS)

    Asamoto, Makiko; Miyake, Shinji; Yonei, Yuka; Yamaura, Hiroyuki; Yahiro, Hidenori

    2009-01-01

    The electrochemical performances of Proton-conducting SOFC with La 0.7 Sr 0.3 FeO 3 (LSF) cathode fabricated by the electrophoretic deposition (EPD) technique were investigated. The EPD technique provided the uniform layer of LSF cathode with constant thickness and can easily control the thickness by changing an applied voltage. The power density of the SOFC cell was dependent on the thickness of LSF cathode. The activation energy was measured to elucidate the rate-determining step for LSF cathode reaction. (author)

  14. Modulating the Optoelectronic Properties of Silver Nanowires Films: Effect of Capping Agent and Deposition Technique.

    Science.gov (United States)

    Lopez-Diaz, D; Merino, C; Velázquez, M M

    2015-11-11

    Silver nanowires 90 nm in diameter and 9 µm in length have been synthesized using different capping agents: polyvinyl pyrrolidone (PVP) and alkyl thiol of different chain lengths. The nanowire structure is not influenced by the displacement of PVP by alkyl thiols, although alkyl thiols modify the lateral aggregation of nanowires. We examined the effect of the capping agent and the deposition method on the optical and electrical properties of films prepared by Spray and the Langmuir-Schaefer methodologies. Our results revealed that nanowires capped with PVP and C8-thiol present the best optoelectronic properties. By using different deposition techniques and by modifying the nanowire surface density, we can modulate the optoelectronic properties of films. This strategy allows obtaining films with the optoelectronic properties required to manufacture touch screens and electromagnetic shielding.

  15. Enhanced performance of CdS/CdTe thin-film devices through temperature profiling techniques applied to close-spaced sublimation deposition

    Energy Technology Data Exchange (ETDEWEB)

    Xiaonan Li; Sheldon, P.; Moutinho, H.; Matson, R. [National Renewable Energy Lab., Golden, CO (United States)

    1996-05-01

    The authors describe a methodology developed and applied to the close-spaced sublimation technique for thin-film CdTe deposition. The developed temperature profiles consisted of three discrete temperature segments, which the authors called the nucleation, plugging, and annealing temperatures. They have demonstrated that these temperature profiles can be used to grow large-grain material, plug pinholes, and improve CdS/CdTe photovoltaic device performance by about 15%. The improved material and device properties have been obtained while maintaining deposition temperatures compatible with commercially available substrates. This temperature profiling technique can be easily applied to a manufacturing environment by adjusting the temperature as a function of substrate position instead of time.

  16. Vapor deposition of large area NpO2 and UO2 deposits

    International Nuclear Information System (INIS)

    Adair, H.L.; Gibson, J.R.; Kobisk, E.H.; Dailey, J.M.

    1976-01-01

    Deposition of NpO 2 and UO 2 thin films over an area of 7.5 to 10 cm diam has become a routine operation in preparation of fission chamber plates. Vacuum evaporation or electroplating has been used for this purpose. The ''paint brush'' technique has been used as well; however, uniformity requirements normally eliminate this procedure. Vapor deposition in vacuum appears to be the most suitable technique for preparing NpO 2 and UO 2 deposits of >200 cm 2 . This paper describes the procedures used in preparing uniform large area deposits of NpO 2 (approximately 300 cm 2 ) and UO 2 (approximately 2000 cm 2 ) by vacuum evaporation using electron bombardment heating and several substrate motion and heating methods to achieve uniformity and adhesion

  17. Improving optical properties of silicon nitride films to be applied in the middle infrared optics by a combined high-power impulse/unbalanced magnetron sputtering deposition technique.

    Science.gov (United States)

    Liao, Bo-Huei; Hsiao, Chien-Nan

    2014-02-01

    Silicon nitride films are prepared by a combined high-power impulse/unbalanced magnetron sputtering (HIPIMS/UBMS) deposition technique. Different unbalance coefficients and pulse on/off ratios are applied to improve the optical properties of the silicon nitride films. The refractive indices of the Si3N4 films vary from 2.17 to 2.02 in the wavelength ranges of 400-700 nm, and all the extinction coefficients are smaller than 1×10(-4). The Fourier transform infrared spectroscopy and x-ray diffractometry measurements reveal the amorphous structure of the Si3N4 films with extremely low hydrogen content and very low absorption between the near IR and middle IR ranges. Compared to other deposition techniques, Si3N4 films deposited by the combined HIPIMS/UBMS deposition technique possess the highest refractive index, the lowest extinction coefficient, and excellent structural properties. Finally a four-layer coating is deposited on both sides of a silicon substrate. The average transmittance from 3200 to 4800 nm is 99.0%, and the highest transmittance is 99.97% around 4200 nm.

  18. Higher lung deposition with Respimat® Soft Mist™ Inhaler than HFA-MDI in COPD patients with poor technique

    Directory of Open Access Journals (Sweden)

    Peter Brand

    2008-08-01

    Full Text Available Peter Brand1, Bettina Hederer2, George Austen3, Helen Dewberry3, Thomas Meyer41RWTH, Aachen, Germany; 2Boehringer Ingelheim, Ingelheim, Germany; 3Boehringer Ingelheim, Bracknell, UK; 4Inamed Research, Gauting, GermanyAbstract: Aerosols delivered by Respimat® Soft Mist™ Inhaler (SMI are slower-moving and longer-lasting than those from pressurized metered-dose inhalers (pMDIs, improving the efficiency of pulmonary drug delivery to patients. In this four-way cross-over study, adults with chronic obstructive pulmonary disease (COPD and with poor pMDI technique received radiolabelled Berodual® (fenoterol hydrobromide 50 µg/ipratropium bromide 20 µg via Respimat® SMI or hydrofluoroalkane (HFA-MDI (randomized order on test days 1 and 2, with no inhaler technique training. The procedure was repeated on test days 3 and 4 after training. Deposition was measured by gamma scintigraphy. All 13 patients entered (9 males, mean age 62 years; FEV1 46% of predicted inhaled too fast at screening (peak inspiratory flow rate [IF]: 69–161 L/min. Whole lung deposition was higher with Respimat® SMI than with pMDI for untrained (37% of delivered dose vs 21% of metered dose and trained patients (53% of delivered vs 21% of metered dose (pSign-Test = 0.15; pANOVA< 0.05. Training also improved inhalation profiles (slower average and peak IF as well as longer breath-hold time. Drug delivery to the lungs with Respimat® SMI is more efficient than with pMDI, even with poor inhaler technique. Teaching patients to hold their breath as well as to inhale slowly and deeply increased further lung deposition using Respimat® SMI.Keywords: chronic obstructive pulmonary disease, drug delivery, inhalation, metered-dose inhaler, poor inhalation technique, training

  19. An Evaluation of Atmospheric-pressure Plasma for the Cost-Effective Deposition of Antireflection Coatings

    Energy Technology Data Exchange (ETDEWEB)

    Rob Sailer; Guruvenket Srinivasan; Kyle W. Johnson; Douglas L. Schulz

    2010-04-01

    Atmospheric-pressure plasma deposition (APPD) has previously been used to deposit various functional materials including polymeric surface modification layers, transparent conducting oxides, and photo catalytic materials. For many plasma polymerized coatings, reaction occurs via free radical mechanism where the high energy electrons from the plasma activate the olefinic carbon-carbon double bonds - a typical functional group in such precursors. The precursors for such systems are typically inexpensive and readily available and have been used in vacuum PECVD previously. The objectives are to investigate: (1) the effect of plasma power, gas composition and substrate temperature on the Si-based film properties using triethylsilane(TES) as the precursor; and (2) the chemical, mechanical, and optical properties of several experimental matrices based on Design of Experiment (DOE) principals. A simple APPD route has been utilized to deposit Si based films from an inexpensive precursor - Triethylsilane (TES). Preliminary results indicates formation of Si-C & Si-O and Si-O, Si-C & Si-N bonds with oxygen and nitrogen plasmas respectively. N{sub 2}-O{sub 2} plasma showed mixed trend; however oxygen remains a significant portion of all films, despite attempts to minimize exposure to atmosphere. SiN, SiC, and SiO ratios can be modified by the reaction conditions resulting in differing film properties. SE studies revealed that films with SiN bond possess refractive index higher than coatings with Si-O/Si-C bonds. Variable angle reflectance studies showed that SiOCN coatings offer AR properties; however thickness and refractive index optimization of these coatings remains necessary for application as potential AR coatings.

  20. Metallographic techniques for evaluation of Thermal Barrier Coatings produced by Electron Beam Physical Vapor Deposition

    International Nuclear Information System (INIS)

    Kelly, Matthew; Singh, Jogender; Todd, Judith; Copley, Steven; Wolfe, Douglas

    2008-01-01

    Thermal Barrier Coatings (TBC) produced by Electron Beam Physical Vapor Deposition (EB-PVD) are primarily applied to critical hot section turbine components. EB-PVD TBC for turbine applications exhibit a complicated structure of porous ceramic columns separated by voids that offers mechanical compliance. Currently there are no standard evaluation methods for evaluating EB-PVD TBC structure quantitatively. This paper proposes a metallographic method for preparing samples and evaluating techniques to quantitatively measure structure. TBC samples were produced and evaluated with the proposed metallographic technique and digital image analysis for columnar grain size and relative intercolumnar porosity. Incorporation of the proposed evaluation technique will increase knowledge of the relation between processing parameters and material properties by incorporating a structural link. Application of this evaluation method will directly benefit areas of quality control, microstructural model development, and reduced development time for process scaling

  1. Growth and characterization of yttrium iron garnet films on Si substrates by Chemical Solution Deposition (CSD) technique

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Xin; Chen, Ying; Wang, Genshui [Key Laboratory of Inorganic Function Materials and Devices, Shanghai Institute of Ceramics, Chinese Academy of Sciences, University of Chinese Academy of Sciences, 1295 Dingxi Rd., Shanghai 200050 (China); Zhang, Yuanyuan [Key Laboratory of Polar Materials and Devices, Ministry of Education, Department of Electronic Engineering, East China Normal University, 500 Dongchuan Rd., Shanghai 200241 (China); Ge, Jun [Key Laboratory of Inorganic Function Materials and Devices, Shanghai Institute of Ceramics, Chinese Academy of Sciences, University of Chinese Academy of Sciences, 1295 Dingxi Rd., Shanghai 200050 (China); Tang, Xiaodong [Key Laboratory of Polar Materials and Devices, Ministry of Education, Department of Electronic Engineering, East China Normal University, 500 Dongchuan Rd., Shanghai 200241 (China); Ponchel, Freddy; Rémiens, Denis [Institute of Electronics, Microelectronics and Nanotechnology (IEMN)–DOAE, UMR CNRS 8520, Université des Sciences et Technologies de Lille, 59652 Villeneuve d’Ascq Cedex (France); Dong, Xianlin, E-mail: xldong@mail.sic.ac.cn [Key Laboratory of Inorganic Function Materials and Devices, Shanghai Institute of Ceramics, Chinese Academy of Sciences, University of Chinese Academy of Sciences, 1295 Dingxi Rd., Shanghai 200050 (China)

    2016-06-25

    Yttrium Iron Garnet (YIG) films were prepared on Si substrates by Chemical Solution Deposition (CSD) technique using acetic acid and deionized water as solvents. Well-crystallized and crack-free YIG films were obtained when annealed at 750 °C and 850 °C respectively, showing a low surface roughness of several nanometers. When annealed at 750 °C for 30 min, the saturated magnetization (Ms) and coercive field (Hc) of YIG films were 0.121 emu/mm{sup 3} (4πMs = 1.52 kGs) and 7 Oe respectively, which were similar to that prepared by PLD technique. The peak-to-peak linewidth of ferromagnetic resonance (FMR) was 220 Oe at 9.10 GHz. The results demonstrated that CSD was an excellent technique to prepare high quality yttrium iron garnet (YIG) films on silicon, which could provide a lower-cost way for large-scale production on Si-based integrated devices. - Highlights: • The preparation of YIG films by Chemical Solution Deposition are demonstrated. • Well-crystallized and crack-free YIG films can be obtained on Si substrate by CSD. • YIG films can be crystallized in 750 °C with good magnetic performances. • It's beneficial to large-scale production of YIG films on Si integrated devices.

  2. Influence of deposition parameters on morphological properties of biomedical calcium phosphate coatings prepared using electrostatic spray deposition

    International Nuclear Information System (INIS)

    Leeuwenburgh, S.C.G.; Wolke, J.G.C.; Schoonman, J.; Jansen, J.A.

    2005-01-01

    In order to deposit biomedical calcium phosphate (CaP) coatings with a defined surface morphology, the electrostatic spray deposition (ESD) technique was used since this technique offers the possibility to deposit ceramic coatings with a variety of surface morphologies. A scanning electron microscopical study was performed in order to investigate the influence of several deposition parameters on the final morphology of the deposited coatings. The chemical characteristics of the coatings were studied by means of X-ray diffraction and Fourier-transform infrared spectroscopy. Regarding the chemical coating properties, the results showed that the coatings can be described as crystalline carbonate apatite coatings, a crystal phase which is similar to the mineral phase of bone and teeth. The morphology of CaP coatings, deposited using the ESD technique, was strongly dependent on the deposition parameters. By changing the nozzle-to-substrate distance, the precursor liquid flow rate and the deposition temperature, coating morphologies were deposited, which varied from dense to highly porous, reticular morphologies. The formation of various morphologies was the result of an equilibrium between the relative rates of CaP solute precipitation/reaction, solvent evaporation and droplet spreading onto the substrate surface

  3. Transport Properties of LCMO Granular Films Deposited by the Pulsed Electron Deposition Technique

    Institute of Scientific and Technical Information of China (English)

    CHEN Leiming; XU Bin; ZHANG Yan; CHEN Zhenping

    2011-01-01

    By finely controlling the deposition parameters in the pulsed electron deposition process,granular La2/3Ca1/3MnO3 (LCMO) film was grown on silicon substrates.The substrate temperature,ambient pressure in the deposition chamber and acceleration potential for the electron beam were all found to affect the grain size of the film,resulting in different morphologies of the samples.Transport properties of the obtained granular films,especially the magnetoresistance (MR),were studied.Prominent low-field MR was observed in all samples,indicating the forming of grain boundaries in the sample.The low-field MR show great sensitive to the morphology evolution,which reaches the highest value of about 40% for the sample with the grain size of about 250 nm.More interestingly,positive-MR (p-MR) was also detected above 300 K when low magnetic field applying,whereas it disappeared with higher magnetic field applied up to 1.5 and 2 Tesla.Instead of the spinpolarized tunneling process being commonly regarded as a responsible reason,lattice mismatch between LCMO film and silicon substrate appears to be the origin of the p-MR

  4. Fabrication technology of CNT-Nickel Oxide based planar pseudocapacitor for MEMS and NEMS

    Science.gov (United States)

    Lebedev, E. A.; Kitsyuk, E. P.; Gavrilin, I. M.; Gromov, D. G.; Gruzdev, N. E.; Gavrilov, S. A.; Dronov, A. A.; Pavlov, A. A.

    2015-11-01

    Fabrication technology of planar pseudocapacitor (PsC) based on carbon nanotube (CNT) forest, synthesized using plasma enhanced chemical vapor deposition (PECVD) method, covered with thin nickel oxide layer deposited by successive ionic layer adsorption and reaction (SILAR) method, is demonstrated. Dependences of deposited oxide layers thickness on device specific capacities is studied. It is shown that pseudocapacity of nickel oxide thin layer increases specific capacity of the CNT's based device up to 2.5 times.

  5. Photoluminescence of nc-Si:Er thin films obtained by physical and chemical vapour deposition techniques: The effects of microstructure and chemical composition

    Energy Technology Data Exchange (ETDEWEB)

    Cerqueira, M.F., E-mail: fcerqueira@fisica.uminho.p [Departamento de Fisica, Universidade do Minho, Campus de Gualtar 4710-057 Braga (Portugal); Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, Via Orabona n.4-70126 Bari (Italy); Stepikhova, M. [Institute for Physics of Microstructures RAS, 603600 Nizhnij Novgorod GSP-105 (Russian Federation); Alpuim, P.; Andres, G. [Departamento de Fisica, Universidade do Minho, Campus de Gualtar 4710-057 Braga (Portugal); Kozanecki, A. [Polish Academy of Sciences, Institute of Physics, PL-02668, Warsaw (Poland); Soares, M.J.; Peres, M. [Departamento de Fisica, Universidade de Aveiro, Campus de Santiago, 3700 Aveiro (Portugal)

    2009-08-31

    Erbium doped nanocrystalline silicon (nc-Si:Er) thin films were produced by reactive magnetron rf sputtering and by Er ion implantation into chemical vapor deposited Si films. The structure and chemical composition of films obtained by the two approaches were studied by micro-Raman scattering, spectroscopic ellipsometry and Rutherford backscattering techniques. Variation of deposition parameters was used to deposit films with different crystalline fraction and crystallite size. Photoluminescence measurements revealed a correlation between film microstructure and the Er{sup 3+} photoluminescence efficiency.

  6. Photodetectors based on carbon nanotubes deposited by using a spray technique on semi-insulating gallium arsenide

    Directory of Open Access Journals (Sweden)

    Domenico Melisi

    2014-11-01

    Full Text Available In this paper, a spray technique is used to perform low temperature deposition of multi-wall carbon nanotubes on semi-insulating gallium arsenide in order to obtain photodectors. A dispersion of nanotube powder in non-polar 1,2-dichloroethane is used as starting material. The morphological properties of the deposited films has been analysed by means of electron microscopy, in scanning and transmission mode. Detectors with different layouts have been prepared and current–voltage characteristics have been recorded in the dark and under irradiation with light in the range from ultraviolet to near infrared. The device spectral efficiency obtained from the electrical characterization is finally reported and an improvement of the photodetector behavior due to the nanotubes is presented and discussed.

  7. Modulating the Optoelectronic Properties of Silver Nanowires Films: Effect of Capping Agent and Deposition Technique

    Directory of Open Access Journals (Sweden)

    D. Lopez-Diaz

    2015-11-01

    Full Text Available Silver nanowires 90 nm in diameter and 9 µm in length have been synthesized using different capping agents: polyvinyl pyrrolidone (PVP and alkyl thiol of different chain lengths. The nanowire structure is not influenced by the displacement of PVP by alkyl thiols, although alkyl thiols modify the lateral aggregation of nanowires. We examined the effect of the capping agent and the deposition method on the optical and electrical properties of films prepared by Spray and the Langmuir-Schaefer methodologies. Our results revealed that nanowires capped with PVP and C8-thiol present the best optoelectronic properties. By using different deposition techniques and by modifying the nanowire surface density, we can modulate the optoelectronic properties of films. This strategy allows obtaining films with the optoelectronic properties required to manufacture touch screens and electromagnetic shielding.

  8. Experimental investigation of ash deposits characteristics of co-combustion of coal and rice hull using a digital image technique

    International Nuclear Information System (INIS)

    Qiu, Kunzan; Zhang, Hailong; Zhou, Hao; Zhou, Bin; Li, Letian; Cen, Kefa

    2014-01-01

    This paper investigated the ash deposit characteristics during the co-firing Da Tong (DA) coal with different proportions of rice hull (0%, 5%, 10%, and 20%, based on weight) in a pilot-scale furnace. The growth of ash deposit with a four-stage mode was presented. The stable thickness values of DA coal, 5% rice hull, 10% rice hull, and 20% rice hull were 0.5, 1.4, 2.9, 5.7 cm, with stable heat flux values of 230, 200, 175, and 125 kW/m 2 , respectively. According to the results of scanning electron microscopy with energy dispersive X-ray analysis (SEM-EDX), the amount of Si in the deposits increased with the increasing proportion of rice hull rich in SiO 2 . The X-ray diffraction (XRD) analysis results indicated that most elements except Si were in the amorphous state because of the formation of eutectics. The stable thicknesses of deposits increased exponentially with the proportion of rice hull. The deposit was loose, easy removable but it reduced the heat transfer significantly. Consequently, sootblowing timely was necessary when co-firing DA coal with rice hull. - Highlights: • Digital image technique was used to monitor deposits growth process. • A type of four stages mode of ash deposit growth was presented. • The heat flux of ash deposits fit a three-stage mode. • The addition of rice hull increased the porosity of deposits

  9. A comparative study of ultraviolet photoconductivity relaxation in zinc oxide (ZnO) thin films deposited by different techniques

    International Nuclear Information System (INIS)

    Yadav, Harish Kumar; Gupta, Vinay

    2012-01-01

    Photoresponse characteristics of ZnO thin films deposited by three different techniques namely rf diode sputtering, rf magnetron sputtering, and electrophoretic deposition has been investigated in the metal-semiconductor-metal (MSM) configuration. A significant variation in the crystallinity, surface morphology, and photoresponse characteristics of ZnO thin film with change in growth kinetics suggest that the presence of defect centers and their density govern the photodetector relaxation properties. A relatively low density of traps compared to the true quantum yield is found very crucial for the realization of practical ZnO thin film based ultraviolet (UV) photodetector.

  10. A comparative study of ultraviolet photoconductivity relaxation in zinc oxide (ZnO) thin films deposited by different techniques

    Energy Technology Data Exchange (ETDEWEB)

    Yadav, Harish Kumar; Gupta, Vinay [Department of Physics and Astrophysics, University of Delhi, Delhi 110 007 (India)

    2012-05-15

    Photoresponse characteristics of ZnO thin films deposited by three different techniques namely rf diode sputtering, rf magnetron sputtering, and electrophoretic deposition has been investigated in the metal-semiconductor-metal (MSM) configuration. A significant variation in the crystallinity, surface morphology, and photoresponse characteristics of ZnO thin film with change in growth kinetics suggest that the presence of defect centers and their density govern the photodetector relaxation properties. A relatively low density of traps compared to the true quantum yield is found very crucial for the realization of practical ZnO thin film based ultraviolet (UV) photodetector.

  11. Enhanced surface functionality via plasma modification and plasma deposition techniques to create more biologically relevant materials

    Science.gov (United States)

    Shearer, Jeffrey C.

    Functionalizing nanoparticles and other unusually shaped substrates to create more biologically relevant materials has become central to a wide range of research programs. One of the primary challenges in this field is creating highly functionalized surfaces without modifying the underlying bulk material. Traditional wet chemistry techniques utilize thin film depositions to functionalize nanomaterials with oxygen and nitrogen containing functional groups, such as --OH and --NHx. These functional groups can serve to create surfaces that are amenable to cell adhesion or can act as reactive groups for further attachment of larger structures, such as macromolecules or antiviral agents. Additional layers, such as SiO2, are often added between the nanomaterial and the functionalized coating to act as a barrier films, adhesion layers, and to increase overall hydrophilicity. However, some wet chemistry techniques can damage the bulk material during processing. This dissertation examines the use of plasma processing as an alternative method for producing these highly functionalized surfaces on nanoparticles and polymeric scaffolds through the use of plasma modification and plasma enhanced chemical vapor deposition techniques. Specifically, this dissertation will focus on (1) plasma deposition of SiO2 barrier films on nanoparticle substrates; (2) surface functionalization of amine and alcohol groups through (a) plasma co-polymerization and (b) plasma modification; and (3) the design and construction of plasma hardware to facilitate plasma processing of nanoparticles and polymeric scaffolds. The body of work presented herein first examines the fabrication of composite nanoparticles by plasma processing. SiOxC y and hexylamine films were coated onto TiO2 nanoparticles to demonstrate enhanced water dispersion properties. Continuous wave and pulsed allyl alcohol plasmas were used to produce highly functionalized Fe2 O3 supported nanoparticles. Specifically, film composition was

  12. Towards high frequency heterojunction transistors: Electrical characterization of N-doped amorphous silicon-graphene diodes

    Science.gov (United States)

    Strobel, C.; Chavarin, C. A.; Kitzmann, J.; Lupina, G.; Wenger, Ch.; Albert, M.; Bartha, J. W.

    2017-06-01

    N-type doped amorphous hydrogenated silicon (a-Si:H) is deposited on top of graphene (Gr) by means of very high frequency (VHF) and radio frequency plasma-enhanced chemical vapor deposition (PECVD). In order to preserve the structural integrity of the monolayer graphene, a plasma excitation frequency of 140 MHz was successfully applied during the a-Si:H VHF-deposition. Raman spectroscopy results indicate the absence of a defect peak in the graphene spectrum after the VHF-PECVD of (n)-a-Si:H. The diode junction between (n)-a-Si:H and graphene was characterized using temperature dependent current-voltage (IV) and capacitance-voltage measurements, respectively. We demonstrate that the current at the (n)-a-Si:H-graphene interface is dominated by thermionic emission and recombination in the space charge region. The Schottky barrier height (qΦB), derived by temperature dependent IV-characteristics, is about 0.49 eV. The junction properties strongly depend on the applied deposition method of (n)-a-Si:H with a clear advantage of the VHF(140 MHz)-technology. We have demonstrated that (n)-a-Si:H-graphene junctions are a promising technology approach for high frequency heterojunction transistors.

  13. Atmospheric deposition of trace elements around Ulan Bator city studied by moss and lichen biomonitoring technique and INAA

    International Nuclear Information System (INIS)

    Ganbold, G.; Gehrbish, Sh.; Tsehndehehkhuu, Ts.; Gundorina, S.F.; Frontas'eva, M.V.; Ostrovnaya, T.M.; Pavlov, S.S.

    2005-01-01

    For the first time the moss and lichen biomonitoring technique has been applied to air pollution in Mongolia (Ulan-Bator, the capital city). INAA at the IBR-2 reactor has made it possible to determine the content of 35 elements in moss and lichen biomonitors. Samples collected at sites located 10-15 km from the center of Ulan-Bator were analyzed by Instrumental Neutron Activation Analysis (INAA) using epithermal neutrons. The mosses (Rhytidium rugosum, Thuidium abietinum, Entodon concinnus) and lichens (Cladonia stellaris, Parmelia separata) were used to study the atmospheric deposition of trace elements. It was shown that the suggested types of mosses could be used as suitable biomonitors to estimate the concentration levels of heavy metals and trace elements in Ulan-Bator atmospheric deposition. The results are compared to the data of atmospheric deposition of some European countries

  14. Analysis of intensities of positive and negative ion species from silicon dioxide films using time-of-flight secondary ion mass spectrometry and electronegativity of fragments

    International Nuclear Information System (INIS)

    Chiba, Kiyoshi

    2010-01-01

    Intensities of positive and negative ion species emitted from thermally oxidized and plasma-enhanced chemical vapor deposited (PECVD) SiO 2 films were analyzed using time-of-flight secondary ion mass spectrometry (TOF-SIMS) and the Saha-Boltzmann equation. Intensities of positive and negative secondary ion species were normalized to those of 28 Si + and 28 Si - ions, respectively, and an effective temperature of approximately (7.2 ± 0.1) x 10 3 K of the sputtered region bombarded with pulsed 22 kV Au 3 + primary ions was determined. Intensity spectra showed polarity dependence on both n and m values of Si n O m fragments, and a slight shift to negative polarity for PECVD SiO 2 compared to thermally oxidized SiO 2 films. By dividing the intensity ratios of negative-to-positive ions for PECVD SiO 2 by those for thermally oxidized SiO 2 films to cancel statistical factors, the difference in absolute electronegativity (half the sum of ionization potential and electron affinity of fragments) between both films was obtained. An increase in electronegativity for SiO m (m = 1, 2) and Si 2 O m (m = 1-4) fragments for PECVD SiO 2 films compared to thermally oxidized films was obtained to be 0.1-0.2 Pauling units, indicating a more covalent nature of Si-O bonds for PECVD SiO 2 films compared to the thermally oxidized SiO 2 films.

  15. Evidence of room temperature ferromagnetism in argon/oxygen annealed TiO2 thin films deposited by electron beam evaporation technique

    International Nuclear Information System (INIS)

    Mohanty, P.; Kabiraj, D.; Mandal, R.K.; Kulriya, P.K.; Sinha, A.S.K.; Rath, Chandana

    2014-01-01

    TiO 2 thin films deposited by electron beam evaporation technique annealed in either O 2 or Ar atmosphere showed ferromagnetism at room temperature. The pristine amorphous film demonstrates anatase phase after annealing under Ar/O 2 atmosphere. While the pristine film shows a super-paramagnetic behavior, both O 2 and Ar annealed films display hysteresis at 300 K. X-ray photo emission spectroscopy (XPS), Raman spectroscopy, Rutherford’s backscattering spectroscopy (RBS), cross-sectional transmission electron microscopy (TEM) and energy dispersive X-ray spectroscopy (EDS) were used to refute the possible role of impurities/contaminants in magnetic properties of the films. The saturation magnetization of the O 2 annealed film is found to be higher than the Ar annealed one. It is revealed from shifting of O 1s and Ti 2p core level spectra as well as from the enhancement of high binding energy component of O 1s spectra that the higher magnetic moment is associated with higher oxygen vacancies. In addition, O 2 annealed film demonstrates better crystallinity, uniform deposition and smoother surface than that of the Ar annealed one from glancing angle X-ray diffraction (GAXRD) and atomic force microscopy (AFM). We conclude that although ferromagnetism is due to oxygen vacancies, the higher magnetization in O 2 annealed film could be due to crystallinity, which has been observed earlier in Co doped TiO 2 film deposited by pulsed laser deposition (Mohanty et al., 2012 [10]). - Highlights: • TiO 2 films were deposited by e-beam evaporation technique and post annealed under O 2 /Ar at 500 °C. • The pristine film shows SPM behavior where as O 2 and Ar annealed films demonstrate RTFM. • The presence of magnetic impurities has been discarded by various characterization techniques. • The magnetic moment is found to be higher in O 2 annealed film than the Ar annealed one. • The higher M s in O 2 annealed film is attributed to oxygen vacancies as well as crystallinity

  16. Grid-based Simulation of Industrial Thin Film Production

    NARCIS (Netherlands)

    Krzhizhanovskaya, V.V.; Sloot, P.M.A.; Gorbachev, Y.E.

    2005-01-01

    In this article, the authors introduce a Grid-based virtual reactor, a High Level Architecture (HLA)-supported problem-solving environment that allows for detailed numerical study of industrial thin-film production in plasma-enhanced chemical vapor deposition (PECVD) reactors. They briefly describe

  17. Selective Immobilization of Protein Clusters on Polymeric Nanocraters

    NARCIS (Netherlands)

    Valsesia, Andrea; Colpo, Pascal; Meziani, Tarik; Bretagnol, Frederic; Lejeune, Michael; Rossi, François; Bouma, Anita; Garcia Parajo, M.F.

    2006-01-01

    A method for fabricating chemically nanopatterned surfaces based on a combination of colloidal lithography and plasma- enhanced chemical vapor deposition (PECVD) is presented. This method can be applied for the creation of different nanopatterns, and it is in principle not limited in patterning

  18. Laser chemical vapor deposition of millimeter scale three-dimensional shapes

    Science.gov (United States)

    Shaarawi, Mohammed Saad

    2001-07-01

    Laser chemical vapor deposition (LCVD) has been successfully developed as a technique to synthesize millimeter-scale components directly from the gas phase. Material deposition occurs when heat generated by the interaction of a laser beam with a substrate thermally decomposes the gas precursor. Selective illumination or scanning the laser beam over portions of a substrate forms the single thin layer of material that is the building block of this process. Sequential scanning of the laser in a pre-defined pattern on the substrate and subsequent deposit causes the layers to accumulate forming the three-dimensional shape. The primary challenge encountered in LCVD shape forming is the synthesis of uniform layers. Three deposition techniques are studied to address this problem. The most successful technique, Active Surface Deposition, is based on the premise that the most uniform deposits are created by measuring the deposition surface topology and actively varying the deposition rate in response to features at the deposition surface. Defects observed in the other techniques were significantly reduced or completely eliminated using Active Surface Deposition. The second technique, Constant Temperature Deposition, maintains deposit uniformity through the use of closed-loop modulation of the laser power to sustain a constant surface temperature during deposition. The technique was successful in depositing high quality graphite tubes >2 mm tall from an acetylene precursor and partially successful in depositing SiC + C composite tubes from tetramethylsilane (TMS). The final technique, Constant Power Deposition, is based on the premise that maintaining a uniform power output throughout deposition would result in the formation of uniform layers. Constant Power Deposition failed to form coherent shapes. Additionally, LCVD is studied using a combination of analytic and numerical models to gain insight into the deposition process. Thermodynamic modeling is used to predict the

  19. Development of biosensors for non-invasive measurements of heart failure biomarkers in saliva

    Science.gov (United States)

    Alcacer, Albert; Streklas, Angelos; Baraket, Abdoullatif; Zine, Nadia; Errachid, Abdelhamid; Bausells, Joan

    2017-06-01

    Biomedical engineering research today is focused on non-invasive techniques for detection of biomarkers related to specific health issues 1. Three metal layer microelectrode (μE) sensors have been implemented to detect specific biomarkers which can be found in human saliva related with heart failure problems 2 such as interleukin and Tumore Necrosis Factor-α (TNF-α), and used as highly sensitive saliva sensors. We designed specialized μEs combining different technologies for multiple measurements aiming to a lab-on-a-chip future integration. Measurements are based to basic principles of Cyclic Voltammetry (CV) and Electrochemical Impedance Spectroscopy (EIS). Thus, certain planar technology was used involving three metal layers of gold, platinum and silver deposited over an oxidized silicon substrate following standard cleanroom procedures of lithography for the definition of μEs, sputtering physical vapor deposition (PVD) for gold, evaporation PVD for silver and platinum, and plasma enhanced chemical vapor deposition (PECVD) for passivation layer of silicon nitride.

  20. Novel Geochemical Techniques Integrated In Exploration for Uranium Deposits at Depth

    International Nuclear Information System (INIS)

    Kyser, Kurt

    2014-01-01

    Recent results in the use of geochemistry in detecting deep uranium deposits: (1) Map element distributions in and around deposits to assess the total chemical environment associated with the deposit, (2) Use element tracing with isotopic compositions in surface media to detect specific components from uranium deposits at depth, (3) Capitalize on element mobility across the geosphere-biosphere interface to enhance exploration using select media, (4) Geochemical data from drill core or surface media can enhance target identification when integrated with geophysical data.

  1. Comparative Study of Furnace and Flash Lamp Annealed Silicon Thin Films Grown by Plasma Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Maheshwar Shrestha

    2018-03-01

    Full Text Available Low-temperature growth of microcrystalline silicon (mc-Si is attractive for many optoelectronic device applications. This paper reports a detailed comparison of optical properties, microstructure, and morphology of amorphous silicon (a-Si thin films crystallized by furnace annealing and flash lamp annealing (FLA at temperatures below the softening point of glass substrate. The initial a-Si films were grown by plasma enhanced chemical vapor deposition (PECVD. Reflectance measurement indicated characteristic peak in the UV region ~280 nm for the furnace annealed (>550 °C and flash lamp annealed films, which provided evidence of crystallization. The film surface roughness increased with increasing the annealing temperature as well as after the flash lamp annealing. X-ray diffraction (XRD measurement indicated that the as-deposited samples were purely amorphous and after furnace crystallization, the crystallites tended to align in one single direction (202 with uniform size that increased with the annealing temperature. On the other hand, the flash lamp crystalized films had randomly oriented crystallites with different sizes. Raman spectroscopy showed the crystalline volume fraction of 23.5%, 47.3%, and 61.3% for the samples annealed at 550 °C, 650 °C, and with flash lamp, respectively. The flash lamp annealed film was better crystallized with rougher surface compared to furnace annealed ones.

  2. Suppression of hydrogenated carbon film deposition by scavenger techniques and their application to the tritium inventory control of fusion devices

    International Nuclear Information System (INIS)

    Tabares, F.L.; Tafalla, D.; Tanarro, I.; Herrero, V.J.; Islyaikin, A.; Maffiotte, C.

    2002-01-01

    The well-known radical and ion scavenger techniques of application in amorphous hydrogenated carbon film deposition studies are investigated in relation to the mechanism of tritium and deuterium co-deposition in carbon-dominated fusion devices. A particularly successful scheme results from the injection of nitrogen into methane/hydrogen plasmas for conditions close to those prevailing in the divertor region of present fusion devices. A complete suppression of the a-C : H film deposition has been achieved for N 2 /CH 4 ratios close to one in methane (5%)/hydrogen DC plasma. The implications of these findings in the tritium retention control in future fusion reactors are addressed. (author). Letter-to-the-editor

  3. Experimental measurements of the thermal conductivity of ash deposits: Part 2. Effects of sintering and deposit microstructure

    Energy Technology Data Exchange (ETDEWEB)

    A. L. Robinson; S. G. Buckley; N. Yang; L. L. Baxter

    2000-04-01

    The authors report results from an experimental study that examines the influence of sintering and microstructure on ash deposit thermal conductivity. The measurements are made using a technique developed to make in situ, time-resolved measurements of the effective thermal conductivity of ash deposits formed under conditions that closely replicate those found in the convective pass of a commercial boiler. The technique is designed to minimize the disturbance of the natural deposit microstructure. The initial stages of sintering and densification are accompanied by an increase in deposit thermal conductivity. Subsequent sintering continues to densify the deposit, but has little effect on deposit thermal conductivity. SEM analyses indicates that sintering creates a layered deposit structure with a relatively unsintered innermost layer. They hypothesize that this unsintered layer largely determines the overall deposit thermal conductivity. A theoretical model that treats a deposit as a two-layered material predicts the observed trends in thermal conductivity.

  4. Atmospheric Deposition of Trace Elements Around Ulan-Bator City Studied by Moss and Lichen Biomonitoring Technique and INAA

    CERN Document Server

    Ganbold, G; Gundorina, S F; Frontasyeva, M V; Ostrovnaya, T M; Pavlov, S S; Tsendeekhuu, T

    2005-01-01

    For the first time the moss and lichen biomonitoring technique has been applied to air pollution in Mongolia (Ulan-Bator, the capital city). INAA at the IBR-2 reactor has made it possible to determine the content of 35 elements in moss and lichen biomonitors. Samples collected at sites located 10-15 km from the center of Ulan-Bator were analyzed by Instrumental Neutron Activation Analysis (INAA) using epithermal neutrons. The mosses (\\textit{Rhytidium rugosum}, \\textit{Thuidium abietinum}, \\textit{Entodon concinnus}) and lichens (\\textit{Cladonia stellaris}, \\textit{Parmelia separata}) were used to study the atmospheric deposition of trace elements. It was shown that the suggested types of mosses could be used as suitable biomonitors to estimate the concentration levels of heavy metals and trace elements in Ulan-Bator atmospheric deposition. The results are compared to the data of atmospheric deposition of some European countries.

  5. Influence of deposition rate on the properties of tin coatings deposited on tool steels using arc method

    International Nuclear Information System (INIS)

    Akhtar, P.; Abbas, M.

    2007-01-01

    Titanium nitride (TiN) widely used as hard coating material, was coated on tool steels, namely on high-speed steel (HSS) and D2 tool steel by physical vapour deposition method. The study concentrated on cathodic arc physical vapour deposition (CAPVD), a technique used for the deposition of hard coatings for tooling applications, and which has many advantages. The main drawback of this technique, however, is the formation of macrodroplets (MD's) during deposition, resulting in films with rougher morphology. Various standard characterization techniques and equipment, such as electron microscopy, atomic force microscopy, hardness testing machine, scratch tester and pin-on-disc machine, were used to analyze and quantify the following properties and parameters, surface morphology, thickness, hardness, adhesion and coefficient of friction (COF) of the deposited coatings. Surface morphology revealed that the MD's produced during the etching stage, protruded through the thin film, resulting in film with deteriorated surface features. Both coating thickness and indentation loads influenced the hardness of the deposited coatings. The coatings deposited on HSS exhibit better adhesion compared to those on D2 tool steel. Standard deviation indicates that the coating deposited with thickness around 6.7 macro m showed the most stable trend of COF versus sliding distance. (author)

  6. Biosensor Applications of MAPLE Deposited Lipase

    Directory of Open Access Journals (Sweden)

    Valeria Califano

    2014-10-01

    Full Text Available Matrix Assisted Pulsed Laser Evaporation (MAPLE is a thin film deposition technique derived from Pulsed Laser Deposition (PLD for deposition of delicate (polymers, complex biological molecules, etc. materials in undamaged form. The main difference of MAPLE technique with respect to PLD is the target: it is a frozen solution or suspension of the (guest molecules to be deposited in a volatile substance (matrix. Since laser beam energy is mainly absorbed by the matrix, damages to the delicate guest molecules are avoided, or at least reduced. Lipase, an enzyme catalyzing reactions borne by triglycerides, has been used in biosensors for detection of β-hydroxyacid esters and triglycerides in blood serum. Enzymes immobilization on a substrate is therefore required. In this paper we show that it is possible, using MAPLE technique, to deposit lipase on a substrate, as shown by AFM observation, preserving its conformational structure, as shown by FTIR analysis.

  7. Characterization of Pd catalyst-electrodes deposited on YSZ: Influence of the preparation technique and the presence of a ceria interlayer

    Energy Technology Data Exchange (ETDEWEB)

    Jimenez-Borja, Carmen, E-mail: Carmen.JBorja@uclm.es [Departamento de Ingenieria Quimica, Facultad de Ciencias Quimicas, Universidad de Castilla-La Mancha. Avenida Camilo Jose Cela 12, 13071 Ciudad Real (Spain); Matei, Florina [Department of Petroleum Processing Engineering and Environmental Protection, Petroleum - Gas University of Ploiesti (Romania); Dorado, Fernando; Valverde, Jose Luis [Departamento de Ingenieria Quimica, Facultad de Ciencias Quimicas, Universidad de Castilla-La Mancha. Avenida Camilo Jose Cela 12, 13071 Ciudad Real (Spain)

    2012-11-15

    Highlights: Black-Right-Pointing-Pointer Impregnation of palladium over YSZ led to more dispersed films. Black-Right-Pointing-Pointer XPS spectra indicated electron deficient Pd{sup 2+} species on the surface of palladium films. Black-Right-Pointing-Pointer Impregnated palladium films were more active than those prepared by paste deposition Black-Right-Pointing-Pointer The addition of a CeO{sub 2} interlayer enhanced the catalytic rate for the impregnated samples. - Abstract: Palladium catalyst-electrodes supported on Y{sub 2}O{sub 3}-stabilized-ZrO{sub 2} (YSZ) prepared either by paste deposition or wet impregnation technique were characterized using X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD), scanning electron microscopy (SEM) and transmission electron microscopy (TEM). It was found a strong dependence of the catalytic film preparation technique as well as of the presence of a ceria interlayer between the palladium film and the solid electrolyte on the catalytic activity towards methane oxidation. Impregnated palladium films were found to be more active than films prepared by paste deposition. Besides, the addition of ceria allowed stabilizing the palladium active phase for methane oxidation.

  8. Protective double-layer coatings prepared by plasma enhanced chemical vapor deposition on tool steel

    Czech Academy of Sciences Publication Activity Database

    Muresan, M.; Charvátová Campbell, A.; Ondračka, P.; Buršíková, V.; Peřina, Vratislav; Polcar, T.; Reuter, S.; Hammer, M. U.; Valtr, M.; Zajíčková, L.

    2015-01-01

    Roč. 272, JUN (2015), s. 229-238 ISSN 0257-8972 R&D Projects: GA MŠk LM2011019 Institutional support: RVO:61389005 Keywords : PECVD * DLC * amorphous carbon * hardness Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 2.139, year: 2015

  9. Preparation and characterization of thick metastable sputter deposits

    International Nuclear Information System (INIS)

    Allen, R.P.; Dahlgren, S.D.; Merz, M.D.

    1975-01-01

    High-rate dc supported-discharge sputtering techniques were developed and used to prepare 0.1 mm to 5.0 mm-thick deposits of a variety of metastable materials including amorphous alloys representing more than 15 different rare-earth-transition metal systems and a wide range of compositions and deposition conditions. The ability to prepare thick, homogeneous deposits has made it possible for the first time to investigate the structure, properties, and annealing behavior of these unique sputtered alloys using neutron diffraction, ultrasonic, and other experimental techniques that are difficult or impractical for thin films. More importantly, these characterization studies show that the structure and properties of the massive sputter deposits are independent of thickness and can be reproduced from deposit to deposit. Other advantages and applications of this metastable materials preparation technique include the possibility of varying structure and properties by control of the deposition parameters and the ability to deposit even reactive alloys with a very low impurity content

  10. Fabrication and characterisation of ligand-functionalised ultrapure monodispersed metal nanoparticle nanoassemblies employing advanced gas deposition technique

    Science.gov (United States)

    Geremariam Welearegay, Tesfalem; Cindemir, Umut; Österlund, Lars; Ionescu, Radu

    2018-02-01

    Here, we report for the first time the fabrication of ligand-functionalised ultrapure monodispersed metal nanoparticles (Au, Cu, and Pt) from their pure metal precursors using the advanced gas deposition technique. The experimental conditions during nanoparticle formation were adjusted in order to obtain ultrafine isolated nanoparticles on different substrates. The morphology and surface analysis of the as-deposited metal nanoparticles were investigated using scanning electron microscopy, x-ray diffraction and Fourier transform infra-red spectroscopy, which demonstrated the formation of highly ordered pure crystalline nanoparticles with a relatively uniform size distribution of ∼10 nm (Au), ∼4 nm (Cu) and ∼3 nm (Pt), respectively. A broad range of organic ligands containing thiol or amine functional groups were attached to the nanoparticles to form continuous networks of nanoparticle-ligand nanoassemblies, which were characterised by scanning electron microscopy and x-ray photoelectron spectroscopy. The electrical resistance of the functional nanoassemblies deposited in the gap spacing of two microfabricated parallel Au electrodes patterned on silicon substrates ranged between tens of kΩ and tens of MΩ, which is suitable for use in many applications including (bio)chemical sensors, surface-enhanced Raman spectroscopy and molecular electronic rectifiers.

  11. Real-time kinetic modeling of YSZ thin film roughness deposited by e-beam evaporation technique

    International Nuclear Information System (INIS)

    Galdikas, A.; Cerapaite-Trusinskiene, R.; Laukaitis, G.; Dudonis, J.

    2008-01-01

    In the present study, the process of yttrium-stabilized zirconia (YSZ) thin films deposition on optical quartz (SiO 2 ) substrates using e-beam deposition technique controlling electron gun power is analyzed. It was found that electron gun power influences the non-monotonous kinetics of YSZ film surface roughness. The evolution of YSZ thin film surface roughness was analyzed by a kinetic model. The model is based on the rate equations and includes processes of surface diffusion of the adatoms and the clusters, nucleation, growth and coalescence of islands in the case of thin film growth in Volmer-Weber mode. The analysis of the experimental results done by modeling explains non-monotonous kinetics and dependence of the surface roughness on the electron gun power. A good quantitative agreement with experimental results is obtained taking into account the initial roughness of the substrate surface and the amount of the clusters in the flux of evaporated material.

  12. Development and application of a green-chemistry solution deposition technique for buffer layer coating on cube-textured metal substrates in view of further deposition of rare-earth based superconductors

    DEFF Research Database (Denmark)

    Pallewatta, Pallewatta G A P

    which consist of YBCO superconducting coatings on cube-textured Ni based alloy tapes.  Before the epitaxial deposition this superconducting layer, a buffer layer is applied on the metal substrate as a diffusion barrier which is also required to transfer the strong texture of the underlying substrate......, allowing the epitaxial growth of the superconducting layer. State-of-the-art coated conductor hetero structures are mainly based on CeO2 based buffer stacks that consist of a sequence of several different buffer layers. Buffer layers deposited by continuous chemical deposition techniques, which...... is expected to be very advantageous in reel-to-reel applications. The thickness of these SrTiO3 monolayers was large enough to stop the nickel and copper diffusion from the Cu/Ni substrate. Hence, the developed high quality buffer layers are expected to be acting as efficient diffusion barriers and also...

  13. Applications of prospecting geochemical techniques to the search for and to the study of uranium deposits in metropolitan France

    International Nuclear Information System (INIS)

    Grimbert, Arnold

    1957-01-01

    After having recalled facts which leaded the CEA to use new geochemical techniques for the prospecting of uranium deposits through sampling and analysis of soils and waters, the author describes the organisation and methods implemented for this prospecting activity: team composition for sampling and analysis, role of each engineer and technician in the prospecting stages (preliminary study, routine prospecting, result interpretation), sampling and analysis processes. He also reports campaigns of geochemical prospecting: study of the La Chapelle Largeau deposit (objectives, geological context, preliminary study, routine prospecting, study of geochemical anomalies), tactical research on Verneix indices (study of radioactivity anomaly discovered by radio-prospecting), strategical searches in a non prospected area in the South of Avallon. The author discusses the issues of efficiency and cost price of this geochemical prospecting technique in soils and in waters. Appendices present the equipment and operation modality for soil sampling, and for soil sample preparation, and principles, equipment and products for soil analysis and for water analysis [fr

  14. Spatial atomic layer deposition: a route towards further industrialization of atomic layer deposition

    NARCIS (Netherlands)

    Poodt, P.; Cameron, D.C.; Dickey, E.; George, S.M.; Kuznetsov, Vladimir; Parsons, G.N.; Roozeboom, F.; Sundaram, G.; Vermeer, A.

    2012-01-01

    Spatial atomic layer deposition can be used as a high-throughput manufacturing technique in functional thin film deposition for applications such as flexible electronics. This; however, requires low-temperature processing and handling of flexible substrates. The authors investigate the process

  15. A comparative study of the electrical properties of Pd/ZnO Schottky contacts fabricated using electron beam deposition and resistive/thermal evaporation techniques

    International Nuclear Information System (INIS)

    Mtangi, W.; Auret, F. D.; Janse van Rensburg, P. J.; Coelho, S. M. M.; Legodi, M. J.; Nel, J. M.; Meyer, W. E.; Chawanda, A.

    2011-01-01

    A systematic investigation to check the quality of Pd Schottky contacts deposited on ZnO has been performed on electron beam (e-beam) deposited and resistively/thermally evaporated samples using current-voltage, IV, and conventional deep level transient spectroscopy (DLTS) measurements. Room temperature IV measurements reveal the dominance of pure thermionic emission on the resistively evaporated contacts, while the e-beam deposited contacts show the dominance of generation recombination at low voltages, -10 A at a reverse voltage of 1.0 V whereas the e-beam deposited contacts have reverse currents of the order of 10 -6 A at 1.0 V. Average ideality factors have been determined as (1.43 ± 0.01) and (1.66 ± 0.02) for the resistively evaporated contacts and e-beam deposited contacts, respectively. The IV barrier heights have been calculated as (0.721 ± 0.002) eV and (0.624 ± 0.005) eV for the resistively evaporated and e-beam deposited contacts, respectively. Conventional DLTS measurements reveal the presence of three prominent defects in both the resistive and e-beam contacts. Two extra peaks with energy levels of 0.60 and 0.81 eV below the conduction band minimum have been observed in the e-beam deposited contacts. These have been explained as contributing to the generation recombination current that dominates at low voltages and high leakage currents. Based on the reverse current at 1.0 V, the degree of rectification, the dominant current transport mechanism and the observed defects, we conclude that the resistive evaporation technique yields better quality Schottky contacts for use in solar cells and ultraviolet detectors compared to the e-beam deposition technique. The 0.60 eV has been identified as possibly related to the unoccupied level for the doubly charged oxygen vacancy, V o 2+ .

  16. Effects of pH and temperature on the deposition properties of stannate chemical conversion coatings formed by the potentiostatic technique on AZ91 D magnesium alloy

    International Nuclear Information System (INIS)

    Elsentriecy, Hassan H.; Azumi, Kazuhisa; Konno, Hidetaka

    2008-01-01

    The effects of pH and temperature of a stannate bath on the quality of stannate chemical conversion coatings formed on AZ91 D magnesium alloy by using the potentiostatic polarization technique at E = -1.1 V were investigated in order to improve uniformity and corrosion protection performance of the coating films. It was found that the uniformity and corrosion resistance of coating films deposited by potentiostatic polarization were closely associated with pH and temperature of the coating bath. The pH and temperature to obtain the best coating film were investigated as a function of corrosion protection performance evaluated by curves of potentiodynamic anodic polarization conducted in borate buffer solution. Scanning electron microscope observation and electrochemical corrosion tests of the stannate-coated samples confirmed significant improvement in uniformity and corrosion resistivity of coating films deposited by the potentiostatic technique by modifying the pH and temperature of the coating bath. It was also found that uniformity and corrosion resistivity of the coating films deposited by the potentiostatic technique were considerably improved compared to those of coatings deposited by the simple immersion method at the best conditions of pH and temperature of the coating bath

  17. Breakthrough to Non-Vacuum Deposition of Single-Crystal, Ultra-Thin, Homogeneous Nanoparticle Layers: A Better Alternative to Chemical Bath Deposition and Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Yu-Kuang Liao

    2017-04-01

    Full Text Available Most thin-film techniques require a multiple vacuum process, and cannot produce high-coverage continuous thin films with the thickness of a few nanometers on rough surfaces. We present a new ”paradigm shift” non-vacuum process to deposit high-quality, ultra-thin, single-crystal layers of coalesced sulfide nanoparticles (NPs with controllable thickness down to a few nanometers, based on thermal decomposition. This provides high-coverage, homogeneous thickness, and large-area deposition over a rough surface, with little material loss or liquid chemical waste, and deposition rates of 10 nm/min. This technique can potentially replace conventional thin-film deposition methods, such as atomic layer deposition (ALD and chemical bath deposition (CBD as used by the Cu(In,GaSe2 (CIGS thin-film solar cell industry for decades. We demonstrate 32% improvement of CIGS thin-film solar cell efficiency in comparison to reference devices prepared by conventional CBD deposition method by depositing the ZnS NPs buffer layer using the new process. The new ZnS NPs layer allows reduction of an intrinsic ZnO layer, which can lead to severe shunt leakage in case of a CBD buffer layer. This leads to a 65% relative efficiency increase.

  18. A simple method to deposit palladium doped SnO2 thin films using plasma enhanced chemical vapor deposition technique

    International Nuclear Information System (INIS)

    Kim, Young Soon; Wahab, Rizwan; Shin, Hyung-Shik; Ansari, S. G.; Ansari, Z. A.

    2010-01-01

    This work presents a simple method to deposit palladium doped tin oxide (SnO 2 ) thin films using modified plasma enhanced chemical vapor deposition as a function of deposition temperature at a radio frequency plasma power of 150 W. Stannic chloride (SnCl 4 ) was used as precursor and oxygen (O 2 , 100 SCCM) (SCCM denotes cubic centimeter per minute at STP) as reactant gas. Palladium hexafluroacetyleacetonate (Pd(C 5 HF 6 O 2 ) 2 ) was used as a precursor for palladium. Fine granular morphology was observed with tetragonal rutile structure. A peak related to Pd 2 Sn is observed, whose intensity increases slightly with deposition temperature. Electrical resistivity value decreased from 8.6 to 0.9 mΩ cm as a function of deposition temperature from 400 to 600 deg. C. Photoelectron peaks related to Sn 3d, Sn 3p3, Sn 4d, O 1s, and C 1s were detected with varying intensities as a function of deposition temperature.

  19. Electrical transport properties of graphene nanowalls grown at low temperature using plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Zhao, Rong; Ahktar, Meysam; Alruqi, Adel; Dharmasena, Ruchira; Jasinski, Jacek B.; Thantirige, Rukshan M.; Sumanasekera, Gamini U.

    2017-05-01

    In this work, we report the electrical transport properties of uniform and vertically oriented graphene (graphene nanowalls) directly synthesized on multiple substrates including glass, Si/SiO2 wafers, and copper foils using radio-frequency plasma enhanced chemical vapor deposition (PECVD) with methane (CH4) as the precursor at relatively low temperatures. The temperature for optimum growth was established with the aid of transmission electron microscopy, scanning electron microscopy, and Raman spectroscopy. This approach offers means for low-cost graphene nanowalls growth on an arbitrary substrate with the added advantage of transfer-free device fabrication. The temperature dependence of the electrical transport properties (resistivity and thermopower) were studied in the temperature range, 30-300 K and analyzed with a combination of 2D-variable range hopping (VRH) and thermally activated (TA) conduction mechanisms. An anomalous temperature dependence of the thermopower was observed for all the samples and explained with a combination of a diffusion term having a linear temperature dependence plus a term with an inverse temperature dependence.

  20. Characterisation of DLC films deposited using titanium isopropoxide (TIPOT) at different flow rates.

    Science.gov (United States)

    Said, R; Ali, N; Ghumman, C A A; Teodoro, O M N D; Ahmed, W

    2009-07-01

    In recent years, there has been growing interest in the search for advanced biomaterials for biomedical applications, such as human implants and surgical cutting tools. It is known that both carbon and titanium exhibit good biocompatibility and have been used as implants in the human body. It is highly desirable to deposit biocompatible thin films onto a range of components in order to impart biocompatibility and to minimise wear in implants. Diamond like carbon (DLC) is a good candidate material for achieving biocompatibility and low wear rates. In this study, thin films of diamond-like-carbon DLC were deposited onto stainless steel (316) substrates using C2H2, argon and titanium isopropoxide (TIPOT) precursors. Argon was used to generate the plasma in the plasma enhanced vapour deposition (PECVD) system. A critical coating feature governing the performance of the component during service is film thickness. The as-grown films were in the thickness range 90-100 nm and were found to be dependent on TIPOT flow rate. Atomic force microscopy (AFM) was used to characterise the surface roughness of the samples. As the flow rate of TIPOT increased the average roughness was found to increase in conjunction with the film thickness. Raman spectroscopy was used to investigate the chemical structure of amorphous carbon matrix. Surface tension values were calculated using contact angle measurements. In general, the trend of the surface tension results exhibited an opposite trend to that of the contact angle. The elemental composition of the samples was characterised using a VG ToF SIMS (IX23LS) instrument and X-ray photoelectron spectroscopy (XPS). Surprisingly, SIMS and XPS results showed that the DLC samples did not show evidence of titanium since no peaks representing to titanium appeared on the SIMS/XPS spectra.

  1. Noninvasive radioisotopic technique for detection of platelet deposition in mitral valve prostheses and quantitation of visceral microembolism in dogs

    International Nuclear Information System (INIS)

    Dewanjee, M.K.; Fuster, V.; Rao, S.A.; Forshaw, P.L.; Kaye, M.P.

    1983-01-01

    A noninvasive technique has been developed in the dog model for imaging, with a gamma camera, the platelet deposition on Bjoerk-Shiley mitral valve prostheses early postoperatively. At 25 hours after implantation of the prosthesis and 24 hours after intravenous administration of 400 to 500 microCi of platelets labeled with indium-111, the platelet deposition in the sewing ring and perivalvular cardiac tissue can be clearly delineated in a scintiphotograph. An in vitro technique was also developed for quantitation of visceral microemboli in brain, lungs, kidneys, and other tissues. Biodistribution of the labeled platelets was quantitated, and the tissue/blood radioactivity ratio was determined in 22 dogs in four groups: unoperated normal dogs, sham-operated dogs, prosthesis-implanted dogs, and prosthesis-implanted dogs treated with dipyridamole before and aspirin and dipyridamole immediately after operation. Fifteen to 20% of total platelets were consumed as a consequence of the surgical procedure. On quantitation, we found that platelet deposition on the components of the prostheses was significantly reduced in prosthesis-implanted animals treated with dipyridamole and aspirin when compared with prosthesis-implanted, untreated dogs. All prosthesis-implanted animals considered together had a twofold to fourfold increase in tissue/blood radioactivity ratio in comparison with unoperated and sham-operated animals, an indication that the viscera work as filters and trap platelet microemboli that are presumably produced in the region of the mitral valve prostheses. In the dog model, indium-111-labeled platelets thus provide a sensitive marker for noninvasive imaging of platelet deposition on mechanical mitral valve prostheses, in vitro evaluation of platelet microembolism in viscera, in vitro quantitation of surgical consumption of platelets, and evaluation of platelet-inhibitor drugs

  2. Carbon thin films deposited by the magnetron sputtering technique using cobalt, copper and nickel as buffer-layers

    International Nuclear Information System (INIS)

    Costa e Silva, Danilo Lopes

    2015-01-01

    In this work, carbon thin films were produced by the magnetron sputtering technique using single crystal substrates of alumina c-plane (0001) and Si (111) and Si (100) substrates, employing Co, Ni and Cu as intermediate films (buffer-layers). The depositions were conducted in three stages, first with cobalt buffer-layers where only after the production of a large number of samples, the depositions using cooper buffer-layers were carried out on Si substrates. Then, depositions were performed with nickel buffer layers using single-crystal alumina substrates. The crystallinity of the carbon films was evaluated by using the technique of Raman spectroscopy and, then, by X-ray diffraction (XRD). The morphological characterization of the films was performed by scanning electron microscopy (SEM and FEG-SEM) and high-resolution transmission electron microscopy (HRTEM). The XRD peaks related to the carbon films were observed only in the results of the samples with cobalt and nickel buffer-layers. The Raman spectroscopy showed that the carbon films with the best degree of crystallinity were the ones produced with Si (111) substrates, for the Cu buffers, and sapphire substrates for the Ni and Co buffers, where the latter resulted in a sample with the best crystallinity of all the ones produced in this work. It was observed that the cobalt has low recovering over the alumina substrates when compared to the nickel. Sorption tests of Ce ions by the carbon films were conducted in two samples and it was observed that the sorption did not occur probably because of the low crystallinity of the carbon films in both samples. (author)

  3. Transparent conducting ZnO-CdO thin films deposited by e-beam evaporation technique

    Science.gov (United States)

    Mohamed, H. A.; Ali, H. M.; Mohamed, S. H.; Abd El-Raheem, M. M.

    2006-04-01

    Thin films of Zn{1-x} Cd{x}O with x = 0, 0.1, 0.2, 0.3, 0.4 and 0.5 at.% were deposited by electron-beam evaporation technique. It has been found that, for as-deposited films, both the transmittance and electrical resistivity decreased with increasing the Cd content. To improve the optical and electrical properties of these films, the effect of annealing temperature and time were taken into consideration for Zn{1-x} Cd{x}O film with x = 0.2. It was found that, the optical transmittance and the electrical conductivity were improved significantly with increasing the time of annealing. At fixed temperature of 300 °C, the transmittance increased with increasing the time of annealing and reached its maximum values of 81% in the visible region and 94% in the NIR region at annealing time of 120 min. The low electrical resistivity of 3.6 × 10-3 Ω cm was achieved at the same conditions. Other parameters named free carrier concentrations, refractive index, extinction coefficient, plasma frequency, and relaxation time were studied as a function of annealing temperature and time for 20% Cd content.

  4. Using wire shaping techniques and holographic optics to optimize deposition characteristics in wire-based laser cladding.

    Science.gov (United States)

    Goffin, N J; Higginson, R L; Tyrer, J R

    2016-12-01

    In laser cladding, the potential benefits of wire feeding are considerable. Typical problems with the use of powder, such as gas entrapment, sub-100% material density and low deposition rate are all avoided with the use of wire. However, the use of a powder-based source material is the industry standard, with wire-based deposition generally regarded as an academic curiosity. This is because, although wire-based methods have been shown to be capable of superior quality results, the wire-based process is more difficult to control. In this work, the potential for wire shaping techniques, combined with existing holographic optical element knowledge, is investigated in order to further improve the processing characteristics. Experiments with pre-placed wire showed the ability of shaped wire to provide uniformity of wire melting compared with standard round wire, giving reduced power density requirements and superior control of clad track dilution. When feeding with flat wire, the resulting clad tracks showed a greater level of quality consistency and became less sensitive to alterations in processing conditions. In addition, a 22% increase in deposition rate was achieved. Stacking of multiple layers demonstrated the ability to create fully dense, three-dimensional structures, with directional metallurgical grain growth and uniform chemical structure.

  5. Optimization of Pb(Zr0.53,Ti0.47)O3 films for micropower generation using integrated cantilevers

    KAUST Repository

    Fuentes-Fernandez, E. M A; Baldenegro-Pé rez, Leonardo Aurelio; Quevedo-Ló pez, Manuel Angel Quevedo; Gnade, Bruce E.; Hande, Abhiman; Shah, Pradeep; Alshareef, Husam N.

    2011-01-01

    Lead zirconate titanate, Pb(Zr0.53,Ti0.47)O 3 or PZT, thin films and integrated cantilevers have been fabricated for energy harvesting applications. The PZT films were deposited on PECVD SiO2/Si substrates with a sol-gel derived ZrO2 buffer layer

  6. Synthesis of Ag-doped hydrogenated carbon thin films by a hybrid ...

    Indian Academy of Sciences (India)

    Sci., Vol. 37, No. 7, December 2014, pp. 1669–1676. c Indian Academy of Sciences. Synthesis of ... PVD–PECVD deposition process ... Processing parameters (working pressure, .... prepared using the hydrocarbon ion beam without oper- .... Figure 5. Comparison of FTIR spectra obtained from the DLC and Ag-DLC thin.

  7. Geophysical techniques for reconnaissance investigations of soils and surficial deposits in mountainous terrain

    Science.gov (United States)

    Olson, C.G.; Doolittle, J.A.

    1985-01-01

    Two techniques were assessed for their capabilities in reconnaissance studies of soil characteristics: depth to the water table and depth to bedrock beneath surficial deposits in mountainous terrain. Ground-penetrating radar had the best near-surface resolution in the upper 2 m of the profile and provided continuous interpretable imagery of soil profiles and bedrock surfaces. Where thick colluvium blankets side slopes, the GPR could not consistently define the bedrock interface. In areas with clayey or shaley sediments, the GPR is also more limited in defining depth and is less reliable. Seismic refraction proved useful in determining the elevation of the water table and depth to bedrock, regardless of thickness of overlying material, but could not distinguish soil-profile characteristics.-from Authors

  8. Iron, nitrogen and silicon doped diamond like carbon (DLC) thin films: A comparative study

    International Nuclear Information System (INIS)

    Ray, Sekhar C.; Pong, W.F.; Papakonstantinou, P.

    2016-01-01

    The X-ray absorption near edge structure (XANES), X-ray photoelectron spectroscopy (XPS), valence band photoemission (VB-PES) and Raman spectroscopy results show that the incorporation of nitrogen in pulsed laser deposited diamond like carbon (DLC) thin films, reverts the sp"3 network to sp"2 as evidenced by an increase of the sp"2 cluster and I_D/I_G ratio in C K-edge XANES and Raman spectra respectively which reduces the hardness/Young's modulus into the film network. Si-doped DLC film deposited in a plasma enhanced chemical vapour deposition process reduces the sp"2 cluster and I_D/I_G ratio that causes the decrease of hardness/Young's modulus of the film structure. The Fe-doped DLC films deposited by dip coating technique increase the hardness/Young's modulus with an increase of sp"3-content in DLC film structure. - Highlights: • Fe, N and Si doped DLC films deposited by dip, PLD and PECVD methods respectively • DLC:Fe thin films have higher hardness/Young's modulus than DLC:N(:Si) thin films. • sp"3 and sp"2 contents are estimated from C K-edge XANES and VB-PES measurements.

  9. Application of the electrophoretic deposition technique for obtaining Yttria-stabilized zirconia tubes; Aplicacao da tecnica de deposicao eletroforetica para a obtencao de tubos ceramicos de zirconia-itria

    Energy Technology Data Exchange (ETDEWEB)

    Caproni, E.; Muccillo, R., E-mail: ecaproni@gmail.com, E-mail: muccillo@usp.br [Centro de Ciencia e Tecnologia de Materiais, Instituto de Pesquisas Energeticas e Nucleares (IPEN/CNEN-SP), Sao Paulo, SP (Brazil)

    2012-01-15

    The electrophoretic deposition (EPD) is recognized as the most versatile technique for processing particulate materials, due to low cost, deposition in minutes and forming of pieces with complex geometry shapes. In this work an experimental setup for the simultaneous conformation of 16 ceramic tubes by EPD was built. Bimodal submicron Yttria-stabilized zirconia particles were deposited into graphite electrodes, after suitably adjusting the rheological characteristics of the suspension in isopropanol. After graphite burning and YSZ sintering at 1500 deg C, the ceramic tubes were characterized by X-ray diffraction, scanning probe microscope, impedance spectroscopy and electrical response as a function of oxygen content. Small dense one end-closed ceramic tubes, fully stabilized in the cubic phase, were successfully obtained by the EPD technique, showing the ability of that technique for processing large quantities of tubular solid electrolytes with electrical response to different amounts of oxygen according to the Nernst law (author)

  10. Stress-induced leakage current characteristics of PMOS fabricated by a new multi-deposition multi-annealing technique with full gate last process

    International Nuclear Information System (INIS)

    Wang Yanrong; Yang Hong; Xu Hao; Luo Weichun; Qi Luwei; Zhang Shuxiang; Wang Wenwu; Zhu Huilong; Zhao Chao; Chen Dapeng; Ye Tianchun; Yan Jiang

    2017-01-01

    In the process of high- k films fabrication, a novel multi deposition multi annealing (MDMA) technique is introduced to replace simple post deposition annealing. The leakage current decreases with the increase of the post deposition annealing (PDA) times. The equivalent oxide thickness (EOT) decreases when the annealing time(s) change from 1 to 2. Furthermore, the characteristics of SILC (stress-induced leakage current) for an ultra-thin SiO 2 /HfO 2 gate dielectric stack are studied systematically. The increase of the PDA time(s) from 1 to 2 can decrease the defect and defect generation rate in the HK layer. However, increasing the PDA times to 4 and 7 may introduce too much oxygen, therefore the type of oxygen vacancy changes. (paper)

  11. Polyethylene Oxide Films Polymerized by Radio Frequency Plasma-Enhanced Chemical Vapour Phase Deposition and Its Adsorption Behaviour of Platelet-Rich Plasma

    International Nuclear Information System (INIS)

    Wen-Juan, Hu; Fen-Yan, Xie; Qiang, Chen; Jing, Weng

    2008-01-01

    We present polyethylene oxide (PEO) functional films polymerized by rf plasma-enhanced vapour chemical deposition (rf-PECVD) on p-Si (100) surface with precursor ethylene glycol dimethyl ether (EGDME) and diluted Ar in pulsed plasma mode. The influences of discharge parameters on the film properties and compounds are investigated. The film structure is analysed by Fourier transform infrared (FTIR) spectroscopy. The water contact angle measurement and atomic force microscope (AFM) are employed to examine the surface polarity and to detect surface morphology, respectively. It is concluded that the smaller duty cycle in pulsed plasma mode contributes to the rich C-O-C (EO) group on the surfaces. As an application, the adsorption behaviour of platelet-rich plasma on plasma polymerization films performed in-vitro is explored. The shapes of attached cells are studied in detail by an optic invert microscope, which clarifies that high-density C-O-C groups on surfaces are responsible for non-fouling adsorption behaviour of the PEO films

  12. Polyethylene Oxide Films Polymerized by Radio Frequency Plasma-Enhanced Chemical Vapour Phase Deposition and Its Adsorption Behaviour of Platelet-Rich Plasma

    Science.gov (United States)

    Hu, Wen-Juan; Xie, Fen-Yan; Chen, Qiang; Weng, Jing

    2008-10-01

    We present polyethylene oxide (PEO) functional films polymerized by rf plasma-enhanced vapour chemical deposition (rf-PECVD) on p-Si (100) surface with precursor ethylene glycol dimethyl ether (EGDME) and diluted Ar in pulsed plasma mode. The influences of discharge parameters on the film properties and compounds are investigated. The film structure is analysed by Fourier transform infrared (FTIR) spectroscopy. The water contact angle measurement and atomic force microscope (AFM) are employed to examine the surface polarity and to detect surface morphology, respectively. It is concluded that the smaller duty cycle in pulsed plasma mode contributes to the rich C-O-C (EO) group on the surfaces. As an application, the adsorption behaviour of platelet-rich plasma on plasma polymerization films performed in-vitro is explored. The shapes of attached cells are studied in detail by an optic invert microscope, which clarifies that high-density C-O-C groups on surfaces are responsible for non-fouling adsorption behaviour of the PEO films.

  13. Structural and Optical Properties of Chemical Bath Deposited Silver Oxide Thin Films: Role of Deposition Time

    Directory of Open Access Journals (Sweden)

    A. C. Nwanya

    2013-01-01

    Full Text Available Silver oxide thin films were deposited on glass substrates at a temperature of 50°C by chemical bath deposition technique under different deposition times using pure AgNO3 precursor and triethanolamine as the complexing agent. The chemical analysis based on EDX technique shows the presence of Ag and O at the appropriate energy levels. The morphological features obtained from SEM showed that the AgxO structures varied as the deposition time changes. The X-ray diffraction showed the peaks of Ag2O and AgO in the structure. The direct band gap and the refractive index increased as the deposition time increased and was in the range of 1.64–1.95 eV and 1.02–2.07, respectively. The values of the band gap and refractive index obtained indicate possible applications in photovoltaic and photothermal systems.

  14. Tight comparison of Mg and Y thin film photocathodes obtained by the pulsed laser deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Lorusso, A. [Dipartimento di Matematica e Fisica “E. De Giorgi”, Università del Salento and Istituto Nazionale di Fisica Nucleare, 73100 Lecce (Italy); Gontad, F., E-mail: francisco.gontad@le.infn.it [Dipartimento di Matematica e Fisica “E. De Giorgi”, Università del Salento and Istituto Nazionale di Fisica Nucleare, 73100 Lecce (Italy); Solombrino, L. [Dipartimento di Matematica e Fisica “E. De Giorgi”, Università del Salento and Istituto Nazionale di Fisica Nucleare, 73100 Lecce (Italy); Chiadroni, E. [Laboratori Nazionali di Frascati, Istituto Nazionale di Fisica Nucleare, 00044 Frascati (Italy); Broitman, E. [Department of Physics, Chemistry and Biology (IFM), Linköping University, SE-58183 Linköping (Sweden); Perrone, A. [Dipartimento di Matematica e Fisica “E. De Giorgi”, Università del Salento and Istituto Nazionale di Fisica Nucleare, 73100 Lecce (Italy)

    2016-11-11

    In this work Magnesium (Mg) and Yttrium (Y) thin films have been deposited on Copper (Cu) polycrystalline substrates by the pulsed laser ablation technique for photocathode application. Such metallic materials are studied for their interesting photoemission properties and are proposed as a good alternative to the Cu photocathode, which is generally used in radio-frequency guns. Mg and Y films were uniform with no substantial differences in morphology; a polycrystalline structure was found for both of them. Photoemission measurements of such cathodes based on thin films were performed, revealing a quantum efficiency higher than Cu bulk. Photoemission theory according to the three-step model of Spicer is invoked to explain the superior photoemission performance of Mg with respect to Y. - Highlights: • Mg and Y thin film photocathodes were successfully prepared by pulsed laser deposition. • Mg quantum efficiency is higher than Y, despite its higher work function. • The three-step model of Spicer justify the difference in quantum efficiency.

  15. Chemical bath deposited and dip coating deposited CuS thin films - Structure, Raman spectroscopy and surface study

    Science.gov (United States)

    Tailor, Jiten P.; Khimani, Ankurkumar J.; Chaki, Sunil H.

    2018-05-01

    The crystal structure, Raman spectroscopy and surface microtopography study on as-deposited CuS thin films were carried out. Thin films deposited by two techniques of solution growth were studied. The thin films used in the present study were deposited by chemical bath deposition (CBD) and dip coating deposition techniques. The X-ray diffraction (XRD) analysis of both the as-deposited thin films showed that both the films possess covellite phase of CuS and hexagonal unit cell structure. The determined lattice parameters of both the films are in agreement with the standard JCPDS as well as reported data. The crystallite size determined by Scherrer's equation and Hall-Williamsons relation using XRD data for both the as-deposited thin films showed that the respective values were in agreement with each other. The ambient Raman spectroscopy of both the as-deposited thin films showed major emission peaks at 474 cm-1 and a minor emmision peaks at 265 cm-1. The observed Raman peaks matched with the covellite phase of CuS. The atomic force microscopy of both the as-deposited thin films surfaces showed dip coating thin film to be less rough compared to CBD deposited thin film. All the obtained results are presented and deliberated in details.

  16. Industrial implementation of plasma deposition using the expanding thermal plasma technique

    NARCIS (Netherlands)

    Sanden, van de M.C.M.; Oever, van den P.J.; Creatore, M.; Schaepkens, M.; Miebach, T.; Iacovangelo, C.D.; Bosch, R.C.M.; Bijker, M.D.; Evers, M.F.J.; Schram, D.C.; Kessels, W.M.M.

    2004-01-01

    Two successful industrial implementations of the expanding thermal plasma setup, a novel plasma source, obtaining high deposition rate are discussed. The Ar/O2/hexamethyldisiloxane and Ar/O2/octamethyl-cyclosiloxane-fed expanding thermal plasma setup is used to deposit scratch resistant silicone

  17. Amorphous silicon solar cells on nano-imprinted commodity paper without sacrificing efficiency

    NARCIS (Netherlands)

    Werf, van der C.H.M.; Budel, T.; Dorenkamper, M.S.; Zhang, D.; Soppe, W.; de Neve, H.; Schropp, R.E.I.

    2015-01-01

    Paper is a cheap substrate which is in principle compatible with the process temperature applied in the plasma enhanced chemical vapour deposition (PECVD) and hot wire CVD (HWCVD) of thin film silicon solar cells. The main drawback of paper for this application is the porosity due to its fibre like

  18. Research Update: Large-area deposition, coating, printing, and processing techniques for the upscaling of perovskite solar cell technology

    Directory of Open Access Journals (Sweden)

    Stefano Razza

    2016-09-01

    Full Text Available To bring perovskite solar cells to the industrial world, performance must be maintained at the photovoltaic module scale. Here we present large-area manufacturing and processing options applicable to large-area cells and modules. Printing and coating techniques, such as blade coating, slot-die coating, spray coating, screen printing, inkjet printing, and gravure printing (as alternatives to spin coating, as well as vacuum or vapor based deposition and laser patterning techniques are being developed for an effective scale-up of the technology. The latter also enables the manufacture of solar modules on flexible substrates, an option beneficial for many applications and for roll-to-roll production.

  19. Advanced deposition model for thermal activated chemical vapor deposition

    Science.gov (United States)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  20. Liquefier Dynamics in Fused Deposition

    DEFF Research Database (Denmark)

    Bellini, Anna; Guceri, Selcuk; Bertoldi, Maurizio

    2004-01-01

    Layered manufacturing (LM) is an evolution of rapid prototyping (RP) technology whereby a part is built in layers. Fused deposition modeling (FDM) is a particular LM technique in which each section is fabricated through vector style deposition of building blocks, called roads, which...

  1. Silicon Thin-Film Solar Cells

    Directory of Open Access Journals (Sweden)

    Guy Beaucarne

    2007-01-01

    with plasma-enhanced chemical vapor deposition (PECVD. In spite of the fundamental limitation of this material due to its disorder and metastability, the technology is now gaining industrial momentum thanks to the entry of equipment manufacturers with experience with large-area PECVD. Microcrystalline Si (also called nanocrystalline Si is a material with crystallites in the nanometer range in an amorphous matrix, and which contains less defects than amorphous silicon. Its lower bandgap makes it particularly appropriate as active material for the bottom cell in tandem and triple junction devices. The combination of an amorphous silicon top cell and a microcrystalline bottom cell has yielded promising results, but much work is needed to implement it on large-area and to limit light-induced degradation. Finally thin-film polysilicon solar cells, with grain size in the micrometer range, has recently emerged as an alternative photovoltaic technology. The layers have a grain size ranging from 1 μm to several tens of microns, and are formed at a temperature ranging from 600 to more than 1000∘C. Solid Phase Crystallization has yielded the best results so far but there has recently been fast progress with seed layer approaches, particularly those using the aluminum-induced crystallization technique.

  2. Amorphous indium gallium zinc oxide thin film grown by pulse laser deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Mistry, Bhaumik V., E-mail: bhaumik-phy@yahoo.co.in; Joshi, U. S. [Department of Physics, University School of Sciences, Gujarat University, Ahmedabad-380 009 (India)

    2016-05-23

    Highly electrically conducting and transparent in visible light IGZO thin film were grown on glass substrate at substrate temperature of 400 C by a pulse laser deposition techniques. Structural, surface, electrical, and optical properties of IGZO thin films were investigated at room temperature. Smooth surface morphology and amorphous nature of the film has been confirmed from the AFM and GIXRD analysis. A resistivity down to 7.7×10{sup −3} V cm was reproducibly obtained while maintaining optical transmission exceeding 70% at wavelengths from 340 to 780 nm. The carrier densities of the film was obtain to the value 1.9×10{sup 18} cm{sup 3}, while the Hall mobility of the IGZO thin film was 16 cm{sup 2} V{sup −1}S{sup −1}.

  3. Analyzing the LiF thin films deposited at different substrate temperatures using multifractal technique

    Energy Technology Data Exchange (ETDEWEB)

    Yadav, R.P. [Department of Physics, University of Allahabad, Allahabad, UP 211002 (India); Dwivedi, S., E-mail: suneetdwivedi@gmail.com [K Banerjee Centre of Atmospheric and Ocean Studies, University of Allahabad, Allahabad, UP 211002 (India); Mittal, A.K. [Department of Physics, University of Allahabad, Allahabad, UP 211002 (India); K Banerjee Centre of Atmospheric and Ocean Studies, University of Allahabad, Allahabad, UP 211002 (India); Kumar, Manvendra [Nanotechnology Application Centre, University of Allahabad, Allahabad, UP 211002 (India); Pandey, A.C. [K Banerjee Centre of Atmospheric and Ocean Studies, University of Allahabad, Allahabad, UP 211002 (India); Nanotechnology Application Centre, University of Allahabad, Allahabad, UP 211002 (India)

    2014-07-01

    The Atomic Force Microscopy technique is used to characterize the surface morphology of LiF thin films deposited at substrate temperatures 77 K, 300 K and 500 K, respectively. It is found that the surface roughness of thin film increases with substrate temperature. The multifractal nature of the LiF thin film at each substrate temperature is investigated using the backward two-dimensional multifractal detrended moving average analysis. The strength of multifractility and the non-uniformity of the height probabilities of the thin films increase as the substrate temperature increases. Both the width of the multifractal spectrum and the difference of fractal dimensions of the thin films increase sharply as the temperature reaches 500 K, indicating that the multifractility of the thin films becomes more pronounced at the higher substrate temperatures with greater cluster size. - Highlights: • Analyzing LiF thin films using multifractal detrended moving average technique • Surface roughness of LiF thin film increases with substrate temperature. • LiF thin films at each substrate temperature exhibit multifractality. • Multifractility becomes more pronounced at the higher substrate temperatures.

  4. Growth and characterization of tin disulfide (SnS2) thin film deposited by successive ionic layer adsorption and reaction (SILAR) technique

    International Nuclear Information System (INIS)

    Deshpande, N.G.; Sagade, A.A.; Gudage, Y.G.; Lokhande, C.D.; Sharma, Ramphal

    2007-01-01

    Thin films of tin disulfide (SnS 2 ) have been deposited by using low cost successive ionic layer adsorption and reaction (SILAR) technique. The deposition parameters such as SILAR cycles (60), immersion time (20 s), rinsing time (10 s) and deposition temperature (27 o C) were optimized to obtain good quality of films. Physical investigations were made to study the structural, optical and electrical properties. X-ray diffraction (XRD) patterns reveal that the deposited SnS 2 thin films have hexagonal crystal structure. Energy dispersive X-ray analysis (EDAX) indicated elemental ratio close to those for tin disulfide (SnS (2.02) ). Uniform deposition of the material over the entire glass substrate was revealed by scanning electron microscopy (SEM). Atomic force microscopy (AFM) showed the film is uniform and the substrate surface is well covered with small spherical grains merged in each other. A direct band gap of 2.22 eV was obtained. Photoluminescence (PL) showed two strong peaks corresponding to green and red emission. Ag/SnS 2 junction showed Schottky diode like I-V characteristics. The barrier height calculated was 0.22 eV. Thermoelectric power (TEP) properties showed that tin disulfide exhibits n-type conductivity

  5. OPTICAL PROPERTIES OF Al:ZnO THIN FILM DEPOSITED BY DIFFERENT SOL-GEL TECHNIQUES: ULTRASONIC SPRAY PYROLYSIS AND DIP-COATING

    Directory of Open Access Journals (Sweden)

    Ebru Gungor

    2016-08-01

    Full Text Available Undoped and Al-doped ZnO polycrystalline thin films have been fabricated on glass substrates by using a computer-controlled dip coating (DC and ultrasonic spray pyrolysis (USP systems. The film deposition parameters of DC process were optimized for the samples. In this technique, the substrate was exposed to temperature gradient using a tube furnace. In the study, the other solvent-based technique was conventional USP. The zinc salt and Al salt concentrations in the solution were kept constant as 0.1 M and 2% of Zn salt’s molarity, respectively. The optical properties were compared for the films deposited two different techniques. The optical transmission of Al:ZnO/Glass/Al:ZnO sample dip coated and  the optical transmission of Al:ZnO/Glass sample ultrasonically sprayed were determined higher than 80% in the visible and near infrared region. Experimental optical transmittance spectra of the films in the forms of FilmA/Glass/FilmA and FilmA/glass were used to determine the optical constants. It was observed that the optical band gaps of Al doped ZnO films onto glass substrate were increases with increase of Al content and the absorption edge shifted to the shorter wavelength (blue shift compared with the undoped ZnO thin film.

  6. Tuning the morphology of metastable MnS films by simple chemical bath deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Dhandayuthapani, T. [Directorate of Distance Education, Alagappa University, Karaikudi 630004 (India); Girish, M. [Department of Physics, Alagappa University, Karaikudi 630004 (India); Sivakumar, R., E-mail: krsivakumar1979@yahoo.com [Directorate of Distance Education, Alagappa University, Karaikudi 630004 (India); Sanjeeviraja, C. [Department of Physics, Alagappa Chettiar College of Engineering and Technology, Karaikudi 630004 (India); Gopalakrishnan, R. [Department of Physics, Anna University, Chennai 600025 (India)

    2015-10-30

    Graphical abstract: - Highlights: • MnS films with diverse morphological features were prepared without any complexing agent. • The change in morphology of MnS films may be due to the “oriented aggregation”. • The dual role (as sulfur source and structure directing agent) of thiourea was observed. • Sulfur source concentration induced enhancement in the crystallization of films. - Abstract: In the present investigation, we have prepared the spherical particles, almond-like, and cauliflower-like morphological structures of metastable MnS films on glass substrate by chemical bath deposition technique at low temperature without using any complexing or chelating agent. The morphological change of MnS films with molar ratio may be due to the oriented aggregation of adjacent particles. The compositional purity of deposited film was confirmed by the EDAX study. X-ray diffraction and micro-Raman studies confirm the sulfur source concentration induced enhancement in the crystallization of films with metastable MnS phase (zinc-blende β-MnS, and wurtzite γ-MnS). The shift in PL emission peak with molar ratio may be due to the change in optical energy band gap of the MnS, which was further confirmed by the optical absorbance study. The paramagnetic behavior of the sample was confirmed by the M–H plot.

  7. Characterization of hydroxyapatite coating by pulse laser deposition technique on stainless steel 316 L by varying laser energy

    International Nuclear Information System (INIS)

    Khandelwal, Himanshu; Singh, Gurbhinder; Agrawal, Khelendra; Prakash, Satya; Agarwal, R.D.

    2013-01-01

    Highlights: ► Hydroxyapatite coating was successfully deposited on stainless steel substrate by pulse laser deposition at different energy levels (i.e. 300 mJ and 500 mJ, respectively). ► Variation in laser energy affects the surface characteristic of hydroxyapatite coating (particle size, surface roughness, uniformity, Ca/P ratio). ► Laser energy between 300 mJ and 500 mJ is the optimal choice for obtaining ideal Ca/P ratio. - Abstract: Hydroxyapatite is an attractive biomaterial mainly used in bone and tooth implants because it closely resembles human tooth and bone mineral and has proven to be biologically compatible with these tissues. In spite of this advantage of hydroxyapatite it has also certain limitation like inferior mechanical properties which do not make it suitable for long term load bearing applications; hence a lot of research is going on in the development of hydroxyapatite coating over various metallic implants. These metallic implants have good biocompatibility and mechanical properties. The aim of the present work is to deposit hydroxyapatite coating over stainless steel grade 316 L by pulse laser deposition technique by varying laser energy. To know the effect of this variation, the coatings were than characterized in detail by X-ray diffraction, finite emission-scanning electron microscope, atomic force microscope and energy dispersive X-ray spectroscopy.

  8. Structural, optical and thermal properties of nanoporous aluminum

    International Nuclear Information System (INIS)

    Ghrib, Taher

    2015-01-01

    Highlights: • A simple electrochemical technique is presented and used to manufacture a porous aluminum layer. • Manufactured pores of 40 nm diameter and 200 nm depth are filled by nanocrystal of silicon and graphite. • Dimensions of pores increase with the anodization current which ameliorate the optical and thermal properties. • A new thermal method is presented which permit to determine the pores density and the layer thickness. • All properties show that the manufactured material can be used with success in solar cells. - Abstract: In this work the structural, thermal and optical properties of porous aluminum thin film formed with various intensities of anodization current in sulfuric acid are highlighted. The obtained pores at the surface are filled by sprayed graphite and nanocrystalline silicon (nc-Si) thin films deposited by plasma enhancement chemical vapor deposition (PECVD) which the role is to improve its optical and thermal absorption giving a structure of an assembly of three different media such as deposited thin layer (graphite or silicon)/(porous aluminum layer filled with the deposited layer)/(Al sample). The effect of anodization current on the microstructure of porous aluminum and the effect of the deposited layer were systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM) and Raman spectroscopy. The thermal properties such as the thermal conductivity (K) and thermal diffusivity (D) are determined by the photothermal deflection (PTD) technique which is a non destructive technique. Based on this full characterization, it is demonstrated that the thermal and optical characteristics of these films are directly correlated to their micro-structural properties

  9. Influence of argon dilution on growth and properties of hydrogenated nanocrystalline silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Parashar, A. [Plasma Processed Materials Group, National Physical Laboratory (CSIR), Dr. K.S. Krishnan Road, New Delhi 110012 (India); Department of Physics and Astro Physics, University of Delhi, Delhi 110007 (India); Kumar, Sushil; Gope, Jhuma; Rauthan, C.M.S.; Dixit, P.N. [Plasma Processed Materials Group, National Physical Laboratory (CSIR), Dr. K.S. Krishnan Road, New Delhi 110012 (India); Hashmi, S.A. [Department of Physics and Astro Physics, University of Delhi, Delhi 110007 (India)

    2010-05-15

    The effect of argon concentration (66-87%) in total gaseous mixture (SiH{sub 4}+H{sub 2}+Ar) on growth and properties of hydrogenated nanocrystalline silicon films deposited by RF (13.56 MHz) PECVD technique was investigated. Raman and XRD measurements revealed increasing argon fraction favored enhancement of crystallinity, enlargement of crystallites and relaxation of strained bonds. Photoluminescence spectra of nc-Si:H films exhibited two radiative transitions in the photon energy ranges of 2.8-3.1 eV and 1.6-2.1 eV. The high energy PL peaks are attributed to surface effect of the films whereas peaks in the range of 1.6-2.1 eV are due to nanocrystallinity in the films. Argon dilution also helped enhancement of deposition rate and conductivity of the films. A film deposited at 81% of argon fraction possesses high crystallinity (75%), conductivity in the order of 10{sup -5} ({omega} cm){sup -1}, size of the crystallite (Raman=12 nm, XRD=18 nm), and low residual stress (125 MPa). (author)

  10. CuFeO2 formation using fused deposition modeling 3D printing and sintering technique

    Science.gov (United States)

    Salea, A.; Dasaesamoh, A.; Prathumwan, R.; Kongkaew, T.; Subannajui, K.

    2017-09-01

    CuFeO2 is a metal oxide mineral material which is called delafossite. It can potentially be used as a chemical catalyst, and gas sensing material. There are methods to fabricate CuFeO2 such as chemical synthesis, sintering, sputtering, and chemical vapor deposition. In our work, CuFeO2 is prepared by Fused Deposition Modeling (FDM) 3D printing. The composite filament which composed of Cu and Fe elements is printed in three dimensions, and then sintered and annealed at high temperature to obtain CuFeO2. Suitable polymer blend and maximum percent volume of metal powder are studied. When percent volume of metal powder is increased, melt flow rate of polymer blend is also increased. The most suitable printing condition is reported and the properties of CuFeO2 are observed by Scanning Electron Microscopy, and Dynamic Scanning Calorimeter, X-ray diffraction. As a new method to produce semiconductor, this technique has a potential to allow any scientist or students to design and print a catalyst or sensing material by the most conventional 3D printing machine which is commonly used around the world.

  11. Panel 1 - comparative evaluation of deposition technologies

    Energy Technology Data Exchange (ETDEWEB)

    Fenske, G.R.; Stodolsky, F. [Argonne National Lab., IL (United States); Benson, D.K.; Pitts, R.J. [National Renewable Energy Lab., Golden, CO (United States); Bhat, D.G. [GTE Valenite Corp., Troy, MI (United States); Yulin Chen [Allison Gas Turbine Division, GM, Indianapolis, IN (United States); Gat, R.; Sunkara, M.K. [Case Western Reserve Univ., Cleveland, OH (United States); Kelly, M. [Stanford Univ., CA (United States); Lawler, J.E. [Univ. of Wisconsin, Madison (United States); Nagle, D.C. [Martin Marietta Labs., Baltimore, MD (United States); Outka, D. [Sandia National Laboratories, Livermore, CA (United States); Revankar, G.S. [Deere & Co., Moline, IL (United States); Subramaniam, V.V. [Ohio State Univ., Columbus (United States); Wilbur, P.J. [Colorado State Univ., Fort Collins (United States); Mingshow Wong [Northwestern Univ., Evanston, IL (United States); Woolam, W.E. [Southwest Research Inst., Arlington, VA (United States)

    1993-01-01

    This working group attempted to evaluate/compare the different types of deposition techniques currently under investigation for depositing diamond and diamond-like carbon films. A table lists the broad types of techniques that were considered for depositing diamond and diamond-like carbon films. After some discussion, it was agreed that any evaluation of the various techniques would be dependent on the end application. Thus the next action was to list the different areas where diamond and DLC films could find applications in transportation. These application areas are listed in a table. The table intentionally does not go into great detail on applications because that subject is dealt with specifically by Panel No. 4 - Applications To Transportation. The next action concentrated on identifying critical issues or limitations that need to be considered in evaluating the different processes. An attempt was then made to rank different broad categories of deposition techniques currently available or under development based on the four application areas and the limitations. These rankings/evaluations are given for diamond and DLC techniques. Finally, the working group tried to identify critical development and research issues that need to be incorporated into developing a long-term program that focuses on diamond/DLC coatings for transportation needs. 5 tabs.

  12. Organic-inorganic field effect transistor with SnI-based perovskite channel layer using vapor phase deposition technique

    Science.gov (United States)

    Matsushima, Toshinori; Yasuda, Takeshi; Fujita, Katsuhiko; Tsutsui, Tetsuo

    2003-11-01

    High field-effect hole mobility of (formula available in paper)and threshold voltage is -3.2 V) in organic-inorganic layered perovskite film (formula available in paper)prepared by a vapor phase deposition technique have been demonstrated through the octadecyltrichlorosilane treatment of substrate. Previously, the (formula available in paper)films prepared on the octadecyltrichlorosilane-covered substrates using a vapor evaporation showed not only intense exciton absorption and photoluminescence in the optical spectroscopy but also excellent crystallinity and large grain structure in X-ray and atomic force microscopic studies. Especially, the (formula available in paper)structure in the region below few nm closed to the surface of octadecyltrichlorosilane monolayer was drastically improved in comparison with that on the non-covered substrate. Though our initial (formula available in paper)films via a same sequence of preparation of (formula available in paper)and octadecyltrichlorosilane monolayer did not show the field-effect properties because of a lack of spectral, structural, and morphological features. The unformation of favorable (formula available in paper)structure in the very thin region, that is very important for the field-effect transistors to transport electrons or holes, closed to the surface of non-covered (formula available in paper)dielectric layer was also one of the problems for no observation of them. By adding further optimization and development, such as deposition rate of perovskite, substrate heating during deposition, and tuning device architecture, with hydrophobic treatment, the vacuum-deposited (formula available in paper)have achieved above-described high performance in organic-inorganic hybrid transistors.

  13. Synthesis of dense nano cobalt-hydroxyapatite by modified electroless deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Mohd Zaheruddin, K., E-mail: zaheruddin@unimap.edu.my; Rahmat, A., E-mail: azmirahmat@unimap.edu.my; Shamsul, J. B., E-mail: sbaharin@unimap.edu.my; Mohd Nazree, B. D., E-mail: nazree@unimap.edu.my; Aimi Noorliyana, H., E-mail: aimiliyana@unimap.edu.my [School of Materials Engineering, Universiti Malaysia Perlis, Kompleks Pusat Pengajian Jejawi Universiti Malaysia Perlis, Taman Muhibbah, Jejawi 02600 Arau Perlis (Malaysia)

    2016-07-19

    Cobalt-hydroxyapatite (Co-HA) composites was successfully prepared by simple electroless deposition process of Co on the surface of hydroxyapatite (HA) particles. Co deposition was carried out in an alkaline bath with sodium hypophosphite as a reducing agent. The electroless process was carried out without sensitization and activation steps. The deposition of Co onto HA was characterized by scanning electron microscopy (SEM) and energy-dispersive X-ray spectroscopy (EDX). The Co-HA composite powder was compacted and sintered at 1250°C. The Co particles were homogeneously dispersed in the HA matrix after sintering and the mechanical properties of composites was enhanced to 100 % with 3 % wt Co and gradually decreased at higher Co content.

  14. Substrate bias induced synthesis of flowered-like bunched carbon nanotube directly on bulk nickel

    Energy Technology Data Exchange (ETDEWEB)

    Bisht, Atul [Polymorphic Carbon Thin Films Group, Physics of Energy Harvesting Division, CSIR-National Physical Laboratory, Dr. K. S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR Campus, New Delhi 110012 (India); Chockalingam, S. [Polymorphic Carbon Thin Films Group, Physics of Energy Harvesting Division, CSIR-National Physical Laboratory, Dr. K. S. Krishnan Marg, New Delhi 110012 (India); Panwar, O.S., E-mail: ospanwar@mail.nplindia.ernet.in [Polymorphic Carbon Thin Films Group, Physics of Energy Harvesting Division, CSIR-National Physical Laboratory, Dr. K. S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR Campus, New Delhi 110012 (India); Kesarwani, A.K. [Polymorphic Carbon Thin Films Group, Physics of Energy Harvesting Division, CSIR-National Physical Laboratory, Dr. K. S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR Campus, New Delhi 110012 (India); Singh, B.P. [Physics and Engineering of Carbon Materials, Division of Materials Physics and Engineering, CSIR-National Physical Laboratory, Dr. K. S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR Campus, New Delhi 110012 (India); Singh, V.N. [Electron and Ion Microscopy, Sophisticated and Analytical Instruments, CSIR-National Physical Laboratory, Dr. K. S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR Campus, New Delhi 110012 (India)

    2016-02-15

    Highlights: • Flowered-like bunched MWCNTs have been synthesized by MW PECVD technique. • Effect of substrate bias on the properties of MWCNT has been studied. • Minimum E{sub T} = 1.9 V/μm with β = 4770 has been obtained in the film deposited at −350 V. - Abstract: This paper reports the effect of substrate bias on the multiwalled carbon nanotube (MWCNT) deposited on nickel foil by microwave plasma enhanced chemical vapor deposition technique. The MWCNTs have been characterized by the scanning electron microscopy (SEM), high resolution transmission electron microscopy (HRTEM), Raman spectroscopy, field emission and current–voltage characteristic of the heterojunction diode. The SEM images exhibit unique hierarchical flowered-like bunched and conformally coated MWCNTs. Substrate bias induced ion bombardment helps in the enhancement of hydrocarbon dissociation and is responsible for flowered-like MWCNTs growth. The HRTEM micrographs show the base growth mechanism for MWCNTs. The value of turn on field for emission decreases from 5.5 to 1.9 V/μm and field enhancement factor increases from 927 to 4770, respectively, with the increase of substrate bias. The diode ideality factor of CNT/ n-Si heterojunction is evaluated as 2.4 and the on/off current ratio is found to be 7 at ±2 V, respectively.

  15. Effect of indium doping level on certain physical properties of CdS films deposited using an improved SILAR technique

    Energy Technology Data Exchange (ETDEWEB)

    Ravichandran, K., E-mail: kkr1365@yahoo.com [P.G. and Research Department of Physics, AVVM Sri Pushpam College (Autonomous), Poondi, Thanjavur-613 503, Tamil Nadu (India); Senthamilselvi, V. [P.G. and Research Department of Physics, AVVM Sri Pushpam College (Autonomous), Poondi, Thanjavur-613 503, Tamil Nadu (India); Department of Physics, Kunthavai Naachiyaar Government College for Women (Autonomous), Thanjavur-613 007, Tamil Nadu (India)

    2013-04-01

    The influence of indium (In) doping levels (0, 2, …, 8 at.%) on certain physical properties of cadmium sulphide (CdS) thin films deposited using an improved successive ionic layer adsorption and reaction (ISILAR) method has been studied. In this improved SILAR technique, a fresh anionic solution was introduced after a particular number of dipping cycles in order to achieve good stoichiometry. All the deposited films exhibited cubic phase with (1 1 1) plane as preferential orientation. The calculated crystallite size values are found to be decreased from 54.80 nm to 23.65 nm with the increase in In doping level. The optical study confirmed the good transparency (80%) of the film. A most compact and pinhole free smooth surface was observed for the CdS films with 8 at.% of In doping level. The perceived photoluminescence (PL) bands endorsed the lesser defect crystalline nature of the obtained CdS:In films. The chemical composition analysis (EDAX) showed the near stoichiometric nature of this ISILAR deposited CdS:In films.

  16. Effect of indium doping level on certain physical properties of CdS films deposited using an improved SILAR technique

    International Nuclear Information System (INIS)

    Ravichandran, K.; Senthamilselvi, V.

    2013-01-01

    The influence of indium (In) doping levels (0, 2, …, 8 at.%) on certain physical properties of cadmium sulphide (CdS) thin films deposited using an improved successive ionic layer adsorption and reaction (ISILAR) method has been studied. In this improved SILAR technique, a fresh anionic solution was introduced after a particular number of dipping cycles in order to achieve good stoichiometry. All the deposited films exhibited cubic phase with (1 1 1) plane as preferential orientation. The calculated crystallite size values are found to be decreased from 54.80 nm to 23.65 nm with the increase in In doping level. The optical study confirmed the good transparency (80%) of the film. A most compact and pinhole free smooth surface was observed for the CdS films with 8 at.% of In doping level. The perceived photoluminescence (PL) bands endorsed the lesser defect crystalline nature of the obtained CdS:In films. The chemical composition analysis (EDAX) showed the near stoichiometric nature of this ISILAR deposited CdS:In films.

  17. Properties of antimony doped ZnO thin films deposited by spray pyrolysis technique

    Energy Technology Data Exchange (ETDEWEB)

    Sadananda Kumar, N., E-mail: sadanthara@gmail.com; Bangera, Kasturi V.; Shivakumar, G. K. [National Institute of Technology Karnataka, Surathkal, Thin Films Laboratory, Department of Physics (India)

    2015-07-15

    Antimony (Sb) doped zinc oxide (ZnO) thin films were deposited on the glass substrate at 450°C using spray pyrolysis technique. Effect of Sb doping on surface morphology structural, optical and electrical properties were studied. X-ray diffraction (XRD) analysis showed that both the undoped and doped ZnO thin films are polycrystalline in nature with (101) preferred orientation. SEM analysis showed a change in surface morphology of Sb doped ZnO thin films. Doping results in a marked increase in conductivity without affecting the transmittance of the films. ZnO films prepared with 3 at % Sb shows the lowest resistivity of 0.185 Ohm cm with a Hall mobility of 54.05 cm{sup 2} V{sup –1} s{sup –1}, and a hole concentration of 6.25 × 10{sup 17} cm{sup –3}.

  18. Diameter Tuning of β-Ga2O3 Nanowires Using Chemical Vapor Deposition Technique.

    Science.gov (United States)

    Kumar, Mukesh; Kumar, Vikram; Singh, R

    2017-12-01

    Diameter tuning of [Formula: see text]-Ga 2 O 3 nanowires using chemical vapor deposition technique have been investigated under various experimental conditions. Diameter of root grown [Formula: see text]-Ga 2 O 3 nanowires having monoclinic crystal structure is tuned by varying separation distance between metal source and substrate. Effect of gas flow rate and mixer ratio on the morphology and diameter of nanowires has been studied. Nanowire diameter depends on growth temperature, and it is independent of catalyst nanoparticle size at higher growth temperature (850-900 °C) as compared to lower growth temperature (800 °C). These nanowires show changes in structural strain value with change in diameter. Band-gap of nanowires increases with decrease in the diameter.

  19. Mechanical characteristics of a tool steel layer deposited by using direct energy deposition

    Science.gov (United States)

    Baek, Gyeong Yun; Shin, Gwang Yong; Lee, Eun Mi; Shim, Do Sik; Lee, Ki Yong; Yoon, Hi-Seak; Kim, Myoung Ho

    2017-07-01

    This study focuses on the mechanical characteristics of layered tool steel deposited using direct energy deposition (DED) technology. In the DED technique, a laser beam bonds injected metal powder and a thin layer of substrate via melting. In this study, AISI D2 substrate was hardfaced with AISI H13 and M2 metal powders for mechanical testing. The mechanical and metallurgical characteristics of each specimen were investigated via microstructure observation and hardness, wear, and impact tests. The obtained characteristics were compared with those of heat-treated tool steel. The microstructures of the H13- and M2-deposited specimens show fine cellular-dendrite solidification structures due to melting and subsequent rapid cooling. Moreover, the cellular grains of the deposited M2 layer were smaller than those of the H13 structure. The hardness and wear resistance were most improved in the M2-deposited specimen, yet the H13-deposited specimen had higher fracture toughness than the M2-deposited specimen and heat-treated D2.

  20. Bacterial adhesion on conventional and self-ligating metallic brackets after surface treatment with plasma-polymerized hexamethyldisiloxane

    Science.gov (United States)

    Tupinambá, Rogerio Amaral; Claro, Cristiane Aparecida de Assis; Pereira, Cristiane Aparecida; Nobrega, Celestino José Prudente; Claro, Ana Paula Rosifini Alves

    2017-01-01

    ABSTRACT Introduction: Plasma-polymerized film deposition was created to modify metallic orthodontic brackets surface properties in order to inhibit bacterial adhesion. Methods: Hexamethyldisiloxane (HMDSO) polymer films were deposited on conventional (n = 10) and self-ligating (n = 10) stainless steel orthodontic brackets using the Plasma-Enhanced Chemical Vapor Deposition (PECVD) radio frequency technique. The samples were divided into two groups according to the kind of bracket and two subgroups after surface treatment. Scanning Electron Microscopy (SEM) analysis was performed to assess the presence of bacterial adhesion over samples surfaces (slot and wings region) and film layer integrity. Surface roughness was assessed by Confocal Interferometry (CI) and surface wettability, by goniometry. For bacterial adhesion analysis, samples were exposed for 72 hours to a Streptococcus mutans solution for biofilm formation. The values obtained for surface roughness were analyzed using the Mann-Whitney test while biofilm adhesion were assessed by Kruskal-Wallis and SNK test. Results: Significant statistical differences (p 0.05). Conclusion: Plasma-polymerized film deposition was only effective on reducing surface roughness and bacterial adhesion in conventional brackets. It was also noted that conventional brackets showed lower biofilm adhesion than self-ligating brackets despite the absence of film. PMID:28902253

  1. Bacterial adhesion on conventional and self-ligating metallic brackets after surface treatment with plasma-polymerized hexamethyldisiloxane

    Directory of Open Access Journals (Sweden)

    Rogerio Amaral Tupinambá

    Full Text Available ABSTRACT Introduction: Plasma-polymerized film deposition was created to modify metallic orthodontic brackets surface properties in order to inhibit bacterial adhesion. Methods: Hexamethyldisiloxane (HMDSO polymer films were deposited on conventional (n = 10 and self-ligating (n = 10 stainless steel orthodontic brackets using the Plasma-Enhanced Chemical Vapor Deposition (PECVD radio frequency technique. The samples were divided into two groups according to the kind of bracket and two subgroups after surface treatment. Scanning Electron Microscopy (SEM analysis was performed to assess the presence of bacterial adhesion over samples surfaces (slot and wings region and film layer integrity. Surface roughness was assessed by Confocal Interferometry (CI and surface wettability, by goniometry. For bacterial adhesion analysis, samples were exposed for 72 hours to a Streptococcus mutans solution for biofilm formation. The values obtained for surface roughness were analyzed using the Mann-Whitney test while biofilm adhesion were assessed by Kruskal-Wallis and SNK test. Results: Significant statistical differences (p 0.05. Conclusion: Plasma-polymerized film deposition was only effective on reducing surface roughness and bacterial adhesion in conventional brackets. It was also noted that conventional brackets showed lower biofilm adhesion than self-ligating brackets despite the absence of film.

  2. The current of a particle along a microtubule in microscopic plasma

    International Nuclear Information System (INIS)

    Li Wei; Chen Junfang; Wang Teng; Lai Xiuqiong

    2008-01-01

    Transport of a particle along the axis of a microtubule in a plasma-enhanced chemical vapor deposition (PECVD) system is investigated. The current, respectively, as a function of the temperature, the magnetic field and the external force is obtained. The value and direction of the current may be controlled by changing the above parameters

  3. Hierarchical carbon nanostructure design: ultra-long carbon nanofibers decorated with carbon nanotubes

    International Nuclear Information System (INIS)

    El Mel, A A; Achour, A; Gautron, E; Angleraud, B; Granier, A; Le Brizoual, L; Djouadi, M A; Tessier, P Y; Xu, W; Choi, C H

    2011-01-01

    Hierarchical carbon nanostructures based on ultra-long carbon nanofibers (CNF) decorated with carbon nanotubes (CNT) have been prepared using plasma processes. The nickel/carbon composite nanofibers, used as a support for the growth of CNT, were deposited on nanopatterned silicon substrate by a hybrid plasma process, combining magnetron sputtering and plasma-enhanced chemical vapor deposition (PECVD). Transmission electron microscopy revealed the presence of spherical nanoparticles randomly dispersed within the carbon nanofibers. The nickel nanoparticles have been used as a catalyst to initiate the growth of CNT by PECVD at 600 deg. C. After the growth of CNT onto the ultra-long CNF, SEM imaging revealed the formation of hierarchical carbon nanostructures which consist of CNF sheathed with CNTs. Furthermore, we demonstrate that reducing the growth temperature of CNT to less than 500 deg. C leads to the formation of carbon nanowalls on the CNF instead of CNT. This simple fabrication method allows an easy preparation of hierarchical carbon nanostructures over a large surface area, as well as a simple manipulation of such material in order to integrate it into nanodevices.

  4. Technique for large-scale structural mapping at uranium deposits i in non-metamorphosed sedimentary cover rocks

    International Nuclear Information System (INIS)

    Kochkin, B.T.

    1985-01-01

    The technique for large-scale construction (1:1000 - 1:10000), reflecting small amplitude fracture plicate structures, is given for uranium deposits in non-metamorphozed sedimentary cover rocks. Structure drill log sections, as well as a set of maps with the results of area analysis of hidden disturbances, structural analysis of iso-pachous lines and facies of platform mantle horizons serve as sour ce materials for structural mapplotting. The steps of structural map construction are considered: 1) structural carcass construction; 2) reconstruction of structure contour; 3) time determination of structure initiation; 4) plotting of an additional geologic load

  5. Sealing of cavities with lateral feed-throughs by anodic bonding

    DEFF Research Database (Denmark)

    Fléron, René; Jensen, Flemming

    2003-01-01

    The SESiBon(1)) project under the EU Growth programme has focussed on the investigation and exploitation of various silicon bonding techniques. Both standard silicon to pyrex wafer bonding and the more advanced silicon-to-silicon thin film anodic bonding has been investigated. Here we present...... the results of the work done to enable bonding of structured wafer surfaces, allowing lateral feed-throughs into sealed cavities.Lateral feed throughs are formed by means of RIE in a high-doped poly-silicon film deposited on an oxidized 4" silicon wafer. Next a BPSG (Boron Phosphorus Silicate Glass) layer...... is deposited in a PECVD reaction chamber onto the structured surface. The BPSG is used as an intermediate planarization layer. Planarization is done by annealing the wafer in a N2-O2-H2O ambient for 4 - 8h @ 900 degreesC. After planarization the two wafers are bonded together, sealing the cavities.Our work...

  6. Improved performance of AlGaN/GaN HEMT by N2O plasma pre-treatment

    International Nuclear Information System (INIS)

    Mi Min-Han; Zhang Kai; Zhao Sheng-Lei; Wang Chong; Zhang Jin-Cheng; Ma Xiao-Hua; Hao Yue

    2015-01-01

    The influence of an N 2 O plasma pre-treatment technique on characteristics of AlGaN/GaN high electron mobility transistor (HEMT) prepared by using a plasma-enhanced chemical vapor deposition (PECVD) system is presented. After the plasma treatment, the peak transconductance (g m ) increases from 209 mS/mm to 293 mS/mm. Moreover, it is observed that the reverse gate leakage current is lowered by one order of magnitude and the drain current dispersion is improved in the plasma-treated device. From the analysis of frequency-dependent conductance, it can be seen that the trap state density (D T ) and time constant (τ T ) of the N 2 O-treated device are smaller than those of a non-treated device. The results indicate that the N 2 O plasma pre-pretreatment before the gate metal deposition could be a promising approach to enhancing the performance of the device. (paper)

  7. Sub-aerial tailings deposition

    International Nuclear Information System (INIS)

    Knight, R.B.; Haile, J.P.

    1984-01-01

    The sub-aerial technique involves the systematic deposition of tailings in thin layers and allowing each layer to settle, drain and partially air dry prior to covering with a further layer. Underdrainage produces densities in excess of those achieved by sub-aqueous deposition and any air-drying serves to preconsolidate each layer with a resulting further increase in density. The low permeability of the tailings surface resulting from this deposition technique results in high runoff coefficients and, by decanting the runoff component of direct precipitation, a net evaporation condition can be achieved even in high rainfall areas. An underdrainage system prevents the build-up of excess pore-pressures within the tailings mass and at decommissioning the tailings are fully consolidated and drained thereby eliminating the possibility of any long term seepage. This paper presents a general description of these design concepts, and details of two projects where the concepts have been applied

  8. Thin film silicon by a microwave plasma deposition technique: Growth and devices, and, interface effects in amorphous silicon/crystalline silicon solar cells

    Science.gov (United States)

    Jagannathan, Basanth

    Thin film silicon (Si) was deposited by a microwave plasma CVD technique, employing double dilution of silane, for the growth of low hydrogen content Si films with a controllable microstructure on amorphous substrates at low temperatures (prepared by this technique. Such films showed a dark conductivity ˜10sp{-6} S/cm, with a conduction activation energy of 0.49 eV. Film growth and properties have been compared for deposition in Ar and He carrier systems and growth models have been proposed. Low temperature junction formation by undoped thin film silicon was examined through a thin film silicon/p-type crystalline silicon heterojunctions. The thin film silicon layers were deposited by rf glow discharge, dc magnetron sputtering and microwave plasma CVD. The hetero-interface was identified by current transport analysis and high frequency capacitance methods as the key parameter controlling the photovoltaic (PV) response. The effect of the interface on the device properties (PV, junction, and carrier transport) was examined with respect to modifications created by chemical treatment, type of plasma species, their energy and film microstructure interacting with the substrate. Thermally stimulated capacitance was used to determine the interfacial trap parameters. Plasma deposition of thin film silicon on chemically clean c-Si created electron trapping sites while hole traps were seen when a thin oxide was present at the interface. Under optimized conditions, a 10.6% efficient cell (11.5% with SiOsb2 A/R) with an open circuit voltage of 0.55 volts and a short circuit current density of 30 mA/cmsp2 was fabricated.

  9. Plasma processing techniques for deposition of carbonic thin protective coatings on structural nuclear materials

    International Nuclear Information System (INIS)

    Andrei, V.; Oncioiu, G.; Coaca, E.; Rusu, O.; Lungu, C.

    2009-01-01

    Full text of publication follows: The production of nano-structured surface films with controlled properties is crucial for the development of materials necessary for the Advanced Systems for Nuclear Energy. Since the surface of materials is the zone through which materials interact with the environment, the surface science and surface engineering techniques plays an essential role in the understanding and control of the processes involved. Complex surface structures were developed on stainless steels used as structural nuclear materials: austenitic stainless steels based on Fe, austenitic steels with high content of Cr, ferrites resistant to corrosion, by various Plasma Processing methods which include: - Plasma Electrolytic (PE) treatments: the steel substrates were modified by nitriding and nitro-carburizing plasma diffusion treatments; - carbonic films deposition in Thermionic Vacuum Arc Plasma. The results of the characterization of surface structures obtained in various experimental conditions for improvement of the properties (corrosion resistance, hardness, wear properties) are reported: the processes and structures were characterized by correlation of the results of the complementary techniques: XPS, 'depth profiling', SEM, XRD, EIS. An overall description of the processes involved in the surface properties improvement, and some consideration about the new materials development for energy technologies are presented

  10. Control of growth mode of multiwalled carbon nanotubes

    International Nuclear Information System (INIS)

    Nguyen Hong Quang; Kim, Do-Hyung

    2009-01-01

    We have conducted an experimental study to investigate the synthesis of multi-walled carbon nanotubes (CNTs) by a dc plasma-enhanced chemical vapour deposition (PECVD) technique. The synthesis of base and tip-type of CNTs was selectively controlled by changing the catalyst size, catalyst film thickness correlated with altering the NH 3 pretreatment plasma current. These types of CNT showed distinctive properties in nanotube structure, growth rate and vertical alignment, which were confirmed by scanning electron microscopy (SEM), transmission electron microscopy (TEM), and in situ optical interference measurement. The vertically aligned behaviour of CNT was systematically studied by using a fine-patterned catalyst layer with diverse critical dimensions. Freestanding single CNT was successfully realized by optimum tip-type CNT growth, conventional photolithography and wet-etch process.

  11. The structural properties of CdS deposited by chemical bath deposition and pulsed direct current magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Lisco, F., E-mail: F.Lisco@lboro.ac.uk [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom); Kaminski, P.M.; Abbas, A.; Bass, K.; Bowers, J.W.; Claudio, G. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom); Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona 4, 70126 Bari (Italy); Walls, J.M. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom)

    2015-05-01

    Cadmium sulphide (CdS) thin films were deposited by two different processes, chemical bath deposition (CBD), and pulsed DC magnetron sputtering (PDCMS) on fluorine doped-tin oxide coated glass to assess the potential advantages of the pulsed DC magnetron sputtering process. The structural, optical and morphological properties of films obtained by CBD and PDCMS were investigated using X-ray photoelectron spectroscopy, X-ray diffraction, scanning and transmission electron microscopy, spectroscopic ellipsometry and UV-Vis spectrophotometry. The as-grown films were studied and comparisons were drawn between their morphology, uniformity, crystallinity, and the deposition rate of the process. The highest crystallinity is observed for sputtered CdS thin films. The absorption in the visible wavelength increased for PDCMS CdS thin films, due to the higher density of the films. The band gap measured for the as-grown CBD-CdS is 2.38 eV compared to 2.34 eV for PDCMS-CdS, confirming the higher density of the sputtered thin film. The higher deposition rate for PDCMS is a significant advantage of this technique which has potential use for high rate and low cost manufacturing. - Highlights: • Pulsed DC magnetron sputtering (PDCMS) of CdS films • Chemical bath deposition of CdS films • Comparison between CdS thin films deposited by chemical bath and PDCMS techniques • High deposition rate deposition for PDCMS deposition • Uniform, pinhole free CdS thin films.

  12. The structural properties of CdS deposited by chemical bath deposition and pulsed direct current magnetron sputtering

    International Nuclear Information System (INIS)

    Lisco, F.; Kaminski, P.M.; Abbas, A.; Bass, K.; Bowers, J.W.; Claudio, G.; Losurdo, M.; Walls, J.M.

    2015-01-01

    Cadmium sulphide (CdS) thin films were deposited by two different processes, chemical bath deposition (CBD), and pulsed DC magnetron sputtering (PDCMS) on fluorine doped-tin oxide coated glass to assess the potential advantages of the pulsed DC magnetron sputtering process. The structural, optical and morphological properties of films obtained by CBD and PDCMS were investigated using X-ray photoelectron spectroscopy, X-ray diffraction, scanning and transmission electron microscopy, spectroscopic ellipsometry and UV-Vis spectrophotometry. The as-grown films were studied and comparisons were drawn between their morphology, uniformity, crystallinity, and the deposition rate of the process. The highest crystallinity is observed for sputtered CdS thin films. The absorption in the visible wavelength increased for PDCMS CdS thin films, due to the higher density of the films. The band gap measured for the as-grown CBD-CdS is 2.38 eV compared to 2.34 eV for PDCMS-CdS, confirming the higher density of the sputtered thin film. The higher deposition rate for PDCMS is a significant advantage of this technique which has potential use for high rate and low cost manufacturing. - Highlights: • Pulsed DC magnetron sputtering (PDCMS) of CdS films • Chemical bath deposition of CdS films • Comparison between CdS thin films deposited by chemical bath and PDCMS techniques • High deposition rate deposition for PDCMS deposition • Uniform, pinhole free CdS thin films

  13. Steam generator deposit control program assessment at Comanche Peak

    International Nuclear Information System (INIS)

    Stevens, J.; Fellers, B.; Orbon, S.

    2002-01-01

    Comanche Peak has employed a variety of methods to assess the effectiveness of the deposit control program. These include typical methods such as an extensive visual inspection program and detailed corrosion product analysis and trending. In addition, a recently pioneered technique, low frequency eddy current profile analysis (LFEC) has been utilized. LFEC provides a visual mapping of the magnetite deposit profile of the steam generator. Analysis of the LFEC results not only provides general area deposition rates, but can also provide local deposition patterns, which is indicative of steam generator performance. Other techniques utilized include trending of steam pressure, steam generator hideout-return, and flow assisted corrosion (FAC) results. The sum of this information provides a comprehensive assessment of the deposit control program effectiveness and the condition of the steam generator. It also provides important diagnostic and predictive information relative to steam generator life management and mitigative strategies, such as special cleaning procedures. This paper discusses the techniques employed by Comanche Peak Chemistry to monitor the effectiveness of the deposit control program and describes how this information is used in strategic planning. (authors)

  14. Physical Vapor Deposition of Thin Films

    Science.gov (United States)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  15. Role of SiNx Barrier Layer on the Performances of Polyimide Ga2O3-doped ZnO p-i-n Hydrogenated Amorphous Silicon Thin Film Solar Cells

    Science.gov (United States)

    Wang, Fang-Hsing; Kuo, Hsin-Hui; Yang, Cheng-Fu; Liu, Min-Chu

    2014-01-01

    In this study, silicon nitride (SiNx) thin films were deposited on polyimide (PI) substrates as barrier layers by a plasma enhanced chemical vapor deposition (PECVD) system. The gallium-doped zinc oxide (GZO) thin films were deposited on PI and SiNx/PI substrates at room temperature (RT), 100 and 200 °C by radio frequency (RF) magnetron sputtering. The thicknesses of the GZO and SiNx thin films were controlled at around 160 ± 12 nm and 150 ± 10 nm, respectively. The optimal deposition parameters for the SiNx thin films were a working pressure of 800 × 10−3 Torr, a deposition power of 20 W, a deposition temperature of 200 °C, and gas flowing rates of SiH4 = 20 sccm and NH3 = 210 sccm, respectively. For the GZO/PI and GZO-SiNx/PI structures we had found that the GZO thin films deposited at 100 and 200 °C had higher crystallinity, higher electron mobility, larger carrier concentration, smaller resistivity, and higher optical transmittance ratio. For that, the GZO thin films deposited at 100 and 200 °C on PI and SiNx/PI substrates with thickness of ~000 nm were used to fabricate p-i-n hydrogenated amorphous silicon (α-Si) thin film solar cells. 0.5% HCl solution was used to etch the surfaces of the GZO/PI and GZO-SiNx/PI substrates. Finally, PECVD system was used to deposit α-Si thin film onto the etched surfaces of the GZO/PI and GZO-SiNx/PI substrates to fabricate α-Si thin film solar cells, and the solar cells’ properties were also investigated. We had found that substrates to get the optimally solar cells’ efficiency were 200 °C-deposited GZO-SiNx/PI. PMID:28788494

  16. Role of SiNx Barrier Layer on the Performances of Polyimide Ga2O3-doped ZnO p-i-n Hydrogenated Amorphous Silicon Thin Film Solar Cells

    Directory of Open Access Journals (Sweden)

    Fang-Hsing Wang

    2014-02-01

    Full Text Available In this study, silicon nitride (SiNx thin films were deposited on polyimide (PI substrates as barrier layers by a plasma enhanced chemical vapor deposition (PECVD system. The gallium-doped zinc oxide (GZO thin films were deposited on PI and SiNx/PI substrates at room temperature (RT, 100 and 200 °C by radio frequency (RF magnetron sputtering. The thicknesses of the GZO and SiNx thin films were controlled at around 160 ± 12 nm and 150 ± 10 nm, respectively. The optimal deposition parameters for the SiNx thin films were a working pressure of 800 × 10−3 Torr, a deposition power of 20 W, a deposition temperature of 200 °C, and gas flowing rates of SiH4 = 20 sccm and NH3 = 210 sccm, respectively. For the GZO/PI and GZO-SiNx/PI structures we had found that the GZO thin films deposited at 100 and 200 °C had higher crystallinity, higher electron mobility, larger carrier concentration, smaller resistivity, and higher optical transmittance ratio. For that, the GZO thin films deposited at 100 and 200 °C on PI and SiNx/PI substrates with thickness of ~1000 nm were used to fabricate p-i-n hydrogenated amorphous silicon (α-Si thin film solar cells. 0.5% HCl solution was used to etch the surfaces of the GZO/PI and GZO-SiNx/PI substrates. Finally, PECVD system was used to deposit α-Si thin film onto the etched surfaces of the GZO/PI and GZO-SiNx/PI substrates to fabricate α-Si thin film solar cells, and the solar cells’ properties were also investigated. We had found that substrates to get the optimally solar cells’ efficiency were 200 °C-deposited GZO-SiNx/PI.

  17. Zn Thin Film Deposition for Fe Layer Shielding Use the Sputtering Technique on Cylindrical Form

    International Nuclear Information System (INIS)

    Yunanto; Tjipto Sujitno, BA; Suprapto; Simbolon, Sahat

    2002-01-01

    Deposition of thin film on Fe substrate use sputtering technique on cylindrical form was carried out. The purpose of this research is to protect Fe due to the corrosion with Zn thin film. Sputtering method was proposed to protect a component of complex form. Substrate has functioned as anode, meanwhile target in cylindrical form as a cathode. Argon ion from anode bombard Zn with enough energy for releasing Zn. Zn atom would scatter and some of then was focused on the anode. For testing Zn atom on Fe by using XRF and corrosion rate with potentiostat. It was found that corrosion rate was decreased from 0.051 mpy to 0.031 mpy on 0.63 % of Fe substrate. (author)

  18. Fundamental study on repairing technique for cracked or damaged parts of structures by cold gas dynamic spray technique

    International Nuclear Information System (INIS)

    Ogawa, Kazuhiro; Amao, Satoshi; Ichikawa, Yuji; Shoji, Tetsuo

    2008-01-01

    This study proposes an innovative technique for repairing of cracked or damaged parts of structures, such as nuclear or thermal power plants, by means of cold gas dynamic spray (CS) technique. In the case of generation of cracks etc. in the structure, the cracks can be repaired by welding. However, the welding spends considerable time on repair, and also needs special skills. The CS technique is known as a new technique not only for coatings but also for thick depositions. It has many advantages, i.e. dense deposition, high deposition rate and low oxidation. Therefore, it has a possibility to apply the CS technique instead of welding to repair the cracks etc. In this study, the cold gas dynamic spray technique as a new repairing technique for some structures is introduced. (author)

  19. Heavy Metals and Trace Elements Atmospheric Deposition Studies in Tula Region Using Moss Biomonitors Technique

    CERN Document Server

    Ermakova, E V; Steinnes, E

    2002-01-01

    For the first time the moss biomonitors technique was used in air pollution studies in Tula Region (Central Russia), applying NAA, AAS. Moss samples were collected at 83 sites in accordance with the sampling strategy adopted in European projects on biomonitoring atmospheric deposition. A wide set of trace elements in mosses was determined. The method of epithermal neutron activation at IBR-2 reactor of FLNP JINR has made it possible to identify 33 elements (Na, Mg, Al, Cl, K, Ca, Sc, V, Cr, Mn, Fe, Co, Ni, Zn, As, Br, Rb, Sr, Mo, Sb, I, Cs, Ba, La, Ce, Sm, Tb, Yb, Hf, Ta, W, Th, U) in the large-scale concentration range - from 10000 ppm for K to 0,001 ppm for Tb and Ta. Cu, Cd and Pb were determined by the flame AAS in the Norwegian Institute of Science and Technology. Using the graphical technique and principal component analysis allowed to separate plant, crustal and general pollution components in the moss. The obtained data will be used for constructing coloured maps of the distribution of elements over t...

  20. Thin film silicon modules on plastic superstrates

    NARCIS (Netherlands)

    Rath, J.K.; Liu, Y; Borreman, A.; Hamers, E.A.G.; Schlatmann, R.; Jongerden, G.J.; Schropp, R.E.I.

    2008-01-01

    The aim of this research is to fabricate high efficiency a-Si/μc-Si tandem solar cell modules on flexible (polymer) superstrates using the Helianthos concept. As a first step we began by depositing the top cell which contains an amorphous silicon (a-Si:H) i-layer of 350 nm made by VHF PECVD at 50

  1. Recent advances in controlled synthesis of two-dimensional transition metal dichalcogenides via vapour deposition techniques

    KAUST Repository

    Shi, Yumeng; Li, Henan; Li, Lain-Jong

    2014-01-01

    In recent years there have been many breakthroughs in two-dimensional (2D) nanomaterials, among which the transition metal dichalcogenides (TMDs) attract significant attention owing to their unusual properties associated with their strictly defined dimensionalities. TMD materials with a generalized formula of MX2, where M is a transition metal and X is a chalcogen, represent a diverse and largely untapped source of 2D systems. Semiconducting TMD monolayers such as MoS2, MoSe2, WSe2 and WS2 have been demonstrated to be feasible for future electronics and optoelectronics. The exotic electronic properties and high specific surface areas of 2D TMDs offer unlimited potential in various fields including sensing, catalysis, and energy storage applications. Very recently, the chemical vapour deposition technique (CVD) has shown great promise to generate high-quality TMD layers with a scalable size, controllable thickness and excellent electronic properties. Wafer-scale deposition of mono to few layer TMD films has been obtained. Despite the initial success in the CVD synthesis of TMDs, substantial research studies on extending the methodology open up a new way for substitution doping, formation of monolayer alloys and producing TMD stacking structures or superlattices. In this tutorial review, we will introduce the latest development of the synthesis of monolayer TMDs by CVD approaches.

  2. Recent advances in controlled synthesis of two-dimensional transition metal dichalcogenides via vapour deposition techniques

    KAUST Repository

    Shi, Yumeng

    2014-10-20

    In recent years there have been many breakthroughs in two-dimensional (2D) nanomaterials, among which the transition metal dichalcogenides (TMDs) attract significant attention owing to their unusual properties associated with their strictly defined dimensionalities. TMD materials with a generalized formula of MX2, where M is a transition metal and X is a chalcogen, represent a diverse and largely untapped source of 2D systems. Semiconducting TMD monolayers such as MoS2, MoSe2, WSe2 and WS2 have been demonstrated to be feasible for future electronics and optoelectronics. The exotic electronic properties and high specific surface areas of 2D TMDs offer unlimited potential in various fields including sensing, catalysis, and energy storage applications. Very recently, the chemical vapour deposition technique (CVD) has shown great promise to generate high-quality TMD layers with a scalable size, controllable thickness and excellent electronic properties. Wafer-scale deposition of mono to few layer TMD films has been obtained. Despite the initial success in the CVD synthesis of TMDs, substantial research studies on extending the methodology open up a new way for substitution doping, formation of monolayer alloys and producing TMD stacking structures or superlattices. In this tutorial review, we will introduce the latest development of the synthesis of monolayer TMDs by CVD approaches.

  3. Electrophoretic deposition of biomaterials

    Science.gov (United States)

    Boccaccini, A. R.; Keim, S.; Ma, R.; Li, Y.; Zhitomirsky, I.

    2010-01-01

    Electrophoretic deposition (EPD) is attracting increasing attention as an effective technique for the processing of biomaterials, specifically bioactive coatings and biomedical nanostructures. The well-known advantages of EPD for the production of a wide range of microstructures and nanostructures as well as unique and complex material combinations are being exploited, starting from well-dispersed suspensions of biomaterials in particulate form (microsized and nanoscale particles, nanotubes, nanoplatelets). EPD of biological entities such as enzymes, bacteria and cells is also being investigated. The review presents a comprehensive summary and discussion of relevant recent work on EPD describing the specific application of the technique in the processing of several biomaterials, focusing on (i) conventional bioactive (inorganic) coatings, e.g. hydroxyapatite or bioactive glass coatings on orthopaedic implants, and (ii) biomedical nanostructures, including biopolymer–ceramic nanocomposites, carbon nanotube coatings, tissue engineering scaffolds, deposition of proteins and other biological entities for sensors and advanced functional coatings. It is the intention to inform the reader on how EPD has become an important tool in advanced biomaterials processing, as a convenient alternative to conventional methods, and to present the potential of the technique to manipulate and control the deposition of a range of nanomaterials of interest in the biomedical and biotechnology fields. PMID:20504802

  4. Fabrication of 100 A class, 1 m long coated conductor tapes by metal organic chemical vapor deposition and pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Selvamanickam, V.; Lee, H.G.; Li, Y.; Xiong, X.; Qiao, Y.; Reeves, J.; Xie, Y.; Knoll, A.; Lenseth, K

    2003-10-15

    SuperPower has been scaling up YBa{sub 2}Cu{sub 3}O{sub x}-based second-generation superconducting tapes by techniques such as pulsed laser deposition (PLD) using industrial laser and metal organic chemical vapor deposition (MOCVD). Both techniques offer advantage of high deposition rates, which is important for high throughput. Using highly-polished substrates produced in a reel-to-reel polishing facility and buffer layers deposited in a pilot ion beam assisted deposition facility, meter-long second-generation high temperature superconductor tapes have been produced. 100 A class, meter-long coated conductor tapes have been reproducibly demonstrated in this work by both MOCVD and PLD. The best results to date are 148 A over 1.06 m by MOCVD and 135 A over 1.1 m by PLD using industrial laser.

  5. Field emission current from a junction field-effect transistor

    International Nuclear Information System (INIS)

    Monshipouri, Mahta; Abdi, Yaser

    2015-01-01

    Fabrication of a titanium dioxide/carbon nanotube (TiO 2 /CNT)-based transistor is reported. The transistor can be considered as a combination of a field emission transistor and a junction field-effect transistor. Using direct current plasma-enhanced chemical vapor deposition (DC-PECVD) technique, CNTs were grown on a p-typed (100)-oriented silicon substrate. The CNTs were then covered by TiO 2 nanoparticles 2–5 nm in size, using an atmospheric pressure CVD technique. In this device, TiO 2 /CNT junction is responsible for controlling the emission current. High on/off-current ratio and proper gate control are the most important advantages of device. A model based on Fowler–Nordheim equation is utilized for calculation of the emission current and the results are compared with experimental data. The effect of TiO 2 /CNT hetero-structure is also investigated, and well modeled

  6. Surface morphology and grain analysis of successively industrially grown amorphous hydrogenated carbon films (a-C:H) on silicon

    Science.gov (United States)

    Catena, Alberto; McJunkin, Thomas; Agnello, Simonpietro; Gelardi, Franco M.; Wehner, Stefan; Fischer, Christian B.

    2015-08-01

    Silicon (1 0 0) has been gradually covered by amorphous hydrogenated carbon (a-C:H) films via an industrial process. Two types of these diamond-like carbon (DLC) coatings, one more flexible (f-DLC) and one more robust (r-DLC), have been investigated. Both types have been grown by a radio frequency plasma-enhanced chemical vapor deposition (RF-PECVD) technique with acetylene plasma. Surface morphologies have been studied in detail by atomic force microscopy (AFM) and Raman spectroscopy has been used to investigate the DLC structure. Both types appeared to have very similar morphology and sp2 carbon arrangement. The average height and area for single grains have been analyzed for all depositions. A random distribution of grain heights was found for both types. The individual grain structures between the f- and r-type revealed differences: the shape for the f-DLC grains is steeper than for the r-DLC grains. By correlating the average grain heights to the average grain areas for all depositions a limited region is identified, suggesting a certain regularity during the DLC deposition mechanisms that confines both values. A growth of the sp2 carbon entities for high r-DLC depositions is revealed and connected to a structural rearrangement of carbon atom hybridizations and hydrogen content in the DLC structure.

  7. Iron, nitrogen and silicon doped diamond like carbon (DLC) thin films: A comparative study

    Energy Technology Data Exchange (ETDEWEB)

    Ray, Sekhar C., E-mail: Raysc@unisa.ac.za [Department of Physics, College of Science, Engineering and Technology, University of South Africa, Private Bag X6, Florida, 1710, Science Campus, Christiaan de Wet and Pioneer Avenue, Florida Park, Johannesburg (South Africa); Pong, W.F. [Department of Physics, Tamkang University, Tamsui 251, New Taipei City, Taiwan (China); Papakonstantinou, P. [Nanotechnology and Integrated Bio-Engineering Centre, University of Ulster, Shore Road, Newtownabbey BT37 0QB (United Kingdom)

    2016-07-01

    The X-ray absorption near edge structure (XANES), X-ray photoelectron spectroscopy (XPS), valence band photoemission (VB-PES) and Raman spectroscopy results show that the incorporation of nitrogen in pulsed laser deposited diamond like carbon (DLC) thin films, reverts the sp{sup 3} network to sp{sup 2} as evidenced by an increase of the sp{sup 2} cluster and I{sub D}/I{sub G} ratio in C K-edge XANES and Raman spectra respectively which reduces the hardness/Young's modulus into the film network. Si-doped DLC film deposited in a plasma enhanced chemical vapour deposition process reduces the sp{sup 2} cluster and I{sub D}/I{sub G} ratio that causes the decrease of hardness/Young's modulus of the film structure. The Fe-doped DLC films deposited by dip coating technique increase the hardness/Young's modulus with an increase of sp{sup 3}-content in DLC film structure. - Highlights: • Fe, N and Si doped DLC films deposited by dip, PLD and PECVD methods respectively • DLC:Fe thin films have higher hardness/Young's modulus than DLC:N(:Si) thin films. • sp{sup 3} and sp{sup 2} contents are estimated from C K-edge XANES and VB-PES measurements.

  8. Depositing Materials on the Micro- and Nanoscale

    DEFF Research Database (Denmark)

    Mar, Mikkel Dysseholm; Herstrøm, Berit; Shkondin, Evgeniy

    2014-01-01

    on sequential introduction of precursor pulses with intermediate purging steps. The process proceeds by specific surface ligand-exchange reactions and this leads to layer-by-layer growth control. No other thin film deposition technique can approach the conformity achieved by ALD on high aspect ratio structures....... In these systems thin films of different kind are important parts of giving the system the properties needed. This can be properties like light absorbing layers, antireflection coatings or conductive layers in solar cells. It can be low stress layers in membranes, chemicals resistant layers in chemical sensors......, layers with specific optical properties in optical sensors, piezoelectric thin films or insulating layers in many other applications. These different materials and properties impose a demand for different kind of deposition techniques. At DTU Danchip we have a large variety of these deposition techniques...

  9. Pulsed laser deposition of Tl-Ca-Ba-Cu-O films

    International Nuclear Information System (INIS)

    Ianno, N.J.; Liou, S.H.; Woollam, J.A.; Thompson, D.; Johs, B.

    1990-01-01

    Pulsed laser deposition is a technique commonly used to deposit high quality thin films of high temperature superconductors. This paper discusses the results obtained when this technique is applied to the deposition of Tl-Ca-Ba-Cu-O thin films using a frequency doubled Nd:YAG laser operating at 532 nm and an excimer laser operating at 248 nm. Films with onset temperatures of 125 K and zero resistance temperatures of 110 K deposited on (100) oriented MgO from a composite Tl2Ca2Ba2Cu3Ox target were obtained at both wavelengths upon appropriate post deposition annealing. Films deposited at 532 nm exhibit a rough surface, while those deposited at 248 nm are smooth and homogeneous. Upon annealing, films deposited at both wavelengths are single phase Tl2Ca2Ba2Cu3Ox. 12 refs

  10. Properties of pulsed laser deposited NiO/MWCNT thin films

    CSIR Research Space (South Africa)

    Yalisi, B

    2011-05-01

    Full Text Available Pulsed laser deposition (PLD) is a thin-film deposition technique, which uses short and intensive laser pulses to evaporate target material. The technique has been used in this work to produce selective solar absorber (SSA) thin film composites...

  11. Effects of deposition time in chemically deposited ZnS films in acidic solution

    Energy Technology Data Exchange (ETDEWEB)

    Haddad, H.; Chelouche, A., E-mail: azeddinechelouche@gmail.com; Talantikite, D.; Merzouk, H.; Boudjouan, F.; Djouadi, D.

    2015-08-31

    We report an experimental study on the synthesis and characterization of zinc sulfide (ZnS) single layer thin films deposited on glass substrates by chemical bath deposition technique in acidic solution. The effect of deposition time on the microstructure, surface morphology, optical absorption, transmittance, and photoluminescence (PL) was investigated by X-ray diffraction (XRD), scanning electronic microscopy (SEM), UV-Vis–NIR spectrophotometry and photoluminescence (PL) spectroscopy. The results showed that the samples exhibit wurtzite structure and their crystal quality is improved by increasing deposition time. The latter, was found to affect the morphology of the thin films as showed by SEM micrographs. The optical measurements revealed a high transparency in the visible range and a dependence of absorption edge and band gap on deposition time. The room temperature PL spectra indicated that all ZnS grown thin films emit a UV and blue light, while the band intensities are found to be dependent on deposition times. - Highlights: • Single layer ZnS thin films were deposited by CBD in acidic solution at 95 °C. • The effect of deposition time was investigated. • Coexistence of ZnS and ZnO hexagonal structures for time deposition below 2 h • Thicker ZnS films were achieved after monolayer deposition for 5 h. • The highest UV-blue emission observed in thin film deposited at 5 h.

  12. Powder free PECVD epitaxial silicon by plasma pulsing or increasing the growth temperature

    Science.gov (United States)

    Chen, Wanghua; Maurice, Jean-Luc; Vanel, Jean-Charles; Cabarrocas, Pere Roca i.

    2018-06-01

    Crystalline silicon thin films are promising candidates for low cost and flexible photovoltaics. Among various synthesis techniques, epitaxial growth via low temperature plasma-enhanced chemical vapor deposition is an interesting choice because of two low temperature related benefits: low thermal budget and better doping profile control. However, increasing the growth rate is a tricky issue because the agglomeration of clusters required for epitaxy leads to powder formation in the plasma. In this work, we have measured precisely the time evolution of the self-bias voltage in silane/hydrogen plasmas at millisecond time scale, for different values of the direct-current bias voltage applied to the radio frequency (RF) electrode and growth temperatures. We demonstrate that the decisive factor to increase the epitaxial growth rate, i.e. the inhibition of the agglomeration of plasma-born clusters, can be obtained by decreasing the RF OFF time or increasing the growth temperature. The influence of these two parameters on the growth rate and epitaxial film quality is also presented.

  13. Modification of thin film properties by ion bombardment during deposition

    International Nuclear Information System (INIS)

    Harper, J.M.E.; Cuomo, J.J.; Gambino, R.J.; Kaufman, H.R.

    1984-01-01

    Many thin film deposition techniques involve some form of energetic particle bombardment of the growing film. The degree of bombardment greatly influences the film composition, structure and other properties. While in some techniques the degree of bombardment is secondary to the original process design, in recent years more deposition systems are being designed with the capability for controlled ion bombardment of thin films during deposition. The highest degree of control is obtained with ion beam sources which operate independently of the vapor source providing the thin film material. Other plasma techniques offer varying degrees of control of energetic particle bombardment. Deposition methods involving ion bombardment are described, and the basic processes with which film properties are modified by ion bombardment are summarized. (Auth.)

  14. Laboratory model study of newly deposited dredger fills using improved multiple-vacuum preloading technique

    Directory of Open Access Journals (Sweden)

    Jingjin Liu

    2017-10-01

    Full Text Available Problems continue to be encountered concerning the traditional vacuum preloading method in field during the treatment of newly deposited dredger fills. In this paper, an improved multiple-vacuum preloading method was developed to consolidate newly dredger fills that are hydraulically placed in seawater for land reclamation in Lingang Industrial Zone of Tianjin City, China. With this multiple-vacuum preloading method, the newly deposited dredger fills could be treated effectively by adopting a novel moisture separator and a rapid improvement technique without sand cushion. A series of model tests was conducted in the laboratory for comparing the results from the multiple-vacuum preloading method and the traditional one. Ten piezometers and settlement plates were installed to measure the variations in excess pore water pressures and moisture content, and vane shear strength was measured at different positions. The testing results indicate that water discharge–time curves obtained by the traditional vacuum preloading method can be divided into three phases: rapid growth phase, slow growth phase, and steady phase. According to the process of fluid flow concentrated along tiny ripples and building of larger channels inside soils during the whole vacuum loading process, the fluctuations of pore water pressure during each loading step are divided into three phases: steady phase, rapid dissipation phase, and slow dissipation phase. An optimal loading pattern which could have a best treatment effect was proposed for calculating the water discharge and pore water pressure of soil using the improved multiple-vacuum preloading method. For the newly deposited dredger fills at Lingang Industrial Zone of Tianjin City, the best loading step was 20 kPa and the loading of 40–50 kPa produced the highest drainage consolidation. The measured moisture content and vane shear strength were discussed in terms of the effect of reinforcement, both of which indicate

  15. Anticorrosive coating of SixOyCz on metallic substrates applied with the plasma CVD technique

    International Nuclear Information System (INIS)

    Perillo, P; Lasorsa, C; Versaci, R

    2006-01-01

    This work deals with the production of anticorrosive coatings of Si x O y C z on metallic substrates by PECVD (Plasma Enhanced Chemical Vapor Deposition) in a two layer coating, with a gaseous mixture using methyltrimethoxysilane (Z6070) with the contribution of O 2 and methane as reactive gases. The process involves two steps, the first with the substrate thermalized to 500 o C and the second step with the substrate at room temperature. In the first step the process is carried out with the mixture of O 2 and Z6070, in the second step methane is added to the mixture of the plasma forming gases. The coatings were carried out on AISI 410 stainless steel, AISI M2 steel, titanium and AA6061 aluminum substrates. This work presents the preliminary results of the electrochemical evaluation and the mechanical properties of the coating. Fourier transform infrared spectroscopy (FTIR) and X-ray photoelectron spectroscopy (XPS/ESCA ), and scanning electron microscopy were used for this study. Electrochemical techniques were used to study the reaction to the corrosion of the coatings. Potentiodynamic polarization curves were prepared in a solution of 5% H 2 SO 4 and in NaCl 0,1M. The tests were undertaken at room temperature. This process is presented as an alternative to the conventional immersion processes by the sol-gel method, which produces the polymerization of the reagent as a result of the effect of the oxygen from the environment, while the plasma process produces very different chemical reactions in the center of the plasma itself with coatings also different (CW)

  16. High-aspect-ratio, silicon oxide-enclosed pillar structures in microfluidic liquid chromatography.

    Science.gov (United States)

    Taylor, Lisa C; Lavrik, Nickolay V; Sepaniak, Michael J

    2010-11-15

    The present paper discusses the ability to separate chemical species using high-aspect-ratio, silicon oxide-enclosed pillar arrays. These miniaturized chromatographic systems require smaller sample volumes, experience less flow resistance, and generate superior separation efficiency over traditional packed bed liquid chromatographic columns, improvements controlled by the increased order and decreased pore size of the systems. In our distinctive fabrication sequence, plasma-enhanced chemical vapor deposition (PECVD) of silicon oxide is used to alter the surface and structural properties of the pillars for facile surface modification while improving the pillar mechanical stability and increasing surface area. The separation behavior of model compounds within our pillar systems indicated an unexpected hydrophobic-like separation mechanism. The effects of organic modifier, ionic concentration, and pressure-driven flow rate were studied. A decrease in the organic content of the mobile phase increased peak resolution while detrimentally effecting peak shape. A resolution of 4.7 (RSD = 3.7%) was obtained for nearly perfect Gaussian shaped peaks, exhibiting plate heights as low as 1.1 and 1.8 μm for fluorescein and sulforhodamine B, respectively. Contact angle measurements and DART mass spectrometry analysis indicate that our employed elastomeric soft bonding technique modifies pillar properties, creating a fortuitous stationary phase. This discovery provides evidence supporting the ability to easily functionalize PECVD oxide surfaces by gas-phase reactions.

  17. Ordered Arrays of SiGe Islands from Low-Energy PECVD

    Directory of Open Access Journals (Sweden)

    Chrastina D

    2010-01-01

    Full Text Available Abstract SiGe islands have been proposed for applications in the fields of microelectronics, optoelectronics and thermoelectrics. Although most of the works in literature are based on MBE, one of the possible advantages of low-energy plasma-enhanced chemical vapor deposition (LEPECVD is a wider range of deposition rates, which in turn results in the possibility of growing islands with a high Ge concentration. We will show that LEPECVD can be effectively used for the controlled growth of ordered arrays of SiGe islands. In order to control the nucleation of the islands, patterned Si (001 substrates were obtained by e-beam lithography (EBL and dry etching. We realized periodic circular pits with diameters ranging from 80 to 300 nm and depths from 65 to 75 nm. Subsequently, thin films (0.8–3.2 nm of pure Ge were deposited by LEPECVD, resulting in regular and uniform arrays of Ge-rich islands. LEPECVD allowed the use of a wide range of growth rates (0.01–0.1 nm s−1 and substrates temperatures (600–750°C, so that the Ge content of the islands could be varied. Island morphology was characterized by AFM, while μ-Raman was used to analyze the Ge content inside the islands and the composition differences between islands on patterned and unpatterned areas of the substrate.

  18. Plasma deposition of organosilicon polymer thin films with embedded nanosilver for prevention of microbial adhesion

    International Nuclear Information System (INIS)

    Saulou, Claire; Despax, Bernard; Raynaud, Patrice; Zanna, Sandrine; Marcus, Philippe; Mercier-Bonin, Muriel

    2009-01-01

    Composite thin films (∼170 nm) containing silver nanoclusters embedded in an organosilicon matrix were deposited by PE-CVD onto stainless steel in order to prevent microbial adhesion. The process originality relies on a dual strategy combining silver sputtering and simultaneous plasma polymerization in argon-hexamethyldisiloxane (HMDSO) plasma, using an asymmetrical RF glow discharge. The metal content in the film was controlled by varying the HMDSO flow rate. Investigation of the physico-chemical properties of the obtained films was conducted by X-ray photoelectron spectroscopy and transmission FTIR spectroscopy. Plasma-mediated coatings were composed of C, O, Si and Ag which was predominantly under metallic form, as indicated by XPS analysis. The presence of Si-H, Si-O-Si, Si-(CH) n -Si and C-H groups was established by FTIR. The yeast Saccharomyces cerevisiae was selected as the model for eukaryotic microorganisms. The maximal anti-adhesive efficiency was achieved for the organosilicon matrix alone. When nanosilver was incorporated into the organic matrix, the efficiency was reduced, especially for high metal contents. Silver antimicrobial property was assumed to be related to Ag + progressive release from the embedded nanoparticles into the surrounding medium. This release was confirmed by ICP-MS measurements. Moreover, silver-containing film antifungal activity was observed towards sessile cells.

  19. Plasma deposition of organosilicon polymer thin films with embedded nanosilver for prevention of microbial adhesion

    Energy Technology Data Exchange (ETDEWEB)

    Saulou, Claire [Universite de Toulouse, INSA, UPS, INPT, LISBP, 135 Av. de Rangueil, F-31077 Toulouse (France); Universite de Toulouse, UPS, INPT, LAPLACE, 118 route de Narbonne, F-31062 Toulouse cedex 9 (France); Despax, Bernard; Raynaud, Patrice [Universite de Toulouse, UPS, INPT, LAPLACE, 118 route de Narbonne, F-31062 Toulouse cedex 9 (France); Zanna, Sandrine; Marcus, Philippe [LPCS, UMR CNRS/ENSCP 7045, 11 rue P. et M. Curie, 75005 Paris (France); Mercier-Bonin, Muriel, E-mail: muriel.mercier-bonin@insa-toulouse.fr [Universite de Toulouse, INSA, UPS, INPT, LISBP, 135 Av. de Rangueil, F-31077 Toulouse (France)

    2009-11-15

    Composite thin films ({approx}170 nm) containing silver nanoclusters embedded in an organosilicon matrix were deposited by PE-CVD onto stainless steel in order to prevent microbial adhesion. The process originality relies on a dual strategy combining silver sputtering and simultaneous plasma polymerization in argon-hexamethyldisiloxane (HMDSO) plasma, using an asymmetrical RF glow discharge. The metal content in the film was controlled by varying the HMDSO flow rate. Investigation of the physico-chemical properties of the obtained films was conducted by X-ray photoelectron spectroscopy and transmission FTIR spectroscopy. Plasma-mediated coatings were composed of C, O, Si and Ag which was predominantly under metallic form, as indicated by XPS analysis. The presence of Si-H, Si-O-Si, Si-(CH){sub n}-Si and C-H groups was established by FTIR. The yeast Saccharomyces cerevisiae was selected as the model for eukaryotic microorganisms. The maximal anti-adhesive efficiency was achieved for the organosilicon matrix alone. When nanosilver was incorporated into the organic matrix, the efficiency was reduced, especially for high metal contents. Silver antimicrobial property was assumed to be related to Ag{sup +} progressive release from the embedded nanoparticles into the surrounding medium. This release was confirmed by ICP-MS measurements. Moreover, silver-containing film antifungal activity was observed towards sessile cells.

  20. Deposition of tantalum carbide coatings on graphite by laser interactions

    Science.gov (United States)

    Veligdan, James; Branch, D.; Vanier, P. E.; Barietta, R. E.

    1994-01-01

    Graphite surfaces can be hardened and protected from erosion by hydrogen at high temperatures by refractory metal carbide coatings, which are usually prepared by chemical vapor deposition (CVD) or chemical vapor reaction (CVR) methods. These techniques rely on heating the substrate to a temperature where a volatile metal halide decomposes and reacts with either a hydrocarbon gas or with carbon from the substrate. For CVR techniques, deposition temperatures must be in excess of 2000 C in order to achieve favorable deposition kinetics. In an effort to lower the bulk substrate deposition temperature, the use of laser interactions with both the substrate and the metal halide deposition gas has been employed. Initial testing involved the use of a CO2 laser to heat the surface of a graphite substrate and a KrF excimer laser to accomplish a photodecomposition of TaCl5 gas near the substrate. The results of preliminary experiments using these techniques are described.

  1. Characterization and Analysis of Integrated Silicon Photonic Detectors for High-Speed Communications

    Science.gov (United States)

    2015-03-26

    15 Ti Titanium . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15 TiN Titanium Nitride...evanescent coupling of an InP laser is used to stimulate emission in the Si waveguide at 1310 nm. The 1310- nm wavelength is the other common...electrical connection. The final step is the plasma-enhanced chemical vapor deposition (PECVD) of the 2.5-µm SiO2 optical cladding . This creates the

  2. Synthesis and self-assembly of dumbbell shaped ZnO sub-micron structures using low temperature chemical bath deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Borade, P. [National Centre for Nanoscience and Nanotechnology, University of Mumbai, Kalina Campus, Santacruz (E), Mumbai 400098 (India); Joshi, K.U. [Anton-Paar India Pvt. Ltd., Thane (W), 400607 (India); Gokarna, A.; Lerondel, G. [Laboratoire de Nanotechnologie et D' Instrumentation Optique, Institut Charles Delaunay, CNRS UMR 6281, Université de Technologie de Troyes, 12 Rue Marie Curie, BP 2060, 10010 Troyes (France); Walke, P. [National Centre for Nanoscience and Nanotechnology, University of Mumbai, Kalina Campus, Santacruz (E), Mumbai 400098 (India); Late, D. [National Chemical Laboratory (NCL), Pune 400027 (India); Jejurikar, S.M., E-mail: jejusuhas@gmail.com [National Centre for Nanoscience and Nanotechnology, University of Mumbai, Kalina Campus, Santacruz (E), Mumbai 400098 (India)

    2016-02-01

    We report well dispersed horizontal growth of ZnO sub-micron structures using simplest technique ever known i.e. chemical bath deposition (CBD). A set of samples were prepared under two different cases A) dumbbell shaped ZnO grown in CBD bath and B) tubular ZnO structures evolved from dumbbell shaped structures by dissolution mechanism. Single phase wurtzite ZnO formation is confirmed using X-ray diffraction (XRD) technique in both cases. From the morphological investigations performed using scanning electron microscopy (SEM), sample prepared under case A indicate formation of hex bit tool (HBT) shaped ZnO crystals, which observed to self-organize to form dumbbell structures. Further these microstructures are then converted into tubular structures as a fragment of post CBD process. The possible mechanism responsible for the self-assembly of HBT units to form dumbbell structures is discussed. Observed free excitonic peak located at 370 nm in photoluminescence (PL) spectra recorded at 18 K indicate that the micro/nanostructures synthesized using CBD are of high optical quality. - Highlights: • Controlled growth of Dumbbell shaped ZnO using Chemical Bath Deposition (CBD). • Growth mechanism of dumbbell shaped ZnO by self-assembling was discussed. • Quick Transformation of ZnO dumbbell structures in to tubular structures by dissolution. • Sharp UV Emission at 370 nm from both dumbbell and tubular structures.

  3. Effect of deposition temperature on the structural, morphological and optical band gap of lead selenide thin films synthesized by chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Hone, Fekadu Gashaw, E-mail: fekeye@gmail.com [Hawassa University, Department of Physics, Hawassa (Ethiopia); Ampong, Francis Kofi [Kwame Nkrumah University of Science and Technology, Department of Physics, Kumasi (Ghana)

    2016-11-01

    Lead selenide (PbSe) nanocrystalline thin films have been deposited on silica glass substrates by the chemical bath deposition technique. The samples were deposited at the bath temperatures of 60, 75 and 90 °C respectively and characterized by a variety of techniques. The XRD results revealed that the PbSe thin film deposited at 60 °C was amorphous in nature. Films deposited at higher temperatures exhibited sharp and intense diffraction peaks, indicating an improvement in crystallinety. The deposition temperature also had a strong influence on the preferred orientation of the crystallites as well as other structural parameters such as microstrain and dislocation density. From the SEM study it was observed that film deposited at 90 °C had well defined crystallites, uniformly distributed over the entire surface of the substrate. The EDAX study confirmed that the samples deposited at the higher temperature had a better stoichiometric ratio. The optical band gap varied from 2.26 eV to 1.13 eV with increasing deposition temperature. - Highlights: • The crystallinety of the films improved as the deposition temperature increased. • The deposition temperature strongly influenced the preferred orientations. • Microstrain and dislocation density are decreased linearly with deposition temperature. • Band gap decreased from 2.26 eV to 1.13 eV as the deposition temperature increased.

  4. Optoelectronic properties of cadmium sulfide thin films deposited by thermal evaporation technique

    International Nuclear Information System (INIS)

    Ali, N.; Iqbal, M.A.; Hussain, S.T.; Waris, M.; Munair, S.A.

    2011-01-01

    The substrate temperature in depositions of thin films plays a vital role in the characteristics of deposited films. We studied few characteristics of cadmium sulphide thin film deposited at different temperature (150 deg. C- 300 deg. C) on corning 7059 glass substrate. We measured transmittance, absorbance, band gap and reflectance via UV spectroscopy. It was found that the transmittance for 300 nm to 1100 nm was greater than 80%. The resistivity and mobility was calculated by Vander Pauw method which were 10-80 cm and 2-60 cm/sup 2/V/sup -1/S/sup -1/ respectively. The thermoelectric properties of the film were measured by hot and cold probe method which shows the N-type nature of the film. (author)

  5. Chemical vapor deposition (CVD) of uranium for alpha spectrometry

    International Nuclear Information System (INIS)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F.

    2015-09-01

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  6. Mining of sedimentary-type ore deposits

    International Nuclear Information System (INIS)

    Bruha, J.; Slovacek, T.; Berka, J.; Sadilek, P.

    1992-01-01

    A procedure is proposed for mining sedimentary-type ore deposits, particularly uranium deposits, using the stope-pillar technique. The stope having been mined out, the free room is filled with hydro-setting gob from the surface. A precondition for the application of this technique is horizontal ore mineralization in sediments where the total thickness of the mineralized ore layer is at least 3 to 5 m. Mining losses do not exceed 5%. For thicknesses greater than 5 m, the roof is reinforced and the walls are secured with netting. The assets of the technique include higher labor productivity of the driving, lower material demands in reinforcing and filling, lower power consumption, and reduced use of explosives. (Z.S.). 3 figs

  7. Fabrication of Antireflection Nanodiamond Particle Film by the Spin Coating Deposition Technique

    Directory of Open Access Journals (Sweden)

    Chii-Ruey Lin

    2014-01-01

    Full Text Available Diamond-based antireflective (AR coatings were fabricated using a spin coating of diamond suspension at room temperature as nucleation enhancement procedure and microwave plasma enhanced chemical vapour deposition. Various working pressures were used to investigate their effect on the optical characterization of the as-deposited diamond films. Scanning electron microscopy (SEM and atomic forced microscopy (AFM were employed to analyze the surface properties of the diamond films. Raman spectra and transmission electron microscopy (TEM also were used for analysis of the microstructure of the films. The results showed that working pressure had a significant effect on thickness, surface roughness, and wettability of the as-deposited diamond films. Deposited under 35 Torr or working pressure, the film possessed a low surface roughness of 13.8 nm and fine diamond grain sizes of 35 nm. Reflectance measurements of the films also were carried out using UV-Vis spectrometer and revealed a low reflectance value of the diamond films. The achievement demonstrated feasibility of the proposed spin-coating procedure for large scale production and thus opens up a prospect application of diamond film as an AR coating in industrial optoelectronic device.

  8. Assessment of multiple geophysical techniques for the characterization of municipal waste deposit sites

    Science.gov (United States)

    Gaël, Dumont; Tanguy, Robert; Nicolas, Marck; Frédéric, Nguyen

    2017-10-01

    In this study, we tested the ability of geophysical methods to characterize a large technical landfill installed in a former sand quarry. The geophysical surveys specifically aimed at delimitating the deposit site horizontal extension, at estimating its thickness and at characterizing the waste material composition (the moisture content in the present case). The site delimitation was conducted with electromagnetic (in-phase and out-of-phase) and magnetic (vertical gradient and total field) methods that clearly showed the transition between the waste deposit and the host formation. Regarding waste deposit thickness evaluation, electrical resistivity tomography appeared inefficient on this particularly thick deposit site. Thus, we propose a combination of horizontal to vertical noise spectral ratio (HVNSR) and multichannel analysis of the surface waves (MASW), which successfully determined the approximate waste deposit thickness in our test landfill. However, ERT appeared to be an appropriate tool to characterize the moisture content of the waste, which is of prior information for the organic waste biodegradation process. The global multi-scale and multi-method geophysical survey offers precious information for site rehabilitation studies, water content mitigation processes for enhanced biodegradation or landfill mining operation planning.

  9. Plasma boriding of a cobalt–chromium alloy as an interlayer for nanostructured diamond growth

    Energy Technology Data Exchange (ETDEWEB)

    Johnston, Jamin M.; Jubinsky, Matthew; Catledge, Shane A., E-mail: catledge@uab.edu

    2015-02-15

    Highlights: • Metal-boride layer creates a compatible surface for NSD deposition. • PECVD boriding on CoCrMo produces robust metal-boride layer. • Deposition temperature comparison shows 750 °C boriding masks surface cobalt. • EDS shows boron diffusion as well as deposition. • Nanoindentation hardness of CoCrMo substantially increases after boriding. - Abstract: Chemical vapor deposited (CVD) diamond coatings can potentially improve the wear resistance of cobalt–chromium medical implant surfaces, but the high cobalt content in these alloys acts as a catalyst to form graphitic carbon. Boriding by high temperature liquid baths and powder packing has been shown to improve CVD diamond compatibility with cobalt alloys. We use the microwave plasma-enhanced (PE) CVD process to deposit interlayers composed primarily of the borides of cobalt and chromium. The use of diborane (B{sub 2}H{sub 6}) in the plasma feedgas allows for the formation of a robust boride interlayer for suppressing graphitic carbon during subsequent CVD of nano-structured diamond (NSD). This metal–boride interlayer is shown to be an effective diffusion barrier against elemental cobalt for improving nucleation and adhesion of NSD coatings on a CoCrMo alloy. Migration of elemental cobalt to the surface of the interlayer is significantly reduced and undetectable on the surface of the subsequently-grown NSD coating. The effects of PECVD boriding are compared for a range of substrate temperatures and deposition times and are evaluated using glancing-angle X-ray diffraction (XRD), cross-sectional scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDS), and micro-Raman spectroscopy. Boriding of CoCrMo results in adhered nanostructured diamond coatings with low surface roughness.

  10. Morphology evolution in spinel manganite films deposited from an aqueous solution

    International Nuclear Information System (INIS)

    Ko, Song Won; Li, Jing; Trolier-McKinstry, Susan

    2012-01-01

    Spinel manganite films were deposited by the spin spray technique at low deposition temperatures ( 1000, agglomeration of small particles was dominant, which suggests that homogeneous nucleation is dominant during deposition. Heterogeneous nucleation was critical to obtain dense films. - Highlights: ► Film microstructure depends on supersaturation. ► Heterogeneous nucleation induces dense and continuous films. ► The spin spray technique enables use of a variety of substrates.

  11. Structural and electronic properties of InN epitaxial layer grown on c-plane sapphire by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Barick, Barun Kumar, E-mail: bkbarick@gmail.com; Prasad, Nivedita; Saroj, Rajendra Kumar; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology, Bombay, Mumbai 400076 (India)

    2016-09-15

    Growth of InN epilayers on c-plane sapphire substrate by chemical vapor deposition technique using pure indium metal and ammonia as precursors has been systematically explored. It has been found that [0001] oriented indium nitride epitaxial layers with smooth surface morphology can be grown on c-plane sapphire substrates by optimizing the growth conditions. Bandgap of the film is observed to be Burstein–Moss shifted likely to be due to high background electron concentration. It has been found that the concentration of this unintentional doping decreases with the increase in the growth temperature and the ammonia flux. Epitaxial quality on the other hand deteriorates as the growth temperature increases. Moreover, the morphology of the deposited layer has been found to change from flat top islands to faceted mounds as the flow rate of ammonia increases. This phenomenon is expected to be related to the difference in surface termination character at low and high ammonia flow rates.

  12. Field emission current from a junction field-effect transistor

    Energy Technology Data Exchange (ETDEWEB)

    Monshipouri, Mahta; Abdi, Yaser, E-mail: y.abdi@ut.ac.ir [University of Tehran, Nano-Physics Research Laboratory, Department of Physics (Iran, Islamic Republic of)

    2015-04-15

    Fabrication of a titanium dioxide/carbon nanotube (TiO{sub 2}/CNT)-based transistor is reported. The transistor can be considered as a combination of a field emission transistor and a junction field-effect transistor. Using direct current plasma-enhanced chemical vapor deposition (DC-PECVD) technique, CNTs were grown on a p-typed (100)-oriented silicon substrate. The CNTs were then covered by TiO{sub 2} nanoparticles 2–5 nm in size, using an atmospheric pressure CVD technique. In this device, TiO{sub 2}/CNT junction is responsible for controlling the emission current. High on/off-current ratio and proper gate control are the most important advantages of device. A model based on Fowler–Nordheim equation is utilized for calculation of the emission current and the results are compared with experimental data. The effect of TiO{sub 2}/CNT hetero-structure is also investigated, and well modeled.

  13. Response of CVD diamond detectors to alpha radiation

    Energy Technology Data Exchange (ETDEWEB)

    Souw, E.-K. [Brookhaven National Lab., Upton, NY (United States); Meilunas, R.J. [Northrop-Grumman Corporation, Bethpage, NY 11714-3582 (United States)

    1997-11-21

    This article describes some results from an experiment with CVD diamond films used as {alpha} particle detectors. It demonstrates that bulk polarization can be effectively stopped within a reasonable time interval. This will enable detector calibration and quantitative measurement. A possible mechanism for the observed polarization quenching is discussed. It involves two types of carrier traps and a tentative band-gap model derived from the results of photoconductive current measurements. The experiment was set up mainly to investigate {alpha} detection properties of polycrystalline diamond films grown by the technique of microwave plasma enhanced chemical vapor deposition. For comparison, two commercially purchased diamond wafers were also investigated, i.e., one grown by the DC arc jet method, and the other, a type-IIa natural diamond wafer (not preselected). The best response to {alpha} particles was obtained using diamond thin-films grown by the microwave PECVD method, followed by the type-IIa natural diamond, and finally, the CVD diamond grown by the DC arc jet technique. (orig.). 43 refs.

  14. Insight into excimer laser crystallization exploiting ellipsometry: Effect of silicon film precursor

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, Maria [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)], E-mail: maria.losurdo@ba.imip.cnr.it; Giangregorio, Maria M.; Sacchetti, Alberto; Capezzuto, Pio; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy); Mariucci, Luigi; Fortunato, Guglielmo [IFN-CNR, Via Cineto Romano, 42 - 00156 Rome (Italy)

    2007-07-16

    The optical diagnostic of spectroscopic ellipsometry is shown to be an effective tool to investigate the mechanism of excimer laser crystallization (ELC) of silicon thin films. A detailed spectroscopic ellipsometric investigation of the microstructures of polycrystalline Si films obtained on SiO{sub 2}/Si wafers by ELC of a-Si:H and nc-Si films deposited, respectively, by SiH{sub 4} plasma enhanced chemical vapor deposition (PECVD) and SiF{sub 4}-PECVD is presented. It is shown that ellipsometric spectra of the pseudodielectric function of polysilicon thin films allows to discern the three different ELC regimes of partial melting, super lateral growth and complete melting. Exploiting ellipsometry and atomic force microscopy, it is shown that ELC of nc-Si has very low energy density threshold of 95 mJ/cm{sup 2} for complete melting, and that re-crystallization to large grains of {approx} 2 {mu}m can be achieved by multi-shot irradiation at an energy density as low as 260 mJ/cm{sup 2} when using nc-Si when compared to 340 mJ/cm{sup 2} for the ELC of a-Si films.

  15. Tunable white light emission from hafnium oxide films co-doped with trivalent terbium and europium ions deposited by Pyrosol technique

    Energy Technology Data Exchange (ETDEWEB)

    Guzman-Olguin, J.C.; Montes, E.; Guzman-Mendoza, J. [Centro de Investigacion en Ciencia Aplicada y Tecnologia Avanzada del Instituto Politecnico Nacional, Unidad Legaria (Mexico); Baez-Rodriguez, A.; Zamora-Peredo, L. [Centro de Investigacion en Micro y Nanotecnologia, Universidad Veracruzana, Boca del Rio, Ver (Mexico); Garcia-Hipolito, M.; Alvarez-Fregoso, O. [Instituto de Investigaciones en Materiales, Universidad Nacional Autonoma de Mexico, Circuito Exterior, Ciudad Universitaria, Coyoacan (Mexico); Martinez-Merlin, I.; Falcony, C. [Centro de Investigacion y de Estudios Avanzados del Instituto Politecnico Nacional (Mexico)

    2017-10-15

    In this paper, the photo and cathodoluminescent properties of HfO{sub 2} films optically activated with different atomic concentrations of Tb{sup 3+} and Eu{sup 3+} ions, deposited by the Pyrosol technique, are reported. These films were deposited at temperatures from 400 to 600 C, using chlorides as raw materials. The surface morphologies of all deposited films were rough and dense. X-ray diffraction analysis showed that the films deposited at 600 C were polycrystalline exhibiting the HfO{sub 2} monoclinic phase. The tuning by the means of the excitation wavelength generates photoluminescence spectra, for co-doped films, in several emissions from blue to yellow (including white light) due to the characteristic electronic transitions of Tb{sup 3+} (green), Eu{sup 3+}(red) ions and the violet-blue emission associated to the host lattice (HfO{sub 2}). According to the chromaticity diagram, the best white light is reached for the sample S2 excited with 382 nm (x = 0.3343, y = 0.3406). The cathodoluminescence emission spectra for co-doped films showed emissions from green to red (including yellow, orange and other intermediate emissions). The averaged quantum efficiency values of the sample labeled as S2 resulted between 47 and 78% depending on the excitation wavelength. In addition, XPS, TEM, SEM and decay times were performed to characterize these films. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  16. The effects of varying plasma parameters on silicon thin film growth by ECR plasma CVD

    International Nuclear Information System (INIS)

    Summers, S.; Reehal, H.S.; Shirkoohi, G.H.

    2001-01-01

    The technique of electron cyclotron resonance (ECR) plasma enhanced chemical vapour deposition (PECVD) is increasingly being used in electronic and photonic device applications. ECR offers a number of advantages including improved control of the deposition process, less damage to the growing film and the possibility of high deposition rates. ECR occurs in a plasma under appropriate magnetic and electric field conditions. In most cases, as in our system, this is achieved with a combination of 2.45 GHz microwave radiation and a 0.0875 T magnetic field, due to the use of standardized microwave supplies. We have studied the effects on silicon film growth of changing the magnetic field configuration to produce one or more planes of ECR within the system, and of changing the positions of the plane(s) relative to the deposition substrate. The films were grown in silane-hydrogen discharges. The magnetic field in our system was provided by two electromagnets. It was measured experimentally for a number of operating current values and then a detailed profile achieved by modelling using a proprietary software package. A process condition discharge under identical magnetic field configurations to growth was analysed by the use of a Langmuir probe and the results correlated with film properties determined by Raman spectroscopy and Dektak profilometry. (author)

  17. Bacterial adhesion on conventional and self-ligating metallic brackets after surface treatment with plasma-polymerized hexamethyldisiloxane.

    Science.gov (United States)

    Tupinambá, Rogerio Amaral; Claro, Cristiane Aparecida de Assis; Pereira, Cristiane Aparecida; Nobrega, Celestino José Prudente; Claro, Ana Paula Rosifini Alves

    2017-01-01

    Plasma-polymerized film deposition was created to modify metallic orthodontic brackets surface properties in order to inhibit bacterial adhesion. Hexamethyldisiloxane (HMDSO) polymer films were deposited on conventional (n = 10) and self-ligating (n = 10) stainless steel orthodontic brackets using the Plasma-Enhanced Chemical Vapor Deposition (PECVD) radio frequency technique. The samples were divided into two groups according to the kind of bracket and two subgroups after surface treatment. Scanning Electron Microscopy (SEM) analysis was performed to assess the presence of bacterial adhesion over samples surfaces (slot and wings region) and film layer integrity. Surface roughness was assessed by Confocal Interferometry (CI) and surface wettability, by goniometry. For bacterial adhesion analysis, samples were exposed for 72 hours to a Streptococcus mutans solution for biofilm formation. The values obtained for surface roughness were analyzed using the Mann-Whitney test while biofilm adhesion were assessed by Kruskal-Wallis and SNK test. Significant statistical differences (pbrackets after surface treatment and between conventional and self-ligating brackets; no significant statistical differences were observed between self-ligating groups (p> 0.05). Plasma-polymerized film deposition was only effective on reducing surface roughness and bacterial adhesion in conventional brackets. It was also noted that conventional brackets showed lower biofilm adhesion than self-ligating brackets despite the absence of film.

  18. Electrostatic deposition of a micro solder particle using a single probe by applying a single rectangular pulse

    International Nuclear Information System (INIS)

    Nakabayashi, Daizo; Sawai, Kenji; Saito, Shigeki; Takahashi, Kunio

    2012-01-01

    Recently, micromanipulation techniques have been in high demand. A technique to deposit a metal microparticle onto a metal substrate by using a single metal probe has been proposed as one of the techniques. A solder particle with a diameter of 20–30 µm, initially adhering to the probe tip, is detached and deposited onto a substrate. The success rate of the particle deposition was 44% in the previous research, and is insufficient for industrial applications. In this paper, a technique of particle deposition by applying a single rectangular pulse is proposed, and the mechanism of the deposition is described. In the mechanism, an electric discharge between the probe and the particle when the particle reaches the substrate plays an important role in the particle deposition. Moreover, the mechanism of the proposed technique is verified by experiments of particle deposition, which are observed using a high-speed camera, a scanning electron microscope (SEM) and an oscilloscope. The success rate of the particle deposition has increased to 93% by the proposed technique. Furthermore, the damage to the particle by the electric discharge is evaluated using an RC circuit model, and the applicability of the proposed technique is discussed. (paper)

  19. Microstructure of vapor deposited coatings on curved substrates

    Energy Technology Data Exchange (ETDEWEB)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu [Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., P.O. Box 400745, Charlottesville, Virginia 22904 (United States)

    2015-09-15

    Thermal barrier coating systems consisting of a metallic bond coat and ceramic over layer are widely used to extend the life of gas turbine engine components. They are applied using either high-vacuum physical vapor deposition techniques in which vapor atoms rarely experience scattering collisions during propagation to a substrate, or by gas jet assisted (low-vacuum) vapor deposition techniques that utilize scattering from streamlines to enable non-line-of-sight deposition. Both approaches require substrate motion to coat a substrate of complex shape. Here, direct simulation Monte Carlo and kinetic Monte Carlo simulation methods are combined to simulate the deposition of a nickel coating over the concave and convex surfaces of a model airfoil, and the simulation results are compared with those from experimental depositions. The simulation method successfully predicted variations in coating thickness, columnar growth angle, and porosity during both stationary and substrate rotated deposition. It was then used to investigate a wide range of vapor deposition conditions spanning high-vacuum physical vapor deposition to low-vacuum gas jet assisted vapor deposition. The average coating thickness was found to increase initially with gas pressure reaching a maximum at a chamber pressure of 8–10 Pa, but the best coating thickness uniformity was achieved under high vacuum deposition conditions. However, high vacuum conditions increased the variation in the coatings pore volume fraction over the surface of the airfoil. The simulation approach was combined with an optimization algorithm and used to investigate novel deposition concepts to tailor the local coating thickness.

  20. Microstructure of vapor deposited coatings on curved substrates

    International Nuclear Information System (INIS)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G.

    2015-01-01

    Thermal barrier coating systems consisting of a metallic bond coat and ceramic over layer are widely used to extend the life of gas turbine engine components. They are applied using either high-vacuum physical vapor deposition techniques in which vapor atoms rarely experience scattering collisions during propagation to a substrate, or by gas jet assisted (low-vacuum) vapor deposition techniques that utilize scattering from streamlines to enable non-line-of-sight deposition. Both approaches require substrate motion to coat a substrate of complex shape. Here, direct simulation Monte Carlo and kinetic Monte Carlo simulation methods are combined to simulate the deposition of a nickel coating over the concave and convex surfaces of a model airfoil, and the simulation results are compared with those from experimental depositions. The simulation method successfully predicted variations in coating thickness, columnar growth angle, and porosity during both stationary and substrate rotated deposition. It was then used to investigate a wide range of vapor deposition conditions spanning high-vacuum physical vapor deposition to low-vacuum gas jet assisted vapor deposition. The average coating thickness was found to increase initially with gas pressure reaching a maximum at a chamber pressure of 8–10 Pa, but the best coating thickness uniformity was achieved under high vacuum deposition conditions. However, high vacuum conditions increased the variation in the coatings pore volume fraction over the surface of the airfoil. The simulation approach was combined with an optimization algorithm and used to investigate novel deposition concepts to tailor the local coating thickness

  1. Optical thin film deposition

    International Nuclear Information System (INIS)

    Macleod, H.A.

    1979-01-01

    The potential usefulness in the production of optical thin-film coatings of some of the processes for thin film deposition which can be classified under the heading of ion-assisted techniques is examined. Thermal evaporation is the process which is virtually universally used for this purpose and which has been developed to a stage where performance is in almost all respects high. Areas where further improvements would be of value, and the possibility that ion-assisted deposition might lead to such improvements, are discussed. (author)

  2. Vapor deposition of molybdenum oxide using bis(ethylbenzene) molybdenum and water

    International Nuclear Information System (INIS)

    Drake, Tasha L.; Stair, Peter C.

    2016-01-01

    Three molybdenum precursors—bis(acetylacetonate) dioxomolybdenum, molybdenum isopropoxide, and bis(ethylbenzene) molybdenum—were tested for molybdenum oxide vapor deposition. Quartz crystal microbalance studies were performed to monitor growth. Molybdenum isopropoxide and bis(ethylbenzene) molybdenum achieved linear growth rates 0.01 and 0.08 Å/cycle, respectively, using atomic layer deposition techniques. Negligible MoO_x growth was observed on alumina powder using molybdenum isopropoxide, as determined by inductively coupled plasma optical emission spectroscopy. Bis(ethylbenzene) molybdenum achieved loadings of 0.5, 1.1, and 1.9 Mo/nm"2 on alumina powder after one, two, and five cycles, respectively, using atomic layer deposition techniques. The growth window for bis(ethylbenzene) molybdenum is 135–150 °C. An alternative pulsing strategy was also developed for bis(ethylbenzene) molybdenum that results in higher growth rates in less time compared to atomic layer deposition techniques. The outlined process serves as a methodology for depositing molybdenum oxide for catalytic applications. All as-deposited materials undergo further calcination prior to characterization and testing.

  3. Interface characteristics of spin-on-dielectric SiO{sub x}-buffered passivation layers for AlGaN/GaN high electron mobility transistors

    Energy Technology Data Exchange (ETDEWEB)

    Ko, Pil-Seok; Park, Kyoung-Seok; Yoon, Yeo-Chang [Division of Electronics and Electrical Engineering, Dongguk University, 100-715 Seoul (Korea, Republic of); Sheen, Mi-Hyang [Department of Materials Science Engineering, Seoul National University, 151-742 Seoul (Korea, Republic of); Kim, Sam-Dong, E-mail: samdong@dongguk.edu [Division of Electronics and Electrical Engineering, Dongguk University, 100-715 Seoul (Korea, Republic of)

    2015-08-31

    To reveal the cause for significant enhancement of dc current performance of the AlGaN/GaN high electron mobility transistors (HEMTs) with the spin-on-dielectric (SOD) SiO{sub x}-buffered passivation structure compared to the conventional Si{sub 3}N{sub 4} passivation deposited by plasma-enhanced vapor deposition (PECVD), we characterized the passivation interfaces using the cross-sectional transmission electron microscopy, cathodoluminescence, capacitance–voltage (C–V) characterizations, and Hall-effect measurements. The interface state density of PECVD Si{sub 3}N{sub 4} passivation was in the range of 10{sup 12}–10{sup 13} cm{sup −2} eV{sup −1}, which is one-order higher than that of the SOD (10{sup 11}–10{sup 12} cm{sup −2} eV{sup −1}) as measured by C–V measurements from the metal–insulator–semiconductor capacitors. Higher density of effective oxide charge density (especially dominant contribution of ionic mobile charge) was also derived from the PECVD Si{sub 3}N{sub 4} passivation. A well-resolved reduction of the electron Hall mobility of the Si{sub 3}N{sub 4} passivation compared to that of the perhydropolysilazane SOD passivation, which can be due to the higher-density interface states and trap charges, can answer the relative dc current collapse of our HEMT devices. - Highlights: • Spin-on-dielectric (SOD)-buffered passivation for AlGaN/GaN HEMTs • Characterize the charge density and interface states using the C–V measurements • SOD-buffered passivation minimizes surface states at the interface. • DC performance of SOD-buffered structure is due to the interface characteristics.

  4. Al₂O₃ Coatings on Magnesium Alloy Deposited by the Fluidized Bed (FB) Technique.

    Science.gov (United States)

    Baiocco, Gabriele; Rubino, Gianluca; Tagliaferri, Vincenzo; Ucciardello, Nadia

    2018-01-09

    Magnesium alloys are widely employed in several industrial domains for their outstanding properties. They have a high strength-weight ratio, with a density that is lower than aluminum (33% less), and feature good thermal properties, dimensional stability, and damping characteristics. However, they are vulnerable to oxidation and erosion-corrosion phenomena when applied in harsh service conditions. To avoid the degradation of magnesium, several coating methods have been presented in the literature; however, all of them deal with drawbacks that limit their application in an industrial environment, such as environmental pollution, toxicity of the coating materials, and high cost of the necessary machinery. In this work, a plating of Al₂O₃ film on a magnesium alloy realized by the fluidized bed (FB) technique and using alumina powder is proposed. The film growth obtained through this cold deposition process is analyzed, investigating the morphology as well as tribological and mechanical features and corrosion behavior of the plated samples. The resulting Al₂O₃ coatings show consistent improvement of the tribological and anti-corrosive performance of the magnesium alloy.

  5. High efficiency high rate microcrystalline silicon thin-film solar cells deposited at plasma excitation frequencies larger than 100 MHz

    Czech Academy of Sciences Publication Activity Database

    Strobel, C.; Leszczynska, B.; Merkel, U.; Kuske, J.; Fischer, D.D.; Albert, M.; Holovský, Jakub; Michard, S.

    2015-01-01

    Roč. 143, Dec (2015), 347-353 ISSN 0927-0248 R&D Projects: GA MŠk 7E12029 EU Projects: European Commission(XE) 283501 - Fast Track Institutional support: RVO:68378271 Keywords : VHF * PECVD * microcrystalline silicon * solar cell * high rate * high efficiency Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 4.732, year: 2015

  6. Hot-wire chemical vapour deposition of carbon nanotubes

    CSIR Research Space (South Africa)

    Cummings, FR

    2006-07-01

    Full Text Available ablation of graphite, carbon-arc discharge and chemical vapour deposition (CVD). However, some of these techniques have been shown to be expensive due to high deposition temperatures and are not easily controllable. Recently hot-wire chemical vapour...

  7. Characterization of ZnO:SnO{sub 2} (50:50) thin film deposited by RF magnetron sputtering technique

    Energy Technology Data Exchange (ETDEWEB)

    Cynthia, S. R.; Sanjeeviraja, C.; Ponmudi, S. [Department of Physics, Alagappa Chettiar College of Engineering and Technology, Karaikudi-630004 (India); Sivakumar, R., E-mail: krsivakumar1979@yahoo.com [Directorate of Distance Education, Alagappa University, Karaikudi-630004 (India)

    2016-05-06

    Zinc oxide (ZnO) and tin oxide (SnO{sub 2}) thin films have attracted significant interest recently for use in optoelectronic application such as solar cells, flat panel displays, photonic devices, laser diodes and gas sensors because of their desirable electrical and optical properties and wide band gap. In the present study, thin films of ZnO:SnO{sub 2} (50:50) were deposited on pre-cleaned microscopic glass substrate by RF magnetron sputtering technique. The substrate temperature and RF power induced changes in structural, surface morphological, compositional and optical properties of the films have been studied.

  8. Investigation and application of microwave electron cyclotron resonance plasma physical vapour deposition

    International Nuclear Information System (INIS)

    Ren Zhaoxing; Sheng Yanya; Shi Yicai; Wen Haihu; Cao Xiaowen

    1991-06-01

    The evaporating deposition of Ti film and Cu film by using microwave electron cyclotron resonance (ECR) technique was investigated. It deposition rate was about 50 nm/min and the temperature of the substrate was 50∼150 deg C. The thin amorphous films with strong adherent force were obtained. The sputtering deposition with ECR plasma was studied by employing higher plasma density and ionicity and negative substrate potential to make YBaCuO superconducting film. Its film was compact and amorphous with a thickness of 1.0 μm and the deposition rate was about 10 nm/min. The results show that this technique can initiate a high density and high ionicity plasma at lower gas pressure (10 -2 ∼10 -3 Pa). This plasma is the most suitable plasma source in thin film deposition process and surface treatment technique

  9. Physical, optical and electrical properties of copper selenide (CuSe) thin films deposited by solution growth technique at room temperature

    International Nuclear Information System (INIS)

    Gosavi, S.R.; Deshpande, N.G.; Gudage, Y.G.; Sharma, Ramphal

    2008-01-01

    Copper selenide (CuSe) thin films are grown onto amorphous glass substrate from an aqueous alkaline medium using solution growth technique (SGT) at room temperature. The preparative parameters were optimized to obtain good quality of thin films. The as-deposited films were characterized for physical, optical and electrical properties. X-ray diffraction (XRD) pattern reveals that the films are polycrystalline in nature. Energy dispersive analysis by X-ray (EDAX) shows formation of stoichiometric CuSe compound. Uniform deposition of CuSe thin films on glass substrate was observed from scanning electron microscopy (SEM) and atomic force microscopy (AFM) micrographs. Average grain size was determined to 144.53 ± 10 nm using atomic force microscopy. The band gap was found to be 2.03 eV with direct band-to-band transition. Semi-conducting behaviour was observed from resistivity measurements. Ohmic behaviour was seen from I-V curve with good electrical conductivity

  10. Effects of deposition period on the chemical bath deposited Cu4SnS4 thin films

    International Nuclear Information System (INIS)

    Kassim, Anuar; Wee Tee, Tan; Soon Min, Ho.; Nagalingam, Saravanan

    2010-01-01

    Cu 4 SnS 4 thin films were prepared by simple chemical bath deposition technique. The influence of deposition period on the structural, morphological and optical properties of films was studied. The films were characterized using X-ray diffraction, atomic force microscopy and UV-Vis Spectrophotometer. X-ray diffraction patterns indicated that the films were polycrystalline with prominent peak attributed to (221) plane of orthorhombic crystal structure. The films prepared at 80 min showed significant increased in the intensity of all diffractions. According to AFM images, these films indicated that the surface of substrate was covered completely. The obtained films also produced higher absorption characteristics when compared to the films prepared at other deposition periods based on optical absorption studies. The band gap values of films deposited at different deposition periods were in the range of 1.6-2.1 eV. Deposition for 80 min was found to be the optimum condition to produce good quality thin films under the current conditions. (author).

  11. High powered pulsed plasma enhanced deposition of thin film semiconductor and optical materials

    International Nuclear Information System (INIS)

    Llewellyn, I.P.; Sheach, K.J.A.; Heinecke, R.A.

    1993-01-01

    A glow discharge deposition technique is described which allows the deposition of a large range of high quality materials without the requirement for substrate heating. The method is differentiated from conventional plasma deposition techniques in that a much higher degree of dissociation is achieved in the gases prior to deposition, such that thermally activated surface reactions are no longer required in order to produce a dense film. The necessary discharge intensity (>300Wcm -3 ) is achieved using a high power radio frequency generator which is pulsed at a low duty cycle (1%) to keep the average energy of the discharge low (100W), in order to avoid the discharge heating the substrate. In addition, by varying the gas composition between discharge pulses, layered structures of materials can be produced, with a disordered interface about 8 A thick. Various uses of the technique in semiconductor and optical filter production are described, and the properties of films deposited using these technique are presented. (orig.)

  12. Crystalline nanostructured Cu doped ZnO thin films grown at room temperature by pulsed laser deposition technique and their characterization

    Energy Technology Data Exchange (ETDEWEB)

    Drmosh, Qasem A. [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Rao, Saleem G.; Yamani, Zain H. [Laser Research Group, Department of Physics, Center of Excellence in Nanotechnology, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Gondal, Mohammed A., E-mail: magondal@kfupm.edu.sa [Laser Research Group, Department of Physics, Center of Excellence in Nanotechnology, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia)

    2013-04-01

    We report structural and optical properties of Cu doped ZnO (ZnO:Cu) thin films deposited on glass substrate at room temperature by pulsed laser deposition (PLD) method without pre and post annealing contrary to all previous reports. For preparation of (ZnO:Cu) composites pure Zn and Cu targets in special geometrical arrangements were exposed to 248 nm radiations generated by KrF exciter laser. The laser energy was 200 mJ with 10 Hz frequency and 20 ns pulse width. The effect of Cu concentration on crystal structure, morphology, and optical properties were investigated by XRD, FESEM and photoluminescence spectrometer respectively. A systematic shift in ZnO (0 0 2) peak with Cu concentration observed in XRD spectra demonstrated that Cu ion has been incorporated in ZnO lattice. Uniform film with narrow size range grains were observed in FESEM images. The photoluminescence (PL) spectra measured at room temperature revealed a systematic red shift in ZnO emission peak and decrease in the band gap with the increase in Cu concentration. These results entail that PLD technique can be realized to deposit high quality crystalline ZnO and ZnO:Cu thin films without pre and post heat treatment which is normally practiced worldwide for such structures.

  13. The influence of charge effect on the growth of hydrogenated amorphous silicon by the hot-wire chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Q.; Nelson, B.P.; Iwaniczko, E.; Mahan, A.H.; Crandall, R.S.; Benner, J. [National Renewable Energy Lab., Golden, CO (United States)

    1998-09-01

    The authors observe at lower substrate temperatures that the scatter in the dark conductivity on hydrogenated amorphous silicon (a-Si:H) films grown on insulating substrates (e.g., Corning 7059 glass) by the hot-wire chemical vapor deposition technique (HWCVD) can be five orders of magnitude or more. This is especially true at deposition temperatures below 350 C. However, when the authors grow the same materials on substrates with a conductive grid, virtually all of their films have acceptable dark conductivity (< 5 {times} 10{sup {minus}10} S/cm) at all deposition temperatures below 425 C. This is in contrast to only about 20% of the materials grown in this same temperature range on insulating substrates having an acceptable dark conductivity. The authors estimated an average energy of 5 eV electrons reaching the growing surface in vacuum, and did additional experiments to see the influence of both the electron flux and the energy of the electrons on the film growth. Although these effects do not seem to be important for growing a-Si:H by HWCVD on conductive substrates, they help better understand the important parameters for a-Si:H growth, and thus, to optimize these parameters in other applications of HWCVD technology.

  14. Chemical solution deposition of functional oxide thin films

    CERN Document Server

    Schneller, Theodor; Kosec, Marija

    2014-01-01

    Chemical Solution Deposition (CSD) is a highly-flexible and inexpensive technique for the fabrication of functional oxide thin films. Featuring nearly 400 illustrations, this text covers all aspects of the technique.

  15. Technique for controllable vapor-phase deposition of 1-nitro(14C)pyrene and other polycyclic aromatic hydrocarbons onto environmental particulate matter

    International Nuclear Information System (INIS)

    Lucas, S.V.; Lee, K.W.; Melton, C.W.; Lewtas, J.; Ball, L.M.

    1991-01-01

    To produce environmental particles fortified with a polycyclic aromatic hydrocarbon (PAH) for toxicology studies, an experimental apparatus was devised for deposition of the desired chemical species onto particles in a controlled and reproducible manner. The technique utilized consists of dispersion of the particles on a gaseous stream at a controlled rate, thermal vaporization of a solution of PAH, delivery of the vaporized PAH into the aerosol of particles at a controlled rate, subsequent condensation of the PAH onto the particles, and final recovery of the coated particles. The effectiveness of this approach was demonstrated by vapor-coating a 14 C-labeled PAH (1-nitro( 14 C)-pyrene) onto diesel engine exhaust particles that had previously been collected by tunnel dilution sampling techniques. Using the 14 C label as a tracer, the coated particles were characterized with respect to degree of coating, integrity of particle structure and absence of chemical decomposition of the coating substrate. The study demonstrates that the described method provides a controllable means for depositing a substance uniformly and with a high coating efficiency onto aerosolized particles. The technique was also used to vapor-coat benzo(a)pyrene onto diesel engine exhaust and urban ambient air particulate matter, and 2-nitrofluoranthene onto urban ambient air particulate matter. Coating efficiencies of about 400 micrograms/g particulate matter were routinely obtained on a single coating run, and up to 1200 micrograms/g (1200 ppm) were achieved after a second pass through the process. The coated particles were subsequently utilized in biological fate, distribution and metabolism studies

  16. Evaluation and study of advanced optical contamination, deposition, measurement, and removal techniques. [including computer programs and ultraviolet reflection analysis

    Science.gov (United States)

    Linford, R. M. F.; Allen, T. H.; Dillow, C. F.

    1975-01-01

    A program is described to design, fabricate and install an experimental work chamber assembly (WCA) to provide a wide range of experimental capability. The WCA incorporates several techniques for studying the kinetics of contaminant films and their effect on optical surfaces. It incorporates the capability for depositing both optical and contaminant films on temperature-controlled samples, and for in-situ measurements of the vacuum ultraviolet reflectance. Ellipsometer optics are mounted on the chamber for film thickness determinations, and other features include access ports for radiation sources and instrumentation. Several supporting studies were conducted to define specific chamber requirements, to determine the sensitivity of the measurement techniques to be incorporated in the chamber, and to establish procedures for handling samples prior to their installation in the chamber. A bibliography and literature survey of contamination-related articles is included.

  17. Deposition of waste kaolin in aluminum alloy by electrolytic plasma technique

    International Nuclear Information System (INIS)

    Palinkas, Fabiola Bergamasco da Silva Marcondes; Antunes, Maria Lucia Pereira; Cruz, Nilson Cristino; Rangel, Elidiane Cipriano; Souza, Jose Antonio da Silva

    2016-01-01

    Full text: Kaolin is a widely explored mineral for various industrial purposes and its processing generates up to 90% of waste, corresponding to 500 thousand tons annually. The Deposition of Kaolin residue on aluminum alloys by electrolytic plasma has objective of a valorization of the residue. It was evaluated the mineralogical composition by X-ray diffraction (XRD), using PANalytical diffractometer X'Pert Pro. The scanning electron microscopy (SEM) and the spectrometry of dispersive of energy (EDS) evaluated the morphology and elementary chemical composition by microscope scanning electron JEOL JSM-6010LA. The Infrared Spectroscopy (FTIR) has used a Spectrometer the Perkin-Elmer 1760X FT-IR with spectral range 4000-400 cm -1 . XRD results indicate peaks of kaolinite as the main constituent. The morphology of the particles correspond to pseudo-hexagonal lamellar crystals characteristic of kaolinite, analysis by EDS allows to identify the composition of the particles as Al and Si. The samples were deposited at concentrations of 5, 10 and 15 mg of the residue and each concentration were considered deposition times of 5, 10 and 15 minutes. Tests evaluate the films as the wettability, chemical composition, morphology, mechanical strength and corrosion resistance. Results indicate the presence of kaolinite, alumina and mullite in the obtained coatings. (author)

  18. Impact of the difference in power frequency on diamond-like carbon thin film coating over 3-dimensional objects

    Energy Technology Data Exchange (ETDEWEB)

    Nakaya, Masaki, E-mail: m-nakaya@kirin.co.jp [Packaging Technology Development Center, Technology Development Department, Kirin Brewery Co., Ltd., 1-17-1 Namamugi, Tsurumi-ku, Yokohama, Kanagawa 230-8682 (Japan); Shimizu, Mari [Packaging Technology Development Center, Technology Development Department, Kirin Brewery Co., Ltd., 1-17-1 Namamugi, Tsurumi-ku, Yokohama, Kanagawa 230-8682 (Japan); Uedono, Akira [Division of Applied Physics, Faculty of Pure and Applied Science, University of Tsukuba, Tsukuba, Ibaraki 305-8573 (Japan)

    2014-08-01

    With a type of capacitatively coupled plasma enhanced chemical vapor deposition (PECVD) technique, where two specially designed electrodes face to each other, the inner surface of hollow 3-dimensional objects such as poly(ethylene terephthalate) (PET) bottles can be coated with diamond-like carbon (DLC) thin film. DLC-coated PET bottles obtained with this technique have an enhanced gas barrier property, and therefore are applicable to industrial use such as for the extension of the shelf-life of contents sensitive to gas permeation. In this paper, the impact of power frequency ranging from 2.5 to 13.56 MHz was studied in order to research the behavior of plasma inside PET bottles and resultant properties. Different power frequency turned out to be influential on gas barrier property, the overall and distribution of tint, and adhesion between DLC and PET substrate. In addition, positron annihilation turned out to be powerful tool for the comparison of different coating conditions because it clarifies the homogeneity of DLC thin films through providing information on overall structure and thickness of them. These findings can be used for the optimization not only in the beverage PET bottle application, but also in other capacitatively coupled PECVD devices. - Highlights: • We demonstrated an effective methodology for the homogeneity of thin films. • We described the influence of power frequency on plasma and resultant thin film. • Diamond-like carbon coated on poly(ethylene terephthalate) bottles was used. • Different frequency provided homogenous thin films based on the above methodology. • For the industrial performance of the bottles, optimization was found at 6 MHz.

  19. Impact of the difference in power frequency on diamond-like carbon thin film coating over 3-dimensional objects

    International Nuclear Information System (INIS)

    Nakaya, Masaki; Shimizu, Mari; Uedono, Akira

    2014-01-01

    With a type of capacitatively coupled plasma enhanced chemical vapor deposition (PECVD) technique, where two specially designed electrodes face to each other, the inner surface of hollow 3-dimensional objects such as poly(ethylene terephthalate) (PET) bottles can be coated with diamond-like carbon (DLC) thin film. DLC-coated PET bottles obtained with this technique have an enhanced gas barrier property, and therefore are applicable to industrial use such as for the extension of the shelf-life of contents sensitive to gas permeation. In this paper, the impact of power frequency ranging from 2.5 to 13.56 MHz was studied in order to research the behavior of plasma inside PET bottles and resultant properties. Different power frequency turned out to be influential on gas barrier property, the overall and distribution of tint, and adhesion between DLC and PET substrate. In addition, positron annihilation turned out to be powerful tool for the comparison of different coating conditions because it clarifies the homogeneity of DLC thin films through providing information on overall structure and thickness of them. These findings can be used for the optimization not only in the beverage PET bottle application, but also in other capacitatively coupled PECVD devices. - Highlights: • We demonstrated an effective methodology for the homogeneity of thin films. • We described the influence of power frequency on plasma and resultant thin film. • Diamond-like carbon coated on poly(ethylene terephthalate) bottles was used. • Different frequency provided homogenous thin films based on the above methodology. • For the industrial performance of the bottles, optimization was found at 6 MHz

  20. Formation of aluminum films on silicon by ion beam deposition: a comparison with ionized cluster beam deposition

    International Nuclear Information System (INIS)

    Zuhr, R.A.; Haynes, T.E.; Galloway, M.D.; Tanaka, S.; Yamada, A.; Yamada, I.

    1991-01-01

    The direct ion beam deposition (IBD) technique has been used to study the formation of oriented aluminum films on single crystal silicon substrates. In the IBD process, thin film growth is accomplished by decelerating a magnetically analyzed ion beam to low energies (10-200 eV) for direct deposition onto the substrate under UHV conditions. The aluminum-on-silicon system is one which has been studied extensively by ionized cluster beam (ICB) deposition. This technique has produced intriguing results for aluminum, with oriented crystalline films being formed at room temperature in spite of the 25% mismatch in lattice constant between aluminum and silicon. In this work, we have studied the formation of such films by IBD, with emphasis on the effects of ion energy, substrate temperature, and surface cleanliness. Oriented films have been grown on Si(111) at temperatures from 40 to 300degC and with ion energies of 30-120 eV per ion. Completed films were analyzed by ion scattering, X-ray diffraction, scanning-electron microscopy, and optical microscopy. Results achieved for thin films grown by IBD are comparable to those for similar films grown by ICB deposition. (orig.)

  1. ZnO film deposition on Al film and effects of deposition temperature on ZnO film growth characteristics

    International Nuclear Information System (INIS)

    Yoon, Giwan; Yim, Munhyuk; Kim, Donghyun; Linh, Mai; Chai, Dongkyu

    2004-01-01

    The effects of the deposition temperature on the growth characteristics of the ZnO films were studied for film bulk acoustic wave resonator (FBAR) device applications. All films were deposited using a radio frequency magnetron sputtering technique. It was found that the growth characteristics of ZnO films have a strong dependence on the deposition temperature from 25 to 350 deg. C. ZnO films deposited below 200 deg. C exhibited reasonably good columnar grain structures with highly preferred c-axis orientation while those above 200 deg. C showed very poor columnar grain structures with mixed-axis orientation. This study seems very useful for future FBAR device applications

  2. Optimized-geometry ARROW waveguides using TiO{sub 2} as anti-resonant layer

    Energy Technology Data Exchange (ETDEWEB)

    Carvalho, Daniel O.; Albertin, Katia F.; Alayo, Marco I. [PSI, University of Sao Paulo (Brazil)

    2010-04-15

    The simulation, fabrication and characterization of ARROW waveguides using dielectric films deposited by Plasma Enhanced Chemical Vapor Deposition (PECVD) and Sputtering techniques, are presented in this work. Amorphous titanium oxide (TiO{sub 2}) films were used as first cladding layer and silicon oxynitride (SiO{sub x}N{sub y}) films, as core layer. Furthermore, homemade routines based in two computational methods were used, for numerical simulations: Transfer Matrix Method (TMM) for the determination of the optimum thickness values of the Fabry-Perot layers, and the Finite Difference Method (FDM) for 2D design and determination of the maximum width that allows single-mode operation. The utilization of thermally grown silicon oxide as second anti-resonant layer, along with improvements in the Reactive Ion Etching conditions for the definition of sidewalls of the optical waveguides were responsible for diminishing optical attenuations. Optimization of the waveguide rib height was done both through FDM simulations and experimentally. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Chemical solution deposition techniques for epitaxial growth of complex oxides

    NARCIS (Netherlands)

    ten Elshof, Johan E.; Koster, G.; Huijben, Mark; Rijnders, G.

    2015-01-01

    The chemical solution deposition (CSD) process is a wet-chemical process that is employed to fabricate a wide variety of amorphous and crystalline oxide thin films. This chapter describes the typical steps in a CSD process and their influence on the final microstructure and properties of films, and

  4. Enhancement of the optical and electrical properties of ITO thin films deposited by electron beam evaporation technique

    Science.gov (United States)

    Ali, H. M.; Mohamed, H. A.; Mohamed, S. H.

    2005-08-01

    Indium tin oxide (ITO) is widely utilized in numerous industrial applications due to its unique combined properties of transparency to visible light and electrical conductivity. ITO films were deposited on glass substrates by an electron beam evaporation technique at room temperature from bulk samples, with different thicknesses. The film with 1500 Å thick was selected to perform annealing in the temperature range of 200 400 °C and annealing for varying times from 15 to 120 min at 400 °C. The X-ray diffraction of the films was analyzed in order to investigate its dependence on thickness, and annealing. Electrical and optical measurements were also carried out. Transmittance, optical energy gap, refractive index, carrier concentration, thermal emissivity and resistivity were investigated. It was found that the as-deposited films with different thicknesses were highly absorbing and have relatively poor electrical properties. The films become opaque with increasing the film thickness. After thermal annealing, the resistance decreases and a simultaneous variation in the optical transmission occurs. A transmittance value of 85.5% in the IR region and 82% in the visible region of the spectrum and a resistivity of 2.8 × 10-4 Ω Cm were obtained at annealing temperature of 400 °C for 120 min.

  5. Sn and Cu oxide nanoparticles deposited on TiO{sub 2} nanoflower 3D substrates by Inert Gas Condensation technique

    Energy Technology Data Exchange (ETDEWEB)

    Kusior, A., E-mail: akusior@agh.edu.pl [Faculty of Materials Science and Ceramics, AGH University of Science and Technology, al. Mickiewicza 30, 30-059 Krakow (Poland); Kollbek, K. [Academic Centre for Materials and Nanotechnology, AGH University of Science and Technology, al. Mickiewicza 30, 30-059 Krakow (Poland); Kowalski, K. [Faculty of Metals Engineering and Industrial Computer Science, AGH University of Science and Technology, al. Mickiewicza 30, 30-059 Krakow (Poland); Borysiewicz, M. [Institute of Electron Technology, al. Lotnikow 32/46, 02-668 Warszawa (Poland); Wojciechowski, T. [Institute of Physics Polish Academy of Science, al. Lotnikow 32/46, 02-668 Warszawa (Poland); Adamczyk, A.; Trenczek-Zajac, A.; Radecka, M. [Faculty of Materials Science and Ceramics, AGH University of Science and Technology, al. Mickiewicza 30, 30-059 Krakow (Poland); Zakrzewska, K. [Faculty of Computer Science, Electronics and Telecommunications, AGH University of Science and Technology, al. Mickiewicza 30, 30-059 Krakow (Poland)

    2016-09-01

    Graphical abstract: - Highlights: • Inert Gas Condensation method yields non-agglomerated nanoparticles. • The growth of nanoparticles is controllable at the level of deposition. • Electrical conductivity increases with respect to pure nanostructured TiO{sub 2}. - Abstract: Sn and Cu oxide nanoparticles were deposited by Inert Gas Condensation (IGC) technique combined with dc magnetron sputtering onto nanoflower TiO{sub 2} 3D substrates obtained in the oxidation process of Ti-foil in 30% H{sub 2}O{sub 2}. Sputtering parameters such as insertion length and Ar/He flow rates were optimized taking into account the nanostructure morphology. Comparative studies with hydrothermal method were carried out. Surface properties of the synthesized nanomaterials were studied by Scanning Electron Microscopy, SEM, Atomic Force Microscopy, AFM, and X-ray Photoelectron Spectroscopy, XPS. X-ray diffraction, XRD and Raman spectroscopy were performed in order to determine phase composition. Impedance spectroscopy demonstrated the influence of nanoparticles on the electrical conductivity.

  6. Significance of a Noble Metal Nanolayer on the UV and Visible Light Photocatalytic Activity of Anatase TiO2 Thin Films Grown from a Scalable PECVD/PVD Approach.

    Science.gov (United States)

    Baba, Kamal; Bulou, Simon; Quesada-Gonzalez, Miguel; Bonot, Sébastien; Collard, Delphine; Boscher, Nicolas D; Choquet, Patrick

    2017-11-29

    UV and visible light photocatalytic composite Pt and Au-TiO 2 coatings have been deposited on silicon and glass substrates at low temperature using a hybrid ECWR-PECVD/MS-PVD process. Methylene blue, stearic acid, and sulfamethoxazole were used as dye, organic, and antibiotic model pollutants, respectively, to demonstrate the efficiency of these nanocomposite coatings for water decontamination or self-cleaning surfaces applications. Raman investigations revealed the formation of anatase polymorph of TiO 2 in all synthesized coatings with a shifting of the main vibrational mode peak to higher wavenumber in the case of Au-TiO 2 coating, indicating an increase number of crystalline defects within this coating. Because of the difference of the chemical potentials of each of the investigated noble metals, the sputtered metal layers exhibit different morphology. Pt sputtered atoms, with high surface adhesion, promote formation of a smooth 2D layer. On the other hand, Au sputtered atoms with higher cohesive forces promote the formation of 5-10 nm nanoparticles. As a result, the surface plasmon resonance phenomenon was observed in the Au-TiO 2 coatings. UV photoactivity of the nanocomposite coatings was enhanced 1.5-3 times and 1.3 times for methylene blue and stearic acid, respectively, thanks to the enhancement of electron trapping in the noble metal layer. This electron trapping phenomenon is higher in the Pt-TiO 2 coating because of its larger work function. On the other hand, the enhancement of the visible photoactivity was more pronounced (3 and 7 times for methylene blue and stearic acid, respectively) in the case of Au-TiO 2 thanks to the surface plasmon resonance. Finally, these nanocomposite TiO 2 coatings exhibited also a good ability for the degradation of antibiotics usually found in wastewater such as sulfamethoxazole. However, a complementary test have showed an increase of the toxicity of the liquid medium after photocatalysis, which could be due the

  7. Organo-layered double hydroxides composite thin films deposited by laser techniques

    Energy Technology Data Exchange (ETDEWEB)

    Birjega, R. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest-Magurele (Romania); Vlad, A., E-mail: angela.vlad@gmail.com [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest-Magurele (Romania); Matei, A.; Dumitru, M.; Stokker-Cheregi, F.; Dinescu, M. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest-Magurele (Romania); Zavoianu, R. [University of Bucharest, Faculty of Chemistry, Department of Chemical Technology and Catalysis, 4-12 Regina Elisabeta Bd., Bucharest 030018 (Romania); Raditoiu, V.; Corobea, M.C. [National R.& D. Institute for Chemistry and Petrochemistry, ICECHIM, 202 Splaiul Independentei Str., CP-35-274, 060021 Bucharest (Romania)

    2016-06-30

    Highlights: • PLD and MAPLE was successfully used to produce organo-layered double hydroxides. • The organic anions (dodecyl sulfate-DS) were intercalated in co-precipitation step. • Zn2.5Al-LDH (Zn/Al = 2.5) and Zn2.5Al-DS thin films obtained in this work could be suitable for further applications as hydrophobic surfaces. - Abstract: We used laser techniques to create hydrophobic thin films of layered double hydroxides (LDHs) and organo-modified LDHs. A LDH based on Zn-Al with Zn{sup 2+}/Al{sup 3+} ratio of 2.5 was used as host material, while dodecyl sulfate (DS), which is an organic surfactant, acted as guest material. Pulsed laser deposition (PLD) and matrix assisted pulsed laser evaporation (MAPLE) were employed for the growth of the films. The organic anions were intercalated in co-precipitation step. The powders were subsequently used either as materials for MAPLE, or they were pressed and used as targets for PLD. The surface topography of the thin films was investigated by atomic force microscopy (AFM), the crystallographic structure of the powders and films was checked by X-ray diffraction. FTIR spectroscopy was used to evidence DS interlayer intercalation, both for powders and the derived films. Contact angle measurements were performed in order to establish the wettability properties of the as-prepared thin films, in view of functionalization applications as hydrophobic surfaces, owing to the effect of DS intercalation.

  8. Microstructural, nanomechanical, and microtribological properties of Pb thin films prepared by pulsed laser deposition and thermal evaporation techniques

    Energy Technology Data Exchange (ETDEWEB)

    Broitman, Esteban, E-mail: esbro@ifm.liu.se [Thin Film Physics Division, IFM, Linköping University, SE-581 83 Linköping (Sweden); Flores-Ruiz, Francisco J. [Thin Film Physics Division, IFM, Linköping University, SE-581 83 Linköping, Sweden and Centro de Investigación y de Estudios Avanzados del I.P.N., Unidad Querétaro, Querétaro 76230 (Mexico); Di Giulio, Massimo [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Gontad, Francisco; Lorusso, Antonella; Perrone, Alessio [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce, Italy and INFN-Istituto Nazionale di Fisica Nucleare, 73100 Lecce (Italy)

    2016-03-15

    In this work, the authors compare the morphological, structural, nanomechanical, and microtribological properties of Pb films deposited by thermal evaporation (TE) and pulsed laser deposition (PLD) techniques onto Si (111) substrates. Films were investigated by scanning electron microscopy, surface probe microscopy, and x-ray diffraction in θ-2θ geometry to determine their morphology, root-mean-square (RMS) roughness, and microstructure, respectively. TE films showed a percolated morphology with densely packed fibrous grains while PLD films had a granular morphology with a columnar and tightly packed structure in accordance with the zone growth model of Thornton. Moreover, PLD films presented a more polycrystalline structure with respect to TE films, with RMS roughness of 14 and 10 nm, respectively. Hardness and elastic modulus vary from 2.1 to 0.8 GPa and from 14 to 10 GPa for PLD and TE films, respectively. A reciprocal friction test has shown that PLD films have lower friction coefficient and wear rate than TE films. Our study has demonstrated for first time that, at the microscale, Pb films do not show the same simple lubricious properties measured at the macroscale.

  9. Electrophoretic deposition of 9-YSZ solid electrolyte on Ni- YSZ composite

    International Nuclear Information System (INIS)

    Santos, F.S.; Yoshito, W.K.; Lazar, D.R.R.; Ussui, V.

    2010-01-01

    9-YSZ ceramic and Ni-YSZ metal/ceramic composite are the more commonly used materials for the fabrication of solid oxide fuel cell electrolyte and anode, respectively. The main challenges for these applications are the forming of both materials as superposed double thin layers. In the present work ceramic powder of 9- YSZ was synthesized by a coprecipitation technique and the Ni O-YSZ composite by a combustion technique. The later was formed by uniaxial pressing as cylindrical pellets of 15 mm diameter. Thin ceramic layers of 9-YSZ were deposited on composite pellets from a suspension with 10% solid content by an Electrophoretic Deposition technique. Applied voltage varied in the range of 30 to 200 V and deposition time from 15 to 90 seconds, evaluating the deposited mass, porosity on the interface and adhesion of layers. Resulted ceramics were characterized by X-ray diffraction and were observed in a scanning electron microscope. Results showed that deposited layers are thin (∼20μm), dense and have good adhesion on the surface of composite substrate. (author)

  10. Atmospheric deposition of heavy metals in transilvanian plateau of romania studied by the moss bio monitoring Technique employing nuclear and related analytical Techniques and gis technology

    International Nuclear Information System (INIS)

    Lucaciu, Adriana; Craciun, L.; Cuculeanu, V.; Eseanu, D.

    2001-01-01

    This paper presents data for 39 elements of 69 moss samples (Hypnum cupressiforme) collected in the Transilvanian Plateau of Romania. This results have obtained in the framework of the project Atmospheric Deposition of Heavy Metals in Rural and Urban Areas of Romania Studied by the Moss Bio monitoring Technique Employing Nuclear and Related Analytical Techniques and GIS Technology carried out under the auspices of the International Atomic Energy Agency, Vienna.The samples collected have been analyzed by ENAA with the exception of Cu, Cd, and Pb which were determined by AAS. IAEA certified materials were used to ensure the quality of the measurements. The regional concentration variations of selected elements are presented in the form of maps constructed by GIS technology. Extremely high values are observed for elements such as Cu, Zn, As and Sb in parts of this territory affected by local metal industries. The levels are among the highest observed in the world, and could be partly responsible for the unfortunate health situation in some of these areas

  11. Patterned carbon nanotubes fabricated by the combination of microcontact printing and diblock copolymer micelles.

    Science.gov (United States)

    Xu, Peng; Ji, Xin; Qi, Junlei; Yang, Hongmin; Zheng, Weitao; Abetz, Volker; Jiang, Shimei; Shen, Jiacong

    2010-01-01

    A convenient approach to synthesize patterned carbon nanotubes (CNTs) of three morphologies on printed substrates by combination of microcontact printing (microCP) and a plasma-enhanced chemical vapor deposition (PECVD) process is presented. Micelles of polystyrene-block-poly-(2-vinylpyridine) (PS-b-P2VP) in toluene were used as nanoreactors to fabricate FeCl3 in the core domains, and the complex solution was used as an ink to print films with polydimethylsiloxane (PDMS) stamps, different morphologies (porous, dots and stripes patterns) of the FeCl3-loaded micellar films were left onto silicon substrates after printed. After removing the polymer by thermal decomposition, the left iron oxide cluster arrays on the substrate were used as catalysts for the growth of CNTs by the process of PECVD, where the CNTs uniformly distributed on the substrates according to the morphologies of patterned catalysts arrays.

  12. Deposition and modification of tantalum carbide coatings on graphite by laser interactions

    International Nuclear Information System (INIS)

    Veligdan, J.; Branch, D.; Vanier, P.E.; Barletta, R.E.

    1992-01-01

    Graphite surfaces can be hardened and protected from erosion by hydrogen at high temperatures by refractory metal carbide coatings, which are usually prepared by chemical vapor deposition (CVD) or chemical vapor reaction (CVR) methods. These techniques rely on heating the substrate to a temperature where a volatile metal halide decomposes and reacts with either a hydrocarbon gas or with carbon from the substrate. For CVR techniques, deposition temperatures must be in excess of 2000 degrees C in order to achieve favorable deposition kinetics. In an effort to lower the bulk substrate deposition temperature, the use of laser interactions with both the substrate and the metal halide deposition gas has been employed. Initial testing, involved the use of a CO 2 laser to heat the surface of a graphite substrate and a KrF excimer laser to accomplish a photodecomposition of TaCl 5 gas near the substrate. Results of preliminary experiments using these techniques are described

  13. Perioperative ultrasound-guided wire marking of calcific deposits in calcifying tendinitis of the rotator cuff.

    Science.gov (United States)

    Sigg, Andreas; Draws, Detlev; Stamm, Axel; Pfeiffer, Michael

    2011-03-01

    The identification of a calcific deposit in the rotator cuff can often cause difficulties. A new technique is described to identify the calcific deposit perioperatively with a ultrasound-guided wire. The technique allows a safe direct marking of calcific deposits making the procedure faster especially in difficult cases.

  14. Atmospheric heavy metal deposition in Europe estimated by moss analysis

    Energy Technology Data Exchange (ETDEWEB)

    Ruehling, Aa. [Swedish Environmental Research Inst., Lund (Sweden). Dept. of Ecology

    1995-12-31

    Atmospheric heavy metal deposition in Europe including 21 countries was monitored in 1990-1992 by the moss technique. This technique is based on the fact that the concentrations of heavy metals in moss are closely correlated to atmospheric deposition. This was the first attempt to map heavy metal deposition in this large area. The objectives of the project were to characterise qualitatively and quantitatively the regional atmospheric deposition pattern of heavy metals in background areas in Europe, to indicate the location of important heavy metal pollution sources and to allow retrospective comparisons with similar studies. The present survey is a follow-up of a joint Danish and Swedish project in 1980 and an extended survey in 1985 within the framework of the Nordic Council of Ministers. In Sweden, heavy-metal deposition was first mapped on a nation-wide scale in 1968-1971 and 1975. (author)

  15. Atmospheric heavy metal deposition in Europe estimated by moss analysis

    Energy Technology Data Exchange (ETDEWEB)

    Ruehling, Aa [Swedish Environmental Research Inst., Lund (Sweden). Dept. of Ecology

    1996-12-31

    Atmospheric heavy metal deposition in Europe including 21 countries was monitored in 1990-1992 by the moss technique. This technique is based on the fact that the concentrations of heavy metals in moss are closely correlated to atmospheric deposition. This was the first attempt to map heavy metal deposition in this large area. The objectives of the project were to characterise qualitatively and quantitatively the regional atmospheric deposition pattern of heavy metals in background areas in Europe, to indicate the location of important heavy metal pollution sources and to allow retrospective comparisons with similar studies. The present survey is a follow-up of a joint Danish and Swedish project in 1980 and an extended survey in 1985 within the framework of the Nordic Council of Ministers. In Sweden, heavy-metal deposition was first mapped on a nation-wide scale in 1968-1971 and 1975. (author)

  16. Pure and Sn-doped ZnO films produced by pulsed laser deposition

    DEFF Research Database (Denmark)

    Holmelund, E.; Schou, Jørgen; Tougaard, S.

    2002-01-01

    A new technique, metronome doping, has been used for doping of films during pulsed laser deposition (PLD). This technique makes it possible to dope continuously during film growth with different concentrations of a dopant in one deposition sequence. Films of pure and doped ZnO have been produced...

  17. Purity and resistivity improvements for electron-beam-induced deposition of Pt

    Energy Technology Data Exchange (ETDEWEB)

    Mulders, J.J.L. [FEI Company, Eindhoven (Netherlands)

    2014-12-15

    Electron-beam-induced deposition (EBID) of platinum is used by many researchers. Its main application is the formation of a protective layer and the ''welding material'' for making a TEM lamella with a focused ion beam thinning process. For this application, the actual composition of the deposition is less relevant, and in practice, both the mechanical strength and the conductivity are sufficient. Another important application is the creation of an electrical connection to nanoscale structures such as nano-wires and graphene. To serve as an electrical contact, the resistivity of the Pt deposited structure has to be sufficiently low. Using the commonly used precursor MeCpPtMe{sub 3} for deposition, the resistivity as created by the basic process is 10{sup +5}-10{sup +6} higher than the value for bulk Pt, which is 10.6 μΩ cm. The reason for this is the high abundance of carbon in the deposition. To improve the deposition process, much attention has been given by the research community to parameter optimization, to ex situ or in situ removal of carbon by anneal steps, to prevention of carbon deposition by use of a carbon-free precursor, to electron beam irradiation under a high flux of oxygen and to the combination with other techniques such as atomic layer deposition (ALD). In the latter technique, the EBID structures are used as a 1-nm-thick seed layer only, while the ALD is used to selectively add pure Pt. These techniques have resulted in a low resistivity, today approaching the 10-150 μΩ cm, while the size and shape of the structure are preserved. Therefore, now, the technique is ready for application in the field of contacting nano-wires. (orig.)

  18. Diamond-like carbon films deposited on three-dimensional shape substrate model by liquid electrochemical technique

    International Nuclear Information System (INIS)

    He, Y.Y.; Zhang, G.F.; Zhao, Y.; Liu, D.D.; Cong, Y.; Buck, V.

    2015-01-01

    Diamond-like carbon (DLC) films were deposited on three-dimensional (3D) shape substrate model by electrolysis of 2-propanol solution at low temperature (60 °C). This 3D shape model was composed of a horizontally aligned stainless steel wafer and vertically aligned stainless steel rods. Morphology and microstructure of the films were analyzed by scanning electron microscopy (SEM), atomic force microscopy (AFM) and Raman spectroscopy, respectively. The results suggested there were only differences in film uniformity and thickness for two kinds of samples. The hydrogenated amorphous carbon films deposited on horizontally aligned substrate were smooth and homogeneous. And the film thickness of DLC films gained on the vertical substrates decreased along vertical direction. It is believed that bubble formation could enhance nucleation on the wetted capillary area. This experiment shows that deposition of DLC films by liquid phase deposition on 3D shape conductive substrates is possible. - Highlights: • DLC film is expected to be deposited on complex surface/shape substrate. • DLC film is deposited on 3D shape substrate by liquid electrochemical method. • Horizontal substrate is covered by smooth and homogeneous DLC films. • Film thickness decreases along vertical direction due to boiling effect

  19. Diamond-like carbon films deposited on three-dimensional shape substrate model by liquid electrochemical technique

    Energy Technology Data Exchange (ETDEWEB)

    He, Y.Y. [Institute of Nano-photonics, School of Physics and Materials Engineering, Dalian Nationalities University, 116600 Dalian (China); Zhang, G.F. [School of Materials Science and Engineering, Dalian University of Technology, 116024, Dalian China (China); Zhao, Y.; Liu, D.D. [Institute of Nano-photonics, School of Physics and Materials Engineering, Dalian Nationalities University, 116600 Dalian (China); Cong, Y., E-mail: congyan@ciomp.ac.cn [Institute of Nano-photonics, School of Physics and Materials Engineering, Dalian Nationalities University, 116600 Dalian (China); Buck, V. [Thin Film Technology Group, Faculty of Physics, University Duisburg-Essen and CeNIDE, 47057 Duisburg (Germany)

    2015-09-01

    Diamond-like carbon (DLC) films were deposited on three-dimensional (3D) shape substrate model by electrolysis of 2-propanol solution at low temperature (60 °C). This 3D shape model was composed of a horizontally aligned stainless steel wafer and vertically aligned stainless steel rods. Morphology and microstructure of the films were analyzed by scanning electron microscopy (SEM), atomic force microscopy (AFM) and Raman spectroscopy, respectively. The results suggested there were only differences in film uniformity and thickness for two kinds of samples. The hydrogenated amorphous carbon films deposited on horizontally aligned substrate were smooth and homogeneous. And the film thickness of DLC films gained on the vertical substrates decreased along vertical direction. It is believed that bubble formation could enhance nucleation on the wetted capillary area. This experiment shows that deposition of DLC films by liquid phase deposition on 3D shape conductive substrates is possible. - Highlights: • DLC film is expected to be deposited on complex surface/shape substrate. • DLC film is deposited on 3D shape substrate by liquid electrochemical method. • Horizontal substrate is covered by smooth and homogeneous DLC films. • Film thickness decreases along vertical direction due to boiling effect.

  20. Structural, nanomechanical and variable range hopping conduction behavior of nanocrystalline carbon thin films deposited by the ambient environment assisted filtered cathodic jet carbon arc technique

    Energy Technology Data Exchange (ETDEWEB)

    Panwar, O.S., E-mail: ospanwar@mail.nplindia.ernet.in [Polymorphic Carbon Thin Films Group, Physics of Energy Harvesting Division, CSIR-National Physical Laboratory, Dr. K. S. Krishnan Road, New Delhi - 110 012 (India); Rawal, Ishpal; Tripathi, R.K. [Polymorphic Carbon Thin Films Group, Physics of Energy Harvesting Division, CSIR-National Physical Laboratory, Dr. K. S. Krishnan Road, New Delhi - 110 012 (India); Srivastava, A.K. [Electron and Ion Microscopy, Sophisticated and Analytical Instruments, CSIR-National Physical Laboratory, Dr. K. S. Krishnan Road, New Delhi - 110 012 (India); Kumar, Mahesh [Ultrafast Opto-Electronics and Tetrahertz Photonics Group, CSIR-National Physical Laboratory, Dr. K. S. Krishnan Road, New Delhi - 110 012 (India)

    2015-04-15

    Highlights: • Nanocrystalline carbon thin films are grown by filtered cathodic jet carbon arc process. • Effect of gaseous environment on the properties of carbon films has been studied. • The structural and nanomechanical properties of carbon thin films have been studied. • The VRH conduction behavior in nanocrystalline carbon thin films has been studied. - Abstract: This paper reports the deposition and characterization of nanocrystalline carbon thin films by filtered cathodic jet carbon arc technique assisted with three different gaseous environments of helium, nitrogen and hydrogen. All the films are nanocrystalline in nature as observed from the high resolution transmission electron microscopic (HRTEM) measurements, which suggests that the nanocrystallites of size ∼10–50 nm are embedded though out the amorphous matrix. X-ray photoelectron spectroscopic studies suggest that the film deposited under the nitrogen gaseous environment has the highest sp{sup 3}/sp{sup 2} ratio accompanied with the highest hardness of ∼18.34 GPa observed from the nanoindentation technique. The film deposited under the helium gaseous environment has the highest ratio of the area under the Raman D peak to G peak (A{sub D}/A{sub G}) and the highest conductivity (∼2.23 S/cm) at room temperature, whereas, the film deposited under the hydrogen environment has the lowest conductivity value (2.27 × 10{sup −7} S/cm). The temperature dependent dc conduction behavior of all the nanocrystalline carbon thin films has been analyzed in the light of Mott’s variable range hopping (VRH) conduction mechanism and observed that all the films obey three dimension VRH conduction mechanism for the charge transport.