WorldWideScience

Sample records for deposition mocvd technique

  1. In-situ deposition of YBCO high-Tc superconducting thin films by MOCVD and PE-MOCVD

    International Nuclear Information System (INIS)

    Zhao, J.; Noh, D.W.; Chern, C.; Li, Y.Q.; Norris, P.E.; Kear, B.; Gallois, B.

    1991-01-01

    Metal-Organic Chemical Vapor Deposition (MOCVD) offers the advantages of a high degree of compositional control, adaptability for large scale production, and the potential for low temperature fabrication. The capability of operating at high oxygen partial pressure is particularly suitable for in situ formation of high temperature superconducting (HTSC) films. Yttrium barium copper oxide (YBCO) thin films having a sharp zero-resistance transition with T(sub c) greater than 90 K and J(sub c) of approximately 10(exp 4) A on YSZ have been prepared, in situ, at a substrate temperature of about 800 C. Moreover, the ability to form oxide films at low temperature is very desirable for device applications of HTSC materials. Such a process would permit the deposition of high quality HTSC films with a smooth surface on a variety of substrates. Highly c-axis oriented, dense, scratch resistant, superconducting YBCO thin films with mirror-like surfaces have been prepared, in situ, at a reduced substrate temperature as low as 570 C by a remote microwave-plasma enhanced metal-organic chemical vapor deposition (PE-MOCVD) process. Nitrous oxide was used as a reactant gas to generate active oxidizing species. This process, for the first time, allows the formation of YBCO thin films with the orthorhombic superconducting phase in the as-deposited state. The as-deposited films grown by PE-MOCVD show attainment of zero resistance at 72 K with a transition width of about 5 K. MOCVD was carried out in a commercial production scale reactor with the capability of uniform deposition over 100 sq cm per growth run. Preliminary results indicate that PE-MOCVD is a very attractive thin film deposition process for superconducting device technology

  2. Deposition studies and coordinated characterization of MOCVD YBCO films on IBAD-MgO templates

    International Nuclear Information System (INIS)

    Aytug, T; Paranthaman, M; Heatherly, L; Zuev, Y; Zhang, Y; Kim, K; Goyal, A; Maroni, V A; Chen, Y; Selvamanickam, V

    2009-01-01

    A recently installed research-scale metal-organic chemical vapor deposition (MOCVD) system at Oak Ridge National Laboratory, provided by SuperPower, Inc., has been used to investigate processing variables for MOCVD YBCO precursors and trends in the resulting properties. Systematic studies of YBCO film growth on LaMnO 3 /IBAD-MgO templates were carried out by optimizing deposition temperature and oxygen flow rate. Microstructural and superconducting properties of the YBCO films were analyzed by x-ray diffraction, scanning electron microscopy and transport measurements. The identification of intermediate phases formed during the YBCO precursor transformation was investigated by coordinated reel-to-reel Raman microprobe analysis. With this combination of various characterization techniques, an improved understanding of the growth characteristics of MOCVD YBCO films was established. Finally, critical current densities greater than 2 MA cm -2 for film thicknesses of 0.8 μm were demonstrated.

  3. Deposition studies and coordinated characterization of MOCVD YBCO films on IBAD-MgO templates

    Energy Technology Data Exchange (ETDEWEB)

    Aytug, T; Paranthaman, M [Oak Ridge National Laboratory, Chemical Sciences Division, Oak Ridge, TN 37831 (United States); Heatherly, L; Zuev, Y; Zhang, Y; Kim, K; Goyal, A [Oak Ridge National Laboratory, Materials Science and Technology Division, Oak Ridge, TN 37831 (United States); Maroni, V A [Argonne National Laboratory, Chemical Sciences and Engineering Division, Argonne, IL 60439 (United States); Chen, Y; Selvamanickam, V [SuperPower, Incorporated, 450 Duane Avenue, Schenectady, NY 12304 (United States)], E-mail: aytugt@ornl.gov

    2009-01-15

    A recently installed research-scale metal-organic chemical vapor deposition (MOCVD) system at Oak Ridge National Laboratory, provided by SuperPower, Inc., has been used to investigate processing variables for MOCVD YBCO precursors and trends in the resulting properties. Systematic studies of YBCO film growth on LaMnO{sub 3}/IBAD-MgO templates were carried out by optimizing deposition temperature and oxygen flow rate. Microstructural and superconducting properties of the YBCO films were analyzed by x-ray diffraction, scanning electron microscopy and transport measurements. The identification of intermediate phases formed during the YBCO precursor transformation was investigated by coordinated reel-to-reel Raman microprobe analysis. With this combination of various characterization techniques, an improved understanding of the growth characteristics of MOCVD YBCO films was established. Finally, critical current densities greater than 2 MA cm{sup -2} for film thicknesses of 0.8 {mu}m were demonstrated.

  4. Characterization of long-length, MOCVD-derived REBCO coated conductors.

    Energy Technology Data Exchange (ETDEWEB)

    Miller, D. J.; Maroni, V. A.; Hiller, J. M.; Koritala, R. E.; Chen, Y.; Reeves Black, J. L.; Selvamanickam, V.; SuperPower, Inc.; Development Dimensions International, Inc.

    2009-06-01

    A leading approach to the fabrication of long-length, high-performance REBa{sub 2}Cu{sub 3}O{sub 7} (REBCO) coated conductor is by metal-organic chemical vapor deposition (MOCVD) of REBCO on buffered templates. Templates are produced by ion beam assisted deposition of textured MgO onto polished metal substrates. The overall performance of MOCVD coated conductors achieved to date is impressive, but further improvement is desired. We have used a coordinated set of characterization techniques to identify the underlying causes for critical current (Ic) performance variations in long-length MOCVD conductors. Using electron microscopy and Raman spectroscopy, we studied tape specimens from specially designed experiments performed in SuperPower's MOCVD manufacturing equipment with its six-track ldquohelixrdquo tape path. We find that in multi-pass depositions used to produce thicker REBCO films, the REBCO phase uniformity and texture quality in the first pass play key roles in pass-to-pass microstructure evolution, with nucleation of second phase particles in the first layer promoting misoriented grains that propagate through subsequent layers. These misoriented grains, many growing in close proximity with second phase particles, present current-blocking obstacles that limit Ic performance. Our results show that achieving more uniform deposition in the very first deposited layer plays a critical role that in turn leads to reduced misoriented grain content and REBCO lattice disorder in the second and subsequent layers of the REBCO film.

  5. Recent developments in the MOCVD and ALD of rare earth oxides and silicates

    International Nuclear Information System (INIS)

    Jones, Anthony C.; Aspinall, Helen C.; Chalker, Paul R.; Potter, Richard J.; Kukli, Kaupo; Rahtu, Antti; Ritala, Mikko; Leskelae, Markku

    2005-01-01

    Lanthanide, or rare-earth oxides are currently being investigated as alternatives to SiO 2 as the dielectric insulating layer in sub-0.1 μm CMOS technology. Metalorganic chemical vapour deposition (MOCVD) and atomic layer deposition (ALD) are promising techniques for the deposition of these high-κ dielectric oxides and in this paper some of our recent research into the MOCVD and ALD of PrO x , La 2 O 3 , Gd 2 O 3 , Nd 2 O 3 and their related silicates are reviewed

  6. MOCVD and ALD of rare earth containing multifunctional materials. From precursor chemistry to thin film deposition and applications

    International Nuclear Information System (INIS)

    Milanov, Andrian Petrov

    2010-01-01

    The present thesis deals with the development of metal-organic complexes of rare elements. They should be used as novel precursors for the production of rare earth thin films by metal-organic chemical vapor deposition (MOCVD) and Atomic Layer Deposition (ALD). Within the work two precursor classes were examined, the tris-Malonato-complexes as well as the tris-Guanidinato-complexes of a series of rare earth metals. The latter showed excellent properties regarding to their volatility, their thermal stability, the defined decomposition and high reactivity towards water. They have been successfully used as precursors for the MOCVD of rare earth oxide layers. By using of a gadolinium guanidinate it could also be shown that the rare earth guanidinates are promising precursors for ALD of rare earth oxide and MOCVD of rare earth nitride layers. [de

  7. The effects of H sub 2 addition on the enhanced deposition rate and high quality Cu films by MOCVD

    CERN Document Server

    Lee, J H; Park, S J; Choi, S Y

    1998-01-01

    High-quality Cu thin films were deposited on the TiN/Si substrate from the hexafluoroacetylacetonate Copper thrmethylvinylsilane [Cu (hfac) (tmvs)] source using a metal organic chemical vapor deposition (MOCVD) technique. The optimum deposition condition is with a substrate temperature of 200 .deg. C and the hydrogen flow rate of 80 sccm. The deposition rate, electrical resistivity, surface morphology, grain size, and optical properties of the deposited Cu films were investigated by the AES, four-point probe, SEM, XRD, and the visible spectrophotometer as a function of hydrogen gas flow rate, The results indicated that additional hydrogen gas affects the CVD hydrogen reduction reaction improving the purity, deposition rate, and electrical resistivity of Cu thin films. A prospective idea will be discussed for the preparation of Cu thin films showing a more enhanced electromigration resistance applicable to the next-generation interconnection.

  8. Fabrication of 100 A class, 1 m long coated conductor tapes by metal organic chemical vapor deposition and pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Selvamanickam, V.; Lee, H.G.; Li, Y.; Xiong, X.; Qiao, Y.; Reeves, J.; Xie, Y.; Knoll, A.; Lenseth, K

    2003-10-15

    SuperPower has been scaling up YBa{sub 2}Cu{sub 3}O{sub x}-based second-generation superconducting tapes by techniques such as pulsed laser deposition (PLD) using industrial laser and metal organic chemical vapor deposition (MOCVD). Both techniques offer advantage of high deposition rates, which is important for high throughput. Using highly-polished substrates produced in a reel-to-reel polishing facility and buffer layers deposited in a pilot ion beam assisted deposition facility, meter-long second-generation high temperature superconductor tapes have been produced. 100 A class, meter-long coated conductor tapes have been reproducibly demonstrated in this work by both MOCVD and PLD. The best results to date are 148 A over 1.06 m by MOCVD and 135 A over 1.1 m by PLD using industrial laser.

  9. Influence of the growth parameters on TiO2 thin films deposited using the MOCVD method

    Directory of Open Access Journals (Sweden)

    Bernardi M. I. B.

    2002-01-01

    Full Text Available In this work we report the synthesis of TiO2 thin films by the Organometallic Chemical Vapor Deposition (MOCVD method. The influence of deposition parameters used during the growth in the obtained structural characteristics was studied. Different temperatures of the organometallic bath, deposition time, temperature and type of the substrate were combined. Using Scanning Electron Microscopy associated to Electron Dispersive X-Ray Spectroscopy, Atomic Force Microscopy and X-ray Diffraction, the strong influence of these parameters in the thin films final microstructure was verified.

  10. Final report on LDRD project : outstanding challenges for AlGaInN MOCVD.

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, Christine Charlotte; Follstaedt, David Martin; Russell, Michael J.; Cross, Karen Charlene; Wang, George T.; Creighton, James Randall; Allerman, Andrew Alan; Koleske, Daniel David; Lee, Stephen Roger; Coltrin, Michael Elliott

    2005-03-01

    The AlGaInN material system is used for virtually all advanced solid state lighting and short wavelength optoelectronic devices. Although metal-organic chemical vapor deposition (MOCVD) has proven to be the workhorse deposition technique, several outstanding scientific and technical challenges remain, which hinder progress and keep RD&A costs high. The three most significant MOCVD challenges are: (1) Accurate temperature measurement; (2) Reliable and reproducible p-doping (Mg); and (3) Low dislocation density GaN material. To address challenge (1) we designed and tested (on reactor mockup) a multiwafer, dual wavelength, emissivity-correcting pyrometer (ECP) for AlGaInN MOCVD. This system simultaneously measures the reflectance (at 405 and 550 nm) and emissivity-corrected temperature for each individual wafer, with the platen signal entirely rejected. To address challenge (2) we measured the MgCp{sub 2} + NH{sub 3} adduct condensation phase diagram from 65-115 C, at typical MOCVD concentrations. Results indicate that it requires temperatures of 80-100 C in order to prevent MgCp{sub 2} + NH{sub 3} adduct condensation. Modification and testing of our research reactor will not be complete until FY2005. A new commercial Veeco reactor was installed in early FY2004, and after qualification growth experiments were conducted to improve the GaN quality using a delayed recovery technique, which addresses challenge (3). Using a delayed recovery technique, the dislocation densities determined from x-ray diffraction were reduced from 2 x 10{sup 9} cm{sup -2} to 4 x 10{sup 8} cm{sup -2}. We have also developed a model to simulate reflectance waveforms for GaN growth on sapphire.

  11. Preparation of YBCO on YSZ layers deposited on silicon and sapphire by MOCVD: influence of the intermediate layer on the quality of the superconducting film

    International Nuclear Information System (INIS)

    Garcia, G.; Casado, J.; Llibre, J.; Doudkowski, M.; Santiso, J.; Figueras, A.; Schamm, S.; Dorignac, D.; Grigis, C.; Aguilo, M.

    1995-01-01

    YSZ buffer layers were deposited on silicon and sapphire by MOCVD. The layers deposited on silicon were highly oriented along [100] direction without in-plane orientation, probably because the existence of the SiO 2 amorphous interlayer. In contrast, epitaxial YSZ was obtained on (1-102) sapphire showing an in-plane texture defined by the following relationships: (100) YSZ // (1-102) sapphire and (110) YSZ // (01-12) sapphire. Subsequently, YBCO films were deposited on YSZ by MOCVD. Structural, morphological and electrical characterization of the superconducting layers were correlated with the in-plane texture of the buffer layers. (orig.)

  12. Structural characterization of one-dimensional ZnO-based nanostructures grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Sallet, Vincent; Falyouni, Farid; Marzouki, Ali; Haneche, Nadia; Sartel, Corinne; Lusson, Alain; Galtier, Pierre [Groupe d' Etude de la Matiere Condensee (GEMAC), CNRS-Universite de Versailles St-Quentin, Meudon (France); Agouram, Said [SCSIE, Universitat de Valencia, Burjassot (Spain); Enouz-Vedrenne, Shaima [Thales Research and Technology France, Palaiseau (France); Munoz-Sanjose, Vicente [Departamento de Fisica Aplicada y Electromagnetismo, Universitat de Valencia, Burjassot (Spain)

    2010-07-15

    Various one-dimensional (1D) ZnO-based nanostructures, including ZnO nano-wires (NWs) grown using vapour-liquid-solid (VLS) process, ZnO/ZnSe core/shell, nitrogen-doped ZnO and ZnMgO NWs were grown by metalorganic chemical vapour deposition (MOCVD). Transmission electron microscopy (TEM) analysis is presented. For all the samples, a high crystalline quality is observed. Some features are emphasized such as the gold contamination of ZnO wires grown under the metal droplets in the VLS process. It is concluded that MOCVD is a suitable technique for the realization of original ZnO nanodevices. (Abstract Copyright [2010], Wiley Periodicals, Inc.)

  13. HfO2 as gate dielectric on Ge: Interfaces and deposition techniques

    International Nuclear Information System (INIS)

    Caymax, M.; Van Elshocht, S.; Houssa, M.; Delabie, A.; Conard, T.; Meuris, M.; Heyns, M.M.; Dimoulas, A.; Spiga, S.; Fanciulli, M.; Seo, J.W.; Goncharova, L.V.

    2006-01-01

    To fabricate MOS gate stacks on Ge, one can choose from a multitude of metal oxides as dielectric material which can be deposited by many chemical or physical vapor deposition techniques. As a few typical examples, we will discuss here the results from atomic layer deposition (ALD), metal organic CVD (MOCVD) and molecular beam deposition (MBD) using HfO 2 /Ge as materials model system. It appears that a completely interface layer free HfO 2 /Ge combination can be made in MBD, but this results in very bad capacitors. The same bad result we find if HfGe y (Hf germanides) are formed like in the case of MOCVD on HF-dipped Ge. A GeO x interfacial layer appears to be indispensable (if no other passivating materials are applied), but the composition of this interfacial layer (as determined by XPS, TOFSIMS and MEIS) is determining for the C/V quality. On the other hand, the presence of Ge in the HfO 2 layer is not the most important factor that can be responsible for poor C/V, although it can still induce bumps in C/V curves, especially in the form of germanates (Hf-O-Ge). We find that most of these interfacial GeO x layers are in fact sub-oxides, and that this could be (part of) the explanation for the high interfacial state densities. In conclusion, we find that the Ge surface preparation is determining for the gate stack quality, but it needs to be adapted to the specific deposition technique

  14. Development of high-temperature superconducting coated conductor by MOCVD method

    International Nuclear Information System (INIS)

    Kim, Chan Joong; Jun, Byung Hyuk; Jung, Choung Hwan

    2004-07-01

    To fabricate the second generation superconductor wire, coated conductor, we selected MOCVD (Metal organic chemical vapor deposition) method which is commercially available and whose growth rate is very high. The first buffer layer CeO 2 was successfully deposited on the Ni tape. The thick Y-stabilized ZrO 2 layer was thus inserted between two CeO 2 layers by MOCVD method. The c-axis growth of the first CeO 2 , the inserted YSZ and top CeO 2 layer was achieved by optimized the deposition condition for the three buffers. It was found that the YBCO deposition was fairly dependant on the depostion temperature, time, oxygen partial pressure, amount of the source supplied. Especially the thickness of the YBCO films was linearly dedendant on the deposition temperature and time, but current properties was not linearly dependant on the film thickness. The critical current (Ic) of the YBCO film grown on SrTiO 3 and IBAD template were over 100 A/cm-width and 50 A/cm-width at 77 K and 0 field. To establish the MOCVD process, collaboration work with several organizations was made

  15. Study of TiO{sub 2} nanomembranes obtained by an induction heated MOCVD reactor

    Energy Technology Data Exchange (ETDEWEB)

    Crisbasan, A., E-mail: andreea.crisbasan@yahoo.com [NANOFORM Group, ICB, Université de Bourgogne, BP 47 870, 21078 Dijon (France); Chaumont, D. [NANOFORM Group, ICB, Université de Bourgogne, BP 47 870, 21078 Dijon (France); Sacilotti, M. [NANOFORM Group, ICB, Université de Bourgogne, BP 47 870, 21078 Dijon (France); Departamento de Fisica – Universidade Federal de Pernambuco, Recife (Brazil); Crisan, A.; Lazar, A.M.; Ciobanu, I. [Science and Materials Engineering Faculty, University of Transilvania, Brasov (Romania); Lacroute, Y.; Chassagnon, R. [Université de Bourgogne, BP 47 870, 21078 Dijon (France)

    2015-12-15

    Highlights: • The TiO{sub 2} structures have been obtained by the MOCVD technique using ferrocene, cobalt layer (annealed at 350 °C) and Ti(OC{sub 3}H{sub 7}){sub 4}. • The TiO{sub 2} growth at 550 °C, during 20 min on the cobalt layer (obtained by electron beam evaporation method) on soda-lime glass has as result TiO{sub 2} nanomembranes. • The TiO{sub 2} nanomembranes grow on the cobalt nuclei. • The TiO{sub 2} nanomembranes are polycrystalline, built from TiO{sub 2} anatase and rutile crystals. - Abstract: Nanostructures of TiO{sub 2} were grown using the metal oxide chemical vapor deposition (MOCVD) technique. The procedure used induction heating on a graphite susceptor. This specific feature and the use of cobalt and ferrocene catalysts resulted in nanomembranes never obtained by common MOCVD reactors. The present study discusses the preparation of TiO{sub 2} nanomembranes and the dependence of nanomembrane structure and morphology on growth parameters.

  16. MOCVD ZnO/Screen Printed Ag Back Reflector for Flexible Thin Film Silicon Solar Cell Application

    Directory of Open Access Journals (Sweden)

    Amornrat Limmanee

    2014-01-01

    Full Text Available We have prepared Ag back electrode by screen printing technique and developed MOCVD ZnO/screen printed Ag back reflector for flexible thin film silicon solar cell application. A discontinuity and poor contact interface between the MOCVD ZnO and screen printed Ag layers caused poor open circuit voltage (Voc and low fill factor (FF; however, an insertion of a thin sputtered ZnO layer at the interface could solve this problem. The n type hydrogenated amorphous silicon (a-Si:H film is preferable for the deposition on the surface of MOCVD ZnO film rather than the microcrystalline film due to its less sensitivity to textured surface, and this allowed an improvement in the FF. The n-i-p flexible amorphous silicon solar cell using the MOCVD ZnO/screen printed Ag back reflector showed an initial efficiency of 6.2% with Voc=0.86 V, Jsc=12.4 mA/cm2, and FF = 0.58 (1 cm2. The identical quantum efficiency and comparable performance to the cells using conventional sputtered Ag back electrode have verified the potential of the MOCVD ZnO/screen printed Ag back reflector and possible opportunity to use the screen printed Ag thick film for flexible thin film silicon solar cells.

  17. Friction and wear behavior of nitrogen-doped ZnO thin films deposited via MOCVD under dry contact

    Directory of Open Access Journals (Sweden)

    U.S. Mbamara

    2016-06-01

    Full Text Available Most researches on doped ZnO thin films are tilted toward their applications in optoelectronics and semiconductor devices. Research on their tribological properties is still unfolding. In this work, nitrogen-doped ZnO thin films were deposited on 304 L stainless steel substrate from a combination of zinc acetate and ammonium acetate precursor by MOCVD technique. Compositional and structural studies of the films were done using Rutherford Backscattering Spectroscopy (RBS and X-ray Diffraction (XRD. The frictional behavior of the thin film coatings was evaluated using a ball-on-flat configuration in reciprocating sliding under dry contact condition. After friction test, the flat and ball counter-face surfaces were examined to assess the wear dimension and failure mechanism. Both friction behavior and wear (in the ball counter-face were observed to be dependent on the crystallinity and thickness of the thin film coatings.

  18. Characterisation of titanium nitride films obtained by metalorganic chemical vapor deposition (MOCVD); Caracterizacao de filmes de nitreto de titanio obtidos por MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Pillis, M.F., E-mail: mfpillis@ipen.b [Instituto de Pesquisas Energeticas e Nucleares (CCTM/IPEN/CNEN-SP), Sao Paulo, SP (Brazil). Centro de Ciencia e Tecnologia de Materiais; Franco, A.C. [Universidade de Sao Paulo (IF/USP), SP (Brazil). Inst. de Fisica; Araujo, E.G. de [Instituto de Pesquisas Energeticas e Nucleares (IPEN/CNEN-SP), Sao Paulo, SP (Brazil); Sacilotti, M. [Universidade Federal de Pernambuco (IF/UFPE), Recife, PE (Brazil). Inst. de Fisica; Fundacao de Amparo a Ciencia e Tecnologia de Pernambuco (FACEPE), Recife, PE (Brazil)

    2009-07-01

    Ceramic coatings have been widely used as protective coating to improve the life of cutting tools, for corrosion protection and in microelectronics, optical and medical areas. Transition metals nitrides are of special interest due to its high hardness and thermal stability. In this work thin films of titanium nitride were obtained by MOCVD (metalorganic chemical vapor deposition) process. The tests were carried out for 1h at 700 deg C under 80 and 100 mbar of pressure. The characterization was made by using scanning electron microscopy coupled with dispersive energy analysis, and X-ray diffraction. Preliminary results suggested that Ti{sub 2}N phase was formed and that the growth rate varied between 4 and 13 nm/min according to the process parameter considered. (author)

  19. Study on the optimization of the deposition rate of planetary GaN-MOCVD films based on CFD simulation and the corresponding surface model

    Science.gov (United States)

    Li, Jian; Fei, Ze-yuan; Xu, Yi-feng; Wang, Jie; Fan, Bing-feng; Ma, Xue-jin; Wang, Gang

    2018-02-01

    Metal-organic chemical vapour deposition (MOCVD) is a key technique for fabricating GaN thin film structures for light-emitting and semiconductor laser diodes. Film uniformity is an important index to measure equipment performance and chip processes. This paper introduces a method to improve the quality of thin films by optimizing the rotation speed of different substrates of a model consisting of a planetary with seven 6-inch wafers for the planetary GaN-MOCVD. A numerical solution to the transient state at low pressure is obtained using computational fluid dynamics. To evaluate the role of the different zone speeds on the growth uniformity, single factor analysis is introduced. The results show that the growth rate and uniformity are strongly related to the rotational speed. Next, a response surface model was constructed by using the variables and the corresponding simulation results. The optimized combination of the matching of different speeds is also proposed as a useful reference for applications in industry, obtained by a response surface model and genetic algorithm with a balance between the growth rate and the growth uniformity. This method can save time, and the optimization can obtain the most uniform and highest thin film quality.

  20. Growth and characterization of InP/GaAs on SOI by MOCVD

    International Nuclear Information System (INIS)

    Karam, N.H.; Haven, V.; Vernon, S.M.; Namavar, F.; El-Masry, N.; Haegel, N.; Al-Jassin, M.M.

    1990-01-01

    This paper reports that epitaxial InP films have been successfully deposited on GaAs coated silicon wafers with a buried oxide for the first time by MOCVD. The SOI wafers were prepared using the Separation by Implantation of Oxygen (SIMOX) process. The quality of InP on SIMOX is comparable to the best of InP on Si deposited in the same reactor. Preliminary results on defect reduction techniques such as Thermal Cycle Growth (TCG) show an order of magnitude increase in the photoluminescence intensity and a factor of five reduction in the defect density. TCG has been found more effective than Thermal Cycle Annealing (TCA) in improving the crystalline perfection and optical properties of the deposited films

  1. Advanced characterization techniques of nonuniform indium distribution within InGaN/GaN heterostructures grown by MOCVD

    International Nuclear Information System (INIS)

    Lu, D.; Florescu, D.I.; Lee, D.S.; Ramer, J.C.; Parekh, A.; Merai, V.; Li, S.; Begarney, M.J.; Armour, E.A.; Gardner, J.J.

    2005-01-01

    Nonuniform indium distribution within InGaN/GaN single quantum well (SQW) structures with nanoscale islands grown by metalorganic chemical vapor deposition (MOCVD) have been characterized by advanced characterization techniques. Robinson backscattered electron (BSE) measurements show cluster-like BSE contrast of high brightness regions, which are not centered at small dark pits in a SQW structure of spiral growth mode. By comparing with the secondary electron (SE) images, the bright cluster areas from the BSE images were found to have higher indium content compared to the surrounding dark areas. Temperature dependant photoluminescence (PL) measurement shows typical ''S-shape'' curve, which shows good correlation with nonuniform indium distribution from BSE measurement. Optical evaluation of the samples show increased PL slope efficiency of the spiral mode SQW, which can be attributed to the presence of Indium inhomogeneities. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. Epitaxial Ge Solar Cells Directly Grown on Si (001) by MOCVD Using Isobutylgermane

    Science.gov (United States)

    Kim, Youngjo; Kim, Kangho; Lee, Jaejin; Kim, Chang Zoo; Kang, Ho Kwan; Park, Won-Kyu

    2018-03-01

    Epitaxial Ge layers have been grown on Si (001) substrates by metalorganic chemical vapor deposition (MOCVD) using an isobutylgermane (IBuGe) metalorganic source. Low and high temperature two-step growth and post annealing techniques are employed to overcome the lattice mismatch problem between Ge and Si. It is demonstrated that high quality Ge epitaxial layers can be grown on Si (001) by using IBuGe with surface RMS roughness of 2 nm and an estimated threading dislocation density of 4.9 × 107 cm -2. Furthermore, single-junction Ge solar cells have been directly grown on Si substrates with an in situ MOCVD growth. The epitaxial Ge p- n junction structures are investigated with transmission electron microscopy and electrochemical C- V measurements. As a result, a power conversion efficiency of 1.69% was achieved for the Ge solar cell directly grown on Si substrate under AM1.5G condition.

  3. Deposition of Y-Sm Oxide on Metallic Substrates for the YBCO Coated Conductor by MOCVD Method

    International Nuclear Information System (INIS)

    Choi, Jun Kyu; Kim, Min Woo; Jun, Byung Hyuk; Kim, Chan Joong; Lee, Hee Gyoun; Hong, Gye Won

    2005-01-01

    Complex single buffer composed of yttrium and samarium oxide was deposited on the metallic substrates by MOCVD (metal organic chemical vapor deposition) method using single liquid source. Two different types of the substrates with in-plane textures of about 8 - 10 degree of Ni and 3at.%W-Ni alloy were used. Y(tmhd: 2,2,6,6-tetramethyl-3,5-heptane dionate) 3 :Sm(tmhd) 3 of liquid source was adjusted to 0.4:0.6 to minimize the lattice mismatch between the complex single buffer and the YBCO. The epitaxial growth of (Y x Sm 1-x ) 2 O 3 was achieved at the temperature higher than 500 degree C in O 2 atmosphere. However, it was found that the formation of NiO accelerated with increasing deposition temperature. By supplying H 2 O vapor, this oxidation of the substrate could be suppressed throughout the deposition temperatures. We could get the epitaxial growth on pure Ni substrate without the formation of NiO. The competitive (222) and (400) growths were observed at the deposition temperatures of 650 - 750 degree C, but the (400) growth became dominant above 800 degree. The (Y x Sm 1-x ) 2 O 3 -buffered metallic substrates can be used as the buffer for YBCO coated conductor.

  4. Epitaxial growth and processing of InP films in a ``novel`` remote plasma-MOCVD apparatus

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, G. [Bari Univ. (Italy). Centro di Studio per la Chimica; Losurdo, M. [Bari Univ. (Italy). Centro di Studio per la Chimica; Capezzuto, P. [Bari Univ. (Italy). Centro di Studio per la Chimica; Capozzi, V. [Bari Univ. (Italy). Ist. di Fisica; Lorusso, F.G. [Bari Univ. (Italy). Ist. di Fisica; Minafra, A. [Bari Univ. (Italy). Ist. di Fisica

    1996-06-01

    A new remote plasma MOCVD apparatus for the treatment and deposition of III-V materials and, specifically, of indium phosphide, has been developed. The plasma source is used to produce hydrogen atoms and to predissociate phosphine for, respectively, the reduction of native oxide on InP substrate surface and the InP deposition. In situ diagnostics by optical emission spectroscopy, mass spectrometry, and spectroscopic ellipsometry are used to fingerprint the gas phase and the growth surface. The plasma cleaning process effectively reduce the InP oxide layer without surface damage. Indium phosphide epilayers deposited from trimethylindium and plasma activated PH{sub 3} show singular photoluminescence spectra with signal intensity higher than that of the best InP film deposited under conventional MOCVD condition (without PH{sub 3} plasma preactivation). (orig.)

  5. Thermodynamic investigation of the MOCVD of copper films from bis ...

    Indian Academy of Sciences (India)

    Equilibrium concentrations of various condensed and gaseous phases have been thermodynamically calculated, using the free energy minimization criterion, for the metalorganic chemical vapour deposition (MOCVD) of copper films using bis(2,2,6,6-tetramethyl-3,5-heptadionato)copper(II) as the precursor material.

  6. Thermodynamic analysis of growth of iron oxide films by MOCVD ...

    Indian Academy of Sciences (India)

    Abstract. Thermodynamic calculations, using the criterion of minimization of total Gibbs free energy of the system, have been carried out for the metalorganic chemical vapour deposition (MOCVD) process involving the -ketoesterate complex of iron [tris(-butyl-3-oxo-butanoato)iron(III) or Fe(tbob)3] and molecular oxygen.

  7. Growth and characterization of germanium epitaxial film on silicon (001 with germane precursor in metal organic chemical vapour deposition (MOCVD chamber

    Directory of Open Access Journals (Sweden)

    Kwang Hong Lee

    2013-09-01

    Full Text Available The quality of germanium (Ge epitaxial film grown directly on a silicon (Si (001 substrate with 6° off-cut using conventional germane precursor in a metal organic chemical vapour deposition (MOCVD system is studied. The growth sequence consists of several steps at low temperature (LT at 400 °C, intermediate temperature ramp (LT-HT of ∼10 °C/min and high temperature (HT at 600 °C. This is followed by post-growth annealing in hydrogen at temperature ranging from 650 to 825 °C. The Ge epitaxial film of thickness ∼ 1 μm experiences thermally induced tensile strain of 0.11 % with a treading dislocation density (TDD of ∼107/cm2 and the root-mean-square (RMS roughness of ∼ 0.75 nm. The benefit of growing Ge epitaxial film using MOCVD is that the subsequent III-V materials can be grown in-situ without the need of breaking the vacuum hence it is manufacturing worthy.

  8. YBa2Cu3O7-δ thin films deposited by MOCVD vertical reactor with a flow guide

    International Nuclear Information System (INIS)

    Sujiono, E.H.; Negeri Makassar; Sani, R.A.; Saragi, T.; Arifin, P.; Barmawi, M.

    2001-01-01

    The effect of a flow guide in a vertical MOCVD reactor on the deposition uniformity and growth rate of thin YBCO films has been studied. Without the flow guide the growth rates are low, have a poor uniformity and the film composition is not stoichiometric. The growth rate of the films grown using a reactor with the flow guide was approximately twice that without the flow guide. Using this flow guide the growth rates were 0.4-0.7 μm/h for growth temperatures varying between 600 and 750 C, and the crystalline quality as well as the surface morphology of YBCO films on MgO substrates is improved. For films grown at temperatures above 650 C the composition of Y:Ba:Cu is 1:2:3, as confirmed by EDAX spectra. Films deposited without and with the flow guide at 700 C have critical temperatures around 85 and 88 K, respectively. The reduction in ΔT c (T c,zero -T c,onset ) also shows an improvement of the superconducting properties of YBCO thin films deposited with a flow guide. (orig.)

  9. An RBS study of thin PLD and MOCVD strontium copper oxide layers

    Energy Technology Data Exchange (ETDEWEB)

    Kantor, Z. [Institute of Physics, University of Pannonia, H-8200 Veszprem (Hungary); Papadopoulou, E.L.; Aperathitis, E. [Inst. Electronic Struture and Laser, Foundation for Research and Technology - Hellas, P.O. Box 1527, Heraklion 71110 (Greece); Deschanvres, J.-L. [LMPG INP Grenoble-Minatec, BP 257, 38016 Grenoble Cedex 1 (France); Somogyi, K. [MicroVacuum Ltd., Kerekgyarto u.: 10, H-1147 Budapest (Hungary)], E-mail: karoly.somogyi@microvacuum.com; Szendro, I. [MicroVacuum Ltd., Kerekgyarto u.: 10, H-1147 Budapest (Hungary)

    2008-09-30

    Strontium copper oxide (SCO) has been studied as p-type transparent (VIS) conductive oxide material. Also theoretical studies suggested p-type conductivity of the SrCu{sub 2}O{sub 2} composition. SCO thin layers, with thicknesses of 30-2000 nm, were deposited on glass and silicon substrates both by pulsed laser deposition (PLD) and by MOCVD method. The as-grown layers showed high electrical resistance. Due to an annealing process, the resistivity significantly decreased and the layers showed p-type conductivity. Optical transparency measured on samples grown on glass substrates was found about or above 80%, including also thickness dependence. RBS measurements were applied for the determination of the chemical composition profile of the layers. A comparison revealed some specific differences between as-grown and annealed PLD samples. Due to the annealing, the ratio of oxide phases was changed and a vertical inhomogeneity in chemical composition was observed. Our measurements revealed also the influence of the deposition technique and of the substrate.

  10. Transparent conductive Ga-doped ZnO films fabricated by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Behrends, Arne; Wagner, Alexander; Al-Suleiman, Mohamed Aid Mansur; Waag, Andreas; Bakin, Andrey [Institute of Semiconductor Technology, University of Technology Braunschweig, Hans-Sommer-Str. 66, 38106 Braunschweig (Germany); Lugauer, Hans-Juergen; Strassburg, Martin; Walter, Robert; Weimar, Andreas [OSRAM Opto Semiconductors GmbH, Leibnizstr. 4, 93055 Regensburg (Germany)

    2012-04-15

    Transparent conductive oxides (TCOs) are used for a variety of different applications, e.g., in solar cells and light emitting diodes (LEDs). Mostly, sputtering is used, which often results in a degradation of the underlying semiconductor material. In this work we report on a ''soft'' method for the fabrication of ZnO films as TCO layers by using metal organic chemical vapor deposition (MOCVD) at particularly low temperatures. The MOCVD approach has been studied focusing on the TCO key issues: fabrication temperature, morphology, optical, and electrical properties. Very smooth ZnO films with rms values down to 0.8 nm were fabricated at a substrate temperature of only 300 C. Ga-doping is well controllable even for high carrier concentrations up to 2 x 10{sup 20} cm{sup -3}, which is above the Mott-density leading to metallic-like behavior of the films. Furthermore all films show excellent optical transparency in the visible spectral range. As a consequence, our MOCVD approach is well suited for the soft fabrication of ZnO-based TCO layers. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  11. High quality aluminide and thermal barrier coatings deposition for new and service exposed parts by CVD techniques

    Energy Technology Data Exchange (ETDEWEB)

    Pedraza, F.; Tuohy, C.; Whelan, L.; Kennedy, A.D. [SIFCO Turbine Components, Carrigtwohill, Cork (Ireland)

    2004-07-01

    In this work, the performance of CVD aluminide coatings is compared to that of coatings deposited by the classical pack cementation technique using standard SIFCO procedures. The CVD coatings always seem to behave better upon exposure to isothermal and cyclic oxidation conditions. This is explained by a longer term stability of CVD coatings, with higher Al amounts in the diffusion zone and less refractory element precipitation in the additive layer. The qualities of Pt/Al coatings by out-of-pack and CVD are also compared as a previous step for further thermal barrier coating deposition. As an example, YSZ thermal barrier coatings are deposited by MO-CVD on Pt/Al CVD bond coats rendering adherent and thick coatings around the surface of turbine blades. This process under development does not require complex manipulation of the component to be coated. (orig.)

  12. Optimization of Strontium Titanate (SrTiO3) Thin Films Fabricated by Metal Organic Chemical Vapor Deposition (MOCVD) for Microwave-Tunable Devices

    Science.gov (United States)

    2015-12-01

    characteristics . Our work demonstrated a significant increase in the quality of the optimized STO thin films with respect to STO films grown prior to the MOCVD...deposition, the reactor and precursor supply lines were baked at 250 °C for at least 4 h with a total Ar carrier gas flow of 5,000 sccm to remove...S. Thermal leakage characteristics of Pt/SrTiO3/Pt structures. Journal of Vacuum Science & Technology A. 2008;26:555–557. 31. Ryen L, Olsson E

  13. InGaN quantum well epilayers morphological evolution under a wide range of MOCVD growth parameter sets

    International Nuclear Information System (INIS)

    Florescu, D.I.; Ting, S.M.; Merai, V.N.; Parekh, A.; Lee, D.S.; Armour, E.A.; Quinn, W.E.

    2006-01-01

    This study exemplifies the use of TappingMode trademark atomic force microscopy (AFM) surface morphology imaging to investigate and optimise the metalorganic chemical vapour deposition (MOCVD) growth conditions and post-growth stability of thin ( '' GaN templates grown on (0001) c-plane sapphire substrates. The morphological evolution of the InGaN material was studied utilising a DI3100 AFM tool. Surface morphology and its correlation with photoluminescence and X-ray diffraction results are discussed for every set of conditions employed. More specifically, the post-growth ambient exposure and thermal stability of the uncapped InGaN epilayers were investigated. In addition, the initial stage of subsequent GaN growth, which is an essential step towards the manufacture of LED active regions, was examined. Based on the above findings, a flexible MOCVD growth parameter space and improved LED constituent layer sequencing techniques have been established leading to more efficient and stable LED devices. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. The MOCVD challenge a survey of GaInAsp-InP and GaInAsp-GaAs for photonic and electronic device applications

    CERN Document Server

    Razeghi, Manijeh

    2010-01-01

    Introduction to Semiconductor Compounds III-V semiconductor alloys III-V semiconductor devices Technology of multilayer growth Growth Technology Metalorganic chemical vapor deposition New non-equilibrium growth techniques In situ Characterization during MOCVD Reflectance anisotropy and ellipsometry Optimization of the growth of III-V binaries by RDS RDS investigation of III-V lattice-matched heterojunctions RDS investigation of III-V lattice-mismatched structures Insights on the growt

  15. 10 GHz surface impedance measurements of (Y9Er)BaCuO films produced by MOCVD, laser ablation, and sputtering

    International Nuclear Information System (INIS)

    Luine, J.; Daly, K.; Hu, R.; Kain, A.; Lee, A.; Manasevit, H.; Pettiette-Hall, C.; Simon, R.; St John, D.; Wagner, M.

    1991-01-01

    This paper reports on a parallel-plate resonator technique previously used to measure microwave surface resistance R s (T) extended to also measure absolute penetration depth λ(T). Measurements of both quantities near 10 GHz from 4.2 K to Tc are reported for ErBaCuO thin films produced by metal-organic chemical vapor deposition (MOCVD) and YBaCuO think films produced by laser ablation and single-target off-axis sputtering. All the films were made at TRW. Each production method gives rise to films whose surface resistance is below 1 milliohm at temperatures below 40K. The low temperature penetration depths range from 250 nm for the laser ablation and sputtered films to 800 nm for the MOCVD films. The penetration depths in all cases increase with temperature according to the Gorter-Casimir temperature dependence

  16. Suppression of Mg propagation into subsequent layers grown by MOCVD

    Science.gov (United States)

    Agarwal, Anchal; Tahhan, Maher; Mates, Tom; Keller, Stacia; Mishra, Umesh

    2017-01-01

    Low temperature (LT) flow modulation epitaxy (FME) or "pulsed" growth was successfully used to prevent magnesium from Metalorganic Chemical Vapor Deposition (MOCVD) grown p-GaN:Mg layers riding into subsequently deposited n-type layers. Mg concentration in the subsequent layers was lowered from ˜1 × 1018 cm-3 for a medium temperature growth at 950 °C to ˜1 × 1016 cm-3 for a low temperature growth at 700 °C via FME. The slope of the Mg concentration drop in the 700 °C FME sample was 20 nm/dec—the lowest ever demonstrated by MOCVD. For growth on Mg implanted GaN layers, the drop for a medium temperature regrowth at 950 °C was ˜10 nm/dec compared to >120 nm/dec for a high temperature regrowth at 1150 °C. This drop-rate obtained at 950 °C or lower was maintained even when the growth temperature in the following layers was raised to 1150 °C. A controlled silicon doping series using LT FME was also demonstrated with the lowest and highest achieved doping levels being 5 × 1016 cm-3 and 6 × 1019 cm-3, respectively.

  17. YBa2Cu3O7 films prepared by aerosol MOCVD

    International Nuclear Information System (INIS)

    Weiss, F.; Froehlich, K.; Haase, R.; Labeau, M.; Selbmann, D.; Senateur, J.P.; Thomas, O.

    1993-01-01

    In the present study we report on properties of YBa 2 Cu 3 O 7 films prepared by aerosol MOCVD. We give a short description of the process and we focus on the superconducting and related properties of the films deposited on SrTiO 3 , LaAlO 3 and NdGaO 3 single crystalline substrates. (orig.)

  18. New principle of feeding for flash evaporation MOCVD devices

    International Nuclear Information System (INIS)

    Kaul, A.R.; Seleznev, B.V.

    1993-01-01

    A novel scheme of flash evaporation feeding for MOCVD processes of multi-component oxide films deposition is proposed. The scheme comprises 1) microdozage of organic solution of solid volatile precursors on the glass fiber belt, 2) evaporation of the solvent and 3) flash evaporation of MOC microdoses from the belt. The functioning of the designed feeder is described and the features of proposed scheme in comparison to existing feeding principles are discussed. (orig.)

  19. TiO2 thin film growth using the MOCVD method

    Directory of Open Access Journals (Sweden)

    Bernardi M.I.B.

    2001-01-01

    Full Text Available Titanium oxide (TiO2 thin films were obtained using the MOCVD method. In this report we discuss the properties of a film, produced using a ordinary deposition apparatus, as a function of the deposition time, with constant deposition temperature (90 °C, oxygen flow (7,0 L/min and substrate temperature (400 °C. The films were characterized by X-ray diffraction (XRD, scanning electron microscopy (SEM, atomic force microscopy (AFM and visible and ultra-violet region spectroscopy (UV-Vis. The films deposited on Si (100 substrates showed the anatase polycrystalline phase, while the films grown on glass substrates showed no crystallinity. Film thickness increased with deposition time as expected, while the transmittance varied from 72 to 91% and the refractive index remained close to 2.6.

  20. Reduced thermal budget processing of Y-Ba-Cu-O films by rapid isothermal processing assisted metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Singh, R.; Sinha, S.; Hsu, N.J.; Ng, J.T.C.; Chou, P.; Thakur, R.P.S.; Narayan, J.

    1991-01-01

    Metalorganic chemical vapor deposition (MOCVD) has the potential of emerging as a viable technique to fabricate ribbons, tapes, coated wires, and the deposition of films of high-temperature superconductors, and related materials. As a reduced thermal budget processing technique, rapid isothermal processing (RIP) based on incoherent radiation as the source of energy can be usefully coupled to conventional MOCVD. In this paper we report on the deposition and characterization of high quality superconducting thin films of Y-Ba-Cu-O (YBCO) on yttrium stabilized zirconia substrates by RIP assisted MOCVD. Using O 2 gas as the source of oxygen, YBCO films deposited initially at 600 degree C for 1 min and at 745 degree C for 25 min followed by deposition at 780 degree C for 45 s are primarily c-axis oriented and zero resistance is observed at 89--90 K. The zero magnetic field current density at 53 and 77 K are 1.2x10 6 and 3x10 5 A/cm 2 , respectively. By using a mixture of N 2 O and O 2 as the oxygen source substrate temperature was further reduced in the deposition of YBCO films. The films deposited initially at 600 degree C for 1 min and than at 720 degree C for 30 min are c-axis oriented and with zero resistance being observed at 91 K. The zero magnetic field current densities at 53 and 77 K are 3.4x10 6 and 1.2x10 6 A/cm 2 , respectively. To the best of our knowledge this is the highest value of critical current density, J c for films deposited by MOCVD at a substrate temperature as low as 720 degree C. It is envisioned that high energy photons from the incoherent light source and the use of a mixture of N 2 O and O 2 as the oxygen source, assist chemical reactions and lower overall thermal budget for processing of these films

  1. High-quality uniaxial In(x)Ga(1-x)N/GaN multiple quantum well (MQW) nanowires (NWs) on Si(111) grown by metal-organic chemical vapor deposition (MOCVD) and light-emitting diode (LED) fabrication.

    Science.gov (United States)

    Ra, Yong-Ho; Navamathavan, R; Park, Ji-Hyeon; Lee, Cheul-Ro

    2013-03-01

    This article describes the growth and device characteristics of vertically aligned high-quality uniaxial p-GaN/InxGa1-xN/GaN multiple quantum wells (MQW)/n-GaN nanowires (NWs) on Si(111) substrates grown by metal-organic chemical vapor deposition (MOCVD) technique. The resultant nanowires (NWs), with a diameter of 200-250 nm, have an average length of 2 μm. The feasibility of growing high-quality NWs with well-controlled indium composition MQW structure is demonstrated. These resultant NWs grown on Si(111) substrates were utilized for fabricating vertical-type light-emitting diodes (LEDs). The steep and intense photoluminescence (PL) and cathodoluminescence (CL) spectra are observed, based on the strain-free NWs on Si(111) substrates. High-resolution transmission electron microscopy (HR-TEM) analysis revealed that the MQW NWs are grown along the c-plane with uniform thickness. The current-voltage (I-V) characteristics of these NWs exhibited typical p-n junction LEDs and showed a sharp onset voltage at 2.75 V in the forward bias. The output power is linearly increased with increasing current. The result indicates that the pulsed MOCVD technique is an effective method to grow uniaxial p-GaN/InxGa1-xN/GaN MQW/n-GaN NWs on Si(111), which is more advantageous than other growth techniques, such as molecular beam epitaxy. These results suggest the uniaxial NWs are promising to allow flat-band quantum structures, which can enhance the efficiency of LEDs.

  2. Reduced thermal budget processing of Y--Ba--Cu--O high temperature superconducting thin films by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Singh, R.; Sinha, S.; Hsu, N.J.; Ng, J.T.C.; Chou, P.; Thakur, R.P.S.; Narayan, J.

    1991-01-01

    Metalorganic chemical vapor deposition (MOCVD) has the potential of emerging as a viable technique to fabricate ribbons, tapes, coated wires, and the deposition of films of high temperature superconductors, and related materials. As a reduced thermal budget processing technique, rapid isothermal processing (RIP) based on incoherent radiation as the source of energy can be usefully coupled to conventional MOCVD. In this paper we report on the deposition and characterization of high quality superconducting thin films of Y--Ba--Cu--O (YBCO) on MgO and SrTiO 3 substrates by RIP assisted MOCVD. By using a mixture of N 2 O and O 2 as the oxygen source films deposited initially at 600 degree C for 1 min and then at 740 degree C for 30 min are primarily c-axis oriented and with zero resistance being observed at 84 and 89 K for MgO and SrTiO 3 substrates, respectively. The zero magnetic field current densities at 77 K for MgO and SrTiO 3 substrates are 1.2x10 6 and 1.5x10 6 A/cm 2 , respectively. It is envisaged that high energy photons from the incoherent light source and the use of a mixture of N 2 O and O 2 as the oxygen source, assist chemical reactions and lower overall thermal budget for processing of these films

  3. Composition and microstructure of beryllium carbide films prepared by thermal MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    He, Yu-dan; Luo, Jiang-shan; Li, Jia; Meng, Ling-biao; Luo, Bing-chi; Zhang, Ji-qiang; Zeng, Yong; Wu, Wei-dong, E-mail: wuweidongding@163.com

    2016-02-15

    Highlights: • Non-columnar-crystal Be{sub 2}C films were firstly prepared by thermal MOCVD. • Beryllium carbide was always the dominant phase in the films. • α-Be and carbon existed in films deposited below and beyond 400 °C, respectively. • Morphology evolved with temperatures and no columnar grains were characterized. • The preferred substrate temperature for depositing high quality Be{sub 2}C films was 400 °C. - Abstract: Beryllium carbide films without columnar-crystal microstructures were prepared on the Si (1 0 0) substrate by thermal metal organic chemical vapor deposition using diethylberyllium as precursor. The influence of the substrate temperature on composition and microstructure of beryllium carbide films was systematically studied. Crystalline beryllium carbide is always the dominant phase according to XRD analysis. Meanwhile, a small amount of α-Be phase exists in films when the substrate temperature is below 400 °C, and hydrocarbon or amorphous carbon exists when the temperature is beyond 400 °C. Surfaces morphology shows transition from domes to cylinders, to humps, and to tetraquetrous crystalline needles with the increase of substrate temperature. No columnar grains are characterized throughout the thickness as revealed from the cross-section views. The average densities of these films are determined to be 2.04–2.17 g/cm{sup 3}. The findings indicate the substrate temperature has great influences on the composition and microstructure of the Be{sub 2}C films grown by thermal MOCVD.

  4. Nucleation and growth of copper oxide films in MOCVD processes using the β-ketoiminate precursor 4,4'-(1,2-ethanediyldinitrilo)bis(2-pentanonate) copper(II)

    International Nuclear Information System (INIS)

    Condorelli, G.G.; Malandrino, G.; Fragala, I.L.

    1999-01-01

    The MOCVD of CuO has attracted much attention because of its application in high-T c superconducting films and gas sensors. This work focuses on the potential of a β-ketoiminate copper complex as an alternative MOCVD source to β-diketonate complexes. Particular attention has been given to factors such as texturing, roughness, and grain size of the deposit. (orig.)

  5. Metalorganic atomic layer deposition of TiN thin films using TDMAT and NH3

    International Nuclear Information System (INIS)

    Kim, Hyo Kyeom; Kim, Ju Youn; Park, Jin Yong; Kim, Yang Do; Kim, Young Do; Jeon, Hyeong Tag; Kim, Won Mok

    2002-01-01

    TiN films were deposited by using the metalorganic atomic layer deposition (MOALD) method using tetrakis-dimethyl-amino-titanium (TDMAT) as the titanium precursor and ammonia (NH 3 ) as the reactant gas. Two saturated TiN film growth regions were observed in the temperature ranges from 175 and 190 .deg. C and from 200 and 210 .deg. C. TiN films deposited by the MOALD technique showed relatively lower carbon content than films deposited by metalorganic chemical vapor deposition (MOCVD) method. TiN films deposited at around 200 .deg. C under standard conditions showed the resistivity values as low as 500 μΩ-cm, which is about one order lower than the values for TiN films deposited by MOCVD using TDMAT or TDMAT with NH 3 . Also, the carbon incorporation and the resistivity were further decreased with increasing Ar purge time and flow rate. TiN films deposited at temperature below 300 .deg. C showed amorphous characteristics. TiN film deposited on contact holes, about 0.4-μm wide and 0.8-μm deep, by using the MOALD method showed excellent conformal deposition with almost 100% step coverage. This study demonstrates that the processing parameters need to be carefully controlled to optimize the film properties that the processing parameters need to be carefully controlled to optimize the film properties when using the ALD technique and that TiN films deposited by using the MOALD method exhibited excellent film properties compared to those of films deposited by using other CVD methods

  6. Plasmas for the low-temperature growth of high-quality GaN films by molecular beam epitaxy and remote plasma MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Capezzuto, P.; Bruno, G. [Plasmachemistry Research Center, CNR, Bari (Italy); Namkoong, G.; Doolittle, W.A.; Brown, A.S. [Georgia Inst. of Tech., Atlanta (United States). School of Electrical and Computer Engineering, Microelectronic Research Center

    2002-03-16

    GaN heteroepitaxial growth on sapphire (0001) substrates was carried out by both radio-frequency (rf) remote plasma metalorganic chemical vapor deposition (RP-MOCVD) and molecular beam epitaxy (MBE). A multistep growth process including substrate plasma cleaning and nitridation, buffer growth, its subsequent annealing and epilayer growth was used. In order to achieve a better understanding of the GaN growth, in-situ real time investigation of the surface chemistry is performed for all the steps using the conventional reflection high-energy electron spectroscopy (RHEED) during the MBE process, while laser reflectance interferometry (LRI) and spectroscopic ellipsometry (SE), which do not require UHV conditions, are used for the monitoring of the RP-MOCVD process. The chemistry of the rf N{sub 2} plasma sapphire nitridation and its effect on the epilayer growth and quality are discussed in both MBE and RP-MOCVD. (orig.)

  7. Effect of deposition conditions on the growth rate and electrical properties of ZnO thin films grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Roro, K.T.; Botha, J.R.; Leitch, A.W.R. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa)

    2008-07-01

    ZnO thin films have been grown on glass substrates by MOCVD. The effect of deposition conditions such as VI/II molar ratio, DEZn flow rate and total reactor pressure on the growth rate and electrical properties of the films was studied. It is found that the growth rate decreases with an increase in the VI/II molar ratio. This behaviour is ascribed to the competitive adsorption of reactant species on the growth surface. The growth rate increases with an increase in DEZn flow rate, as expected. It is shown that the carrier concentration is independent of the DEZn flow rate. An increase in the total reactor pressure yields a decrease in growth rate. This phenomenon is attributed to the depletion of the gas phase due to parasitic prereactions between zinc and oxygen species at high pressure. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. MOCVD of zirconium oxide from the zirconium guanidinate complex |ZrCp′{2-(iPrN)2CNMe2}2Cl

    NARCIS (Netherlands)

    Blackman, C.S.; Carmalt, C.J.; Moniz, S.J.A.; Potts, S.E.; Davies, H.O.; Pugh, D.C.

    2009-01-01

    Parallel to successful studies into use of [ZrCp'{¿ 2-(iPrN)2CNMe2} 2Cl] as a precursor to the deposition of zirconium carbonitride via CVD the same precursor was utilised for the MOCVD of thin films of ZrO 2 using borosilicate glass substrates. The deposited films were of mixed phase; films

  9. Photocatalysis in the visible range of sub-stoichiometric anatase films prepared by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Justicia, I. [ICMAB/CSIC, Campus UAB, 08193 Bellaterra (Spain); Garcia, G. [ICMAB/CSIC, Campus UAB, 08193 Bellaterra (Spain)]. E-mail: gemma@icmab.es; Battiston, G.A. [ICIS/CNR, Corso Stati Uniti 4, 35127 Padova (Italy); Gerbasi, R. [ICIS/CNR, Corso Stati Uniti 4, 35127 Padova (Italy); Ager, F. [CNA/CSIC Parque Tecnologico Cartuja 93, Avda Thomas A, Edison, 41092 Sevilla (Spain); Guerra, M. [IIQAB/CSIC Jordi Girona, 18 08034 Barcelona (Spain); Caixach, J. [IIQAB/CSIC Jordi Girona, 18 08034 Barcelona (Spain); Pardo, J.A. [ICMAB/CSIC, Campus UAB, 08193 Bellaterra (Spain); Rivera, J. [IIQAB/CSIC Jordi Girona, 18 08034 Barcelona (Spain); Figueras, A. [ICMAB/CSIC, Campus UAB, 08193 Bellaterra (Spain); Instituto de Fisica, UNAM, Campus UNAM Juriquilla, 76230 Queretaro (Mexico)

    2005-08-25

    Anatase phase of titanium oxide is the most promising photocatalyst material for organic pollutant degradation. However, due to its large band gap energy (3.2 eV) it is not viable to use sunlight as an energy source for the photocatalysis activation, and so, ultraviolet (UV) radiation below the wavelength of 380 nm is required. This paper focuses on the experimental demonstration of the reduction of this large band gap energy by inducing defects in the anatase structure under the form of oxygen sub-stoichiometry. TiO{sub 2} thin films were prepared in a metal organic chemical vapour deposition (MOCVD) reactor. The samples stoichiometry was measured by the Rutherford backscattering spectrometry (RBS) technique. Optical characterisation was also performed and the photodegradation activity in the visible range was tested using nonylphenol, which is one of the most harmful pollutants present in waste waters.

  10. Preparation of molybdenum oxide thin films by MOCVD

    International Nuclear Information System (INIS)

    Guerrero, R. Martinez; Garcia, J.R. Vargas; Santes, V.; Gomez, E.

    2007-01-01

    In this study, molybdenum oxide films were prepared in a horizontal hot-wall MOCVD apparatus using molybdenum dioxide acetylacetonate as precursor. The molybdenum precursor was synthesized from acetylacetone and molybdenum oxide powder. Thermal gravimetric (TG) and differential thermal analyses (DTA) of the precursor suggested the formation of molybdenum oxides around 430 o C (703 K). Thus, a range of deposition temperatures varying from 350 to 630 o C (623-903 K) was explored to investigate the effects on the nature of the molybdenum oxide films. X-ray diffraction (XRD) results showed that the films consisted of α-MoO 3 phase at deposition temperatures ranging from 400 to 560 o C (673-833 K). Crystalline α-MoO 3 films can be obtained from molybdenum dioxide acetylacetonate precursor, without need of a post-annealing treatment. The best crystalline quality was found in films having needle-like crystallites grown at deposition temperature of about 560 o C (833 K), which exhibit a strong (0 1 0) preferred orientation and a transparent visual appearance

  11. Preparation of molybdenum oxide thin films by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Guerrero, R. Martinez [Depto. de Ingenieria Metalurgica, ESIQIE-IPN, Mexico 07300, D.F. (Mexico); Garcia, J.R. Vargas [Depto. de Ingenieria Metalurgica, ESIQIE-IPN, Mexico 07300, D.F. (Mexico)]. E-mail: rvargasga@ipn.mx; Santes, V. [CIIEMAD-IPN, Miguel Othon de Mendizabal 485, Mexico 07700, D.F. (Mexico); Gomez, E. [Instituto de Quimica-UNAM, Circuito Exterior-Ciudad Universitaria, Mexico 04510, D.F. (Mexico)

    2007-05-31

    In this study, molybdenum oxide films were prepared in a horizontal hot-wall MOCVD apparatus using molybdenum dioxide acetylacetonate as precursor. The molybdenum precursor was synthesized from acetylacetone and molybdenum oxide powder. Thermal gravimetric (TG) and differential thermal analyses (DTA) of the precursor suggested the formation of molybdenum oxides around 430 {sup o}C (703 K). Thus, a range of deposition temperatures varying from 350 to 630 {sup o}C (623-903 K) was explored to investigate the effects on the nature of the molybdenum oxide films. X-ray diffraction (XRD) results showed that the films consisted of {alpha}-MoO{sub 3} phase at deposition temperatures ranging from 400 to 560 {sup o}C (673-833 K). Crystalline {alpha}-MoO{sub 3} films can be obtained from molybdenum dioxide acetylacetonate precursor, without need of a post-annealing treatment. The best crystalline quality was found in films having needle-like crystallites grown at deposition temperature of about 560 {sup o}C (833 K), which exhibit a strong (0 1 0) preferred orientation and a transparent visual appearance.

  12. Effects of LP-MOCVD prepared TiO2 thin films on the in vitro behavior of gingival fibroblasts

    International Nuclear Information System (INIS)

    Cimpean, Anisoara; Popescu, Simona; Ciofrangeanu, Cristina M.; Gleizes, Alain N.

    2011-01-01

    We report on the in vitro response of human gingival fibroblasts (HGF-1 cell line) to various thin films of titanium dioxide (TiO 2 ) deposited on titanium (Ti) substrates by low pressure metal-organic chemical vapor deposition (LP-MOCVD). The aim was to study the influence of film structural parameters on the cell behavior comparatively with a native-oxide covered titanium specimen, this objective being topical and interesting for materials applications in implantology. HGF-1 cells were cultured on three LP-MOCVD prepared thin films of TiO 2 differentiated by their thickness, roughness, transversal morphology, allotropic composition and wettability, and on a native-oxide covered Ti substrate. Besides traditional tests of cell viability and morphology, the biocompatibility of these materials was evaluated by fibronectin immunostaining, assessment of cell proliferation status and the zymographic evaluation of gelatinolytic activities specific to matrix metalloproteinases secreted by cells grown in contact with studied specimens. The analyzed surfaces proved to influence fibronectin fibril assembly, cell proliferation and capacity to degrade extracellular matrix without considerably affecting cell viability and morphology. The MOCVD of TiO 2 proved effective in positively modifying titanium surface for medical applications. Surface properties playing a crucial role for cell behavior were the wettability and, secondarily, the roughness, HGF-1 cells preferring a moderately rough and wettable TiO 2 coating.

  13. Multilayer porous structures of HVPE and MOCVD grown GaN for photonic applications

    Science.gov (United States)

    Braniste, T.; Ciers, Joachim; Monaico, Ed.; Martin, D.; Carlin, J.-F.; Ursaki, V. V.; Sergentu, V. V.; Tiginyanu, I. M.; Grandjean, N.

    2017-02-01

    In this paper we report on a comparative study of electrochemical processes for the preparation of multilayer porous structures in hydride vapor phase epitaxy (HVPE) and metal organic chemical vapor phase deposition (MOCVD) grown GaN. It was found that in HVPE-grown GaN, multilayer porous structures are obtained due to self-organization processes leading to a fine modulation of doping during the crystal growth. However, these processes are not totally under control. Multilayer porous structures with a controlled design have been produced by optimizing the technological process of electrochemical etching in MOCVD-grown samples, consisting of five pairs of thin layers with alternating-doping profiles. The samples have been characterized by SEM imaging, photoluminescence spectroscopy, and micro-reflectivity measurements, accompanied by transfer matrix analysis and simulations by a method developed for the calculation of optical reflection spectra. We demonstrate the applicability of the produced structures for the design of Bragg reflectors.

  14. MOCVD waste gas treatment

    International Nuclear Information System (INIS)

    Geelen, A. van; Bink, P.H.M.; Giling, L.J.

    1993-01-01

    A large scale production of GaAs based solar cells with MOCVD will give rise to a considerable use of arsine. Therefore a gas treatment system is needed to convert the waste gases into less toxic compounds. In this study seven different gas treatment systems for MOCVD are compared by quantifying the environmental aspects. The systems are divided in wet systems, adsorption systems and thermal systems. The smallest amount of waste is produced by adsorption and thermal systems. Adsorption systems use the smallest amount of energy. The amount of primary materials used for the equipment varies per system. All systems are safe, but adsorption systems are simplest. At the moment, adsorption systems are probably the best choice from an environmental point of view. Nevertheless thermal systems have some potential advantages which make them interesting for the future

  15. Development and construction of a novel MOCVD facility for the growth of ferroelectric thin layers

    International Nuclear Information System (INIS)

    Schaefer, P.R.

    2002-02-01

    This thesis deals with the production of ferroelectric thin films using the MOCVD technology. The main focus is put on the design and construction of a complete MOCVD research system that is equipped with a novel non-contact vaporizer system. The precursors are nebulized in an ultrasonic atomizer and injected into a hot gas stream, so they can vaporize without getting into contact with a hot surface. Hence, one of the biggest disadvantages of conventional vaporizer concepts, the contamination of the vaporizing element with decomposing chemicals, could be avoided completely, resulting in a nearly maintenance-free system. In a direct comparison with the well-established Direct Liquid Injection Subsystem DLI-25C from MKS Instruments, the advantages of non-contact evaporation were clearly demonstrated. Additionally, the scope of this work included the development of standard deposition processes for the ternary oxides SrTiO 3 , BaTiO 3 und PbTiO 3 and growth studies were performed. Electrical measurements performed on MIM structures with Pt electrodes and SrTiO 3 as dielectric indicate a high film quality comparable with results presented in the literature. Furthermore, for the first time the solid solution (Pb x Ba 1-x )TiO 3 has been deposited by MOCVD. This material system is widely unknown in thin film form and it is well suited as a model system to investigate the influence of mechanical stresses on the film properties, because it represents a transition between the (as a thin film) superparaelectric barium titanate and the ferroelectric lead titanate. Through variation of the lead/barium ratio the tetragonal distortion of the lattice cell could be adjusted in a wide range. (orig.)

  16. Surface Science in an MOCVD Environment: Arsenic on Vicinal Ge(100)

    International Nuclear Information System (INIS)

    Olson, J.M.; McMahon, W.E.

    1998-01-01

    Scanning tunneling microscope (STM) images of arsine-exposed vicinal Ge(100) surfaces show that most As/Ge steps are reconstructed, and that a variety of different step structures exist. The entire family of reconstructed As/Ge steps can be divided into two types, which we have chosen to call ''single-row'' steps and ''double-row'' steps. In this paper we propose a model for a double-row step created by annealing a vicinal Ge(100) substrate under an arsine flux in a metal-organic chemical vapor deposition (MOCVD) chamber

  17. Photodegradative properties of TiO{sub 2} films prepared by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Justicia, I.; Ayllon, J.A.; Figueras, A. [Consejo Superior de Investigaciones Cientificas, Barcelona (Spain). Inst. de Ciencia de Materiales; Battiston, G.A.; Gerbasi, R. [Consejo Superior de Investigaciones Cientificas, Barcelona (Spain). Inst. de Ciencia de Materiales; Ist. di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati del CNR, Padova (Italy)

    2001-08-01

    TiO{sub 2} is a well-known photocatalyst for the air-oxydation of organic compounds. This paper deals with the preparation of TiO{sub 2} layers by MOCVD. The photodegradation rate has been studied in the presence of aqueous suspensions (methylene blue) as a function of the film thickness, roughness and crystallite preferred orientation. These results are compared with aqueous suspensions of Degussa P-25 powders. Deposits obtained on fused quartz showed a higher photodegradation rate than those prepared on glass, while Degussa powders exhibited an intermediate value. (orig.)

  18. All-MOCVD-grown BH laser on P-InP substrates

    Science.gov (United States)

    Nishimura, Tadashi; Ishimura, E.; Nakajima, Yasuo; Tada, Hitoshi; Kimura, T.; Ohkura, Y.; Goto, Katsuhiko; Omura, Etsuji; Aiga, Masao

    1993-07-01

    A very low cw threshold current of 2.5 mA ( 25 degree(s)C) and 8.0 mA ( 80 degree(s)C) with high reliability has been realized in the all-MOCVD grown BH lasers on p-InP substrates. A strained MQW active layer of 1.3 micrometers wavelength and the precise carrier confinement buried structure by MOCVD is employed for the BH lasers. The excellent potential of long lifetime of the all-MOCVD grown laser has also been confirmed. After the high temperature and the high current (100 degree(s)C, 200 mA) aging test, no significant degradation is observed which is comparable with the well-established LPE grown lasers. The BH laser is also operating stably over 3700 hrs under the APC condition of 50 degree(s)C, 10 mW. Finally, an extremely uniform 10-element all-MOCVD grown LD array is demonstrated, which has the threshold current uniformity of 2.4 +/- 0.1 mA ( 25 degree(s)C) and 9.2 +/- 0.2 mA ( 80 degree(s)C). The growth mechanism in the MOCVD is also described.

  19. Deuterium permeation of amorphous alumina coating on 316L prepared by MOCVD

    International Nuclear Information System (INIS)

    Li Shuai; He Di; Liu Xiaopeng; Wang Shumao; Jiang Lijun

    2012-01-01

    Highlights: ► Deuterium permeation behavior of alumina coating by MOCVD is investigated. ► The as-prepared alumina is amorphous. ► The alumina coating is dense and well adherent to substrate. ► Deuterium permeation rate of alumina coating is 2–3 orders of magnitude lower than martensitic steels. - Abstract: The deuterium permeation behavior of the alumina coating on 316L stainless steel prepared by metal organic chemical vapor deposition (MOCVD) was investigated. The alumina coating was also characterized by X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD) and scanning electron microscope (SEM). It was found that the as-prepared coating consisted of amorphous alumina. This alumina coating had a dense, crack-free and homogeneous morphology. Although the alumina coating was amorphous, effective suppression of deuterium permeation was demonstrated. The deuterium permeability of the alumina coating was 51–60 times less than that of the 316L stainless steel and 153–335 times less than that of the referred low activation martensitic steels at 860–960 K.

  20. Preparation and microstructural properties of erbium doped alumina–yttria oxide thin films deposited by aerosol MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Salhi, Rached, E-mail: salhi_rached@yahoo.fr [Laboratoire de Science et Ingénierie des MAtériaux et Procédés 1130 rue de la PiscineBP 75-F-38402 Saint Martin D’Hères Cedex 1 (France); Laboratoire des Matériaux et du Génie Physique, CNRS UMR 5628, INP Grenoble-Minatec, 3 parvis Louis Néel BP 257, 38 016 Grenoble Cedex 1 (France); Jimenez, Carmen; Deschanvres, Jean-Luc [Laboratoire des Matériaux et du Génie Physique, CNRS UMR 5628, INP Grenoble-Minatec, 3 parvis Louis Néel BP 257, 38 016 Grenoble Cedex 1 (France); Guyot, Yannick [LPCML-UMR 5620 CNRS/UCBL Universite´ Claude Bernard Lyon 110 Rue Ada Byron 69622 Villeurbanne Cedex (France); Chaix-Pluchery, Odette; Rapenne, Laetitia [Laboratoire des Matériaux et du Génie Physique, CNRS UMR 5628, INP Grenoble-Minatec, 3 parvis Louis Néel BP 257, 38 016 Grenoble Cedex 1 (France); Maâlej, Ramzi [LPCML-UMR 5620 CNRS/UCBL Universite´ Claude Bernard Lyon 110 Rue Ada Byron 69622 Villeurbanne Cedex (France); Fourati, Mohieddine [Laboratoire de Chimie Industrielle, Ecole Nationale d’Ingénieur de Sfax, University of Sfax BP W 3038 Sfax (Tunisia); Laboratoire de Physique Appliquée, Groupe de Physique Théorique, Département de Physique, Faculté des Sciences de Sfax, University of Sfax 3018 Sfax (Tunisia)

    2013-10-15

    Erbium-doped aluminum–yttrium oxide films (Er: Al{sub 2}O{sub 3}–Y{sub 2}O{sub 3}) were prepared by aerosol-UV assisted Metalorganic Chemical Vapor Deposition (MOCVD) at 410 °C and annealed at 1000 °C. The effects of humidity of carrier gas and UV-assistance on their structure and optical properties were investigated using scanning electron microscope, X-ray diffraction and Transmission electron microscopy. It was found that under low air humidity and without UV-assistance the films present a low mol% Al{sub 2}O{sub 3} (10 mol%) two different structural phases are observed corresponding to the cubic and the monoclinic structures of Y{sub 2}O{sub 3}. When the deposition takes place under high air humidity and with UV assistance the Er:Al{sub 2}O{sub 3}–Y{sub 2}O{sub 3} films present a very high mol% Al{sub 2}O{sub 3} (88 mol%) and crystallize in the Y{sub 3}Al{sub 5}O{sub 12} (YAG) compound mixed with an amorphous phase. The Er{sup 3+} luminescence analyzed in the visible and IR regions, shows the classical green transitions. The best optical properties were obtained with the Er:Al{sub 2}O{sub 3}–Y{sub 2}O{sub 3} films grown under high air humidity with UV-assistance. Under such deposition conditions, {sup 4}I{sub 13/2} lifetimes was found to be 1.1 ms. This indicates that the deposition conditions, in particular air humidity, play an important role in the luminescent properties even after annealing. -- Highlights: • We investigate the effects of humidity and UV on the properties of Er:Al{sub 2}O{sub 3}–Y{sub 2}O{sub 3}. • Under low air humidity and without UV-assistance the films present a low mol% Al{sub 2}O{sub 3}. • Under high air humidity and with UV the Er:Al{sub 2}O{sub 3}–Y{sub 2}O{sub 3} present high mol% Al{sub 2}O{sub 3}. • The film crystallize in the YAG phase mixed with an amorphous phase. • The best optical properties were obtained under high air humidity with UV-assistance.

  1. InGaN quantum well epilayers morphological evolution under a wide range of MOCVD growth parameter sets

    Energy Technology Data Exchange (ETDEWEB)

    Florescu, D.I.; Ting, S.M.; Merai, V.N.; Parekh, A.; Lee, D.S.; Armour, E.A.; Quinn, W.E. [Veeco TurboDisc Operations, 394 Elizabeth Avenue, Somerset, NJ 08873 (United States)

    2006-06-15

    This study exemplifies the use of TappingMode trademark atomic force microscopy (AFM) surface morphology imaging to investigate and optimise the metalorganic chemical vapour deposition (MOCVD) growth conditions and post-growth stability of thin (<40 Aa) InGaN layers with direct implications to the structural and optical properties of blue (460 nm) and green (520 nm) LEDs. InGaN epilayers less than 40 Aa thick of {proportional_to}20% solid phase indium were produced on thick (3-4 {mu}m) 2{sup ''} GaN templates grown on (0001) c-plane sapphire substrates. The morphological evolution of the InGaN material was studied utilising a DI3100 AFM tool. Surface morphology and its correlation with photoluminescence and X-ray diffraction results are discussed for every set of conditions employed. More specifically, the post-growth ambient exposure and thermal stability of the uncapped InGaN epilayers were investigated. In addition, the initial stage of subsequent GaN growth, which is an essential step towards the manufacture of LED active regions, was examined. Based on the above findings, a flexible MOCVD growth parameter space and improved LED constituent layer sequencing techniques have been established leading to more efficient and stable LED devices. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. Enhanced flux pinning in MOCVD-YBCO films through Zr additions: systematic feasibility studies

    International Nuclear Information System (INIS)

    Aytug, T; Paranthaman, M; Specht, E D; Zhang, Y; Kim, K; Zuev, Y L; Cantoni, C; Goyal, A; Christen, D K; Maroni, V A; Chen, Y; Selvamanickam, V

    2010-01-01

    Systematic effects of Zr additions on the structural and flux pinning properties of YBa 2 Cu 3 O 7-δ (YBCO) films deposited by metal-organic chemical vapor deposition (MOCVD) have been investigated. Detailed characterization, conducted by coordinated transport, x-ray diffraction, scanning and transmission electron microscopy analyses, and imaging Raman microscopy have revealed trends in the resulting property/performance correlations of these films with respect to varying mole percentages (mol%) of added Zr. For compositions ≤7.5 mol%, Zr additions lead to improved in-field critical current density, as well as extra correlated pinning along the c-axis direction of the YBCO films via the formation of columnar, self-assembled stacks of BaZrO 3 nanodots.

  3. Epitaxial Oxide Thin Films Grown by Solid Source Metal-Organic Chemical Vapor Deposition.

    Science.gov (United States)

    Lu, Zihong

    1995-01-01

    The conventional liquid source metal-organic chemical vapor deposition (MOCVD) technique is capable of producing large area, high quality, single crystal semiconductor films. However, the growth of complex oxide films by this method has been hampered by a lack of suitable source materials. While chemists have been actively searching for new source materials, the research work reported here has demonstrated the successful application of solid metal-organic sources (based on tetramethylheptanedionate) to the growth of high quality thin films of binary compound cerium dioxide (CeO_2), and two more complex materials, the ternary compound lithium niobate (LiNbO_3), with two cations, and the quaternary compound strontium barium niobate (SBN), with three cations. The growth of CeO_2 thin films on (1012)Al_2O_3 substrates has been used as a model to study the general growth behavior of oxides. Factors affecting deposition rate, surface morphology, out-of-plane mosaic structure, and film orientation have been carefully investigated. A kinetic model based on gas phase prereaction is proposed to account for the substrate temperature dependence of film orientation found in this system. Atomically smooth, single crystal quality cerium dioxide thin films have been obtained. Superconducting YBCO films sputtered on top of solid source MOCVD grown thin cerium dioxide buffer layers on sapphire have been shown to have physical properties as good as those of YBCO films grown on single crystal MgO substrates. The thin film growth of LiNbO_3 and Sr_{1-x}Ba _{x}Nb_2 O_6 (SBN) was more complex and challenging. Phase purity, transparency, in-plane orientation, and the ferroelectric polarity of LiNbO _3 films grown on sapphire substrates was investigated. The first optical quality, MOCVD grown LiNbO _3 films, having waveguiding losses of less than 2 dB/cm, were prepared. An important aspect of the SBN film growth studies involved finding a suitable single crystal substrate material. Mg

  4. GaN epitaxial layers grown on multilayer graphene by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  5. Contribution towards ALD and MOCVD of rare earth oxides and hafnium oxide. From precursor evaluation to process development and thin film characterization

    International Nuclear Information System (INIS)

    Xu, Ke

    2013-01-01

    This PhD thesis is consisted of two major parts: precursor development for ALD and MOCVD applications as well as thin film deposition using ALD and MOCVD with self developed precursors. The first part of this work presents the synthesis, characterization and detailed thermal property investigations of different novel group IV and rare earth precursor classes (guandinate, guanidine and ketoiminate). The second part of this work presents the ALD and MOCVD depositions using various guanidinate precursors for forming corresponding metal oxide thin films. The overall motivation of this work is to fulfill the lack of precursors of rare earth and group IV elements for ALD and MOCVD applications that satisfy the stringent requirements for the modern microelectronic and optoelectronic technologies. The aspect of the precursor engineering part is focusing on influence of ligand sphere on precursors' chemical and thermal properties. In this way, we successfully introduced guanidine and ketoiminate as potential ligands for the precursor design. The thin film deposition part of this work is ALD of rare earth oxides and group IV oxides employing literature known compounds which were previously developed in our research group. The main focus was dedicated to the process optimization, the characterization of the structural, morphological, compositional and functional properties of the deposited thin films. Certain film properties were discussed comparatively with the corresponding thin films deposited with literature known precursors. It was already shortly demonstrated in Chapter 6 that the guanidine ligand showed potential interest as suitable ligand for precursor engineering. This titan guanidine precursor [Ti(NC(NMe 2 ) 2 ) 4 ] (GD1) possesses higher thermal stability compared to its parent amide, [Ti(NMe 2 ) 4 ], while reactivity against water is not significantly affected. It could be very interesting to transfer this ligand for the precursor development of rare earth

  6. Optical properties of hybrid quantum-well–dots nanostructures grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Mintairov, S. A., E-mail: mintairov@scell.ioffe.ru; Kalyuzhnyy, N. A.; Nadtochiy, A. M.; Maximov, M. V. [St. Petersburg Academic University (Russian Federation); Rouvimov, S. S. [University of Notre Dame (United States); Zhukov, A. E. [St. Petersburg Academic University (Russian Federation)

    2017-03-15

    The deposition of In{sub x}Ga{sub 1–x}As with an indium content of 0.3–0.5 and an average thickness of 3–27 single layers on a GaAs wafer by metalorganic chemical vapor deposition (MOCVD) at low temperatures results in the appearance of thickness and composition modulations in the layers being formed. Such structures can be considered to be intermediate nanostructures between ideal quantum wells and quantum dots. Depending on the average thickness and composition of the layers, the wavelength of the photoluminescence peak for the hybrid InGaAs quantum well–dots nanostructures varies from 950 to 1100 nm. The optimal average In{sub x}Ga{sub 1–x}As thicknesses and compositions at which the emission wavelength is the longest with a high quantum efficiency retained are determined.

  7. Enhanced Light Scattering by Preferred Orientation Control of Ga Doped ZnO Films Prepared through MOCVD

    Directory of Open Access Journals (Sweden)

    Long Giang Bach

    2016-01-01

    Full Text Available We have explored the effective approach to fabricate GZO/ZnO films that can make the pyramidal surface structures of GZO films for effective light scattering by employing a low temperature ZnO buffer layer prior to high temperature GZO film growth. The GZO thin films exhibit the typical preferred growth orientations along the (002 crystallographic direction at deposition temperature of 400°C and SEM showed that column-like granule structure with planar surface was formed. In contrast, GZO films with a pyramidal texture surface were successfully developed by the control of (110 preferred orientation. We found that the light diffuse transmittance of the film with a GZO (800 nm/ZnO (766 nm exhibited 13% increase at 420 nm wavelength due to the formed large grain size of the pyramidal texture surface. Thus, the obtained GZO films deposited over ZnO buffer layer have high potential for use as front TCO layers in Si-based thin film solar cells. These results could develop the potential way to fabricate TCO based ZnO thin film using MOCVD or sputtering techniques by depositing a low temperature ZnO layer to serve as a template for high temperature GZO film growth. The GZO films exhibited satisfactory optoelectric properties.

  8. Enhanced flux pinning in MOCVD-YBCO films through Zr additions : systematic feasibility studies.

    Energy Technology Data Exchange (ETDEWEB)

    Aytug, T.; Paranthaman, M.; Specht, E. D.; Zhang, Y.; Kim, K.; Zuev, Y. L.; Cantoni, C.; Goyal, A.; Christen, D. K.; Maroni, V. A.; Chen, Y.; Selvamanickam, V.; ORNL; SuperPower, Inc.

    2010-01-01

    Systematic effects of Zr additions on the structural and flux pinning properties of YBa{sub 2}Cu{sub 3}O{sub 7-{delta}} (YBCO) films deposited by metal-organic chemical vapor deposition (MOCVD) have been investigated. Detailed characterization, conducted by coordinated transport, x-ray diffraction, scanning and transmission electron microscopy analyses, and imaging Raman microscopy have revealed trends in the resulting property/performance correlations of these films with respect to varying mole percentages (mol%) of added Zr. For compositions {le} 7.5 mol%, Zr additions lead to improved in-field critical current density, as well as extra correlated pinning along the c-axis direction of the YBCO films via the formation of columnar, self-assembled stacks of BaZrO{sub 3} nanodots.

  9. Enhanced flux pinning in MOCVD-YBCO films through Zr additions: systematic feasibility studies

    Energy Technology Data Exchange (ETDEWEB)

    Aytug, T; Paranthaman, M; Specht, E D; Zhang, Y; Kim, K; Zuev, Y L; Cantoni, C; Goyal, A; Christen, D K [Oak Ridge National Laboratory, Oak Ridge, TN 37831 (United States); Maroni, V A [Argonne National Laboratory, Argonne, IL 60439 (United States); Chen, Y; Selvamanickam, V, E-mail: aytugt@ornl.go [SuperPower, Incorporated, 450 Duane Avenue, Schenectady, NY 12304 (United States)

    2010-01-15

    Systematic effects of Zr additions on the structural and flux pinning properties of YBa{sub 2}Cu{sub 3}O{sub 7-{delta}} (YBCO) films deposited by metal-organic chemical vapor deposition (MOCVD) have been investigated. Detailed characterization, conducted by coordinated transport, x-ray diffraction, scanning and transmission electron microscopy analyses, and imaging Raman microscopy have revealed trends in the resulting property/performance correlations of these films with respect to varying mole percentages (mol%) of added Zr. For compositions {<=}7.5 mol%, Zr additions lead to improved in-field critical current density, as well as extra correlated pinning along the c-axis direction of the YBCO films via the formation of columnar, self-assembled stacks of BaZrO{sub 3} nanodots.

  10. MOCVD growth of transparent conducting Cd2SnO4 thin films

    International Nuclear Information System (INIS)

    Metz, A.W.; Poeppelmeier, K.R.; Marks, T.J.; Lane, M.A.; Kannewurt, C.R.

    2004-01-01

    The first preparation of transparent conducting Cd 2 SnO 4 thin films by a simple MOCVD process is described. As-deposited films using Cd(hfa) 2 (TMEDA) (Figure), at 365 C are found to be highly crystalline with a relatively wide range of grain size of 100-300 nm. XRD indicates a cubic spinel Cd 2 SnO 4 crystal structure and the possible presence of a small amount of CdO. The films exhibit conductivities of 2170 S/cm and a bandgap of 3.3 eV, rivaling those of commercial tin-doped indium oxide. (Abstract Copyright [2004], Wiley Periodicals, Inc.)

  11. In situ synchrotron X-ray studies during metal-organic chemical vapor deposition of semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Thompson, Carol [Northern Illinois Univ., DeKalb, IL (United States); Argonne National Lab., Argonne, IL (United States); Highland, Matthew J.; Perret, Edith; Fuoss, Paul H.; Streiffer, Stephen K.; Stephenson, G. Brian [Argonne National Lab., Argonne, IL (United States); Richard, Marie-Ingrid [Universite Paul Cezanne Aix-Marseille, Marseille (France)

    2012-07-01

    In-situ, time-resolved techniques provide valuable insight into the complex interplay of surface structural and chemical evolution occurring during materials synthesis and processing of semiconductors. Our approach is to observe the evolution of surface structure and morphology at the atomic scale in real-time during metal organic vapor phase deposition (MOCVD) by using grazing incidence x-ray scattering and X-ray fluorescence, coupled with visible light scattering. Our vertical-flow MOCVD chamber is mounted on a 'z-axis' surface diffractometer designed specifically for these studies of the film growth, surface evolution and the interactions within a controlled growth environment. These techniques combine the ability of X-rays to penetrate a complex environment for measurements during growth and processing, with the sensitivity of surface scattering techniques to atomic and nanoscale structure. In this talk, we outline our program and discuss examples from our in-situ and real-time X-ray diffraction and fluorescence studies of InN, GaN, and InGaN growth on GaN(0001).

  12. Processing of CuInSe{sub 2}-based solar cells: Characterization of deposition processes in terms of chemical reaction analyses. Phase 2 Annual Report, 6 May 1996--5 May 1997

    Energy Technology Data Exchange (ETDEWEB)

    Anderson, T.

    1999-10-20

    This report describes research performed by the University of Florida during Phase 2 of this subcontract. First, to study CIGS, researchers adapted a contactless, nondestructive technique previously developed for measuring photogenerated excess carrier lifetimes in SOI wafers. This dual-beam optical modulation (DBOM) technique was used to investigate the differences between three alternative methods of depositing CdS (conventional chemical-bath deposition [CBD], metal-organic chemical vapor deposition [MOCVD], and sputtering). Second, a critical assessment of the Cu-In-Se thermochemical and phase diagram data using standard CALPHAD procedures is being performed. The outcome of this research will produce useful information on equilibrium vapor compositions (required annealing ambients, Sex fluxes from effusion cells), phase diagrams (conditions for melt-assisted growth), chemical potentials (driving forces for diffusion and chemical reactions), and consistent solution models (extents of solid solutions and extending phase diagrams). Third, an integrated facility to fabricate CIS PV devices was established that includes migration-enhanced epitaxy (MEE) for deposition of CIS, a rapid thermal processing furnace for absorber film formation, sputtering of ZnO, CBD or MOCVD of CdS, metallization, and pattern definition.

  13. Monolithic Integration of Sampled Grating DBR with Electroabsorption Modulator by Combining Selective-Area-Growth MOCVD and Quantum-Well Intermixing

    International Nuclear Information System (INIS)

    Hong-Bo, Liu; Ling-Juan, Zhao; Jiao-Qing, Pan; Hong-Liang, Zhu; Fan, Zhou; Bao-Jun, Wang; Wei, Wang

    2008-01-01

    We present the monolithic integration of a sampled-grating distributed Bragg reflector (SG-DBR) laser with a quantum-well electroabsorption modulator (QW-EAM) by combining ultra-low-pressure (55mbar) selective-area-growth (SAG) metal-organic chemical vapour deposition (MOCVD) and quantum-well intermixing (QWI) for the first time. The QW-EAM and the gain section can be grown simultaneously by using SAG MOCVD technology. Meanwhile, the QWI technology offers an abrupt band-gap change between two functional sections, which reduces internal absorption loss. The experimental results show that the threshold current Ith = 62 mA, and output power reaches 3.6mW. The wavelength tuning range covers 30nm, and all the corresponding side mode suppression ratios are over 30 dB. The extinction ratios at available wavelength channels can reach more than 14 dB with bias of -5 V

  14. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    Science.gov (United States)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  15. Fabrication of InP-pentacene inorganic-organic hybrid heterojunction using MOCVD grown InP for photodetector application

    Science.gov (United States)

    Sarkar, Kalyan Jyoti; Pal, B.; Banerji, P.

    2018-04-01

    We fabricated inorganic-organic hybrid heterojunction between indium phosphide (InP) and pentacene for photodetector application. InP layer was grown on n-Si substrate by atmospheric pressure metal organic chemical vapour deposition (MOCVD) technique. Morphological properties of InP and pentacene thin film were characterized by atomic force microscopy (AFM). Current-voltage characteristics were investigated in dark and under illumination condition at room temperature. During illumination, different wavelengths of visible and infrared light source were employed to perform the electrical measurement. Enhancement of photocurrent was observed with decreasing in wavelength of incident photo radiation. Ideality factor was found to be 1.92. High rectification ratio of 225 was found at ± 3 V in presence of infrared light source. This study provides new insights of inorganic-organic hybrid heterojunction for broadband photoresponse in visible to near infrared (IR) region under low reverse bias condition.

  16. Spray deposition using impulse atomization technique

    International Nuclear Information System (INIS)

    Ellendt, N.; Schmidt, R.; Knabe, J.; Henein, H.; Uhlenwinkel, V.

    2004-01-01

    A novel technique, impulse atomization, has been used for spray deposition. This single fluid atomization technique leads to different spray characteristics and impact conditions of the droplets compared to gas atomization technique which is the common technique used for spray deposition. Deposition experiments with a Cu-6Sn alloy were conducted to evaluate the appropriateness of impulse atomization to produce dense material. Based on these experiments, a model has been developed to simulate the thermal history and the local solidification rates of the deposited material. A numerical study shows how different cooling conditions affect the solidification rate of the material

  17. Status of HgCdTe Barrier Infrared Detectors Grown by MOCVD in Military University of Technology

    Science.gov (United States)

    Kopytko, M.; Jóźwikowski, K.; Martyniuk, P.; Gawron, W.; Madejczyk, P.; Kowalewski, A.; Markowska, O.; Rogalski, A.; Rutkowski, J.

    2016-09-01

    In this paper we present the status of HgCdTe barrier detectors with an emphasis on technological progress in metalorganic chemical vapor deposition (MOCVD) growth achieved recently at the Institute of Applied Physics, Military University of Technology. It is shown that MOCVD technology is an excellent tool for HgCdTe barrier architecture growth with a wide range of composition, donor /acceptor doping, and without post-grown annealing. The device concept of a specific barrier bandgap architecture integrated with Auger-suppression is as a good solution for high-operating temperature infrared detectors. Analyzed devices show a high performance comparable with the state-of-the-art of HgCdTe photodiodes. Dark current densities are close to the values given by "Rule 07" and detectivities of non-immersed detectors are close to the value marked for HgCdTe photodiodes. Experimental data of long-wavelength infrared detector structures were confirmed by numerical simulations obtained by a commercially available software APSYS platform. A detailed analysis applied to explain dark current plots was made, taking into account Shockley-Read-Hall, Auger, and tunneling currents.

  18. Thermoelectric properties of ZnSb films grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Venkatasubramanian, R; Watko, E; Colpitts, T

    1997-07-01

    The thermoelectric properties of ZnSb films grown by metallorganic chemical vapor deposition (MOCVD) are reported. The growth conditions necessary to obtain stoichiometric ZnSb films and the effects of various growth parameters on the electrical conductivity and Seebeck coefficients of the films are described. The as-grown ZnSb films are p-type. It was observed that the thicker ZnSb films offer improved carrier mobilities and lower free-carrier concentration levels. The Seebeck coefficient of ZnSb films was found to rise rapidly at approximately 160 C. The thicker films, due to the lower doping levels, indicate higher Seebeck coefficients between 25 to 200 C. A short annealing of the ZnSb film at temperatures of {approximately}200 C results in reduced free-carrier level. Thermal conductivity measurements of ZnSb films using the 3-{omega} method are also presented.

  19. Synthesis, characterization, and thermal properties of homoleptic rare-earth guanidinates: promising precursors for MOCVD and ALD of rare-earth oxide thin films.

    Science.gov (United States)

    Milanov, Andrian P; Fischer, Roland A; Devi, Anjana

    2008-12-01

    Eight novel homoleptic tris-guanidinato complexes M[(N(i)Pr)(2)CNR(2)](3) [M = Y (a), Gd (b), Dy (c) and R = Me (1), Et (2), (i)Pr (3)] have been synthesized and characterized by NMR, CHN-analysis, mass spectrometry and infrared spectroscopy. Single crystal structure analysis revealed that all the compounds are monomers with the rare-earth metal center coordinated to six nitrogen atoms of the three chelating guanidinato ligands in a distorted trigonal prism geometry. With the use of TGA/DTA and isothermal TGA analysis, the thermal characteristics of all the complexes were studied in detail to evaluate their suitability as precursors for thin film deposition by MOCVD and ALD. The (i)Pr-Me(2)N-guanidinates of Y, Gd and Dy (1a-c) showed excellent thermal characteristics in terms of thermal stability and volatility. Additionally, the thermal stability of the (i)Pr-Me(2)N-guanidinates of Y and Dy (1a, c) in solution was investigated by carrying out NMR decomposition experiments and both the compounds were found to be remarkably stable. All these studies indicate that (i)Pr-Me(2)N-guanidinates of Y, Gd and Dy (1a-c) have the prerequisites for MOCVD and ALD applications which were confirmed by the successful deposition of Gd(2)O(3) and Dy(2)O(3) thin films on Si(100) substrates. The MOCVD grown films of Gd(2)O(3) and Dy(2)O(3) were highly oriented in the cubic phase, while the ALD grown films were amorphous.

  20. Epitaxial growth of InP on SI by MOCVD

    International Nuclear Information System (INIS)

    Konushi, F.; Seki, A.; Kudo, J.; Sato, H.; Kakimoto, S.; Fukushima, T.; Kubota, Y.; Koba, M.

    1988-01-01

    The authors have studied the heteroepitaxial growth of InP on large diameter Si substrates using MOCVD. A new MOCVD system with four inch wafer size capability was utilized in the growth. Single domain InP films have been successfully grown on four inch Si substrates by using a new heterostructure with a thin GaAs intermediate layer. In this paper, the authors describe the crystalline quality and residual stress of InP epilayers, estimated by etch pit density and x-ray diffraction, respectively. The authors also reports on the reduction of EPD by post-growth annealing

  1. Model Research On Deposition Of Pure Aluminium Oxide Layers By MOCVD Method

    Directory of Open Access Journals (Sweden)

    Sawka A.

    2015-06-01

    Full Text Available The purpose of this research is to develop an optimal method for synthesizing of nanocrystalline Al2O3 monolayers at high growth rates on cemented carbides coated with an intermediate layer of pre-Al2O3-C (composite layers Al2O3-C/Al2O3. The use of quartz glass substrate allows for obtaining information about the quality of the layers such the thickness and density, because of its high transparency. The Al2O3 layers that do not containing carbon were synthesized on quartz glass by MOCVD using aluminum acetylacetonate and air as the reactants at temperatures of 700-1000°C. Argon was also a carrier gas. The resulting layers were transparent, as homogeneous nucleation did not occur during the synthesis process. The layers synthesized at lower temperatures were subjected to a crystallization process at temperatures above 900°C. The crystallization process was studied as a function of time and temperature. The obtained layers were characterized by their nanocrystalline microstructure.

  2. Deuterium permeation of amorphous alumina coating on 316L prepared by MOCVD

    Science.gov (United States)

    Li, Shuai; He, Di; Liu, Xiaopeng; Wang, Shumao; Jiang, Lijun

    2012-01-01

    The deuterium permeation behavior of the alumina coating on 316L stainless steel prepared by metal organic chemical vapor deposition (MOCVD) was investigated. The alumina coating was also characterized by X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD) and scanning electron microscope (SEM). It was found that the as-prepared coating consisted of amorphous alumina. This alumina coating had a dense, crack-free and homogeneous morphology. Although the alumina coating was amorphous, effective suppression of deuterium permeation was demonstrated. The deuterium permeability of the alumina coating was 51-60 times less than that of the 316L stainless steel and 153-335 times less than that of the referred low activation martensitic steels at 860-960 K.

  3. Microstructural and compositional analysis of YBa2Cu3O7-δ films grown by MOCVD before and after GCIB smoothing

    International Nuclear Information System (INIS)

    Hatzistergos, M.S.; Efstathiadis, H.; Reeves, J.L.; Selvamanickam, V.; Allen, L.P.; Lifshin, E.; Haldar, P.

    2004-01-01

    The microstructural and compositional evolution of thick (>1 μm) high temperature superconducting YBa 2 Cu 3 O 7-x (YBCO) films grown on single crystal SrTiO 3 substrates by the metal organic chemical vapor deposition (MOCVD) process was investigated by focused ion beam microscopy, scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction, and atomic force microscopy. This study showed that as the MOCVD YBCO film thickness increased above 0.5 μm, defects such as second phase particles, pores, and misaligned grains preferentially nucleated and grew at the YBCO surface. A portion of this defective top layer was eliminated from all the samples using a gas cluster ion beam (GCIB) process that first removed material with a focused argon cluster beam. Next, an oxygen cluster beam was used to smooth the surface and re-oxygenate the YBCO. Comparing the critical current (I c ) measured before and after GCIB processing showed that the I c remained the same, and even improved, when part of the defective top layer was removed. This microstructural and electromagnetic 'dead layer' is believed to be responsible for the overall I c decrease of MOCVD YBCO films thicker than 0.5 μm

  4. Carbon analysis in MOCVD grown HgCdMnTe by charged particle activation

    International Nuclear Information System (INIS)

    Stannard, W.B.; Walker, S.R.; Johnston, P.N.; Bubb, I.F.

    1994-01-01

    Charged Particle Activation Analysis (CPAA) has been used for the determination of the concentration of carbon in HgCdMnTe grown by Metal Organic Chemical Vapour Deposition (MOCVD). The samples were irradiated with a beam of 3.0 MeV 3 He ions. 11 C is produced by the reaction 12 C( 3 He, α) 11 C and is a positron (β + ) emitting radionuclide with a half-life of 20.38 min. At the same time the reaction 16 O( 3 He, p) 18 F produces 18 F which is also a β + emitter and has a half-life of 109.72 min. A post-irradiation etching technique has been developed to enable removal of surface contaminants. The radioactivity is determined by a β + spectrometer consisting of two NaI γ-ray detectors (3x3 in.) oriented at 180 . The two coincident 511 keV γ-rays emitted at 180 during the positron annihilation are detected. The initial 11 C and 18 F activities, and hence the oxygen and carbon contributions, can be separated by analysis of the count rate versus time. Analysis shows significant carbon levels in the HgCdMnTe samples. ((orig.))

  5. Various types of GaN/InGaN nanostructures grown by MOCVD on Si(111) substrate

    Energy Technology Data Exchange (ETDEWEB)

    Rozhavskaya, Mariya M.; Lundin, Wsevolod V.; Zavarin, Evgeniy E.; Lundina, Elena Yu.; Troshkov, Sergey I.; Davydov, Valery Yu.; Yagovkina, Mariya A.; Brunkov, Pavel N.; Tsatsulnikov, Andrey F. [Ioffe Physico-Technical Institute of the RAS, Politekhnicheskaya 26, 194021 St. Petersburg (Russian Federation)

    2013-03-15

    In this paper we obtained GaN and InGaN nanowires on AlN/Si (111) templates via pulsed Metal Organic Chemical Vapour Deposition (MOCVD). The growth modes were investigated, in which selective growth is possible. The impact of NH{sub 3} flow and TMG flow and exposure time were investigated. Also the possibility of using indium catalyst was studied. It was shown that In can be used in Au-In melt and as self-sufficient catalyst. (copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Characterization of photoluminescent europium doped yttrium oxide thin-films prepared by metallorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    McKittrick, J.; Bacalski, C.F.; Hirata, G.A.; Hubbard, K.M.; Pattillo, S.G.; Salazar, K.V.; Trkula, M.

    1998-01-01

    Europium doped yttrium oxide, (Y 1-x Eu x ) 2 O 3 , thin-films were deposited on silicon and sapphire substrates by metallorganic chemical vapor deposition (MOCVD). The films were grown in a MOCVD chamber reacting yttrium and europium tris(2,2,6,6-tetramethyl-3,5,-heptanedionates) precursors in an oxygen atmosphere at low pressures (5 Torr) and low substrate temperatures (500--700 C). The films deposited at 500 C were flat and composed of nanocrystalline regions of cubic Y 2 O 3 , grown in a textured [100] or [110] orientation to the substrate surface. Films deposited at 600 C developed from the flat, nanocrystalline morphology into a plate-like growth morphology oriented in the [111] with increasing deposition time. Monoclinic Y 2 O 3 :Eu 3+ was observed in x-ray diffraction for deposition temperatures ≥600 C on both (111) Si and (001) sapphire substrates. This was also confirmed by the photoluminescent emission spectra

  7. Electrical properties of GaAs metal–oxide–semiconductor structure comprising Al2O3 gate oxide and AlN passivation layer fabricated in situ using a metal–organic vapor deposition/atomic layer deposition hybrid system

    Directory of Open Access Journals (Sweden)

    Takeshi Aoki

    2015-08-01

    Full Text Available This paper presents a compressive study on the fabrication and optimization of GaAs metal–oxide–semiconductor (MOS structures comprising a Al2O3 gate oxide, deposited via atomic layer deposition (ALD, with an AlN interfacial passivation layer prepared in situ via metal–organic chemical vapor deposition (MOCVD. The established protocol afforded self-limiting growth of Al2O3 in the atmospheric MOCVD reactor. Consequently, this enabled successive growth of MOCVD-formed AlN and ALD-formed Al2O3 layers on the GaAs substrate. The effects of AlN thickness, post-deposition anneal (PDA conditions, and crystal orientation of the GaAs substrate on the electrical properties of the resulting MOS capacitors were investigated. Thin AlN passivation layers afforded incorporation of optimum amounts of nitrogen, leading to good capacitance–voltage (C–V characteristics with reduced frequency dispersion. In contrast, excessively thick AlN passivation layers degraded the interface, thereby increasing the interfacial density of states (Dit near the midgap and reducing the conduction band offset. To further improve the interface with the thin AlN passivation layers, the PDA conditions were optimized. Using wet nitrogen at 600 °C was effective to reduce Dit to below 2 × 1012 cm−2 eV−1. Using a (111A substrate was also effective in reducing the frequency dispersion of accumulation capacitance, thus suggesting the suppression of traps in GaAs located near the dielectric/GaAs interface. The current findings suggest that using an atmosphere ALD process with in situ AlN passivation using the current MOCVD system could be an efficient solution to improving GaAs MOS interfaces.

  8. Metallorganic chemical vapor deposition and atomic layer deposition approaches for the growth of hafnium-based thin films from dialkylamide precursors for advanced CMOS gate stack applications

    Science.gov (United States)

    Consiglio, Steven P.

    To continue the rapid progress of the semiconductor industry as described by Moore's Law, the feasibility of new material systems for front end of the line (FEOL) process technologies needs to be investigated, since the currently employed polysilicon/SiO2-based transistor system is reaching its fundamental scaling limits. Revolutionary breakthroughs in complementary-metal-oxide-semiconductor (CMOS) technology were recently announced by Intel Corporation and International Business Machines Corporation (IBM), with both organizations revealing significant progress in the implementation of hafnium-based high-k dielectrics along with metal gates. This announcement was heralded by Gordon Moore as "...the biggest change in transistor technology since the introduction of polysilicon gate MOS transistors in the late 1960s." Accordingly, the study described herein focuses on the growth of Hf-based dielectrics and Hf-based metal gates using chemical vapor-based deposition methods, specifically metallorganic chemical vapor deposition (MOCVD) and atomic layer deposition (ALD). A family of Hf source complexes that has received much attention recently due to their desirable properties for implementation in wafer scale manufacturing is the Hf dialkylamide precursors. These precursors are room temperature liquids and possess sufficient volatility and desirable decomposition characteristics for both MOCVD and ALD processing. Another benefit of using these sources is the existence of chemically compatible Si dialkylamide sources as co-precursors for use in Hf silicate growth. The first part of this study investigates properties of MOCVD-deposited HfO2 and HfSixOy using dimethylamido Hf and Si precursor sources using a customized MOCVD reactor. The second part of this study involves a study of wet and dry surface pre-treatments for ALD growth of HfO2 using tetrakis(ethylmethylamido)hafnium in a wafer scale manufacturing environment. The third part of this study is an investigation of

  9. Impact of oxygen precursor flow on the forward bias behavior of MOCVD-Al2O3 dielectrics grown on GaN

    Science.gov (United States)

    Chan, Silvia H.; Bisi, Davide; Liu, Xiang; Yeluri, Ramya; Tahhan, Maher; Keller, Stacia; DenBaars, Steven P.; Meneghini, Matteo; Mishra, Umesh K.

    2017-11-01

    This paper investigates the effects of the oxygen precursor flow supplied during metalorganic chemical vapor deposition (MOCVD) of Al2O3 films on the forward bias behavior of Al2O3/GaN metal-oxide-semiconductor capacitors. The low oxygen flow (100 sccm) delivered during the in situ growth of Al2O3 on GaN resulted in films that exhibited a stable capacitance under forward stress, a lower density of stress-generated negative fixed charges, and a higher dielectric breakdown strength compared to Al2O3 films grown under high oxygen flow (480 sccm). The low oxygen grown Al2O3 dielectrics exhibited lower gate current transients in stress/recovery measurements, providing evidence of a reduced density of trap states near the GaN conduction band and an enhanced robustness under accumulated gate stress. This work reveals oxygen flow variance in MOCVD to be a strategy for controlling the dielectric properties and performance.

  10. Microstructural and compositional analysis of YBa{sub 2}Cu{sub 3}O{sub 7-{delta}} films grown by MOCVD before and after GCIB smoothing

    Energy Technology Data Exchange (ETDEWEB)

    Hatzistergos, M.S.; Efstathiadis, H.; Reeves, J.L.; Selvamanickam, V.; Allen, L.P.; Lifshin, E.; Haldar, P

    2004-06-15

    The microstructural and compositional evolution of thick (>1 {mu}m) high temperature superconducting YBa{sub 2}Cu{sub 3}O{sub 7-x} (YBCO) films grown on single crystal SrTiO{sub 3} substrates by the metal organic chemical vapor deposition (MOCVD) process was investigated by focused ion beam microscopy, scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction, and atomic force microscopy. This study showed that as the MOCVD YBCO film thickness increased above 0.5 {mu}m, defects such as second phase particles, pores, and misaligned grains preferentially nucleated and grew at the YBCO surface. A portion of this defective top layer was eliminated from all the samples using a gas cluster ion beam (GCIB) process that first removed material with a focused argon cluster beam. Next, an oxygen cluster beam was used to smooth the surface and re-oxygenate the YBCO. Comparing the critical current (I{sub c}) measured before and after GCIB processing showed that the I{sub c} remained the same, and even improved, when part of the defective top layer was removed. This microstructural and electromagnetic 'dead layer' is believed to be responsible for the overall I{sub c} decrease of MOCVD YBCO films thicker than 0.5 {mu}m.

  11. Cu-Al alloy formation by thermal annealing of Cu/Al multilayer films deposited by cyclic metal organic chemical vapor deposition

    Science.gov (United States)

    Moon, Hock Key; Yoon, Jaehong; Kim, Hyungjun; Lee, Nae-Eung

    2013-05-01

    One of the most important issues in future Cu-based interconnects is to suppress the resistivity increase in the Cu interconnect line while decreasing the line width below 30 nm. For the purpose of mitigating the resistivity increase in the nanoscale Cu line, alloying Cu with traces of other elements is investigated. The formation of a Cu alloy layer using chemical vapor deposition or electroplating has been rarely studied because of the difficulty in forming Cu alloys with elements such as Al. In this work, Cu-Al alloy films were successfully formed after thermal annealing of Cu/Al multilayers deposited by cyclic metal-organic chemical vapor deposition (C-MOCVD). After the C-MOCVD of Cu/Al multilayers without gas phase reaction between the Cu and Al precursors in the reactor, thermal annealing was used to form Cu-Al alloy films with a small Al content fraction. The resistivity of the alloy films was dependent on the Al precursor delivery time and was lower than that of the aluminum-free Cu film. No presence of intermetallic compounds were detected in the alloy films by X-ray diffraction measurements and transmission electron spectroscopy.

  12. Buffer free MOCVD growth of GaN on 4H-SiC: Effect of substrate treatments and UV-photoirradiation

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, Maria; Giangregorio, Maria M.; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Kim, Tong-Ho; Choi, Soojeong; Brown, April [Department of Electrical and Computer Engineering, Duke University, Durham, NC 27709 (United States)

    2006-05-15

    GaN has been grown directly on the Si-face 4H-SiC(0001) substrates using remote plasma-assisted metalorganic chemical vapour deposition (RP-MOCVD) with UV-light irradiation. The effects of substrate pre-treatments and UV-photoirradiation of the growth surface on GaN nucleation and film morphology are investigated. Optical data from spectroscopic ellipsometry measurements and morphological data show an improvement in nucleation and material quality with UV-light irradiation. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. P-type Ge epitaxy on GaAs (100) substrate grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Y.J.; Chia, C.K.; Liu, H.F.; Wong, L.M.; Chai, J.W.; Chi, D.Z.; Wang, S.J., E-mail: sj-wang@imre.a-star.edu.sg

    2016-07-15

    Highlights: • The heterogeneous integration of p-Ge/GaAs by MOCVD indicates significance for the application in optoelectronic devices such as p-MOSFET, dual band photodetector, etc. • Many undesired pillar-structures were observed on the p-Ge epilayers and we found that the cause of the pillar-like structures was related to the Ge-Ga dimers formed during the growth. • We found that a GaAs substrate with fewer Ga or Ge danglings was helpful in suppressing the formation of the unwanted pillar-like structures and thus obtaining high quality p-Ge epilayers. - Abstract: In this work, Ga-doped Geranium (Ge) films have been grown on GaAs (100) substrates by metal-organic chemical vapor deposition (MOCVD). Undesired pillar structures have been observed on the epilayers prepared at relatively lower temperatures. Energy dispersive X-ray spectroscopy (EDX) indicated that the pillars are mainly consisted of Ga atoms, which is totally different from that of the Ge film. It was demonstrated that the pillar structures could be reduced by simply raising the growth temperature while keeping the other growth conditions unchanged. In this regard, the growth mechanism of the pillars was related to the Ge-Ga dimers formed during the growth of p-Ge films. By further studying the influence of a GaAs or Ge buffer layer on the growth of p-Ge layers, we found that the GaAs substrate with lower density of Ga or Ge dangling bonds was helpful in suppressing the formation of the undesired pillar structures.

  14. Dislocation confinement in the growth of Na flux GaN on metalorganic chemical vapor deposition-GaN

    International Nuclear Information System (INIS)

    Takeuchi, S.; Asazu, H.; Nakamura, Y.; Sakai, A.; Imanishi, M.; Imade, M.; Mori, Y.

    2015-01-01

    We have demonstrated a GaN growth technique in the Na flux method to confine c-, (a+c)-, and a-type dislocations around the interface between a Na flux GaN crystal and a GaN layer grown by metalorganic chemical vapor deposition (MOCVD) on a (0001) sapphire substrate. Transmission electron microscopy (TEM) clearly revealed detailed interface structures and dislocation behaviors that reduced the density of vertically aligned dislocations threading to the Na flux GaN surface. Submicron-scale voids were formed at the interface above the dislocations with a c component in MOCVD-GaN, while no such voids were formed above the a-type dislocations. The penetration of the dislocations with a c component into Na flux GaN was, in most cases, effectively blocked by the presence of the voids. Although some dislocations with a c component in the MOCVD-GaN penetrated into the Na flux GaN, their propagation direction changed laterally through the voids. On the other hand, the a-type dislocations propagated laterally and collectively near the interface, when these dislocations in the MOCVD-GaN penetrated into the Na flux GaN. These results indicated that the dislocation propagation behavior was highly sensitive to the type of dislocation, but all types of dislocations were confined to within several micrometers region of the Na flux GaN from the interface. The cause of void formation, the role of voids in controlling the dislocation behavior, and the mechanism of lateral and collective dislocation propagation are discussed on the basis of TEM results

  15. Hardware Modifications to the US Army Research Laboratory’s Metalorganic Chemical Vapor Deposition (MOCVD) System for Optimization of Complex Oxide Thin Film Fabrication

    Science.gov (United States)

    2015-04-01

    the total absorbance, or the fraction of radiation absorbed at the measured wavelength; is the calculated molar extinction coefficient for the...of PZT thin films by liquid delivery MOCVD. Integrated Ferroelectrics. 2002;46:125–131. 14. Hiskes R, Dicarolis SA, Jacowitz RD, Lu Z, Feigelson RS

  16. MOCVD coating deposition of yttrium stabilized zirconia as backing for high-temperature superconductors on flexible substrates

    International Nuclear Information System (INIS)

    Jakschik, F.; Berger, W.; Seifert, L.; Nowick, W.; Leonhardt, G.

    1993-01-01

    The coating of carbon fibers with YSZ by means of the presented MOCVD process showed that in the bundle at temperatures between 500 - 600 C the coating thickness drops toward the center of the bundle. Sufficient homogeneity can be achieved only when the precipitation rate is selected slow enough to prevent the bundle edge from closing, or when the bundle is spread sufficiently open. The layers are on one hand ZrO 2 with incorporated carbon and on the other hand yttrium stabilized ZrO 2 with incorporated carbon. In both cases exclusively the cubic phase of the oxide was detected. The morphology of layers revealed only slight roughness with incorporation of relatively large nodules consisting of YSZ, caused by homogeneous gas phase reactions which are to be prevented. (orig.) [de

  17. Structural and morphological characterizations of ZnO films grown on GaAs substrates by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Agouram, S.; Zuniga Perez, J.; Munoz-Sanjose, V. [Universitat de Valencia, Departamento de Fisica Aplicada y Electromagnetismo, Burjassot (Spain)

    2007-07-15

    ZnO films were grown on GaAs(100), GaAs(111)A and GaAs(111)B substrates by metal organic chemical vapour deposition (MOCVD). Diethylzinc (DEZn) and tertiarybutanol (t-butanol) were used as Zn and O precursors, respectively. The influence of the growth temperature and GaAs substrate orientation on the crystalline orientation and morphology of the ZnO grown films has been analysed. Crystallinity of grown films was studied by X-ray diffraction (XRD); thickness and morphology of ZnO films were investigated by scanning electron microscopy (SEM). SEM results reveal significant differences between morphologies depending on growth temperature but not significant differences were detected on the texture of grown films. (orig.)

  18. Development of Y-BA-CU-O Coated Conductor Using Metal Organic Chemical Vapor Deposition

    National Research Council Canada - National Science Library

    Selvamanickam, V

    2003-01-01

    .... The program includes a study of the a) influence of MOCVD processing conditions such as the flow rate of precursor vapors, precursor vaporization temperatures, oxygen partial pressure, reactor pressure, and the deposition temperature...

  19. Thermoelectric properties of ZnSb films grown by MOCVD

    International Nuclear Information System (INIS)

    Venkatasubramanian, R.; Watko, E.; Colpitts, T.

    1997-04-01

    The thermoelectric properties of metallorganic chemical vapor deposited (MOCVD) ZnSb films are reported. The growth conditions necessary to obtain stoichiometric ZnSb films and the effects of various growth parameters on the electrical conductivity and Seebeck coefficients of the films are described. The as-grown ZnSb films are p-type. It was observed that the growth of thicker ZnSb films lead to improved carrier mobilities and lower free-carrier concentrations. The Seebeck coefficient of ZnSb films was found to rise rapidly at approximately 160 to 170 C, with peak Seebeck coefficients as high as 470 microV/K at 220 C. The various growth conditions, including the use of intentional dopants, to improve the Seebeck coefficients at room temperature and above, are discussed. A short annealing of the ZnSb films at temperatures of ∼ 200 C resulted in reduced free-carrier levels and higher Seebeck coefficients at 300 K. Finally, ZT values based on preliminary thermal conductivity measurements using the 3-ω method are reported

  20. Thermogravimetric evaluation of the suitability of precursors for MOCVD

    International Nuclear Information System (INIS)

    Kunte, G V; Shivashankar, S A; Umarji, A M

    2008-01-01

    A method based on the Langmuir equation for the estimation of vapour pressure and enthalpy of sublimation of subliming compounds is described. The variable temperature thermogravimetric/differential thermogravimetric (TG/DTG) curve of benzoic acid is used to arrive at the instrument parameters. Employing these parameters, the vapour pressure–temperature curves are derived for salicylic acid and camphor from their TG/DTG curves. The values match well with vapour pressure data in the literature, obtained by effusion methods. By employing the Clausius–Clapeyron equation, the enthalpy of sublimation could be calculated. Extending the method further, two precursors for metal-organic chemical vapour deposition (MOCVD) of titanium oxide bis-isopropyl bis tert-butyl 2-oxobutanoato titanium, Ti(O i Pr) 2 (tbob) 2 , and bis-oxo-bis-tertbutyl 2-oxobutanoato titanium, [TiO(tbob) 2 ] 2 , have been evaluated. The complex Ti(O i Pr) 2 (tbob) 2 is found to be a more suitable precursor. This approach can be helpful in quickly screening for the suitability of a compound as a CVD precursor

  1. Synthesis of In0.1Ga0.9N/GaN structures grown by MOCVD and MBE for high speed optoelectronics

    KAUST Repository

    Alshehri, Bandar; Dogheche, Karim; Belahsene, Sofiane; Janjua, Bilal; Ramdane, Abderrahim; Patriarche, Gilles; Ng, Tien Khee; S-Ooi, Boon; Decoster, Didier; Dogheche, Elhadj

    2016-01-01

    In this work, we report a comparative investigation of InxGa1-xN (SL) and InxGa1-xN/GaN (MQW) structures with an indium content equivalent to x=10%. Both structures are grown on (0001) sapphire substrates using MOCVD and MBE growth techniques. Optical properties are evaluated for samples using PL characteristics. Critical differences between the resulting epitaxy are observed. Microstructures have been assessed in terms of crystalline quality, density of dislocations and surface morphology. We have focused our study towards the fabrication of vertical PIN photodiodes. The technological process has been optimized as a function of the material structure. From the optical and electrical characteristics, this study demonstrates the benefit of InGaN/GaN MQW grown by MOCVD in comparison with MBE for high speed optoelectronic applications.

  2. Synthesis of In0.1Ga0.9N/GaN structures grown by MOCVD and MBE for high speed optoelectronics

    KAUST Repository

    Alshehri, Bandar

    2016-06-07

    In this work, we report a comparative investigation of InxGa1-xN (SL) and InxGa1-xN/GaN (MQW) structures with an indium content equivalent to x=10%. Both structures are grown on (0001) sapphire substrates using MOCVD and MBE growth techniques. Optical properties are evaluated for samples using PL characteristics. Critical differences between the resulting epitaxy are observed. Microstructures have been assessed in terms of crystalline quality, density of dislocations and surface morphology. We have focused our study towards the fabrication of vertical PIN photodiodes. The technological process has been optimized as a function of the material structure. From the optical and electrical characteristics, this study demonstrates the benefit of InGaN/GaN MQW grown by MOCVD in comparison with MBE for high speed optoelectronic applications.

  3. An evaluation of absorption spectroscopy to monitor YBa2Cu3O7-x precursors for metal organics chemical vapor deposition processing

    International Nuclear Information System (INIS)

    Matthew Edward Thomas

    1999-01-01

    Absorption spectroscopy was evaluated as a technique to monitor the metal organics chemical vapor deposition (MOCVD) process for forming YBa 2 Cu 3 O 7-x superconducting coated conductors. Specifically, this study analyzed the feasibility of using absorption spectroscopy to monitor the MOCVD supply vapor concentrations of the organic ligand 2,2,6,6-tetramethyl-3,5-heptanedionate (TMHD) metal chelates of barium, copper, and yttrium. Ba(TMHD) 2 , Cu(TMHD) 2 , and Y(TMHD) 3 compounds have successfully been vaporized in the MOCVD processing technique to form high temperature superconducting ''coated conductors,'' a promising technology for wire fabrication. The absorption study of the barium, copper, and yttrium (TMHD) precursors was conducted in the ultraviolet wavelength region from 200nm to 400nm. To simulate the MOCVD precursor flows the Ba(TMHD) 2 , Cu(TMHD) 2 , and Y(TMHD) 3 complexes were vaporized at vacuum pressures of (0.03--10)Torr. Spectral absorption scans of each precursor were conducted to examine potential measurement wavelengths for determining vapor concentrations of each precursor via Beer's law. The experimental results show that under vacuum conditions the barium, copper, and yttrium (TMHD) precursors begin to vaporize between 90 C and 135 C, which are considerably lower vaporization temperatures than atmospheric thermal gravimetric analyses indicate. Additionally, complete vaporization of the copper and yttrium (TMHD) precursors occurred during rapid heating at temperatures between 145 C and 195 C and after heating at constant temperatures between 90 C and 125 C for approximately one hour, whereas the Ba(TMHD) 2 precursor did not completely vaporize. At constant temperatures, near constant vaporization levels for each precursor were observed for extended periods of time. Detailed spectroscopic scans at stable vaporization conditions were conducted

  4. MOCVD process technology for affordable, high-yield, high-performance MESFET structures. Phase 3: MIMIC

    Science.gov (United States)

    1993-01-01

    Under the MIMIC Program, Spire has pursued improvements in the manufacturing of low cost, high quality gallium arsenide MOCVD wafers for advanced MIMIC FET applications. As a demonstration of such improvements, Spire was tasked to supply MOCVD wafers for comparison to MBE wafers in the fabrication of millimeter and microwave integrated circuits. In this, the final technical report for Spire's two-year MIMIC contract, we report the results of our work. The main objectives of Spire's MIMIC Phase 3 Program, as outlined in the Statement of Work, were as follows: Optimize the MOCVD growth conditions for the best possible electrical and morphological gallium arsenide. Optimization should include substrate and source qualification as well as determination of the optimum reactor growth conditions; Perform all work on 75 millimeter diameter wafers, using a reactor capable of at least three wafers per run; and Evaluate epitaxial layers using electrical, optical, and morphological tests to obtain thickness, carrier concentration, and mobility data across wafers.

  5. Characterization of photoluminescent (Y{sub 1{minus}x}Eu{sub x}){sub 2}O{sub 3} thin-films prepared by metallorganic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    McKittrick, J.; Bacalski, C.F.; Hirata, G.A. [Univ. of California, San Diego, La Jolla, CA (United States); Hubbard, K.M.; Pattillo, S.G.; Salazar, K.V.; Trkula, M. [Los Alamos National Lab., NM (United States). Materials Science and Technology Div.

    1998-12-01

    Europium doped yttrium oxide, (Y{sub 1{minus}x}Eu{sub x}){sub 2}O{sub 3}, thin-films were deposited on silicon and sapphire substrates by metallorganic chemical vapor deposition (MOCVD). The films were grown in a MOCVD chamber reacting yttrium and europium tris(2,2,6,6-tetramethyl-3,5,-heptanedionates) precursors in an oxygen atmosphere at low pressures (5 Torr) and low substrate temperatures (500--700 C). The films deposited at 500 C were flat and composed of nanocrystalline regions of cubic Y{sub 2}O{sub 3}, grown in a textured [100] or [110] orientation to the substrate surface. Films deposited at 600 C developed from the flat, nanocrystalline morphology into a plate-like growth morphology oriented in the [111] with increasing deposition time. Monoclinic Y{sub 2}O{sub 3}:Eu{sup 3+} was observed in x-ray diffraction for deposition temperatures {ge}600 C on both (111) Si and (001) sapphire substrates. This was also confirmed by the photoluminescent emission spectra.

  6. Structural and superconducting properties of (Y,Gd)Ba2Cu3O7-δ grown by MOCVD on samarium zirconate buffered IBAD-MgO

    International Nuclear Information System (INIS)

    Stan, L; Holesinger, T G; Maiorov, B; Feldmann, D M; Usov, I O; DePaula, R F; Civale, L; Foltyn, S R; Jia, Q X; Chen, Y; Selvamanickam, V

    2008-01-01

    Textured samarium zirconate (SZO) films have been grown by reactive cosputtering directly on an ion beam assisted deposited (IBAD) MgO template, without an intermediate homoepitaxial MgO layer. The subsequent growth of 0.9 μm thick (Y,Gd)Ba 2 Cu 3 O 7-δ ((Y, Gd)BCO) films by metal organic chemical vapor deposition (MOCVD) yielded well textured films with a full width at half maximum of 1.9 0 and 3.4 0 for the out-of-plane and in-plane texture, respectively. Microstructural characterizations of the SZO buffered samples revealed clean interfaces. This indicates that the SZO not only provides a diffusion barrier, but also functions as a buffer for (Y, Gd)BCO grown by MOCVD. The achievement of self-field critical current densities (J c ) of over 2 MA cm -2 at 75.5 K is another proof of the effectiveness of SZO as a buffer on the IBAD-MgO template. The in-field measurements revealed an asymmetric angular dependence of J c and a shift of the ab-plane maxima due to the tilted nature of the template and (Y,Gd) 2 O 3 particles existing in the (Y, Gd)BCO matrix. The present results are especially important because they demonstrate that high temperature superconducting coated conductors with simpler architecture can be fabricated using commercially viable processes

  7. Investigation of (Y,Gd)Ba2Cu3O7-x grown by MOCVD on a simplified IBAD MgO template

    International Nuclear Information System (INIS)

    Stan, L; Holesinger, T G; Maiorov, B; Civale, L; DePaula, R F; Jia, Q X; Chen, Y; Xiong, X; Selvamanickam, V

    2010-01-01

    We have used an ion beam sputtered Y 2 O 3 -Al 2 O 3 (YALO) composite to simplify the architecture of high temperature superconducting (HTS) coated conductors (CCs) based on a IBAD MgO template. By implementing YALO, we have reduced the total non-superconducting layers between the polycrystalline metal substrate and the superconducting film from five (the standard architecture) to four. Well textured (Y,Gd)Ba 2 Cu 3 O 7-x ((Y, Gd)BCO) films have been successfully grown by MOCVD on this simplified template. The microstructural characterization revealed that all layers are continuous and uniform with sharp and clean interfaces. Additionally, the YALO maintained its amorphous nature after the deposition of the superconductive layer, which is a plus in terms of its efficiency as a diffusion barrier. The achievement of a self-field critical current of 230 A cm -1 at 75.5 K is another proof of the effectiveness of YALO as a diffusion barrier and nucleation seed for the MgO. The transport properties under an applied magnetic field of MOCVD grown (Y, Gd)BCO on LMO buffered MgO/YALO/Ni-alloy are comparable with those of (Y, Gd)BCO on a standard architecture, thus demonstrating good compatibility between the simplified template with the MOCVD grown (Y, Gd)BCO. The use of a single composite YALO layer instead of individual layers of Y 2 O 3 and Al 2 O 3 for the large scale fabrication of HTS CCs based on IBAD MgO provides advantages such as potentially reduced cost due to the reduced number of fabrication steps.

  8. Thin RuO2 conducting films grown by MOCVD for microelectronic applications

    International Nuclear Information System (INIS)

    Froehlich, K.; Cambel, V.; Machajdik, D.; Pignard, S.; Baumann, P. K.; Lindner, J.; Schumacher, M.

    2002-01-01

    We have prepared thin RuO 2 films by MOCVD using thermal evaporation of Ru(thd) 2 (cod) solid precursor. The films were prepared at deposition temperatures between 250 and 500 grad C on silicon and sapphire substrates. Different structure was observed for the RuO 2 films on these substrates; the films on Si substrate were polycrystalline, while X-ray diffraction analysis revealed epitaxial growth of RuO 2 on sapphire substrates. Polycrystalline RuO 2 films prepared at temperatures below 300 grad C on Si substrate exhibit smooth surface and excellent step coverage. Highly conformal growth of the RuO 2 films at low temperature and low pressure results in nearly 100% step coverage for sub-mm features with 1:1 aspect ratio. Resistivity of the polycrystalline RuO 2 at room temperature ranged between 100 and 200 μ x Ω x cm. These films are suitable for CMOS and RAM applications. (Authors)

  9. Optimization of structural and growth parameters of metamorphic InGaAs photovoltaic converters grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Rybalchenko, D. V.; Mintairov, S. A.; Salii, R. A.; Shvarts, M. Z.; Timoshina, N. Kh.; Kalyuzhnyy, N. A., E-mail: nickk@mail.ioffe.ru [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation)

    2017-01-15

    Metamorphic Ga{sub 0.76}In{sub 0.24}As heterostructures for photovoltaic converters are grown by the MOCVD (metal–organic chemical vapor deposition) technique. It is found that, due to the valence-band offset at the p-In{sub 0.24}Al{sub 0.76}As/p-In{sub 0.24}Ga{sub 0.76}As (wide-gap window/emitter) heterointerface, a potential barrier for holes arises as a result of a low carrier concentration in the wide-gap material. The use of an InAlGaAs solid solution with an Al content lower than 40% makes it possible to raise the hole concentration in the widegap window up ~9 × 10{sup 18} cm{sup –3} and completely remove the potential barrier, thereby reducing the series resistance of the device. The parameters of an GaInAs metamorphic buffer layer with a stepwise In content profile are calculated and its epitaxial growth conditions are optimized, which improves carrier collection from the n-GaInAs base region and provides a quantum efficiency of 83% at a wavelength of 1064 nm. Optimization of the metamorphic heterostructure of the photovoltaic converter results in that its conversion efficiency for laser light with a wavelength of 1064 nm is 38.5%.

  10. The controlled growth of GaN microrods on Si(111) substrates by MOCVD

    Science.gov (United States)

    Foltynski, Bartosz; Garro, Nuria; Vallo, Martin; Finken, Matthias; Giesen, Christoph; Kalisch, Holger; Vescan, Andrei; Cantarero, Andrés; Heuken, Michael

    2015-03-01

    In this paper, a selective area growth (SAG) approach for growing GaN microrods on patterned SiNx/Si(111) substrates by metal-organic chemical vapor deposition (MOCVD) is studied. The surface morphology, optical and structural properties of vertical GaN microrods terminated by pyramidal shaped facets (six { 10 1 bar 1} planes) were characterized using scanning electron microscopy (SEM), room temperature photoluminescence (PL) and Raman spectroscopy, respectively. Measurements revealed high-quality GaN microcolumns grown with silane support. Characterized structures were grown nearly strain-free (central frequency of Raman peak of 567±1 cm-1) with crystal quality comparable to bulk crystals (FWHM=4.2±1 cm-1). Such GaN microrods might be used as a next-generation device concept for solid-state lighting (SSL) applications by realizing core-shell InGaN/GaN multi-quantum wells (MQWs) on the n-GaN rod base.

  11. Crystalline, Optical and Electrical Properties of NiZnO Thin Films Fabricated by MOCVD

    International Nuclear Information System (INIS)

    Wang Jin; Wang Hui; Zhao Wang; Ma Yan; Li Wan-Cheng; Shi Zhi-Feng; Zhao Long; Zhang Bao-Lin; Dong Xin; Du Guo-Tong; Xia Xiao-Chuan

    2011-01-01

    NiZnO thin films are grown on c-plane sapphire substrates by using a photo-assisted metal organic chemical vapor deposition (MOCVD) system. The effect of the Ni content on the crystalline, optical and electrical properties of the films are researched in detail. The NiZnO films could retain a basic wurtzite structure when the Ni content is less than 0.18. As Ni content increases, crystal quality degradation could be observed in the x-ray diffraction patterns and a clear red shift of the absorption edge can be observed in the transmittance spectrum. Furthermore, the donor defects in the NiZnO film can be compensated for effectively by increasing the Ni content. The change of Ni content has an important effect on the properties of NiZnO films. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  12. Synthesis and properties of barium diketonates as precursors for MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Drozdov, A.A. (Dept. of Chemistry, Moscow State Univ. (Russian Federation)); Troyanov, S.I. (Dept. of Chemistry, Moscow State Univ. (Russian Federation)); Kuzmina, N.P. (Dept. of Chemistry, Moscow State Univ. (Russian Federation)); Martynenko, L.I. (Dept. of Chemistry, Moscow State Univ. (Russian Federation)); Alikhanyan, A.S. (Dept. of Chemistry, Moscow State Univ. (Russian Federation)); Malkerova, I.P. (Dept. of Chemistry, Moscow State Univ. (Russian Federation))

    1993-08-01

    The structures of barium diketonates depend on the way in which they are synthesized. It is shown that there is a correlation between the volatility of these compounds and their crystal structures. A new volatile mononuclear adduct of barium dipivaloylmethanate suitable for MOCVD is suggested. (orig.).

  13. Synthesis and properties of barium diketonates as precursors for MOCVD

    International Nuclear Information System (INIS)

    Drozdov, A.A.; Troyanov, S.I.; Kuzmina, N.P.; Martynenko, L.I.; Alikhanyan, A.S.; Malkerova, I.P.

    1993-01-01

    The structures of barium diketonates depend on the way in which they are synthesized. It is shown that there is a correlation between the volatility of these compounds and their crystal structures. A new volatile mononuclear adduct of barium dipivaloylmethanate suitable for MOCVD is suggested. (orig.)

  14. Properties of alumina films prepared by metal-organic chemical vapour deposition at atmospheric pressure in hte presence of small amounts of water

    NARCIS (Netherlands)

    Haanappel, V.A.C.; Haanappel, V.A.C.; van Corbach, H.D.; Rem, J.B.; Fransen, T.; Gellings, P.J.

    1995-01-01

    Thin alumina films were deposited on stainless steel, type AISI 304. The deposition process was carried out in nitrogen with low partial pressures of water (0–2.6 × 10−2 kPa (0−0.20 mmHg)) by metal-organic chemical vapour deposition (MOCVD) with aluminium-tri-sec-butoxide (ATSB) as the precursor.

  15. The effect of thermal history on microstructure of Er_2O_3 coating layer prepared by MOCVD process

    International Nuclear Information System (INIS)

    Tanaka, Masaki; Takezawa, Makoto; Hishinuma, Yoshimitsu; Tanaka, Teruya; Muroga, Takeo; Ikeno, Susumu; Lee, Seungwon; Matsuda, Kenji

    2016-01-01

    Er_2O_3 is a high potential candidate material for tritium permeation barrier and electrical insulator coating for advanced breeding blanket systems with liquid metal or molten-salt types. Recently, Hishinuma et al. reported to form homogeneous Er_2O_3 coating layer on the inner surface of metal pipe using Metal Organic Chemical Vapor Deposition (MOCVD) process. In this study, the influence of thermal history on microstructure of Er_2O_3 coating layer on stainless steel 316 (SUS 316) substrate by MOCVD process was investigated using SEM, TEM and XRD. The ring and net shape selected-area electron diffraction (SAED) patterns of Er_2O_3 coating were obtained each SUS substrates, revealed that homogeneous Er_2O_3 coating had been formed on SUS substrate diffraction patterns. Close inspection of SEM images of the surface on the Er_2O_3 coating before and after thermal cycling up to 700degC in argon atmosphere, it is confirmed that the Er_2O_3 particles were refined by thermal history. The column-like Er_2O_3 grains were promoted to change to granular structure by thermal history. >From the cross-sectional plane of TEM observations, the formation of interlayer between Er_2O_3 coating and SUS substrate was also confirmed. (author)

  16. Microstructure Characteristics of High Lift Factor MOCVD REBCO Coated Conductors With High Zr Content

    Energy Technology Data Exchange (ETDEWEB)

    Galstyan, E; Gharahcheshmeh, MH; Delgado, L; Xu, AX; Majkic, G; Selvamanickam, V

    2015-06-01

    We report the microstructural characteristics of high levels of Zr-added REBa2Cu3O7-x (RE = Gd, Y rare earth) coated conductors fabricated by Metal Organic Chemical Vapor Deposition (MOCVD). The enhancements of the lift factor defined as a ratio of the in-field (3 T, B parallel to c-axis) critical current density (J(c)) at 30 K and self-field J(c) at 77 K have been achieved for Zr addition levels of 20 and 25 mol% via optimization of deposition parameters. The presence of strong flux pinning is attributed to the aligned nanocolumns of BaZrO3 and nanoprecipitates embedded in REBa2Cu3O7-x matrix with good crystal quality. A high density of BZO nanorods with a typical size 6-8 nm and spacing of 20 nm has been observed. Moreover, the high Zr content was found to induce a high density of intrinsic defects, including stacking faults and dislocations. The correlation between in-field performance along the c-axis and microstructure of (Gd, Y) BCO film with a high level of Zr addition is discussed.

  17. MOCVD growth of CdTe and HgTe on GaAs in a vertical, high-speed, rotating-disc reactor

    International Nuclear Information System (INIS)

    Tompa, G.S.; Nelson, C.R.; Reinert, P.D.; Saracino, M.A.; Terrill, L.A.; Colter, P.C.

    1989-01-01

    The metalorganic chemical vapor deposition (MOCVD) growth of CdTe and HgTe on GaAs (111) and (100) substrates in a vertical, high-speed, rotating-disc reactor was investigated. A range of total reactor pressure, carrier gas flow rate, chemical concentrations, deposition temperature, and rotation rate have been investigated in an attempt to optimize growth conditions. Diisopropyltelluride (DIPTe) and Dimethylcadmium (DMCd) were used as growth precursors. Thickness uniformity varies less than +/- 1.5% over 50 mm diameter wafers. Films having FWHM X-ray rocking curves less than 90 arcsec were obtained on GaAs (111) substrates. The films have excellent surface morphology, exhibiting less than 5 x 10 4 cm - 2 orange peel dents which are much-lt 1 μm in size. An elemental mercury source was added to the growth system. Initial results for the growth of HgTe and HgCdTe are discussed

  18. Cuprous oxide thin films grown by hydrothermal electrochemical deposition technique

    International Nuclear Information System (INIS)

    Majumder, M.; Biswas, I.; Pujaru, S.; Chakraborty, A.K.

    2015-01-01

    Semiconducting cuprous oxide films were grown by a hydrothermal electro-deposition technique on metal (Cu) and glass (ITO) substrates between 60 °C and 100 °C. X-ray diffraction studies reveal the formation of cubic cuprous oxide films in different preferred orientations depending upon the deposition technique used. Film growth, uniformity, grain size, optical band gap and photoelectrochemical response were found to improve in the hydrothermal electrochemical deposition technique. - Highlights: • Cu 2 O thin films were grown on Cu and glass substrates. • Conventional and hydrothermal electrochemical deposition techniques were used. • Hydrothermal electrochemical growth showed improved morphology, thickness and optical band gap

  19. MOCVD with gas phase composition control for the growth of high quality YBa2Cu3O7-x thin films for microwave applications

    International Nuclear Information System (INIS)

    Musolf, J.

    1997-01-01

    The MOCVD growth technique has demonstrated YBa 2 Cu 3 O 7-x thin films with adequate transport properties (T c >90 K, J c > x 10 6 A cm -2 , R s p /C v ) and the species concentrations. After determining the correlation between gas phase and solid phase composition this technique enables the reproducible growth of YBa 2 Cu 3 O 7-x thin films by MOCVD with composition very close to 123. Further refinement of growth temperature, total pressure, oxygen partial pressure and total flow rates has produced films with excellent properties. Smooth surface morphology with a low density of outgrowths ( 4 cm -2 ), narrow XRD rocking curve peaks FWHM c =92 K), low surface resistance (device R s <350 μΩ at 77 K, 10 GHz) have been demonstrated using this growth concept. Special focus was placed on optimization of the performance of a microwave test device which serves as a process control monitor of the suitability of these films for passive microwave applications. (orig.)

  20. Industrial metalorganic chemical vapor deposition technology for the growth of YBa2Cu3O7-∂

    International Nuclear Information System (INIS)

    Schulte, B.; Richards, B.C.; Cook, S.L.

    1997-01-01

    MOCVD is the established technology for the mass production of compound semiconductors for e.g. opto-electronic devices. To transfer the MOCVD technology for HTS films to the standard MOCVD technology used in semiconductor production two major challenges have to be solved: 1. the Ba-precursor instability and 2. the demonstration of uniform deposition of HTS films onto large area substrates. This paper presents an industrial MOCVD process solving these challenges using a new stable fluorinated Ba-precursor and a gas foil rotation trademark susceptor. On a 2 inch diameter substrate area state-of-the-art YBCO thin films were fabricated having a thickness uniformity of 1% and compositional uniformity of 2% and 5% for Y/Ba and Cu/Ba, respectively. The films show a surface morphology with low defect density ( 2 ) and excellent superconducting properties (T c (50%) > 90 K, j c (T=77 K, B=0T) > 5 x 10 6 A cm -2 ). The residual contamination by fluorine was determined by SIMS to be less than 250 ppm. This gives the strong evidence that this industrial process can be transferred to the multiwafer planetary reactors trademark for mass production. (orig.)

  1. Sputtering. [as deposition technique in mechanical engineering

    Science.gov (United States)

    Spalvins, T.

    1976-01-01

    This paper primarily reviews the potential of using the sputtering process as a deposition technique; however, the manufacturing and sputter etching aspects are also discussed. Since sputtering is not regulated by classical thermodynamics, new multicomponent materials can be developed in any possible chemical composition. The basic mechanism for dc and rf sputtering is described. Sputter-deposition is described in terms of the unique advantageous features it offers such as versatility, momentum transfer, stoichiometry, sputter-etching, target geometry (coating complex surfaces), precise controls, flexibility, ecology, and sputtering rates. Sputtered film characteristics, such as strong adherence and coherence and film morphology, are briefly evaluated in terms of varying the sputtering parameters. Also described are some of the specific industrial areas which are turning to sputter-deposition techniques.

  2. Surface characterization of III-V MOCVD films from heterocyclic single-source precursors; Oberflaechencharakterisierung von III-V MOCVD-Filmen aus heterozyklischen Single Source Precursoren

    Energy Technology Data Exchange (ETDEWEB)

    Seemayer, Andreas

    2009-07-13

    In the present thesis the sublimation and evaporation properties of heterocyclic gallium and antimony containing single-source precursors as well as the chemical composition and morphology of the films fabricated from this were studied. The single-source precursors available by a new synthesis route were characterized concerning their evaporation properties and the obtained films studied surface-physically. By this way the process parameters were optimized and the applicability of the single-source precursors in HV-MOCVD processes studied. By evaporation experiments in the UHV it could be shown that thereby lighter ligands like ethyl- and methyl-groups lead to a lower contamination of the reaction space with carbon containing molecules. Furthermore it was expected that the 6-rings synthetized with short ligands exhibit a high stability. This however could not be confirmed. By unwanted parasitary reactions in the gaseous phase respectively dissociative sublimation in the gaseous phase a deposition of GaSb with these precursors was not possible. The 4-ring stabilized with tertiary-butyl and ethyl-groups caused in the evaporation the largest contamination of the gaseous phase, becauselonger-chain hydrocarbons exhibil only a bad pump cross section. By parasitary reactions originating elementary antimony is detectable in the gaseous phase. The films were studied concerning their chemical composition and their transport- respectively storage-conditioned surface contamination. Furthermore it has become clear that not only a purely synthetized precursor substance but also the reactor design is deciding for a successful deposition and a high film quality. First by successive optimization of the evaporation geometry it was possible to reduce the roughness of the produced GaSb films down to about 10 nm-30 nm.

  3. Preparation of YBa2Cu3O7 films by low pressure MOCVD using liquid solution sources

    International Nuclear Information System (INIS)

    Weiss, F.; Froehlich, K.; Haase, R.; Labeau, M.; Selbmann, D.; Senateur, J.P.; Thomas, O.

    1993-01-01

    A hybrid low pressure MOCVD process is described for reproducible preparation of superconducting thin films of YBa 2 Cu 3 O 7 . The process uses a single solution source of Y, Ba, and Cu β-diketonates dissolved in suitable organic solvents. This liquid precursor is atomized using an ultrasonic aerosol generator and transported as small droplets (∼1μm) into a CVD reactor where solvent and precursor are first evaporated before deposition takes place at low pressure on heated substrates in a cold wall geometry. This process allows, with stable evaporation rates for all three precursors, to grow in-situ superconducting films with constant composition from film to film. Thin and thick films with high critical temperatures and critical currents have been obtained (Tc>80K, Jc>10 4 A/cm 2 at 77K in self field) which are highly c-axis oriented. Experimental details of this new process are described and the effects of different process parameters are studied in order to improve the quality of the deposited layers. (orig.)

  4. Half-sandwich cobalt complexes in the metal-organic chemical vapor deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Georgi, Colin [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Hapke, Marko; Thiel, Indre [Leibniz-Institut für Katalyse e.V. an der Universität Rostock (LIKAT), Albert-Einstein-Straße 29a, Rostock 18059 (Germany); Hildebrandt, Alexander [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Waechtler, Thomas; Schulz, Stefan E. [Fraunhofer Institute of Electronic Nano Systems (ENAS), Technologie-Campus 3, Chemnitz 09126 (Germany); Technische Universität Chemnitz, Center for Microtechnologies (ZfM), Chemnitz 09107 (Germany); Lang, Heinrich, E-mail: heinrich.lang@chemie.tu-chemnitz.de [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany)

    2015-03-02

    A series of cobalt half-sandwich complexes of type [Co(η{sup 5}-C{sub 5}H{sub 5})(L)(L′)] (1: L, L′ = 1,5-hexadiene; 2: L = P(OEt){sub 3}, L′ = H{sub 2}C=CHSiMe{sub 3}; 3: L = L′ = P(OEt){sub 3}) has been studied regarding their physical properties such as the vapor pressure, decomposition temperature and applicability within the metal-organic chemical vapor deposition (MOCVD) process, with a focus of the influence of the phosphite ligands. It could be shown that an increasing number of P(OEt){sub 3} ligands increases the vapor pressure and thermal stability of the respective organometallic compound. Complex 3 appeared to be a promising MOCVD precursor with a high vapor pressure and hence was deposited onto Si/SiO{sub 2} (100 nm) substrates. The resulting reflective layer is closed, dense and homogeneous, with a slightly granulated surface morphology. X-ray photoelectron spectroscopy (XPS) studies demonstrated the formation of metallic cobalt, cobalt phosphate, cobalt oxide and cobalt carbide. - Highlights: • Thermal studies and vapor pressure measurements of cobalt half-sandwich complexes was carried out. • Chemical vapor deposition with cobalt half-sandwich complexes is reported. • The use of Co-phosphites results in significant phosphorous-doped metallic layers.

  5. Investigation of (Y,Gd)Ba{sub 2}Cu{sub 3}O{sub 7-x} grown by MOCVD on a simplified IBAD MgO template

    Energy Technology Data Exchange (ETDEWEB)

    Stan, L; Holesinger, T G; Maiorov, B; Civale, L; DePaula, R F; Jia, Q X [Los Alamos National Laboratory, PO Box 1663, Los Alamos, NM 87545 (United States); Chen, Y [SuperPower, Incorporated, 450 Duane Avenue, Schenectady, NY 12304 (United States); Xiong, X; Selvamanickam, V [Mechanical Engineering Department, University of Houston, Houston, TX 77204-4006 (United States)

    2010-01-15

    We have used an ion beam sputtered Y{sub 2}O{sub 3}-Al{sub 2}O{sub 3} (YALO) composite to simplify the architecture of high temperature superconducting (HTS) coated conductors (CCs) based on a IBAD MgO template. By implementing YALO, we have reduced the total non-superconducting layers between the polycrystalline metal substrate and the superconducting film from five (the standard architecture) to four. Well textured (Y,Gd)Ba{sub 2}Cu{sub 3}O{sub 7-x} ((Y, Gd)BCO) films have been successfully grown by MOCVD on this simplified template. The microstructural characterization revealed that all layers are continuous and uniform with sharp and clean interfaces. Additionally, the YALO maintained its amorphous nature after the deposition of the superconductive layer, which is a plus in terms of its efficiency as a diffusion barrier. The achievement of a self-field critical current of 230 A cm{sup -1} at 75.5 K is another proof of the effectiveness of YALO as a diffusion barrier and nucleation seed for the MgO. The transport properties under an applied magnetic field of MOCVD grown (Y, Gd)BCO on LMO buffered MgO/YALO/Ni-alloy are comparable with those of (Y, Gd)BCO on a standard architecture, thus demonstrating good compatibility between the simplified template with the MOCVD grown (Y, Gd)BCO. The use of a single composite YALO layer instead of individual layers of Y{sub 2}O{sub 3} and Al{sub 2}O{sub 3} for the large scale fabrication of HTS CCs based on IBAD MgO provides advantages such as potentially reduced cost due to the reduced number of fabrication steps.

  6. Adhesion strength study of IBAD-MOCVD-based 2G HTS wire using a peel test

    International Nuclear Information System (INIS)

    Zhang, Y.; Hazelton, D.W.; Knoll, A.R.; Duval, J.M.; Brownsey, P.; Repnoy, S.; Soloveichik, S.; Sundaram, A.; McClure, R.B.; Majkic, G.; Selvamanickam, V.

    2012-01-01

    A peel test was used to study the adhesion strength of a commercial grade 2G HTS wire which features a characteristic multilayer structure with the rare earth-based MOCVD superconducting film deposited on an IBAD-MgO template. The peel test could be carried out at various peeling angles (from 90° to 180°) and the peel strength of a wire was defined as the steady-state peeling load determined from a load-displacement curve. The test results had good reproducibility and accuracy, making the test a reliable and useful method for studying the adhesion strength of the wire. By characterizing the peeled surfaces the weakest interface in a wire could be identified. The peel strength data of the wire was analyzed together with the performance of the experimental magnet coils fabricated using the wire. The effect of the silver contact layer annealing on the peel strength is discussed.

  7. SnS thin films deposited by chemical bath deposition, dip coating and SILAR techniques

    Science.gov (United States)

    Chaki, Sunil H.; Chaudhary, Mahesh D.; Deshpande, M. P.

    2016-05-01

    The SnS thin films were synthesized by chemical bath deposition (CBD), dip coating and successive ionic layer adsorption and reaction (SILAR) techniques. In them, the CBD thin films were deposited at two temperatures: ambient and 70 °C. The energy dispersive analysis of X-rays (EDAX), X-ray diffraction (XRD), Raman spectroscopy, scanning electron microscopy (SEM) and optical spectroscopy techniques were used to characterize the thin films. The electrical transport properties studies on the as-deposited thin films were done by measuring the I-V characteristics, DC electrical resistivity variation with temperature and the room temperature Hall effect. The obtained results are deliberated in this paper.

  8. Stoichiometry-, phase- and orientation-controlled growth of polycrystalline pyrite (FeS 2) thin films by MOCVD

    Science.gov (United States)

    Höpfner, C.; Ellmer, K.; Ennaoui, A.; Pettenkofer, C.; Fiechter, S.; Tributsch, H.

    1995-06-01

    The growth process of polycrystalline pyrite thin films employing low pressure metalorganic chemical vapor deposition (LP-MOCVD) in a vertical cold wall reactor has been investigated. Iron pentacarbonyl (IPC) and t-butyldisulfide (TBDS) were utilized as precursors. Study of the growth rate as a function of temperature reveals a kinetically controlled growth process with an activation energy of 73 kJ / mol over the temperature range from 250 to 400°C. From 500 to 630°C, the growth rate is mainly mass transport limited. Decomposition of the films into pyrrhotite (Fe 1 - xS) occurs at higher growth temperatures. The {S}/{Fe} ratio in the films has been controlled from 1.23 up to 2.03 by changing the TBDS partial pressure. With increasing deposition temperature, the crystallites in the films show the tendency to grow [100]-oriented on amorphous substrates at a growth rate of 2.5 Å / s. The grains show a preferential orientation in the [111] direction upon lowering the growth rate down to 0.3 Å / s. Temperatures above 550°C are beneficial in enhancing the grain size in the columnar structured films up to 1.0 μm.

  9. Metalorganic chemical vapor deposition of gallium nitride on sacrificial substrates

    Science.gov (United States)

    Fenwick, William Edward

    GaN-based light emitting diodes (LEDs) face several challenges if the technology is to continue to make a significant impact in general illumination, and on technology that has become known as solid state lighting (SSL). Two of the most pressing challenges for the continued penetration of SSL into traditional lighting applications are efficacy and total lumens from the device, and their related cost. The development of alternative substrate technologies is a promising avenue toward addressing both of these challenges, as both GaN-based device technology and the associated metalorganic chemical vapor deposition (MOCVD) technology are already relatively mature technologies with a well-understood cost base. Zinc oxide (ZnO) and silicon (Si) are among the most promising alternative substrates for GaN epitaxy. These substrates offer the ability to access both higher efficacy and lumen devices (ZnO) at a much reduced cost. This work focuses on the development of MOCVD growth processes to yield high quality GaN-based materials and devices on both ZnO and Si. ZnO is a promising substrate for growth of low defect-density GaN because of its similar lattice constant and thermal expansion coefficient. The major hurdles for GaN growth on ZnO are the instability of the substrate in a hydrogen atmosphere, which is typical of nitride growth conditions, and the inter-diffusion of zinc and oxygen from the substrate into the GaN-based epitaxial layer. A process was developed for the MOCVD growth of GaN and InxGa 1-xN on ZnO that attempted to address these issues. The structural and optical properties of these films were studied using various techniques. X-ray diffraction (XRD) showed the growth of wurtzite GaN on ZnO, and room-temperature photoluminescence (RT-PL) showed near band-edge luminescence from the GaN and InxGa1-xN layers. However, high zinc and oxygen concentrations due to interdiffusion near the ZnO substrate remained an issue; therefore, the diffusion of zinc and oxygen

  10. Structural and superconducting properties of (Y,Gd)Ba{sub 2}Cu{sub 3}O{sub 7-{delta}} grown by MOCVD on samarium zirconate buffered IBAD-MgO

    Energy Technology Data Exchange (ETDEWEB)

    Stan, L; Holesinger, T G; Maiorov, B; Feldmann, D M; Usov, I O; DePaula, R F; Civale, L; Foltyn, S R; Jia, Q X [Los Alamos National Laboratory, PO Box 1663, Los Alamos, NM 87545 (United States); Chen, Y; Selvamanickam, V [SuperPower, Incorporated, 450 Duane Avenue, Schenectady, NY 12304 (United States)

    2008-10-01

    Textured samarium zirconate (SZO) films have been grown by reactive cosputtering directly on an ion beam assisted deposited (IBAD) MgO template, without an intermediate homoepitaxial MgO layer. The subsequent growth of 0.9 {mu}m thick (Y,Gd)Ba{sub 2}Cu{sub 3}O{sub 7-{delta}} ((Y, Gd)BCO) films by metal organic chemical vapor deposition (MOCVD) yielded well textured films with a full width at half maximum of 1.9{sup 0} and 3.4{sup 0} for the out-of-plane and in-plane texture, respectively. Microstructural characterizations of the SZO buffered samples revealed clean interfaces. This indicates that the SZO not only provides a diffusion barrier, but also functions as a buffer for (Y, Gd)BCO grown by MOCVD. The achievement of self-field critical current densities (J{sub c}) of over 2 MA cm{sup -2} at 75.5 K is another proof of the effectiveness of SZO as a buffer on the IBAD-MgO template. The in-field measurements revealed an asymmetric angular dependence of J{sub c} and a shift of the ab-plane maxima due to the tilted nature of the template and (Y,Gd){sub 2}O{sub 3} particles existing in the (Y, Gd)BCO matrix. The present results are especially important because they demonstrate that high temperature superconducting coated conductors with simpler architecture can be fabricated using commercially viable processes.

  11. Preparation of SmBCO layer for the surface optimization of GdYBCO film by MOCVD process based on a simple self-heating technology

    Science.gov (United States)

    Zhao, Ruipeng; Zhang, Fei; Liu, Qing; Xia, Yudong; Lu, Yuming; Cai, Chuanbing; Tao, Bowan; Li, Yanrong

    2018-07-01

    The MOCVD process was adopted to grow the REBa2Cu3O7-δ ((REBCO), RE = rare earth elements) films on the LaMnO3 (LMO) templates. Meanwhile, the LMO-template tapes are heated by the joule effect after applying a heating current through the Hastelloy metal substrates. The surface of GdYBCO films prepared by MOCVD method is prone to form outgrowths. So the surface morphology of GdYBCO film is optimized by depositing the SmBCO layer, which is an important process method for the preparation of high-quality multilayer REBCO films. At last, the GdYBCO/SmBCO/GdYBCO multilayer films were successfully prepared on the LMO templates based on the simple self-heating method. It is demonstrated that the GdYBCO surface was well improved by the characterization analysis of scanning electron microscope. And the Δω of REBCO (005) and Δφ of REBCO (103), which were performed by an X-ray diffraction system, are respectively 1.3° and 3.3° What's more, the critical current density (Jc) has been more than 3 MA/cm2 (77 K, 0 T) and the critical current (Ic) basically shows a trend of good linear increase with the increase of the number of REBCO layers.

  12. Appropriate materials and preparation techniques for polycrystalline-thin-film thermophotovoltaic cells

    Science.gov (United States)

    Dhere, Neelkanth G.

    1997-03-01

    techniques have paved the way for obtaining epitaxial Hg1-xCdxTe thin films at substrate temperatures of ~180 °C with the desired crystalline perfection, stoichiometry, and doping without the necessity of further annealing for improving either the crystalline quality or dopant activity. Retaining larger mercury proportions during annealing would require heated enclosures as in isothermal VPE, hot-wall technique, vacuum evaporation, hot-wall MOCVD, or close-space sublimation. Pb1-xCdxTe thin films can be prepared by magnetron sputtering from cooled Pb1-xCdxTe targets on heated substrates. Hot-wall technique is suitable for the deposition of Pb1-xCdxTe thin films. Hg1-xCdxTe and Pb1-xCdxTe TPV cells will benefit from the substantial work on CdTe thin film solar cells. The paper reviews work on thin films of ternary and pseudoternary compounds of interest for TPV conversion and methods of their preparation with a view to choosing the appropriate materials and fabrication techniques for polycrystalline-thin-film TPV cells.

  13. Fast growth rate of epitaxial β-Ga2O3 by close coupled showerhead MOCVD

    Science.gov (United States)

    Alema, Fikadu; Hertog, Brian; Osinsky, Andrei; Mukhopadhyay, Partha; Toporkov, Mykyta; Schoenfeld, Winston V.

    2017-10-01

    We report on the growth of epitaxial β-Ga2O3 thin films on c-plane sapphire substrates using a close coupled showerhead MOCVD reactor. Ga(DPM)3 (DPM = dipivaloylmethanate), triethylgallium (TEGa) and trimethylgallium (TMGa) metal organic (MO) precursors were used as Ga sources and molecular oxygen was used for oxidation. Films grown from each of the Ga sources had high growth rates, with up to 10 μm/hr achieved using a TMGa precursor at a substrate temperature of 900 °C. As confirmed by X-ray diffraction, the films grown from each of the Ga sources were the monoclinic (2 bar 0 1) oriented β-Ga2O3 phase. The optical bandgap of the films was also estimated to be ∼4.9 eV. The fast growth rate of β-Ga2O3 thin films obtained using various Ga-precursors has been achieved due to the close couple showerhead design of the MOCVD reactor as well as the separate injection of oxygen and MO precursors, preventing the premature oxidation of the MO sources. These results suggest a pathway to overcoming the long-standing challenge of realizing fast growth rates for Ga2O3 using the MOCVD method.

  14. Growth of a New Ternary BON Crystal on Si(100) by Plasma-Assisted MOCVD and Study on the Effects of Fed Gas and Growth Temperature

    Science.gov (United States)

    Chen, G. C.; Lee, S.-B.; Boo, J.-H.

    A new ternary BOxNy crystal was grown on Si(100) substrate at 500°C by low-frequency (100 kHz) radio-frequency (rf) derived plasma-assisted MOCVD with an organoborate precursor. The as-grown deposits were characterized by SEM, TED, XPS, XRD, AFM and FT-IR. The experimental results showed that BOxNy crystal was apt to be formed at N-rich atmosphere and high temperature. The decrease of hydrogen flux in fed gases was of benefit to form BON crystal structure. The crystal structure of BOxNy was as similar to that of H3BO3 in this study.

  15. Adhesion strength study of IBAD-MOCVD-based 2G HTS wire using a peel test

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Y., E-mail: yzhang@superpower-inc.com [SuperPower Inc., 450 Duane Avenue, Schenectady, NY 12304 (United States); Hazelton, D.W.; Knoll, A.R.; Duval, J.M.; Brownsey, P.; Repnoy, S.; Soloveichik, S.; Sundaram, A.; McClure, R.B. [SuperPower Inc., 450 Duane Avenue, Schenectady, NY 12304 (United States); Majkic, G.; Selvamanickam, V. [University of Houston, 4800 Calhoun Road, Houston, TX 77204 (United States)

    2012-02-15

    A peel test was used to study the adhesion strength of a commercial grade 2G HTS wire which features a characteristic multilayer structure with the rare earth-based MOCVD superconducting film deposited on an IBAD-MgO template. The peel test could be carried out at various peeling angles (from 90 Degree-Sign to 180 Degree-Sign) and the peel strength of a wire was defined as the steady-state peeling load determined from a load-displacement curve. The test results had good reproducibility and accuracy, making the test a reliable and useful method for studying the adhesion strength of the wire. By characterizing the peeled surfaces the weakest interface in a wire could be identified. The peel strength data of the wire was analyzed together with the performance of the experimental magnet coils fabricated using the wire. The effect of the silver contact layer annealing on the peel strength is discussed.

  16. Biomaterial thin film deposition and characterization by means of MAPLE technique

    International Nuclear Information System (INIS)

    Bloisi, F.; Vicari, L.; Papa, R.; Califano, V.; Pedrazzani, R.; Bontempi, E.; Depero, L.E.

    2007-01-01

    Polyethylene glycol (PEG) is a polymer with technologically important applications, especially as a biomaterial. Several biomedical applications (such as tissue engineering, spatial patterning of cells, anti-biofouling and biocompatible coatings) require the application of high quality PEG thin films. In order to have a good adhesion to substrate chemically modified polymer molecules have been used, but for some 'in vivo' applications it is essential to deposit a film with the same chemical and structural properties of bulk PEG. Pulsed laser deposition (PLD) technique is generally able to produce high quality thin films but it is inadequate for polymer/organic molecules. MAPLE (Matrix Assisted Pulsed Laser Evaporation) is a recently developed PLD based thin film deposition technique, particularly well suited for organic/polymer thin film deposition. Up to now MAPLE depositions have been carried out mainly by means of modified PLD systems, using excimer lasers operating in UV, but the use of less energetic radiations can minimize the photochemical decomposition of the polymer molecules. We have used a deposition system explicitly designed for MAPLE technique connected to a Q-switched Ng:YAG pulsed laser which can be operated at different wavelength ranging from IR to UV in order to optimise the deposition parameters. The capability of MAPLE technique to deposit PEG has been confirmed and preliminary results show that visible (532 nm wavelength) radiation gives better results with respect to UV (355 nm) radiation. Despite usually UV wavelengths have been used and even if more systematic tests must be performed, it is important to underline that the choice of laser wavelength plays an important role in the application of MAPLE thin film deposition technique

  17. Cauliflower hillock formation through crystallite migration of SnO2 thin films prepared on alumina substrates by using MOCVD

    International Nuclear Information System (INIS)

    Choi, Gwangpyo; Ryu, Hyunwook; Lee, Woosun; Hong, Kwangjun; Shin, Dongcharn; Park, Jinseong; Seo, Yongjin; Akbar, Sheikh A.

    2003-01-01

    Tin-oxide thin films were deposited at 375 .deg. C on α-alumina substrates by using metalorganic chemical vapor deposition (MOCVD) process. A number of hillocks were formed on the film after annealing in air at 500 .deg. C for 30 min, but fewer hillocks were formed for annealing in N 2 . The hillocks on the film and the grains on the alumina substrate were composed of crystallites. The oxygen content and the binding energy after annealing in air came to close to values for the stoichiometric SnO 2 . There was no relationship between the film thickness and the binding energy shift, but the binding energy did change with the annealing atmosphere and the oxygen content. The cauliflower hillocks on the film seem to be formed by the continuous migration of crystallites from cauliflower grains on the substrate to release the stress due to the increased oxygen content and volume. A cauliflower hillock can be grown by continuous migration of crystallites from nearby grains to the hillock.

  18. Stoichiometry-, phase- and orientation-controlled growth of polycrystalline pyrite (FeS{sub 2}) thin films by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Hoepfner, C.; Ellmer, K.; Ennaoui, A.; Pettenkofer, C.; Fiechter, S.; Tributsch, H. [Hahn-Meitner-Institut Berlin, Abteilung Solare Energetik, Berlin (Germany)

    1995-06-01

    The growth process of polycrystalline pyrite thin films employing low pressure metalorganic chemical vapor deposition (LP-MOCVD) in a vertical cold wall reactor has been investigated. Iron pentacarbonyl (IPC) and t-butyldisulfide (TBDS) were utilized as precursors. Study of the growth rate as a function of temperature reveals a kinetically controlled growth process with an activation energy of 73 kJ/mol over the temperature range from 250 to 400C. From 500 to 630C, the growth rate is mainly mass transport limited. Decomposition of the films into pyrrhotite (Fe{sub 1-x}S) occurs at higher growth temperatures. The S/Fe ratio in the films has been controlled from 1.23 up to 2.03 by changing the TBDS partial pressure. With increasing deposition temperature, the crystallites in the films show the tendency to grow [100]-oriented on amorphous substrates at a growth rate of 2.5 A/s. The grains show a preferential orientation in the [111] direction upon lowering the growth rate down to 0.3 A/s. Temperatures above 550C are beneficial in enhancing the grain size in the columnar structured films up to 1.0 {mu}m

  19. Co3O4 protective coatings prepared by Pulsed Injection Metal Organic Chemical Vapour Deposition

    DEFF Research Database (Denmark)

    Burriel, M.; Garcia, G.; Santiso, J.

    2005-01-01

    of deposition temperature. Pure Co3O4 spinel structure was found for deposition temperatures ranging from 360 to 540 degreesC. The optimum experimental parameters to prepare dense layers with a high growth rate were determined and used to prepare corrosion protective coatings for Fe-22Cr metallic interconnects......Cobalt oxide films were grown by Pulsed Injection Metal Organic Chemical Vapour Deposition (PI-MOCVD) using Co(acac)(3) (acac=acetylacetonate) precursor dissolved in toluene. The structure, morphology and growth rate of the layers deposited on silicon substrates were studied as a function......, to be used in Intermediate Temperature Solid Oxide Fuel Cells. (C) 2004 Elsevier B.V. All rights reserved....

  20. Thermionic vacuum arc (TVA) technique for magnesium thin film deposition

    Energy Technology Data Exchange (ETDEWEB)

    Balbag, M.Z., E-mail: zbalbag@ogu.edu.t [Eskisehir Osmangazi University, Education Faculty, Primary Education, Meselik Campus, Eskisehir 26480 (Turkey); Pat, S.; Ozkan, M.; Ekem, N. [Eskisehir Osmangazi University, Art and Science Faculty, Physics Department, Eskisehir 26480 (Turkey); Musa, G. [Ovidius University, Physics Department, Constanta (Romania)

    2010-08-15

    In this study, magnesium thin films were deposited on glass substrate by the Thermionic Vacuum Arc (TVA) technique for the first time. We present a different technique for deposition of high-quality magnesium thin films. By means of this technique, the production of films is achieved by condensing the plasma of anode material generated using Thermionic Vacuum Arc (TVA) under high vacuum conditions onto the surface to be coated. The crystal orientation and morphology of the deposited films were investigated by using XRD, EDX, SEM and AFM. The aim of this study is to search the use of TVA technique to coat magnesium thin films and to determine some of the physical properties of the films generated. Furthermore, this study will contribute to the scientific studies which search the thin films of magnesium or the compounds containing magnesium. In future, this study will be preliminary work to entirely produce magnesium diboride (MgB{sub 2}) superconductor thin film with the TVA technique.

  1. Lipase biofilm deposited by Matrix Assisted Pulsed Laser Evaporation technique

    International Nuclear Information System (INIS)

    Aronne, Antonio; Bloisi, Francesco; Calabria, Raffaela; Califano, Valeria; Depero, Laura E.; Fanelli, Esther; Federici, Stefania; Massoli, Patrizio; Vicari, Luciano R.M.

    2015-01-01

    Highlights: • A lipase film was deposited with Matrix Assisted Pulsed Laser Evaporation technique. • FTIR spectra show that laser irradiation do not damage lipase molecule. • Laser fluence controls the characteristics of complex structure generated by MAPLE. - Abstract: Lipase is an enzyme that finds application in biodiesel production and for detection of esters and triglycerides in biosensors. Matrix Assisted Pulsed Laser Evaporation (MAPLE), a technique derived from Pulsed Laser Deposition (PLD) for deposition of undamaged biomolecules or polymers, is characterized by the use of a frozen target obtained from a solution/suspension of the guest material (to be deposited) in a volatile matrix (solvent). The presence of the solvent avoids or at least reduces the potential damage of guest molecules by laser radiation but only the guest material reaches the substrate in an essentially solvent-free deposition. MAPLE can be used for enzymes immobilization, essential for industrial application, allowing the development of continuous processes, an easier separation of products, the reuse of the catalyst and, in some cases, enhancing enzyme properties (pH, temperature stability, etc.) and catalytic activity in non-aqueous media. Here we show that MAPLE technique can be used to deposit undamaged lipase and that the complex structure (due to droplets generated during extraction from target) of the deposited material can be controlled by changing the laser beam fluence

  2. Lipase biofilm deposited by Matrix Assisted Pulsed Laser Evaporation technique

    Energy Technology Data Exchange (ETDEWEB)

    Aronne, Antonio [Department of Chemical Engineering, Materials and Industrial Production, University of Naples “Federico II”, Napoli (Italy); Bloisi, Francesco, E-mail: bloisi@na.infn.it [SPIN – CNR, Naples (Italy); Department of Physics, University of Naples “Federico II”, Napoli (Italy); Calabria, Raffaela; Califano, Valeria [Istituto Motori – CNR, Naples (Italy); Depero, Laura E. [Department of Mechanical and Industrial Engineering, University of Brescia, Brescia (Italy); Fanelli, Esther [Department of Chemical Engineering, Materials and Industrial Production, University of Naples “Federico II”, Napoli (Italy); Federici, Stefania [Department of Mechanical and Industrial Engineering, University of Brescia, Brescia (Italy); Massoli, Patrizio [Istituto Motori – CNR, Naples (Italy); Vicari, Luciano R.M. [SPIN – CNR, Naples (Italy); Department of Physics, University of Naples “Federico II”, Napoli (Italy)

    2015-05-01

    Highlights: • A lipase film was deposited with Matrix Assisted Pulsed Laser Evaporation technique. • FTIR spectra show that laser irradiation do not damage lipase molecule. • Laser fluence controls the characteristics of complex structure generated by MAPLE. - Abstract: Lipase is an enzyme that finds application in biodiesel production and for detection of esters and triglycerides in biosensors. Matrix Assisted Pulsed Laser Evaporation (MAPLE), a technique derived from Pulsed Laser Deposition (PLD) for deposition of undamaged biomolecules or polymers, is characterized by the use of a frozen target obtained from a solution/suspension of the guest material (to be deposited) in a volatile matrix (solvent). The presence of the solvent avoids or at least reduces the potential damage of guest molecules by laser radiation but only the guest material reaches the substrate in an essentially solvent-free deposition. MAPLE can be used for enzymes immobilization, essential for industrial application, allowing the development of continuous processes, an easier separation of products, the reuse of the catalyst and, in some cases, enhancing enzyme properties (pH, temperature stability, etc.) and catalytic activity in non-aqueous media. Here we show that MAPLE technique can be used to deposit undamaged lipase and that the complex structure (due to droplets generated during extraction from target) of the deposited material can be controlled by changing the laser beam fluence.

  3. Design of a safe facility for the metalorganic chemical vapor deposition of high-purity GaAs and AlGaAs

    Science.gov (United States)

    Messham, R. L.; Tucker, W. K.

    1986-09-01

    A metalorganic chemical vapor deposition (MOCVD) facility designed to safely handle highly toxic and pyrophoric growth materials is described. The system concept is based on remote operation, passive flow restriction, and forced air dilution to maintain safe gas concentrations under normal running and catastrophic system failure conditions. MOCVD is a key materials technology for advanced high-frequency optical and microwave devices. At this time, the use of highly toxic arsine as an arsenic source is dictated by critical device purity, reproducibility, and doping control requirements. The handling and use of this gas is a primary feature in the design of any safe facility for MOCVD growth of high-quality GaAs/AlGaAs. After a critical review of presently available effluent treatment techniques, it was concluded that a combination of flow restriction and dilution presented the most reliable treatment. Measured flow rates through orifices from 0.002 to 0.005 inch in diameter were compared to calculated values. A 0.002 inch orifice located in the cylinder valve or CGA fitting, combined with a cylinder of pure liquid arsine (205 psi), limits the maximum gas flow to ≪1 lpm. Such a flow can then be vented through a dedicated exhaust system where an additional forced injection of diluting air reduces the gas concentration to acceptable levels. In the final Westinghouse R&D Center design, the use of low-pressure pure arsine, flow restriction, and stack air injection has reduced the maximum stack exist gas concentration to below 25% of the IDLH level for arsine under total and catastrophic MOCVD facility equipment failure conditions. The elimination of potential problems with purging behind such orifices using carefully designed purging procedures and a microprocessor-controlled purging system are described. The IDLH level is defined by the OSHA and NIOSH standards completion program and represents the maximum level from which one could escape within 30 min without any

  4. Magnetic filtered plasma deposition and implantation technique

    CERN Document Server

    Zhang Hui Xing; Wu Xian Ying

    2002-01-01

    A high dense metal plasma can be produced by using cathodic vacuum arc discharge technique. The microparticles emitted from the cathode in the metal plasma can be removed when the metal plasma passes through the magnetic filter. It is a new technique for making high quality, fine and close thin films which have very widespread applications. The authors describe the applications of cathodic vacuum arc technique, and then a filtered plasma deposition and ion implantation system as well as its applications

  5. Characterization of RuO sub 2 electrodes for ferroelectric thin films prepared by metal-organic chemical-vapor deposition using Ru(C sub 1 sub 1 H sub 1 sub 9 O sub 2) sub 3

    CERN Document Server

    Lee, J M; Shin, J C; Hwang, C S; Kim, H J; Suk, C G

    1999-01-01

    Pure and conducting RuO sub 2 thin films were deposited on Si substrates at 250 approx 450 .deg. C using Ru(C sub 1 sub 1 H sub 1 sub 9 O sub 2) sub 3 as a precursor by low-pressure metal-organic chemical-vapor deposition (LP-MOCVD). At a lower deposition temperature,smoother and denser RuO sub 2 thin films were deposited. The RuO sub 2 thin films, which were crack free, adhered well onto the substrates and showed very low resistivities around 45 approx 60 mu OMEGA cm. RuO sub 2 thin films on (Ba, Sr)/TiO sub 3 /Pt/SiO sub 2 /Si showed good properties, indicating that MOCVD RuO sub 2 thin films from Ru(C sub 1 sub 1 H sub 1 sub 9 O sub 2) sub 3 can be applied as electrodes of high-dielectric thin films for capacitors in ultra-large-scale DRAMs.

  6. Modelling of MOCVD Reactor: New 3D Approach

    Science.gov (United States)

    Raj, E.; Lisik, Z.; Niedzielski, P.; Ruta, L.; Turczynski, M.; Wang, X.; Waag, A.

    2014-04-01

    The paper presents comparison of two different 3D models of vertical, rotating disc MOCVD reactor used for 3D GaN structure growth. The first one is based on the reactor symmetry, while the second, novel one incorporates only single line of showerhead nozzles. It is shown that both of them can be applied interchangeably regarding the phenomena taking place within the processing area. Moreover, the importance of boundary conditions regarding proper modelling of showerhead cooling and the significance of thermal radiation on temperature field within the modelled structure are presented and analysed. The last phenomenon is erroneously neglected in most of the hitherto studies.

  7. Modelling of MOCVD reactor: new 3D approach

    International Nuclear Information System (INIS)

    Raj, E; Lisik, Z; Niedzielski, P; Ruta, L; Turczynski, M; Wang, X; Waag, A

    2014-01-01

    The paper presents comparison of two different 3D models of vertical, rotating disc MOCVD reactor used for 3D GaN structure growth. The first one is based on the reactor symmetry, while the second, novel one incorporates only single line of showerhead nozzles. It is shown that both of them can be applied interchangeably regarding the phenomena taking place within the processing area. Moreover, the importance of boundary conditions regarding proper modelling of showerhead cooling and the significance of thermal radiation on temperature field within the modelled structure are presented and analysed. The last phenomenon is erroneously neglected in most of the hitherto studies.

  8. Microstructural and superconducting properties of high current metal-organic chemical vapor deposition YBa2Cu3O7-δ coated conductor wires

    International Nuclear Information System (INIS)

    Holesinger, T G; Maiorov, B; Ugurlu, O; Civale, L; Chen, Y; Xiong, X; Xie, Y; Selvamanickam, V

    2009-01-01

    Metal-organic chemical vapor deposition (MOCVD) on flexible, ion beam assisted deposition MgO templates has been used to produce high critical current density (J c ) (Y,Sm) 1 Ba 2 Cu 3 O y (REBCO) films suitable for use in producing practical high temperature superconducting (HTS) coated conductor wires. Thick films on tape were produced with sequential additions of 0.7 μm of REBCO via a reel-to-reel progression through a custom-designed MOCVD reactor. Multi-pass processing for thick film deposition is critically dependent upon minimizing surface secondary phase formation. Critical currents (I c s) of up to 600 A/cm width (t = 2.8 μm, J c = 2.6 MA cm -2 , 77 K, self-field) were obtained in short lengths of HTS wires. These high performance MOCVD films are characterized by closely spaced (Y,Sm) 2 O 3 nanoparticle layers that may be tilted relative to the film normal and REBCO orientation. Small shifts in the angular dependence of J c in low and intermediate applied magnetic fields can be associated with the tilted nanoparticle layers. Also present in these films were YCuO 2 nanoplates aligned with the YBCO matrix (short dimension perpendicular to the film normal), threading dislocations, and oriented composite defects (OCDs). The latter structures consist of single or multiple a-axis oriented grains coated on each side with insulating (Y,Sm) 2 O 3 or CuO. The OCDs formed a connected network of insulating phases by the end of the fourth pass. Subsequent attempts at adding additional layers did not increase I c . There is an inconsistency between the measured J c and the observed microstructural degradation that occurs with each additional layer, suggesting that previously deposited layers are improving with each repeated reactor pass. These dynamic changes suggest a role for post-processing to optimize superconducting properties of as-deposited films, addressing issues associated with reproducibility and manufacturing yield.

  9. Electrical properties of ZnO thin films grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Pagni, O. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Somhlahlo, N.N. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Weichsel, C. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Leitch, A.W.R. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa)]. E-mail: andrew.leitch@nmmu.ac.za

    2006-04-01

    We report on the electrical characterization of ZnO films grown by MOCVD on glass and sapphire substrates. After correcting our temperature variable Hall measurements by applying the standard two-layer model, which takes into account an interfacial layer, scattering mechanisms in the ZnO films were studied as well as donor activation energies determined. ZnO films grown at different oxygen partial pressures indicated the importance of growth conditions on the defect structure by means of their conductivities and conductivity activation energies.

  10. Electrical properties of ZnO thin films grown by MOCVD

    International Nuclear Information System (INIS)

    Pagni, O.; Somhlahlo, N.N.; Weichsel, C.; Leitch, A.W.R.

    2006-01-01

    We report on the electrical characterization of ZnO films grown by MOCVD on glass and sapphire substrates. After correcting our temperature variable Hall measurements by applying the standard two-layer model, which takes into account an interfacial layer, scattering mechanisms in the ZnO films were studied as well as donor activation energies determined. ZnO films grown at different oxygen partial pressures indicated the importance of growth conditions on the defect structure by means of their conductivities and conductivity activation energies

  11. Broad Temperature Pinning Study of 15 mol.% Zr-Added (Gd, Y)-Ba-Cu-O MOCVD Coated Conductors

    Energy Technology Data Exchange (ETDEWEB)

    Xu, AX; Khatri, N; Liu, YH; Majkic, G; Galstyan, E; Selvamanickam, V; Chen, YM; Lei, CH; Abraimov, D; Hu, XB; Jaroszynski, J; Larbalestier, D

    2015-06-01

    BaZrO3 (BZO) nanocolumns have long been shown to be very effective for raising the pinning force F-p of REBa2Cu3Ox (REBCO, where RE = rare earth) films at high temperatures and recently at low temperatures too. We have successfully incorporated a high density of BZO nanorods into metal organic chemical vapor deposited (MOCVD) REBCO coated conductors via Zr addition. We found that, compared to the 7.5% Zr-added coated conductor, dense BZO nanorod arrays in the 15% Zr-added conductor are effective over the whole temperature range from 77 K down to 4.2 K. We attribute the substantially enhanced J(c) at 30 K to the weak uncorrelated pinning as well as the strong correlated pinning. Meanwhile, by tripling the REBCO layer thickness to similar to 2.8 mu m, the engineering critical current density J(e) at 30 K exceeds J(e) of optimized Nb-Ti wires at 4.2 K.

  12. Effects of Mg pre-flow, memory, and diffusion on the growth of p-GaN with MOCVD (Conference Presentation)

    Science.gov (United States)

    Tu, Charng-Gan; Chen, Hao-Tsung; Chen, Sheng-Hung; Chao, Chen-Yao; Kiang, Yean-Woei; Yang, Chih-Chung

    2017-02-01

    In MOCVD growth, two key factors for growing a p-type structure, when the modulation growth or delta-doping technique is used, include Mg memory and diffusion. With high-temperature growth (>900 degree C), doped Mg can diffuse into the under-layer. Also, due to the high-pressure growth and growth chamber coating in MOCVD, plenty Mg atoms exist in the growth chamber for a duration after Mg supply is ended. In this situation, Mg doping continues in the following designated un-doped layers. In this paper, we demonstrate the study results of Mg preflow, memory, and diffusion. The results show that pre-flow of Mg into the growth chamber can lead to a significantly higher Mg doping concentration in growing a p-GaN layer. In other words, a duration for Mg buildup is required for high Mg incorporation. Based on SIMS study, we find that with the pre-flow growth, a high- and a low-doping p-GaN layer are formed. The doping concentration difference between the two layers is about 10 times. The thickness of the high- (low-) doping layer is about 40 (65) nm. The growth of the high-doping layer starts 10-15 min after Mg supply starts (Mg buildup time). The diffusion length of Mg into the AlGaN layer beneath (Mg content reduced to doping concentration is reduced to <1%.

  13. High index of refraction films for dielectric mirrors prepared by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Brusasco, R.M.

    1989-01-01

    A wide variety of metal oxides with high index of refraction can be prepared by Metal-Organic Chemical Vapor Deposition. We present some recent optical and laser damage results on oxide films prepared by MOCVD which could be used in a multilayer structure for highly reflecting (HR) dielectric mirror applications. The method of preparation affects both optical properties and laser damage threshold. 10 refs., 8 figs., 4 tabs

  14. Valorization of GaN based metal-organic chemical vapor deposition dust a semiconductor power device industry waste through mechanochemical oxidation and leaching: A sustainable green process

    Energy Technology Data Exchange (ETDEWEB)

    Swain, Basudev, E-mail: Swain@iae.re.kr [Institute for Advanced Engineering (IAE), Advanced Materials & Processing Center, Yongin-Si 449-863 (Korea, Republic of); Mishra, Chinmayee; Lee, Chan Gi; Park, Kyung-Soo [Institute for Advanced Engineering (IAE), Advanced Materials & Processing Center, Yongin-Si 449-863 (Korea, Republic of); Lee, Kun-Jae [Department of Energy Engineering, Dankook University, Cheonan 330-714 (Korea, Republic of)

    2015-07-15

    Dust generated during metal organic vapor deposition (MOCVD) process of GaN based semiconductor power device industry contains significant amounts of gallium and indium. These semiconductor power device industry wastes contain gallium as GaN and Ga{sub 0.97}N{sub 0.9}O{sub 0.09} is a concern for the environment which can add value through recycling. In the present study, this waste is recycled through mechanochemical oxidation and leaching. For quantitative recovery of gallium, two different mechanochemical oxidation leaching process flow sheets are proposed. In one process, first the Ga{sub 0.97}N{sub 0.9}O{sub 0.09} of the MOCVD dust is leached at the optimum condition. Subsequently, the leach residue is mechanochemically treated, followed by oxidative annealing and finally re-leached. In the second process, the MOCVD waste dust is mechanochemically treated, followed by oxidative annealing and finally leached. Both of these treatment processes are competitive with each other, appropriate for gallium leaching and treatment of the waste MOCVD dust. Without mechanochemical oxidation, 40.11 and 1.86 w/w% of gallium and Indium are leached using 4 M HCl, 100 °C and pulp density of 100 kg/m{sup 3,} respectively. After mechanochemical oxidation, both these processes achieved 90 w/w% of gallium and 1.86 w/w% of indium leaching at their optimum condition. - Highlights: • Waste MOCVD dust is treated through mechanochemical leaching. • GaN is hardly leached, and converted to NaGaO{sub 2} through ball milling and annealing. • Process for gallium recovery from waste MOCVD dust has been developed. • Thermal analysis and phase properties of GaN to Ga{sub 2}O{sub 3} and GaN to NaGaO{sub 2} is revealed. • Solid-state chemistry involved in this process is reported.

  15. Microstructural and superconducting properties of high current metal-organic chemical vapor deposition YBa{sub 2}Cu{sub 3}O{sub 7-{delta}} coated conductor wires

    Energy Technology Data Exchange (ETDEWEB)

    Holesinger, T G; Maiorov, B; Ugurlu, O; Civale, L [Los Alamos National Laboratory, Los Alamos, NM 87545 (United States); Chen, Y; Xiong, X; Xie, Y; Selvamanickam, V [SuperPower, Inc., Schenectady, NY 12304 (United States)

    2009-04-15

    Metal-organic chemical vapor deposition (MOCVD) on flexible, ion beam assisted deposition MgO templates has been used to produce high critical current density (J{sub c}) (Y,Sm){sub 1}Ba{sub 2}Cu{sub 3}O{sub y} (REBCO) films suitable for use in producing practical high temperature superconducting (HTS) coated conductor wires. Thick films on tape were produced with sequential additions of 0.7 {mu}m of REBCO via a reel-to-reel progression through a custom-designed MOCVD reactor. Multi-pass processing for thick film deposition is critically dependent upon minimizing surface secondary phase formation. Critical currents (I{sub c}s) of up to 600 A/cm width (t = 2.8 {mu}m, J{sub c} = 2.6 MA cm{sup -2}, 77 K, self-field) were obtained in short lengths of HTS wires. These high performance MOCVD films are characterized by closely spaced (Y,Sm){sub 2}O{sub 3} nanoparticle layers that may be tilted relative to the film normal and REBCO orientation. Small shifts in the angular dependence of J{sub c} in low and intermediate applied magnetic fields can be associated with the tilted nanoparticle layers. Also present in these films were YCuO{sub 2} nanoplates aligned with the YBCO matrix (short dimension perpendicular to the film normal), threading dislocations, and oriented composite defects (OCDs). The latter structures consist of single or multiple a-axis oriented grains coated on each side with insulating (Y,Sm){sub 2}O{sub 3} or CuO. The OCDs formed a connected network of insulating phases by the end of the fourth pass. Subsequent attempts at adding additional layers did not increase I{sub c}. There is an inconsistency between the measured J{sub c} and the observed microstructural degradation that occurs with each additional layer, suggesting that previously deposited layers are improving with each repeated reactor pass. These dynamic changes suggest a role for post-processing to optimize superconducting properties of as-deposited films, addressing issues associated with

  16. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    International Nuclear Information System (INIS)

    Dangbegnon, J.K.; Talla, K.; Roro, K.T.; Botha, J.R.

    2009-01-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  17. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    Energy Technology Data Exchange (ETDEWEB)

    Dangbegnon, J.K., E-mail: JulienKouadio.Dangbegnon@nmmu.ac.z [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Talla, K.; Roro, K.T.; Botha, J.R. [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa)

    2009-12-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  18. Driving Down HB-LED Costs. Implementation of Process Simulation Tools and Temperature Control Methods of High Yield MOCVD Growth

    Energy Technology Data Exchange (ETDEWEB)

    Quinn, William [Veeco Process Equipment, Inc., Plainview, NY (United States)

    2012-04-30

    . Programmatically, improvements made in Phase I are applied to developments of Phase II when applicable. Phase three is the culmination of the individual tasks from both phases one and two applied to proposed production platforms. We selectively combine previously demonstrated tasks and other options to develop a high-volume production-worthy MOCVD system demonstrating >3x throughput, 1.3x capital efficiency, and 0.7x cost of ownership. In a parallel demonstration we validate the concept of an improved, larger deposition system which utilizes the predictive modeling of chemistry-based flow analysis and extensions of the improvements demonstrated on the current platforms. This validation includes the build and testing of a prototype version of the hardware and demonstration of 69% reduction in the cost of ownership. Also, in this phase we present a stand-alone project to develop a high-temperature system which improves source efficiency by 30% while concurrently increasing growth rate by 1.3x. The material quality is held to the same material quality specifications of our existing baseline processes. The merits of other line item tasks in phase three are discussed for inclusion on next-generation platforms.

  19. Chemical vapor deposition: A technique for applying protective coatings

    Energy Technology Data Exchange (ETDEWEB)

    Wallace, T.C. Sr.; Bowman, M.G.

    1979-01-01

    Chemical vapor deposition is discussed as a technique for applying coatings for materials protection in energy systems. The fundamentals of the process are emphasized in order to establish a basis for understanding the relative advantages and limitations of the technique. Several examples of the successful application of CVD coating are described. 31 refs., and 18 figs.

  20. Temperature effect on the growth of Au-free InAs and InAs/GaSb heterostructure nanowires on Si substrate by MOCVD

    Science.gov (United States)

    Kakkerla, Ramesh Kumar; Anandan, Deepak; Hsiao, Chih-Jen; Yu, Hung Wei; Singh, Sankalp Kumar; Chang, Edward Yi

    2018-05-01

    We demonstrate the growth of vertically aligned Au-free InAs and InAs/GaSb heterostructure nanowires on Si (1 1 1) substrate by Metal Organic Chemical Vapor Deposition (MOCVD). The effect of growth temperature on the morphology and growth rate of the InAs and InAs/GaSb heterostructure nanowires (NWs) is investigated. Control over diameter and length of the InAs NWs and the GaSb shell thickness was achieved by using growth temperature. As the GaSb growth temperature increase, GaSb radial growth rate increases due to the increase in alkyl decomposition at the substrate surface. Diffusivity of the adatoms increases as the GaSb growth temperature increase which results in tapered GaSb shell growth. Scanning Electron Microscope (SEM) and Transmission Electron Microscope (TEM) measurements revealed that the morphology and shell thickness can be tuned by the growth temperature. Electron microscopy also shows the formation of GaSb both in radial and axial directions outside the InAs NW core can be controlled by the growth temperature. This study demonstrates the control over InAs NWs growth and the GaSb shell thickness can be achieved through proper growth temperature control, such technique is essential for the growth of nanowire for future nano electronic devices, such as Tunnel FET.

  1. Effect of buffer thickness on properties of In0.8Ga0.2As/InP with two-step growth technique

    International Nuclear Information System (INIS)

    Zhang Tiemin; Miao Guoqing; Jin Yixin; Yu Shuzhen; Jiang Hong; Li Zhiming; Song Hang

    2009-01-01

    In 0.8 Ga 0.2 As was grown by low-pressure metalorganic chemical vapor deposition (LP-MOCVD) on InP(1 0 0) substrate with two-step growth technique. Effect of buffer thickness on crystalline quality, surface morphology, electrical property and stress of In 0.8 Ga 0.2 As epilayer was analyzed, and properties of the In 0.8 Ga 0.2 As epilayer were characterized by X-ray diffraction, scanning electron microscopy, Hall measurements and Raman scattering. The experiments showed that the properties of the In 0.8 Ga 0.2 As epilayer had close relation to the buffer thickness and the optimum buffer thickness was about 100 nm

  2. Vertically p-n-junctioned GaN nano-wire array diode fabricated on Si(111) using MOCVD.

    Science.gov (United States)

    Park, Ji-Hyeon; Kim, Min-Hee; Kissinger, Suthan; Lee, Cheul-Ro

    2013-04-07

    We demonstrate the fabrication of n-GaN:Si/p-GaN:Mg nanowire arrays on (111) silicon substrate by metal organic chemical vapor deposition (MOCVD) method .The nanowires were grown by a newly developed two-step growth process. The diameter of as-grown nanowires ranges from 300-400 nm with a density of 6-7 × 10(7) cm(-2). The p- and n-type doping of the nanowires is achieved with Mg and Si dopant species. Structural characterization by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (HRTEM) indicates that the nanowires are relatively defect-free. The room-temperature photoluminescence emission with a strong peak at 370 nm indicates that the n-GaN:Si/p-GaN:Mg nanowire arrays have potential application in light-emitting nanodevices. The cathodoluminscence (CL) spectrum clearly shows a distinct optical transition of GaN nanodiodes. The nano-n-GaN:Si/p-GaN:Mg diodes were further completed using a sputter coating approach to deposit Au/Ni metal contacts. The polysilazane filler has been etched by a wet chemical etching process. The n-GaN:Si/p-GaN:Mg nanowire diode was fabricated for different Mg source flow rates. The current-voltage (I-V) measurements reveal excellent rectifying properties with an obvious turn-on voltage at 1.6 V for a Mg flow rate of 5 sccm (standard cubic centimeters per minute).

  3. Valorization of GaN based metal-organic chemical vapor deposition dust a semiconductor power device industry waste through mechanochemical oxidation and leaching: A sustainable green process.

    Science.gov (United States)

    Swain, Basudev; Mishra, Chinmayee; Lee, Chan Gi; Park, Kyung-Soo; Lee, Kun-Jae

    2015-07-01

    Dust generated during metal organic vapor deposition (MOCVD) process of GaN based semiconductor power device industry contains significant amounts of gallium and indium. These semiconductor power device industry wastes contain gallium as GaN and Ga0.97N0.9O0.09 is a concern for the environment which can add value through recycling. In the present study, this waste is recycled through mechanochemical oxidation and leaching. For quantitative recovery of gallium, two different mechanochemical oxidation leaching process flow sheets are proposed. In one process, first the Ga0.97N0.9O0.09 of the MOCVD dust is leached at the optimum condition. Subsequently, the leach residue is mechanochemically treated, followed by oxidative annealing and finally re-leached. In the second process, the MOCVD waste dust is mechanochemically treated, followed by oxidative annealing and finally leached. Both of these treatment processes are competitive with each other, appropriate for gallium leaching and treatment of the waste MOCVD dust. Without mechanochemical oxidation, 40.11 and 1.86 w/w% of gallium and Indium are leached using 4M HCl, 100°C and pulp density of 100 kg/m(3,) respectively. After mechanochemical oxidation, both these processes achieved 90 w/w% of gallium and 1.86 w/w% of indium leaching at their optimum condition. Copyright © 2015 Elsevier Inc. All rights reserved.

  4. ZrN coatings deposited by high power impulse magnetron sputtering and cathodic arc techniques

    Energy Technology Data Exchange (ETDEWEB)

    Purandare, Yashodhan, E-mail: Y.Purandare@shu.ac.uk; Ehiasarian, Arutiun; Hovsepian, Papken [Nanotechnology Centre for PVD Research, Materials and Engineering Research Institute, Sheffield Hallam University, Sheffield S1 1WB (United Kingdom); Santana, Antonio [Ionbond AG Olten, Industriestrasse 211, CH-4600 Olten (Switzerland)

    2014-05-15

    Zirconium nitride (ZrN) coatings were deposited on 1 μm finish high speed steel and 316L stainless steel test coupons. Cathodic Arc (CA) and High Power Impulse Magnetron Sputtering (HIPIMS) + Unbalanced Magnetron Sputtering (UBM) techniques were utilized to deposit coatings. CA plasmas are known to be rich in metal and gas ions of the depositing species as well as macroparticles (droplets) emitted from the arc sports. Combining HIPIMS technique with UBM in the same deposition process facilitated increased ion bombardment on the depositing species during coating growth maintaining high deposition rate. Prior to coating deposition, substrates were pretreated with Zr{sup +} rich plasma, for both arc deposited and HIPIMS deposited coatings, which led to a very high scratch adhesion value (L{sub C2}) of 100 N. Characterization results revealed the overall thickness of the coatings in the range of 2.5 μm with hardness in the range of 30–40 GPa depending on the deposition technique. Cross-sectional transmission electron microscopy and tribological experiments such as dry sliding wear tests and corrosion studies have been utilized to study the effects of ion bombardment on the structure and properties of these coatings. In all the cases, HIPIMS assisted UBM deposited coating fared equal or better than the arc deposited coatings, the reasons being discussed in this paper. Thus H+U coatings provide a good alternative to arc deposited where smooth, dense coatings are required and macrodroplets cannot be tolerated.

  5. Indium arsenide-on-SOI MOSFETs with extreme lattice mismatch

    Science.gov (United States)

    Wu, Bin

    Both molecular beam epitaxy (MBE) and metal organic chemical vapor deposition (MOCVD) have been used to explore the growth of InAs on Si. Despite 11.6% lattice mismatch, planar InAs structures have been observed by scanning electron microscopy (SEM) when nucleating using MBE on patterned submicron Si-on-insulator (SOI) islands. Planar structures of size as large as 500 x 500 nm 2 and lines of width 200 nm and length a few microns have been observed. MOCVD growth of InAs also generates single grain structures on Si islands when the size is reduced to 100 x 100 nm2. By choosing SOI as the growth template, selective growth is enabled by MOCVD. Post-growth pattern-then-anneal process, in which MOCVD InAs is deposited onto unpatterned SOI followed with patterning and annealing of InAs-on-Si structure, is found to change the relative lattice parameters of encapsulated 17/5 nm InAs/Si island. Observed from transmission electron diffraction (TED) patterns, the lattice mismatch of 17/5 nm InAs/Si island reduces from 11.2 to 4.2% after being annealed at 800°C for 30 minutes. High-k Al2O3 dielectrics have been deposited by both electron-beam-enabled physical vapor deposition (PVD) and atomic layer deposition (ALD). Films from both techniques show leakage currents on the order of 10-9A/cm2, at ˜1 MV/cm electric field, breakdown field > ˜6 MV/cm, and dielectric constant > 6, comparable to those of reported ALD prior arts by Groner. The first MOSFETs with extreme lattice mismatch InAs-on-SOI channels using PVD Al2O3 as the gate dielectric are characterized. Channel recess was used to improve the gate control of the drain current.

  6. Effect of Different Catalyst Deposition Technique on Aligned Multiwalled Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Mohamed Shuaib Mohamed Saheed

    2014-01-01

    Full Text Available The paper reported the investigation of the substrate preparation technique involving deposition of iron catalyst by electron beam evaporation and ferrocene vaporization in order to produce vertically aligned multiwalled carbon nanotubes array needed for fabrication of tailored devices. Prior to the growth at 700°C in ethylene, silicon dioxide coated silicon substrate was prepared by depositing alumina followed by iron using two different methods as described earlier. Characterization analysis revealed that aligned multiwalled carbon nanotubes array of 107.9 µm thickness grown by thermal chemical vapor deposition technique can only be achieved for the sample with iron deposited using ferrocene vaporization. The thick layer of partially oxidized iron film can prevent the deactivation of catalyst and thus is able to sustain the growth. It also increases the rate of permeation of the hydrocarbon gas into the catalyst particles and prevents agglomeration at the growth temperature. Combination of alumina-iron layer provides an efficient growth of high density multiwalled carbon nanotubes array with the steady growth rate of 3.6 µm per minute for the first 12 minutes and dropped by half after 40 minutes. Thicker and uniform iron catalyst film obtained from ferrocene vaporization is attributed to the multidirectional deposition of particles in the gaseous form.

  7. Induction-heating MOCVD reactor with significantly improved heating efficiency and reduced harmful magnetic coupling

    KAUST Repository

    Li, Kuang-Hui; Alotaibi, Hamad S.; Sun, Haiding; Lin, Ronghui; Guo, Wenzhe; Torres-Castanedo, Carlos G.; Liu, Kaikai; Galan, Sergio V.; Li, Xiaohang

    2018-01-01

    In a conventional induction-heating III-nitride metalorganic chemical vapor deposition (MOCVD) reactor, the induction coil is outside the chamber. Therefore, the magnetic field does not couple with the susceptor well, leading to compromised heating efficiency and harmful coupling with the gas inlet and thus possible overheating. Hence, the gas inlet has to be at a minimum distance away from the susceptor. Because of the elongated flow path, premature reactions can be more severe, particularly between Al- and B-containing precursors and NH3. Here, we propose a structure that can significantly improve the heating efficiency and allow the gas inlet to be closer to the susceptor. Specifically, the induction coil is designed to surround the vertical cylinder of a T-shaped susceptor comprising the cylinder and a top horizontal plate holding the wafer substrate within the reactor. Therefore, the cylinder coupled most magnetic field to serve as the thermal source for the plate. Furthermore, the plate can block and thus significantly reduce the uncoupled magnetic field above the susceptor, thereby allowing the gas inlet to be closer. The results show approximately 140% and 2.6 times increase in the heating and susceptor coupling efficiencies, respectively, as well as a 90% reduction in the harmful magnetic flux on the gas inlet.

  8. Induction-heating MOCVD reactor with significantly improved heating efficiency and reduced harmful magnetic coupling

    KAUST Repository

    Li, Kuang-Hui

    2018-02-23

    In a conventional induction-heating III-nitride metalorganic chemical vapor deposition (MOCVD) reactor, the induction coil is outside the chamber. Therefore, the magnetic field does not couple with the susceptor well, leading to compromised heating efficiency and harmful coupling with the gas inlet and thus possible overheating. Hence, the gas inlet has to be at a minimum distance away from the susceptor. Because of the elongated flow path, premature reactions can be more severe, particularly between Al- and B-containing precursors and NH3. Here, we propose a structure that can significantly improve the heating efficiency and allow the gas inlet to be closer to the susceptor. Specifically, the induction coil is designed to surround the vertical cylinder of a T-shaped susceptor comprising the cylinder and a top horizontal plate holding the wafer substrate within the reactor. Therefore, the cylinder coupled most magnetic field to serve as the thermal source for the plate. Furthermore, the plate can block and thus significantly reduce the uncoupled magnetic field above the susceptor, thereby allowing the gas inlet to be closer. The results show approximately 140% and 2.6 times increase in the heating and susceptor coupling efficiencies, respectively, as well as a 90% reduction in the harmful magnetic flux on the gas inlet.

  9. Methods and systems for fabricating high quality superconducting tapes

    Science.gov (United States)

    Majkic, Goran; Selvamanickam, Venkat

    2018-02-13

    An MOCVD system fabricates high quality superconductor tapes with variable thicknesses. The MOCVD system can include a gas flow chamber between two parallel channels in a housing. A substrate tape is heated and then passed through the MOCVD housing such that the gas flow is perpendicular to the tape's surface. Precursors are injected into the gas flow for deposition on the substrate tape. In this way, superconductor tapes can be fabricated with variable thicknesses, uniform precursor deposition, and high critical current densities.

  10. High Critical Current Coated Conductors

    Energy Technology Data Exchange (ETDEWEB)

    Paranthaman, M. P.; Selvamanickam, V. (SuperPower, Inc.)

    2011-12-27

    One of the important critical needs that came out of the DOE’s coated conductor workshop was to develop a high throughput and economic deposition process for YBCO. Metal-organic chemical vapor deposition (MOCVD) technique, the most critical steps in high technical micro fabrications, has been widely employed in semiconductor industry for various thin film growth. SuperPower has demonstrated that (Y,Gd)BCO films can be deposited rapid with world record performance. In addition to high critical current density with increased film thickness, flux pinning properties of REBCO films needs to be improved to meet the DOE requirements for various electric-power equipments. We have shown that doping with Zr can result in BZO nanocolumns, but at substantially reduced deposition rate. The primary purpose of this subtask is to develop high current density MOCVD-REBCO coated conductors based on the ion-beam assisted (IBAD)-MgO deposition process. Another purpose of this subtask is to investigate HTS conductor design optimization (maximize Je) with emphasis on stability and protection issues, and ac loss for REBCO coated conductors.

  11. Optical properties of m-plane GaN grown on patterned Si(112) substrates by MOCVD using a two-step approach

    Science.gov (United States)

    Izyumskaya, N.; Okur, S.; Zhang, F.; Monavarian, M.; Avrutin, V.; Özgür, Ü.; Metzner, S.; Karbaum, C.; Bertram, F.; Christen, J.; Morkoç, H.

    2014-03-01

    Nonpolar m-plane GaN layers were grown on patterned Si (112) substrates by metal-organic chemical vapor deposition (MOCVD). A two-step growth procedure involving a low-pressure (30 Torr) first step to ensure formation of the m-plane facet and a high-pressure step (200 Torr) for improvement of optical quality was employed. The layers grown in two steps show improvement of the optical quality: the near-bandedge photoluminescence (PL) intensity is about 3 times higher than that for the layers grown at low pressure, and deep emission is considerably weaker. However, emission intensity from m-GaN is still lower than that of polar and semipolar (1 100 ) reference samples grown under the same conditions. To shed light on this problem, spatial distribution of optical emission over the c+ and c- wings of the nonpolar GaN/Si was studied by spatially resolved cathodoluminescence and near-field scanning optical microscopy.

  12. Metalorganic chemical vapor deposition and characterization of ZnO materials

    Science.gov (United States)

    Sun, Shangzu; Tompa, Gary S.; Hoerman, Brent; Look, David C.; Claflin, Bruce B.; Rice, Catherine E.; Masaun, Puneet

    2006-04-01

    Zinc oxide is attracting growing interest for potential applications in electronics, optoelectronics, photonics, and chemical and biochemical sensing, among other applications. We report herein our efforts in the growth and characterization of p- and n-type ZnO materials by metalorganic chemical vapor deposition (MOCVD), focusing on recent nitrogen-doped films grown using diethyl zinc as the zinc precursor and nitric oxide (NO) as the dopant. Characterization results, including resistivity, Hall measurements, photoluminescence, and SIMS, are reported and discussed. Electrical behavior was observed to be dependent on illumination, atmosphere, and heat treatment, especially for p-type material.

  13. Characterization of Al{sub x}Ga{sub 1-x}As/GaAs heterostructures for single quantum wells grown by a solid arsenic MOCVD system

    Energy Technology Data Exchange (ETDEWEB)

    Castillo-Ojeda, R. [Universidad Politecnica de Pachuca, Km. 20, Rancho Luna, Ex-Hacienda de Santa Barbara, Municipio de Zempoala, Hidalgo 43830 (Mexico); Diaz-Reyes, J., E-mail: jdiazr2001@yahoo.co [Instituto Politecnico Nacional, Centro de Investigacion en Biotecnologia Aplicada, CIBA-IPN, Ex Hacienda de San Juan Molino, Km. 1.5. Tepetitla, Tlaxcala 90700 (Mexico); Galvan-Arellano, M.; Pena-Sierra, R. [CINVESTAV-IPN, Depto. de Ing. Electrica, SEES. Apdo. 14-740, Mexico, D.F. 07000 (Mexico)

    2011-06-15

    This work presents the results of the growth and characterization of Al{sub x}Ga{sub 1-x}As/GaAs multilayer structures obtained in a metallic-arsenic-based-MOCVD system. The main goal is to explore the ability of the growth system to grow high quality multilayer structures like quantum wells. The use of metallic arsenic could introduce important differences in the growth process due to the absence of the hydride group V precursor (AsH{sub 3}), which manifests in the electrical and optical characteristics of both GaAs and Al{sub x}Ga{sub 1-x}As layers. The characterization of these epilayers and structures was performed using low-temperature photoluminescence, Hall effect measurements, X-ray diffraction, Raman spectroscopy, secondary ion mass spectroscopy (SIMS) and Atomic Force Microscopy (AFM). - Research highlights: {yields} This work is reported the growth of AlxGa1-xAs/GaAs/AlxGa1-xAs heterostructures by a solid arsenic based MOCVD system. {yields} The results obtained with this system are comparable with those obtained with the traditional arsine based growth system. {yields} The main limitation of the alternative MOCVD system is related to the lack of monoatomic hydrogen on the growth surface that acts modifying the surface kinetics and enhancing the carbon incorporation. {yields} The experimental results indicate that it can be grown AlxGa1-xAs using elemental arsenic by MOCVD, which can be used to optoelectronic devices.

  14. Methods and systems for fabricating high quality superconducting tapes

    Energy Technology Data Exchange (ETDEWEB)

    Majkic, Goran; Selvamanickam, Venkat

    2018-02-13

    An MOCVD system fabricates high quality superconductor tapes with variable thicknesses. The MOCVD system can include a gas flow chamber between two parallel channels in a housing. A substrate tape is heated and then passed through the MOCVD housing such that the gas flow is perpendicular to the tape's surface. Precursors are injected into the gas flow for deposition on the substrate tape. In this way, superconductor tapes can be fabricated with variable thicknesses, uniform precursor deposition, and high critical current densities.

  15. Obtention of thin depositions by the vacuum evaporation technique

    International Nuclear Information System (INIS)

    Gonzalez Mateu, D.; Labrada, A.; Voronin, A.

    1991-01-01

    The vacuum evaporating technique used to prepare thin depositions, and the technical characteristics of the constructed installation are described. 235 U y 238 U nuclear target for the fission researches were obtained. Aluminium and gold self-supporting foils were obtained too

  16. Alloying, co-doping, and annealing effects on the magnetic and optical properties of MOCVD-grown Ga1-xMn xN

    International Nuclear Information System (INIS)

    Kane, Matthew H.; Strassburg, Martin; Asghar, Ali; Fenwick, William E.; Senawiratne, Jayantha; Song, Qing; Summers, Christopher J.; Zhang, Z. John; Dietz, Nikolaus; Ferguson, Ian T.

    2006-01-01

    Recent theoretical work for Ga 1-x Mn x N predicts ferromagnetism in this materials system with Curie temperatures above room temperature. Ferromagnetic behavior observed in Ga 1-x Mn x N is still controversial, as there are conflicting experimental reports owing to the disparity in crystalline quality and phase purity of Ga 1-x Mn x N produced by different methods. In this work, metal-organic chemical vapor deposition (MOCVD) has been used to grow high-quality epitaxial films of Ga 1-x Mn x N of varying thickness and manganese doping levels using Cp 2 Mn as the Mn source. Crystalline quality and phase purity were determined by high-resolution X-ray diffraction, indicating that no macroscopic second phases are formed. Atomic force microscopy revealed MOCVD-like step flow growth patterns and a mean surface roughness of 0.378 nm in optimally grown films, which is close to that from the as-grown template layer of 0.330 nm. No change in the growth mechanism and morphology with Mn incorporation is observed. A uniform Mn concentration in the epitaxial layers is confirmed by secondary ion mass spectroscopy. SQUID measurements showed an apparent room temperature ferromagnetic hysteresis with saturation magnetizations of over 2 μ B /Mn at x = 0.008, which decreases with increasing Mn incorporation. Upon high-temperature annealing, numerous changes are observed in these properties, including an increase in surface roughness due to surface decomposition and a large decrease in the magnetic signature. A similar decrease in the magnetic signature is observed upon co-doping with the shallow donor silicon during the growth process. These results demonstrate the critical importance of controlling the Fermi level relative to the Mn 2+/3+ acceptor level in Ga 1-x Mn x N in order to achieve strong ferromagnetism

  17. Alkaline-doped manganese perovskite thin films grown by MOCVD

    International Nuclear Information System (INIS)

    Bibes, M.; Gorbenko, O.; Martinez, B.; Kaul, A.; Fontcuberta, J.

    2000-01-01

    We report on the preparation and characterization of La 1-x Na x MnO 3 thin films grown by MOCVD on various single-crystalline substrates. Under appropriate conditions epitaxial thin films have been obtained. The Curie temperatures of the films, which are very similar to those of bulk samples of similar composition, reflect the residual strain caused by the substrate. The anisotropic magnetoresistance AMR of the films has been analyzed in some detail, and it has been found that it has a two-fold symmetry at any temperature. Its temperature dependence mimics that of the electrical resistivity and magnetoresistance measured at similar fields, thus suggesting that the real structure of the material contributes to the measured AMR besides the intrinsic component

  18. A comparative study of CdS thin films deposited by different techniques

    Energy Technology Data Exchange (ETDEWEB)

    Pérez-Hernández, G., E-mail: german.perez@ujat.mx [Universidad Juárez Autónoma de Tabasco, Avenida Universidad s/n, Col. Magisterial, Villahermosa, Tabasco 86040 (Mexico); Pantoja-Enríquez, J. [Centro de Investigación y Desarrollo Tecnológico en Energías Renovables, UNICACH, Libramiento Norte No 1150, Tuxtla Gutiérrez, Chiapas 29039 (Mexico); Escobar-Morales, B. [Instituto Tecnológico de Cancún, Avenida Kábah Km 3, Cancún, Quintana Roo 77500 (Mexico); Martinez-Hernández, D.; Díaz-Flores, L.L.; Ricardez-Jiménez, C. [Universidad Juárez Autónoma de Tabasco, Avenida Universidad s/n, Col. Magisterial, Villahermosa, Tabasco 86040 (Mexico); Mathews, N.R.; Mathew, X. [Centro de Investigación en Energía, Universidad Nacional Autónoma de México, Temixco, Morelos 62580 (Mexico)

    2013-05-01

    Cadmium sulfide thin-films were deposited on glass slides and SnO{sub 2}:F coated glass substrates by chemical bath deposition, sputtering and close-spaced sublimation techniques. The films were studied for the structural and opto-electronic properties after annealing in an ambient identical to that employed in the fabrication of CdTe/CdS devices. Quantum efficiency of the CdTe/CdS solar cells fabricated with CdS buffer films prepared by the three methods were investigated to understand the role of CdS film preparation method on the blue response of the devices. The higher blue response observed for the devices fabricated with chemical bath deposited CdS film is discussed. - Highlights: ► CdS films were prepared by different techniques. ► Role of CdS on the blue response of device was studied. ► Structural and optical properties of CdS were analyzed. ► Chemically deposited CdS has high blue transmittance. ► CdS deposition method influences diffusion of S and Te.

  19. Temperature dependence of InN film deposition by an RF plasma-assisted reactive ion beam sputtering deposition technique

    International Nuclear Information System (INIS)

    Shinoda, Hiroyuki; Mutsukura, Nobuki

    2005-01-01

    Indium nitride (InN) films were deposited on Si(100) substrates using a radiofrequency (RF) plasma-assisted reactive ion beam sputtering deposition technique at various substrate temperatures. The X-ray diffraction patterns of the InN films suggest that the InN films deposited at substrate temperatures up to 370 deg C were cubic crystalline InN; and at 500 deg C, the InN film was hexagonal crystalline InN. In a scanning electron microscope image of the InN film surface, facets of cubic single-crystalline InN grains were clearly observed on the InN film deposited at 370 deg C. The inclusion of metallic indium appeared on the InN film deposited at 500 deg C

  20. Chemical vapor deposited fiber coatings and chemical vapor infiltrated ceramic matrix composites

    Energy Technology Data Exchange (ETDEWEB)

    Kmetz, M.A.

    1992-01-01

    Conventional Chemical Vapor Deposition (CVD) and Organometallic Chemical Vapor Deposition (MOCVD) were employed to deposit a series of interfacial coatings on SiC and carbon yarn. Molybdenum, tungsten and chromium hexacarbonyls were utilized as precursors in a low temperature (350[degrees]C) MOCVD process to coat SiC yarn with Mo, W and Cr oxycarbides. Annealing studies performed on the MoOC and WOC coated SiC yarns in N[sub 2] to 1,000[degrees]C establish that further decomposition of the oxycarbides occurred, culminating in the formation of the metals. These metals were then found to react with Si to form Mo and W disilicide coatings. In the Cr system, heating in N[sub 2] above 800[degrees]C resulted in the formation of a mixture of carbides and oxides. Convention CVD was also employed to coat SiC and carbon yarn with C, Bn and a new interface designated BC (a carbon-boron alloy). The coated tows were then infiltrated with SiC, TiO[sub 2], SiO[sub 2] and B[sub 4]C by a chemical vapor infiltration process. The B-C coatings were found to provide advantageous interfacial properties over carbon and BN coatings in several different composite systems. The effectiveness of these different coatings to act as a chemically inert barrier layer and their relationship to the degree of interfacial debonding on the mechanical properties of the composites were examined. The effects of thermal stability and strength of the coated fibers and composites were also determined for several difference atmospheres. In addition, a new method for determining the tensile strength of the as-received and coated yarns was also developed. The coated fibers and composites were further characterized by AES, SEM, XPS, IR and X-ray diffraction analysis.

  1. A review of hydroxyapatite-based coating techniques: Sol-gel and electrochemical depositions on biocompatible metals.

    Science.gov (United States)

    Asri, R I M; Harun, W S W; Hassan, M A; Ghani, S A C; Buyong, Z

    2016-04-01

    New promising techniques for depositing biocompatible hydroxyapatite-based coatings on biocompatible metal substrates for biomedical applications have continuously been exploited for more than two decades. Currently, various experimental deposition processes have been employed. In this review, the two most frequently used deposition processes will be discussed: a sol-gel dip coating and an electrochemical deposition. This study deliberates the surface morphologies and chemical composition, mechanical performance and biological responses of sol-gel dip coating as well as the electrochemical deposition for two different sample conditions, with and without coating. The review shows that sol-gel dip coatings and electrochemical deposition were able to obtain the uniform and homogeneous coating thickness and high adherent biocompatible coatings even in complex shapes. It has been accepted that both coating techniques improve bone strength and initial osseointegration rate. The main advantages and limitations of those techniques of hydroxyapatite-based coatings are presented. Furthermore, the most significant challenges and critical issues are also highlighted. Copyright © 2015 Elsevier Ltd. All rights reserved.

  2. Structural characterization of the nickel thin film deposited by glad technique

    Directory of Open Access Journals (Sweden)

    Potočnik J.

    2013-01-01

    Full Text Available In this work, a columnar structure of nickel thin film has been obtained using an advanced deposition technique known as Glancing Angle Deposition. Nickel thin film was deposited on glass sample at the constant emission current of 100 mA. Glass sample was positioned 15 degrees with respect to the nickel vapor flux. The obtained nickel thin film was characterized by Force Modulation Atomic Force Microscopy and by Scanning Electron Microscopy. Analysis indicated that the formation of the columnar structure occurred at the film thickness of 1 μm, which was achieved for the deposition time of 3 hours. [Projekat Ministarstva nauke Republike Srbije, br. III45005

  3. Study of electrical properties of single GaN nanowires grown by MOCVD with a Ti mask

    International Nuclear Information System (INIS)

    Vasiliev, A A; Mozharov, A M; Mukhin, I S; Rozhavskaya, M M; Lundin, V V

    2016-01-01

    We researched electrical characteristics of GaN nanowires (NWs) grown by MOCVD through solid titanium film. The technology of creating the ohmic contacts and MESFET structure on single NWs has been developed. The optimal annealing temperature of contacts has been found and conductivity structure, the free carrier concentration and mobility has been evaluated. (paper)

  4. Photoluminescence of Mg-doped m-plane GaN grown by MOCVD on bulk GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Monemar, Bo [Department of Physics, Chemistry and Biology, Linkoeping University, 581 83 Linkoeping (Sweden); Solid State Physics-The Nanometer Structure Consortium, Lund University, Box 118, 221 00 Lund (Sweden); Paskov, Plamen; Pozina, Galia; Hemmingsson, Carl; Bergman, Peder [Department of Physics, Chemistry and Biology, Linkoeping University, 581 83 Linkoeping (Sweden); Lindgren, David; Samuelson, Lars [Solid State Physics-The Nanometer Structure Consortium, Lund University, Box 118, 221 00 Lund (Sweden); Ni, Xianfeng; Morkoc, Hadis [Department of Electrical and Computer Engineering, Virginia Commonwealth University, Richmond, Virginia 23284-3072 (United States); Paskova, Tanya [Kyma Technologies Inc., Raleigh, North Carolina 27617 (United States); Bi, Zhaoxia; Ohlsson, Jonas [Glo AB, Ideon Science Park, Scheelevaegen 17, 223 70 Lund (Sweden)

    2011-07-15

    Photoluminescence (PL) properties are reported for a set of m-plane GaN films with Mg doping varied from mid 10{sup 18} cm{sup -3} to above 10{sup 20} cm{sup -3}. The samples were grown with MOCVD at reduced pressure on low defect density bulk GaN templates. The sharp line near bandgap bound exciton (BE) spectra observed below 50 K, as well as the broader donor-acceptor pair (DAP) PL bands at 2.9-3.3 eV give evidence of several Mg related acceptors, similar to the case of c-plane GaN. The dependence of the BE spectra on excitation intensity as well as the transient decay behaviour demonstrate acoustic phonon assisted transfer between the acceptor BE states. The lower energy donor-acceptor pair spectra suggest the presence of deep acceptors, in addition to the two main shallower ones at about 0.23 eV. Similar spectra from Mg-doped GaN nanowires (NWs) grown by MOCVD are also briefly discussed. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  5. Low-leakage-current AlGaN/GaN HEMTs on Si substrates with partially Mg-doped GaN buffer layer by metal organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Ming; Wang Yong; Wong Kai-Ming; Lau Kei-May

    2014-01-01

    High-performance low-leakage-current AlGaN/GaN high electron mobility transistors (HEMTs) on silicon (111) substrates grown by metal organic chemical vapor deposition (MOCVD) with a novel partially Magnesium (Mg)-doped GaN buffer scheme have been fabricated successfully. The growth and DC results were compared between Mg-doped GaN buffer layer and a unintentionally one. A 1-μm gate-length transistor with Mg-doped buffer layer exhibited an OFF-state drain leakage current of 8.3 × 10 −8 A/mm, to our best knowledge, which is the lowest value reported for MOCVD-grown AlGaN/GaN HEMTs on Si featuring the same dimension and structure. The RF characteristics of 0.25-μm gate length T-shaped gate HEMTs were also investigated

  6. A high critical current density MOCVD coated conductor with strong vortex pinning centers suitable for very high field use

    International Nuclear Information System (INIS)

    Chen, Z; Kametani, F; Larbalestier, D C; Chen, Y; Xie, Y; Selvamanickam, V

    2009-01-01

    We have made extensive low temperature and high field evaluations of a recent 2.1 μm thick coated conductor (CC) grown by metal-organic chemical vapor deposition (MOCVD) with a view to its use for high field magnet applications, for which its very strong Hastelloy substrate makes it very suitable. This conductor contains dense three-dimensional (Y,Sm) 2 O 3 nanoprecipitates, which are self-aligned in planes tilted ∼7 deg. from the tape plane. Very strong vortex pinning is evidenced by high critical current density J c values of ∼3.1 MA cm -2 at 77 K and ∼43 MA cm -2 at 4.2 K, and by a strongly enhanced irreversibility field H irr , which reaches that of Nb 3 Sn (∼28 T at 1.5 K) at 60 K, even in the inferior direction of H parallel c axis. At 4.2 K, J c values are ∼15% of the depairing current density J d , much the highest of any superconductor suitable for magnet construction.

  7. A high critical current density MOCVD coated conductor with strong vortex pinning centers suitable for very high field use

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Z; Kametani, F; Larbalestier, D C [National High Magnetic Field Laboratory, Florida State University, Tallahassee, FL 32310 (United States); Chen, Y; Xie, Y; Selvamanickam, V [SuperPower Incorporated, Schenectady, NY 12304 (United States)], E-mail: zhijun@asc.magnet.fsu.edu

    2009-05-15

    We have made extensive low temperature and high field evaluations of a recent 2.1 {mu}m thick coated conductor (CC) grown by metal-organic chemical vapor deposition (MOCVD) with a view to its use for high field magnet applications, for which its very strong Hastelloy substrate makes it very suitable. This conductor contains dense three-dimensional (Y,Sm){sub 2}O{sub 3} nanoprecipitates, which are self-aligned in planes tilted {approx}7 deg. from the tape plane. Very strong vortex pinning is evidenced by high critical current density J{sub c} values of {approx}3.1 MA cm{sup -2} at 77 K and {approx}43 MA cm{sup -2} at 4.2 K, and by a strongly enhanced irreversibility field H{sub irr}, which reaches that of Nb{sub 3}Sn ({approx}28 T at 1.5 K) at 60 K, even in the inferior direction of H parallel c axis. At 4.2 K, J{sub c} values are {approx}15% of the depairing current density J{sub d}, much the highest of any superconductor suitable for magnet construction.

  8. Influence of in-situ deposited SiNx interlayer on crystal quality of GaN epitaxial films

    Science.gov (United States)

    Fan, Teng; Jia, Wei; Tong, Guangyun; Zhai, Guangmei; Li, Tianbao; Dong, Hailiang; Xu, Bingshe

    2018-05-01

    GaN epitaxial films with SiNx interlayers were prepared by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The influences of deposition times and locations of SiNx interlayers on crystal quality of GaN epitaxial films were studied. Under the optimal growth time of 120 s for the SiNx interlayer, the dislocation density of GaN film is reduced to 4.05 × 108 cm-2 proved by high resolution X-ray diffraction results. It is found that when the SiNx interlayer deposits on the GaN nucleation islands, the subsequent GaN film has the lowest dislocation density of only 2.89 × 108 cm-2. Moreover, a model is proposed to illustrate the morphological evolution and associated propagation processes of TDs in GaN epi-layers with SiNx interlayers for different deposition times and locations.

  9. Pulsed injection metal organic chemical vapour deposition and characterisation of thin CaO films

    International Nuclear Information System (INIS)

    Borges, R.P.; Ferreira, P.; Saraiva, A.; Goncalves, R.; Rosa, M.A.; Goncalves, A.P.; Silva, R.C. da; Magalhaes, S.; Lourenco, M.J.V.; Santos, F.J.V.; Godinho, M.

    2009-01-01

    Thin films of CaO were grown on silicon (Si) and lanthanum aluminate (LaAlO 3 ) substrates by pulsed injection metal-organic chemical vapour deposition in a vertical injection MOCVD system. Growth parameters were systematically varied to study their effect on film growth and quality and to determine the optimal growth conditions for this material. Film quality and growth rate were evaluated by atomic force microscopy, X-ray diffraction and Rutherford Backscattering Spectroscopy measurements. Optimised conditions allowed growing transparent, single phase films textured along the (0 0 l) direction.

  10. Metalorganic chemical vapor deposition of Er{sub 2}O{sub 3} thin films: Correlation between growth process and film properties

    Energy Technology Data Exchange (ETDEWEB)

    Giangregorio, Maria M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)], E-mail: michelaria.giangregorio@ba.imip.cnr.it; Losurdo, Maria; Sacchetti, Alberto; Capezzuto, Pio; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)

    2009-02-27

    Er{sub 2}O{sub 3} thin films have been grown by metalorganic chemical vapor deposition (MOCVD) at 600 deg. C on different substrates, including glass, Si (100) and sapphire (0001) using tris(isopropylcyclopentadienyl)erbium and O{sub 2}. The effects of growth parameters such as the substrate, the O{sub 2} plasma activation and the temperature of organometallic precursor injection, on the nucleation/growth kinetics and, consequently, on film properties have been investigated. Specifically, very smooth (111)-oriented Er{sub 2}O{sub 3} thin films (the root mean square roughness is 0.3 nm) are achieved on Si (100), {alpha}-Al{sub 2}O{sub 3} (0001) and amorphous glass by MOCVD. Growth under O{sub 2} remote plasma activation results in an increase in growth rate and in (100)-oriented Er{sub 2}O{sub 3} films with high refractive index and transparency in the visible photon energy range.

  11. Characterization of GaN/AlGaN epitaxial layers grown

    Indian Academy of Sciences (India)

    GaN and AlGaN epitaxial layers are grown by a metalorganic chemical vapour deposition (MOCVD) system. The crystalline quality of these epitaxially grown layers is studied by different characterization techniques. PL measurements indicate band edge emission peak at 363.8 nm and 312 nm for GaN and AlGaN layers ...

  12. Effects of process parameters on sheet resistance uniformity of fluorine-doped tin oxide thin films

    Science.gov (United States)

    Hudaya, Chairul; Park, Ji Hun; Lee, Joong Kee

    2012-01-01

    An alternative indium-free material for transparent conducting oxides of fluorine-doped tin oxide [FTO] thin films deposited on polyethylene terephthalate [PET] was prepared by electron cyclotron resonance - metal organic chemical vapor deposition [ECR-MOCVD]. One of the essential issues regarding metal oxide film deposition is the sheet resistance uniformity of the film. Variations in process parameters, in this case, working and bubbler pressures of ECR-MOCVD, can lead to a change in resistance uniformity. Both the optical transmittance and electrical resistance uniformity of FTO film-coated PET were investigated. The result shows that sheet resistance uniformity and the transmittance of the film are affected significantly by the changes in bubbler pressure but are less influenced by the working pressure of the ECR-MOCVD system.

  13. Selective epitaxial growth of Ge1-xSnx on Si by using metal-organic chemical vapor deposition

    Science.gov (United States)

    Washizu, Tomoya; Ike, Shinichi; Inuzuka, Yuki; Takeuchi, Wakana; Nakatsuka, Osamu; Zaima, Shigeaki

    2017-06-01

    Selective epitaxial growth of Ge and Ge1-xSnx layers on Si substrates was performed by using metal-organic chemical vapor deposition (MOCVD) with precursors of tertiary-butyl-germane (t-BGe) and tri-butyl-vinyl-tin (TBVSn). We investigated the effects of growth temperature and total pressure during growth on the selectivity and the crystallinity of the Ge and Ge1-xSnx epitaxial layers. Under low total pressure growth conditions, the dominant mechanism of the selective growth of Ge epitaxial layers is the desorption of the Ge precursors. At a high total pressure case, it is needed to control the surface migration of precursors to realize the selectivity because the desorption of Ge precursors was suppressed. The selectivity of Ge growth was improved by diffusion of the Ge precursors on the SiO2 surfaces when patterned substrates were used at a high total pressure. The selective epitaxial growth of Ge1-xSnx layer was also realized using MOCVD. We found that the Sn precursors less likely to desorb from the SiO2 surfaces than the Ge precursors.

  14. MOCVD growth of GaN layer on InN interlayer and relaxation of residual strain

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Keon-Hun; Park, Sung Hyun; Kim, Jong Hack; Kim, Nam Hyuk; Kim, Min Hwa [Department of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of); Na, Hyunseok [Department of Advanced Materials Science and Engineering, Daejin University, Pocheon, 487-711 (Korea, Republic of); Yoon, Euijoon, E-mail: eyoon@snu.ac.k [Department of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of); Department of Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Suwon 433-270 (Korea, Republic of)

    2010-09-01

    100 nm InN layer was grown on sapphire c-plane using a metal-organic chemical vapor deposition (MOCVD) system. Low temperature (LT) GaN layer was grown on InN layer to protect InN layer from direct exposure to hydrogen flow during high temperature (HT) GaN growth and/or abrupt decomposition. Subsequently, thick HT GaN layer (2.5 {mu}m thick) was grown at 1000 {sup o}C on LT GaN/InN/sapphire template. Microstructure of epilayer-substrate interface was investigated by transmission electron microscopy (TEM). From the high angle annular dark field TEM image, the growth of columnar structured LT GaN and HT GaN with good crystallinity was observed. Though thickness of InN interlayer is assumed to be about 100 nm based on growth rate, it was not clearly shown in TEM image due to the InN decomposition. The lattice parameters of GaN layers were measured by XRD measurement, which shows that InN interlayer reduces the compressive strain in GaN layer. The relaxation of compressive strain in GaN layer was also confirmed by photoluminescence (PL) measurement. As shown in the PL spectra, red shift of GaN band edge peak was observed, which indicates the reduction of compressive strain in GaN epilayer.

  15. A review of basic phenomena and techniques for sputter-deposition of high temperature superconducting films

    Energy Technology Data Exchange (ETDEWEB)

    Auciello, O. (Microelectronics Center of North Carolina, Research Triangle Park, NC (USA) North Carolina State Univ., Raleigh, NC (USA). Dept. of Materials Science and Engineering); Ameen, M.S.; Kingon, A.I.; Lichtenwalner, D.J. (North Carolina State Univ., Raleigh, NC (USA). Dept. of Materials Science and Engineering); Krauss, A.R. (Argonne National Lab., IL (USA))

    1990-01-01

    The processes involved in plasma and ion beam sputter-deposition of high temperature superconducting thin films are critically reviewed. Recent advances in the development of these techniques are discussed in relation to basic physical phenomena, specific to each technique, which must be understood before high quality films can be produced. Control of film composition is a major issue in sputter-deposition of multicomponent materials. Low temperature processing of films is a common goal for each technique, particularly in relation to integrating high temperature superconducting films with the current microelectronics technology. It has been understood for some time that for Y{sub 1}Ba{sub 2}Cu{sub 3}O{sub 7} deposition, the most intensely studied high-{Tc} compound, incorporation of sufficient oxygen into the film during deposition is necessary to produce as-deposited superconducting films at relatively substrate temperatures. Recent results have shown that with the use of suitable buffer layers, high quality Y{sub 1}Ba{sub 2}Cu{sub 3}O{sub 7} sputtered films can be obtained on Si substrates without the need for post-deposition anneal processing. This review is mainly focussed on issues related to sputter-deposition of Y{sub 1}Ba{sub 2}Cu{sub 3}O{sub 7} thin films, although representative results concerning the bismuth and thallium based compounds are included. 143 refs., 11 figs.

  16. Characterization of crystallinity of Ge{sub 1−x}Sn{sub x} epitaxial layers grown using metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Inuzuka, Yuki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Ike, Shinichi; Asano, Takanori [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Japan Society for the Promotion of Science, Chiyoda-ku, Tokyo 102-8472 (Japan); Takeuchi, Wakana [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Nakatsuka, Osamu, E-mail: nakatuka@alice.xtal.nagoya-u.ac.jp [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Zaima, Shigeaki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); EcoTopia Science Institute, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan)

    2016-03-01

    The epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer was examined using metal-organic chemical vapor deposition (MOCVD) with two types of Ge precursors; tetra-ethyl-germane (TEGe) and tertiary-butyl-germane (TBGe); and the Sn precursor tri-butyl-vinyl-tin (TBVSn). Though the growth of a Ge{sub 1−x}Sn{sub x} layer on a Ge(001) substrate by MOCVD has been reported, a high-Sn-content Ge{sub 1−x}Sn{sub x} layer and the exploration of MO material combinations for Ge{sub 1−x}Sn{sub x} growth have not been reported. Therefore, the epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer on Ge(001) and Si(001) substrates was examined using these precursors. The Ge{sub 1−x}Sn{sub x} layers were pseudomorphically grown on a Ge(001) substrate, while the Ge{sub 1−x}Sn{sub x} layer with a high degree of strain relaxation was obtained on a Si(001) substrate. Additionally, it was found that the two Ge precursors have different growth temperature ranges, where the TBGe could realize a higher growth rate at a lower growth temperature than the TEGe. The Ge{sub 1−x}Sn{sub x} layers grown using a combination of TBGe and TBVSn exhibited a higher crystalline quality and a smoother surface compared with the Ge{sub 1−x}Sn{sub x} layer prepared by low-temperature molecular beam epitaxy. In this study, a Ge{sub 1−x}Sn{sub x} epitaxial layer with a Sn content as high as 5.1% on a Ge(001) substrate was achieved by MOCVD at 300 °C. - Highlights: • Tertiary-butyl-germane and tri-butyl-vinyl-tin are suitable for Ge{sub 1−x}Sn{sub x} MOCVD growth. • We achieved a Sn content of 5.1% in Ge{sub 1−x}Sn{sub x} epitaxial layer on Ge(001). • The Ge{sub 1−x}Sn{sub x} layers grown on Ge and Si by MOCVD have high crystalline quality.

  17. Synthesis of conductive semi-transparent silver films deposited by a Pneumatically-Assisted Ultrasonic Spray Pyrolysis Technique

    Energy Technology Data Exchange (ETDEWEB)

    Zaleta-Alejandre, E.; Balderas-Xicoténcatl, R. [Centro de Investigación y de Estudios Avanzados-IPN, Departamento de Física, , Apdo. Postal 14-470, Del, Gustavo A. Madero, C.P. 07000, México, D.F. (Mexico); Arrieta, M.L. Pérez [Universidad Autónoma de Zacatecas, Unidad Académica de Física, Calzada Solidaridad esq. Paseo, La Bufa s/n, C.P. 98060, Zacatecas, México (Mexico); Meza-Rocha, A.N.; Rivera-Álvarez, Z. [Centro de Investigación y de Estudios Avanzados-IPN, Departamento de Física, , Apdo. Postal 14-470, Del, Gustavo A. Madero, C.P. 07000, México, D.F. (Mexico); Falcony, C., E-mail: cfalcony@fis.cinvestav.mx [Centro de Investigación y de Estudios Avanzados-IPN, Departamento de Física, , Apdo. Postal 14-470, Del, Gustavo A. Madero, C.P. 07000, México, D.F. (Mexico)

    2013-10-01

    Highlights: • We deposited metallic silver films without post-deposition annealing. • The spray pyrolysis technique is of low cost and scalable for industrial applications. • We obtained deposition rate of 60 nm min{sup −1} at 300 °C. • The average resistivity was 1E−7 Ω m. • Semi-transparent silver films were obtained at 350 °C and deposition time of 45 s. -- Abstract: The synthesis and characterization of nanostructured silver films deposited on corning glass by a deposition technique called Pneumatically-Assisted Ultrasonic Spray Pyrolysis are reported. Silver nitrate and triethanolamine were used as silver precursor and reducer agent, respectively. The substrate temperatures during deposition were in the range of 300–450 °C and the deposition times from 30 to 240 s. The deposited films are polycrystalline with cubic face-centered structure, and crystalline grain size less than 30 nm. Deposition rates up to 600 Å min{sup −1} were obtained at substrate temperature as low as 300 °C. The electrical, optical, and morphological properties of these films are also reported. Semi-transparent conductive silver films were obtained at 350 °C with a deposition time of 45 s.

  18. Synthesis of conductive semi-transparent silver films deposited by a Pneumatically-Assisted Ultrasonic Spray Pyrolysis Technique

    International Nuclear Information System (INIS)

    Zaleta-Alejandre, E.; Balderas-Xicoténcatl, R.; Arrieta, M.L. Pérez; Meza-Rocha, A.N.; Rivera-Álvarez, Z.; Falcony, C.

    2013-01-01

    Highlights: • We deposited metallic silver films without post-deposition annealing. • The spray pyrolysis technique is of low cost and scalable for industrial applications. • We obtained deposition rate of 60 nm min −1 at 300 °C. • The average resistivity was 1E−7 Ω m. • Semi-transparent silver films were obtained at 350 °C and deposition time of 45 s. -- Abstract: The synthesis and characterization of nanostructured silver films deposited on corning glass by a deposition technique called Pneumatically-Assisted Ultrasonic Spray Pyrolysis are reported. Silver nitrate and triethanolamine were used as silver precursor and reducer agent, respectively. The substrate temperatures during deposition were in the range of 300–450 °C and the deposition times from 30 to 240 s. The deposited films are polycrystalline with cubic face-centered structure, and crystalline grain size less than 30 nm. Deposition rates up to 600 Å min −1 were obtained at substrate temperature as low as 300 °C. The electrical, optical, and morphological properties of these films are also reported. Semi-transparent conductive silver films were obtained at 350 °C with a deposition time of 45 s

  19. A comparative study of two advanced spraying techniques for the deposition of biologically active enzyme coatings onto bone-substituting implants

    International Nuclear Information System (INIS)

    Jonge, Lise T. de; Ju, J.; Leeuwenburgh, S.C.G.; Yamagata, Y.; Higuchi, T.; Wolke, J.G.C.; Inoue, K.; Jansen, J.A.

    2010-01-01

    Surface modification of implant materials with biomolecule coatings is of high importance to improve implant fixation in bone tissue. In the current study, we present two techniques for the deposition of biologically active enzyme coatings onto implant materials. The well-established thin film ElectroSpray Deposition (ESD) technique was compared with the SAW-ED technique that combines high-frequency Surface Acoustic Wave atomization with Electrostatic Deposition. By immobilizing the enzyme alkaline phosphatase (ALP) onto implant surfaces, the influence of both SAW-ED and ESD deposition parameters on ALP deposition efficiency and ALP biological activity was investigated. ALP coatings with preserved enzyme activity were deposited by means of both the SAW-ED and ESD technique. The advantages of SAW-ED over ESD include the possibility to spray highly conductive protein solutions, and the 60-times faster deposition rate. Furthermore, significantly higher deposition efficiencies were observed for the SAW-ED technique compared to ESD. Generally, it was shown that protein inactivation is highly dependent on both droplet dehydration and the applied electrical field strength. The current study shows that SAW-ED is a versatile and flexible technique for the fabrication of functionally active biomolecule coatings.

  20. Uranium solution mining cost estimating technique: means for rapid comparative analysis of deposits

    International Nuclear Information System (INIS)

    Anon.

    1978-01-01

    Twelve graphs provide a technique for determining relative cost ranges for uranium solution mining projects. The use of the technique can provide a consistent framework for rapid comparative analysis of various properties of mining situations. The technique is also useful to determine the sensitivities of cost figures to incremental changes in mining factors or deposit characteristics

  1. Lg = 100 nm T-shaped gate AlGaN/GaN HEMTs on Si substrates with non-planar source/drain regrowth of highly-doped n+-GaN layer by MOCVD

    International Nuclear Information System (INIS)

    Huang Jie; Li Ming; Tang Chak-Wah; Lau Kei-May

    2014-01-01

    High-performance AlGaN/GaN high electron mobility transistors (HEMTs) grown on silicon substrates by metal—organic chemical-vapor deposition (MOCVD) with a selective non-planar n-type GaN source/drain (S/D) regrowth are reported. A device exhibited a non-alloyed Ohmic contact resistance of 0.209 Ω·mm and a comprehensive transconductance (g m ) of 247 mS/mm. The current gain cutoff frequency f T and maximum oscillation frequency f MAX of 100-nm HEMT with S/D regrowth were measured to be 65 GHz and 69 GHz. Compared with those of the standard GaN HEMT on silicon substrate, the f T and f MAX is 50% and 52% higher, respectively. (interdisciplinary physics and related areas of science and technology)

  2. Geochemical Exploration Techniques Applicable in the Search for Copper Deposits

    Science.gov (United States)

    Chaffee, Maurice A.

    1975-01-01

    Geochemical exploration is an important part of copper-resource evaluation. A large number of geochemical exploration techniques, both proved and untried, are available to the geochemist to use in the search for new copper deposits. Analyses of whole-rock samples have been used in both regional and local geochemical exploration surveys in the search for copper. Analyses of mineral separates, such as biotite, magnetite, and sulfides, have also been used. Analyses of soil samples are widely used in geochemical exploration, especially for localized surveys. It is important to distinguish between residual and transported soil types. Orientation studies should always be conducted prior to a geochemical investigation in a given area in order to determine the best soil horizon and the best size of soil material for sampling in that area. Silty frost boils, caliche, and desert varnish are specialized types of soil samples that might be useful sampling media. Soil gas is a new and potentially valuable geochemical sampling medium, especially in exploring for buried mineral deposits in arid regions. Gaseous products in samples of soil may be related to base-metal deposits and include mercury vapor, sulfur dioxide, hydrogen sulfide, carbon oxysulfide, carbon dioxide, hydrogen, oxygen, nitrogen, the noble gases, the halogens, and many hydrocarbon compounds. Transported materials that have been used in geochemical sampling programs include glacial float boulders, glacial till, esker gravels, stream sediments, stream-sediment concentrates, and lake sediments. Stream-sediment sampling is probably the most widely used and most successful geochemical exploration technique. Hydrogeochemical exploration programs have utilized hot- and cold-spring waters and their precipitates as well as waters from lakes, streams, and wells. Organic gel found in lakes and at stream mouths is an unproved sampling medium. Suspended material and dissolved gases in any type of water may also be useful

  3. Wafer-level MOCVD growth of AlGaN/GaN-on-Si HEMT structures with ultra-high room temperature 2DEG mobility

    Directory of Open Access Journals (Sweden)

    Xiaoqing Xu

    2016-11-01

    Full Text Available In this work, we investigate the influence of growth temperature, impurity concentration, and metal contact structure on the uniformity and two-dimensional electron gas (2DEG properties of AlGaN/GaN high electron mobility transistor (HEMT structure grown by metal-organic chemical vapor deposition (MOCVD on 4-inch Si substrate. High uniformity of 2DEG mobility (standard deviation down to 0.72% across the radius of the 4-inch wafer has been achieved, and 2DEG mobility up to 1740.3 cm2/V⋅s at room temperature has been realized at low C and O impurity concentrations due to reduced ionized impurity scattering. The 2DEG mobility is further enhanced to 2161.4 cm2/V⋅s which is comparable to the highest value reported to date when the contact structure is switched from a square to a cross pattern due to reduced piezoelectric scattering at lower residual strain. This work provides constructive insights and promising results to the field of wafer-scale fabrication of AlGaN/GaN HEMT on Si.

  4. Effect of Ag doping on the structural, electrical and optical properties of ZnO grown by MOCVD at different substrate temperatures

    Science.gov (United States)

    Ievtushenko, A.; Karpyna, V.; Eriksson, J.; Tsiaoussis, I.; Shtepliuk, I.; Lashkarev, G.; Yakimova, R.; Khranovskyy, V.

    2018-05-01

    ZnO films and nanostructures were deposited on Si substrates by MOCVD using single source solid state zinc acetylacetonate (Zn(AA)) precursor. Doping by silver was realized in-situ via adding 1 and 10 wt. % of Ag acetylacetonate (Ag(AA)) to zinc precursor. Influence of Ag on the microstructure, electrical and optical properties of ZnO at temperature range 220-550 °C was studied by scanning, transmission electron and Kelvin probe force microscopy, photoluminescence and four-point probe electrical measurements. Ag doping affects the ZnO microstructure via changing the nucleation mode into heterogeneous and thus transforming the polycrystalline films into a matrix of highly c-axis textured hexagonally faceted nanorods. Increase of the work function value from 4.45 to 4.75 eV was observed with Ag content increase, which is attributed to Ag behaviour as a donor impurity. It was observed, that near-band edge emission of ZnO NS was enhanced with Ag doping as a result of quenching deep-level emission. Upon high doping of ZnO by Ag it tends to promote the formation of basal plane stacking faults defect, as it was observed by HR TEM and PL study in the case of 10 wt.% of Ag. Based on the results obtained, it is suggested that NS deposition at lower temperatures (220-300 °C) is more favorable for p-type doping of ZnO.

  5. Control of residual carbon concentration in GaN high electron mobility transistor and realization of high-resistance GaN grown by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    He, X.G. [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, P.O. Box 912, Beijing 100083 (China); Zhao, D.G., E-mail: dgzhao@red.semi.ac.cn [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, P.O. Box 912, Beijing 100083 (China); Jiang, D.S.; Liu, Z.S.; Chen, P.; Le, L.C.; Yang, J.; Li, X.J. [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, P.O. Box 912, Beijing 100083 (China); Zhang, S.M.; Zhu, J.J.; Wang, H.; Yang, H. [Suzhou Institute of Nano-tech and Nano-bionics, Chinese Academy of Sciences, Suzhou 215125 (China)

    2014-08-01

    GaN films were grown by metal-organic chemical vapor deposition (MOCVD) under various growth conditions. The influences of MOCVD growth parameters, i.e., growth pressure, ammonia (NH{sub 3}) flux, growth temperature, trimethyl-gallium flux and H{sub 2} flux, on residual carbon concentration ([C]) were systematically investigated. Secondary ion mass spectroscopy measurements show that [C] can be effectively modulated by growth conditions. Especially, it can increase by reducing growth pressure up to two orders of magnitude. High-resistance (HR) GaN epilayer with a resistivity over 1.0 × 10{sup 9} Ω·cm is achieved by reducing growth pressure. The mechanism of the formation of HR GaN epilayer is discussed. An Al{sub x}Ga{sub 1−x}N/GaN high electron mobility transistor structure with a HR GaN buffer layer and an additional low-carbon GaN channel layer is presented, exhibiting a high two dimensional electron gas mobility of 1815 cm{sup 2}/Vs. - Highlights: • Influence of MOCVD parameters on residual carbon concentration in GaN is studied. • GaN layer with a resistivity over 1 × 10{sup 9} Ω·cm is achieved by reducing growth pressure. • High electron mobility transistor (HEMT) structures were prepared. • Control of residual carbon content results in HEMT with high 2-D electron gas mobility.

  6. In2Ga2ZnO7 oxide semiconductor based charge trap device for NAND flash memory

    Science.gov (United States)

    Hwang, Eun Suk; Kim, Jun Shik; Jeon, Seok Min; Lee, Seung Jun; Jang, Younjin; Cho, Deok-Yong; Hwang, Cheol Seong

    2018-04-01

    The programming characteristics of charge trap flash memory device adopting amorphous In2Ga2ZnO7 (a-IGZO) oxide semiconductors as channel layer were evaluated. Metal-organic chemical vapor deposition (MOCVD) and RF-sputtering processes were used to grow a 45 nm thick a-IGZO layer on a 20 nm thick SiO2 (blocking oxide)/p++-Si (control gate) substrate, where 3 nm thick atomic layer deposited Al2O3 (tunneling oxide) and 5 nm thick low-pressure CVD Si3N4 (charge trap) layers were intervened between the a-IGZO and substrate. Despite the identical stoichiometry and other physicochemical properties of the MOCVD and sputtered a-IGZO, a much faster programming speed of MOCVD a-IGZO was observed. A comparable amount of oxygen vacancies was found in both MOCVD and sputtered a-IGZO, confirmed by x-ray photoelectron spectroscopy and bias-illumination-instability test measurements. Ultraviolet photoelectron spectroscopy analysis revealed a higher Fermi level (E F) of the MOCVD a-IGZO (∼0.3 eV) film than that of the sputtered a-IGZO, which could be ascribed to the higher hydrogen concentration in the MOCVD a-IGZO film. Since the programming in a flash memory device is governed by the tunneling of electrons from the channel to charge trapping layer, the faster programming performance could be the result of a higher E F of MOCVD a-IGZO.

  7. Deposition of porous cathodes using plasma spray technique for reduced-temperature SOFCs

    Energy Technology Data Exchange (ETDEWEB)

    Jankovic, J.; Hui, S.; Roller, J.; Kesler, O.; Xie, Y.; Maric, R.; Ghosh, D. [National Research Council of Canada, Vancouver, BC (Canada). Inst. for Fuel Cell Innovation

    2005-07-01

    Current techniques for Solid Oxide Fuel Cell (SOFC) materials deposition are often expensive and time-consuming. Plasma-spraying techniques provide higher deposition rates, short processing times and control over porosity and composition during deposition. Optimum plasma spraying for lanthanum based cathode materials were discussed. Plasma-spraying was used to deposit cathode materials onto ceramic and stainless steel substrates to obtain highly porous structures. Lanthanum cathode materials with composition of La{sub 0.6}Sr{sub 0.4}C{sub 0.2}Fe{sub 0.8}O{sub 3} were employed in the powder form. The powder was prepared from powder precursors with different power formers and binder levels, or from produced single-phase lanthanum powders. The (La{sub 0.8}Sr{sub 0.2}){sub 0.98}MnO{sub 3} cathode material was also processed for comparison purposes. The deposition process was developed to obtain coatings with good bond strength, porosity, film thickness and residual stresses. The phase and microstructure of deposited materials were characterized using X-Ray Diffraction and Scanning Electron Microscopy (SEM). It was concluded that good flow of the powder precursors is achieved by spraying 50-100 um particle size powders and using vibrating feeders. Further processing of the spraying powders was recommended. It was noted that oxide precursors showed greater reactivity among the precursors. The best precursor reactivity and coating morphology was obtained using 40 volume per cent of graphite pore former, incorporated into the precursor mixture during wet ball milling. It was concluded that higher power levels and larger distances between the plasma gun and the substrate result in coatings with the highest porosities and best phase compositions. 5 refs., 1 tab., 6 figs.

  8. Superhydrophobic polytetrafluoroethylene thin films with hierarchical roughness deposited using a single step vapor phase technique

    International Nuclear Information System (INIS)

    Gupta, Sushant; Arjunan, Arul Chakkaravarthi; Deshpande, Sameer; Seal, Sudipta; Singh, Deepika; Singh, Rajiv K.

    2009-01-01

    Superhydrophobic polytetrafluoroethylene films with hierarchical surface roughness were deposited using pulse electron deposition technique. We were able to modulate roughness of the deposited films by controlling the beam energy and hence the electron penetration depth. The films deposited at higher beam energy showed contact angle as high as 166 o . The scanning electron and atomic force microscope studies revealed clustered growth and two level sub-micron asperities on films deposited at higher energies. Such dual-scale hierarchical roughness and heterogeneities at the water-surface interface was attributed to the observed contact angle and thus its superhydrophobic nature.

  9. Superhydrophobic polytetrafluoroethylene thin films with hierarchical roughness deposited using a single step vapor phase technique

    Energy Technology Data Exchange (ETDEWEB)

    Gupta, Sushant, E-mail: sushant3@ufl.ed [Department of Materials Science and Engineering, University of Florida, Gainesville, FL 32611 (United States); Arjunan, Arul Chakkaravarthi [Sinmat Incorporated, 2153 SE Hawthorne Road, 129, Gainesville, Florida 32641 (United States); Deshpande, Sameer; Seal, Sudipta [Advanced Material Processing and Analysis Center, University of Central Florida, Orlando, Florida 32816 (United States); Singh, Deepika [Sinmat Incorporated, 2153 SE Hawthorne Road, 129, Gainesville, Florida 32641 (United States); Singh, Rajiv K. [Department of Materials Science and Engineering, University of Florida, Gainesville, FL 32611 (United States)

    2009-06-30

    Superhydrophobic polytetrafluoroethylene films with hierarchical surface roughness were deposited using pulse electron deposition technique. We were able to modulate roughness of the deposited films by controlling the beam energy and hence the electron penetration depth. The films deposited at higher beam energy showed contact angle as high as 166{sup o}. The scanning electron and atomic force microscope studies revealed clustered growth and two level sub-micron asperities on films deposited at higher energies. Such dual-scale hierarchical roughness and heterogeneities at the water-surface interface was attributed to the observed contact angle and thus its superhydrophobic nature.

  10. Tailoring properties of lossy-mode resonance optical fiber sensors with atomic layer deposition technique

    Science.gov (United States)

    Kosiel, Kamil; Koba, Marcin; Masiewicz, Marcin; Śmietana, Mateusz

    2018-06-01

    The paper shows application of atomic layer deposition (ALD) technique as a tool for tailoring sensorial properties of lossy-mode-resonance (LMR)-based optical fiber sensors. Hafnium dioxide (HfO2), zirconium dioxide (ZrO2), and tantalum oxide (TaxOy), as high-refractive-index dielectrics that are particularly convenient for LMR-sensor fabrication, were deposited by low-temperature (100 °C) ALD ensuring safe conditions for thermally vulnerable fibers. Applicability of HfO2 and ZrO2 overlays, deposited with ALD-related atomic level thickness accuracy for fabrication of LMR-sensors with controlled sensorial properties was presented. Additionally, for the first time according to our best knowledge, the double-layer overlay composed of two different materials - silicon nitride (SixNy) and TaxOy - is presented for the LMR fiber sensors. The thin films of such overlay were deposited by two different techniques - PECVD (the SixNy) and ALD (the TaxOy). Such approach ensures fast overlay fabrication and at the same time facility for resonant wavelength tuning, yielding devices with satisfactory sensorial properties.

  11. YSZ thin films deposited on NiO-CSZ anodes by pulsed injection MOCVD for intermediate temperature-SOFC applications

    International Nuclear Information System (INIS)

    Garcia, G.; Pardo, J.A.; Santiso, J.; Merino, R.I.; Orera, V.M.; Larrea, A.; Pena, J.I.; Laguna-Bercero, M.A.; Figueras, A.

    2004-01-01

    Yttria-stabilized zirconia (YSZ) films are prepared on NiO-CaSZ by PIMOCVD (pulsed injection metal organic chemical vapor deposition). High quality, 5 to 10 μm thick, totally dense YSZ layers are prepared by controlling the oxygen partial pressure during the deposition. YSZ solid electrolyte deposition onto Ni-YSZ eutectic substrate is found to be a promising combination with regard to intermediate-temperature solid-oxide fuel cell applications. (Abstract Copyright [2004], Wiley Periodicals, Inc.)

  12. Electrically conducting oxide buffer layers on biaxially textured nickel alloy tapes by reel-to-reel MOCVD process

    International Nuclear Information System (INIS)

    Stadel, O; Samoilenkov, S V; Muydinov, R Yu; Schmidt, J; Keune, H; Wahl, G; Gorbenko, O Yu; Korsakov, I E; Melnikov, O V; Kaul, A R

    2006-01-01

    Reel-to-reel MOCVD process for continuous growth of electrically conducting buffer layers on biaxially textured Ni5W tapes has been developed. The new buffer layer architechture is presented: 200 nm (La, Ba) 2 CuO 4 /40 nm (La, Ba)MnO 3 /Ni5W. Constituting layers with high structural quality have been grown on moving tapes (in plane FWHM ≤ 6 0 and out of plane FWHM ≤ 3 0 )

  13. Microdroplet deposition through a film-free laser forward printing technique

    International Nuclear Information System (INIS)

    Patrascioiu, A.; Fernández-Pradas, J.M.; Morenza, J.L.; Serra, P.

    2012-01-01

    Highlights: ► Circular droplets are obtained for a wide range of focusing depths at fixed energy. ► Focusing depth variation study reveals two abrupt transitions in droplet diameter. ► Liquid ejection mechanism is mediated by two types of jets of different origin. ► Evolution of jets depends on the focusing depth accounting for the seen transitions. - Abstract: A recently developed film-free laser forward microprinting technique allows printing transparent and weakly absorbing liquids with high resolution and reproducibility. Its operating principle consists in the tight focusing of ultrashort laser pulses inside the liquid, and near its free surface, such that all the laser energy is absorbed in a small region around the beam waist. A cavitation bubble is then created inside the liquid, whose subsequent expansion results into the ejection of liquid. The collection of the ejected liquid on a substrate leads to the deposition of micron-sized droplets. In this work, we investigate a relevant process parameter of the technique, namely the laser focusing depth, and its influence on the morphology of the deposited droplets. The study reveals that for a fixed laser pulse energy there exists a relatively wide range of focusing depths at which circular and uniform droplets can be printed. The process of liquid ejection is also investigated. Time-resolved images reveal that liquid ejection proceeds through the formation of two kinds of jets which display clearly differentiated dynamics, and which could provide an interpretation for the dependence observed between the morphology of the deposited droplets and the laser focusing depth.

  14. Heteroepitaxial growth of Ba1 - xSrxTiO3/YBa2Cu3O7 - x by plasma-enhanced metalorganic chemical vapor deposition

    Science.gov (United States)

    Chern, C. S.; Liang, S.; Shi, Z. Q.; Yoon, S.; Safari, A.; Lu, P.; Kear, B. H.; Goodreau, B. H.; Marks, T. J.; Hou, S. Y.

    1994-06-01

    Epitaxial Ba1-xSrxTiO3(BST)/YBa2Cu3O7-x heterostructures with superior electrical and dielectric properties have been fabricated by plasma-enhanced metalorganic chemical vapor deposition (PE-MOCVD). Data of x-ray diffraction and high resolution transmission electron microscopy showed that oriented Ba1-xSrxTiO3 layers were epitaxially deposited on epitaxial (001) YBa2Cu3O7-x layers. The leakage current density through the Ba1-xSrxTiO3 films was about 10-7 A/cm2 at 2 V (about 2×105 V/cm) operation. Moreover, the results of capacitance-temperature measurements showed that the PE-MOCVD Ba1-xSrxTiO3 films had Curie temperatures of about 30 °C and a peak dielectric constant of 600 at zero bias voltage. The Rutherford backscattering spectrometry and x-ray diffraction results showed that the BST film composition was controlled between Ba0.75Sr0.25TiO3 and Ba0.8Sr0.2TiO3. The structural and electrical properties of the Ba1-xSrxTiO3/YBa2Cu3O7-x heterostructure indicated that conductive oxide materials with close lattice to Ba1-xSrxTiO3 can be good candidates for the bottom electrode.

  15. Doping characteristics of Si-doped n-GaN Epilayers grown by low-pressure metal-organic chemical-vapor deposition

    CERN Document Server

    Noh, S K; Park, S E; Lee, I H; Choi, I H; Son, S J; Lim, K Y; Lee, H J

    1998-01-01

    We studied doping behaviors through analysis of the electronic properties of a series of undoped and Si-doped GaN epilayers grown on (0001) sapphire substrates by the low-pressure metal-organic chemical-vapor deposition (LP-MOCVD) technique. The doping efficiency was in the range of 0.4 - 0.8, and an empirical relation expressed as eta = 0.45 log[Si] - 8.1 was obtained. The temperature dependence of carrier concentration showed that the donor activation energy monotonically decreased from 17.6 meV to almost zero as the doping level increased. We suggest that the reduction in the activation energy is related not to autodoped defect centers but to doped Si donors and that the behavior originates from the formation of an impurity band. On the basis of an abrupt change in the compensation ratio from 0.9 to 0.5 by Si-doping, an exceptional difference in the Hall mobility between the undoped and the Si-doped films is explained by a mixed conduction mechanism of electrons and holes.

  16. Comparative study of CdTe sources used for deposition of CdTe thin films by close spaced sublimation technique

    Directory of Open Access Journals (Sweden)

    Wagner Anacleto Pinheiro

    2006-03-01

    Full Text Available Unlike other thin film deposition techniques, close spaced sublimation (CSS requires a short source-substrate distance. The kind of source used in this technique strongly affects the control of the deposition parameters, especially the deposition rate. When depositing CdTe thin films by CSS, the most common CdTe sources are: single-crystal or polycrystalline wafers, powders, pellets or pieces, a thick CdTe film deposited onto glass or molybdenum substrate (CdTe source-plate and a sintered CdTe powder. In this work, CdTe thin films were deposited by CSS technique from different CdTe sources: particles, powder, compact powder, a paste made of CdTe and propylene glycol and source-plates (CdTe/Mo and CdTe/glass. The largest deposition rate was achieved when a paste made of CdTe and propylene glycol was used as the source. CdTe source-plates led to lower rates, probably due to the poor heat transmission, caused by the introduction of the plate substrate. The results also showed that compacting the powder the deposition rate increases due to the better thermal contact between powder particles.

  17. Novel geochemical techniques integrated in exploration for uranium deposits at depth

    International Nuclear Information System (INIS)

    Kyser, K.

    2014-01-01

    Mineral deposits are in fact geochemical anomalies, and as such their detection and assessment of their impact on the environment should be facilitated using geochemical techniques. Although geochemistry has been used directly in the discovery of uranium deposits and more indirectly in shaping deposit models, the novel applications of geochemistry and integration with other data can be more effective in formulating exploration and remediation strategies. Recent research on the use of geochemistry in detecting uranium deposits at depth include: (1) more effective integration of geochemical with geophysical data to refine targets, (2) revealing element distributions in and around deposits to adequately assess the total chemical environment associated with the deposit, (3) the use of element tracing using elemental concentrations and isotopic compositions in the near surface environment to detect specific components that have migrated to the surface from uranium deposits at depth, (4) understand the effects of both macro- and micro-environments on element mobility across the geosphere-biosphere interface to enhance exploration using select media for uranium at depth. Geophysical data used in exploration can identify areas of conductors where redox contrasts may host mineralization, structures that act to focus fluids during formation of the deposits and act as conduits for element migration to the surface, and contrasts in geology that are required for the deposits. However, precision of these data is greatly diminished with depth, but geochemical data from drill core or surface media can enhance target identification when integrated with geophysical data. Geochemical orientation surveys over known unconformity-related deposits at depth clearly identify mineralization 900m deep. Drill core near the deposit, clay-size fractions separated from soil horizons and vegetation over and far from the deposit record element migration from the deposit as radiogenic He, Rn and Pb

  18. A Effect discussion of transient electromagnetic sounding technique in paleochannel-type sandstone-hosted uranium deposit

    International Nuclear Information System (INIS)

    Yang Jianchun; Fang Genxian; Yang Yaxin

    2003-01-01

    On the base of the application of transient electromagnetic technique of paleochannel-type sandstone-hosted uranium deposits in Tengchong region of Yunan Province, this paper analyses the detect example. It discusses the theory foundation of TEM, fieldwork means, data processing and interpret. By contrast with routine electricity farad, the transient electromagnetic technique have the special merit and favorable space resolve gender under conditions of intricacy terrain. This means can get good effect in detecting paleochannel-type sandstone-hosted uranium deposits space position. It is a good reference for other prospecting and exploration work

  19. Techniques for freeing deposited canisters. Final report

    International Nuclear Information System (INIS)

    Kalbantner, P.; Sjoeblom, R.

    2000-06-01

    Four different techniques for removal of the bentonite buffer around a deposited canister have been identified, studied and evaluated: mechanical, hydrodynamical, thermal, and electrical techniques. Different techniques to determine the position of the canister in the buffer have also been studied: mechanical, electromagnetic, thermal and acoustic techniques. The mechanical techniques studied are full-face boring, milling and core-drilling. It is expected that the bentonite can be machined relatively easily. It is assessed that cooling by means of flushing water over the outer surfaces of the tools is not feasible in view of the tendency of bentonite to form a gel. The mechanical techniques are characterized by the potential of damaging the canister, a high degree of complexity, and high requirements of energy/power input. The generated byproduct is solid and cannot be removed by means of flushing. Removal is assessed to be simplest in conjunction with full-face boring and most difficult when coredrilling is applied. The hydrodynamical techniques comprise high-pressure hydrodynamic techniques, where pressures above and below 100 bar, and low pressure hydrodynamical techniques (< 10 bar) are separated. At pressures above 100 bar, a water jet with a diameter of approximately a millimetre cuts through the material. If desired, sand can be added to the jet. At pressures below 100 bar the jet has a diameter of one or a few centimetres. The liquid contains a few percent of salt, which is essential for the efficiency of the process. The flushing is important not only because it removes the modified bentonite but also because it frees previously unaffected bentonite and thereby makes it accessible to chemical modification. All of the hydrodynamical techniques are applicable for freeing the end surface as well as the mantle surface. The degree of complexity and the requirement on energy/power decrease with a decrease in pressure. A significant potential for damaging the

  20. Microdroplet deposition through a film-free laser forward printing technique

    Energy Technology Data Exchange (ETDEWEB)

    Patrascioiu, A.; Fernandez-Pradas, J.M.; Morenza, J.L. [Departament de Fisica Aplicada i Optica, Universitat de Barcelona, Marti i Franques 1, 08028 Barcelona (Spain); Serra, P., E-mail: pserra@ub.edu [Departament de Fisica Aplicada i Optica, Universitat de Barcelona, Marti i Franques 1, 08028 Barcelona (Spain)

    2012-09-15

    Highlights: Black-Right-Pointing-Pointer Circular droplets are obtained for a wide range of focusing depths at fixed energy. Black-Right-Pointing-Pointer Focusing depth variation study reveals two abrupt transitions in droplet diameter. Black-Right-Pointing-Pointer Liquid ejection mechanism is mediated by two types of jets of different origin. Black-Right-Pointing-Pointer Evolution of jets depends on the focusing depth accounting for the seen transitions. - Abstract: A recently developed film-free laser forward microprinting technique allows printing transparent and weakly absorbing liquids with high resolution and reproducibility. Its operating principle consists in the tight focusing of ultrashort laser pulses inside the liquid, and near its free surface, such that all the laser energy is absorbed in a small region around the beam waist. A cavitation bubble is then created inside the liquid, whose subsequent expansion results into the ejection of liquid. The collection of the ejected liquid on a substrate leads to the deposition of micron-sized droplets. In this work, we investigate a relevant process parameter of the technique, namely the laser focusing depth, and its influence on the morphology of the deposited droplets. The study reveals that for a fixed laser pulse energy there exists a relatively wide range of focusing depths at which circular and uniform droplets can be printed. The process of liquid ejection is also investigated. Time-resolved images reveal that liquid ejection proceeds through the formation of two kinds of jets which display clearly differentiated dynamics, and which could provide an interpretation for the dependence observed between the morphology of the deposited droplets and the laser focusing depth.

  1. The influence of inhalation technique on Technegas particle deposition and image appearance in normal volunteers

    International Nuclear Information System (INIS)

    Lloyd, J.J.; James, J.M.; Shields, R.A.; Testa, H.J.

    1994-01-01

    The aim of this work was to investigate the influence of inhalation technique on Technegas image quality and on fractional particle deposition. This was investigated in six normal volunteers using three different types of breathing pattern. Fractional deposition was determined by analysis of dynamic gamma camera images acquired during Technegas administration. Static lung images were subsequently acquired and assessed independently by three experienced observers. High-quality images were obtained in all cases although slight differences were noted. The images produced using a slow deep inspiration with a breath hold (i.e. the standard method) were of more uniform texture and also had the least gradient in activity from apex to base. The converse was true for a rapid inhalation technique. The average fractional deposition per breath was 55%, but this varied between individuals and with breathing pattern, being most influenced by the total duration of a breath. We conclude that for patient studies the standard inhalation technique is best, although variation to suit individual patients would be acceptable. (orig./MG)

  2. Photoluminescence and photoluminescence excitation studies in 80 MeV Ni ion irradiated MOCVD grown GaN

    Energy Technology Data Exchange (ETDEWEB)

    Devaraju, G. [School of Physics, University of Hyderabad, Central University P.O., Hyderabad 500 046 (India); Pathak, A.P., E-mail: appsp@uohyd.ernet.in [School of Physics, University of Hyderabad, Central University P.O., Hyderabad 500 046 (India); Srinivasa Rao, N.; Saikiran, V. [School of Physics, University of Hyderabad, Central University P.O., Hyderabad 500 046 (India); Enrichi, Francesco [Coordinamento Interuniversitario Veneto per le Nanotecnologie (CIVEN), via delle Industrie 5, Marghera, I-30175Venice (Italy); Trave, Enrico [Dipartimento di Chimica Fisica, Universita Ca' Foscari Venezia, Dorsoduro 2137, I-30123 Venice (Italy)

    2011-09-01

    Highlights: {yields} MOCVD grown GaN samples are irradiated with 80 MeV Ni ions at room temperature. {yields} PL and PLE studies have been carried out for band to band, BL and YL emissions. {yields} Ni ions irradiated GaN shows BL band at 450 nm besides YL band. {yields} Radiation annealed Ga vacancies have quenching effect on YL intensity. {yields} We speculated that BL and YL are associated with N and Ga vacancies, respectively. - Abstract: We report damage creation and annihilation under energetic ion bombardment at a fixed fluence. MOCVD grown GaN thin films were irradiated with 80 MeV Ni ions at a fluence of 1 x 10{sup 13} ions/cm{sup 2}. Irradiated GaN thin films were subjected to rapid thermal annealing for 60 s in nitrogen atmosphere to anneal out the defects. The effects of defects on luminescence were explored with photoluminescence measurements. Room temperature photoluminescence spectra from pristine sample revealed presence of band to band transition besides unwanted yellow luminescence. Irradiated GaN does not show any band to band transition but there is a strong peak at 450 nm which is attributed to ion induced defect blue luminescence. However, irradiated and subsequently annealed samples show improved band to band transitions and a significant decrease in yellow luminescence intensity due to annihilation of defects which were created during irradiation. Irradiation induced effects on yellow and blue emissions are discussed.

  3. On the deposition parameters of indium oxide (IO) and tin oxide (TO) by reactive evaporation technique

    International Nuclear Information System (INIS)

    Hassan, F.; Abdullah, A.H.; Salam, R.

    1990-01-01

    Thin films of tin oxide (TO) and indium oxide (IO) are prepared by the reactive evaporation technique, where indium or tin sources are evaporated and made to react with oxygen gas injected close to the substrate. In both depositions a substrate temperature of 380 0 C and a chamber pressure of 2x10 -5 mbar are utilized, but however different oxygen flow rates has been maintained. For TO, the deposition rate is found to be constant up to about 55 minutes of deposition time with a deposition rate of about 0.10 A/s, but for longer deposition time the deposition rate increases rapidly up to about 0.30 A/s. The IO displays a higher deposition rate of about 0.80 A/s over a deposition time 30 minutes, beyond which the deposition rate increases gradually

  4. Properties of recent IBAD-MOCVD Coated Conductors relevant to their high field, low temperature magnet use

    OpenAIRE

    Braccini, V; Xu, A; Jaroszynski, J; Xin, Y; Larbalestier, D C; Chen, Y; Carota, G; Dackow, J; Kesgin, I; Yao, Y; Guevara, A; Shi, T; Selvamanickam, V

    2010-01-01

    BaZrO3 (BZO) nanorods are now incorporated into production IBAD-MOCVD coated conductors. Here we compare several examples of both BZO-free and BZO-containing coated conductors using critical current (Ic) characterizations at 4.2 K over their full angular range up to fields of 31 T. We find that BZO nanorods do not produce any c-axis distortion of the critical current density Jc(theta) curve at 4.2 K at any field, but also that pinning is nevertheless strongly enhanced compared to the non-BZO ...

  5. MOCVD Growth and Characterization of n-type Zinc Oxide Thin Films

    Science.gov (United States)

    Ben-Yaacov, Tammy

    In the past decade, there has been widespread effort in the development of zinc oxide as a II-V1 semiconductor material. ZnO has potential advantages in optoelectronip device applications due to its unique electrical and optical properties. What stands out among these properties is its wide direct bandgap of 3.37 eV and its high electrical conductivity and transparency in the visible and near-UV regions of the spectrum. ZnO can be grown heteroepitaxially on GaN under near lattice-matched conditions and homoepitaxially as well, as high-quality bulk ZnO substrates are commercially available. This dissertation focuses on the development of the growth of high-quality, single crystal n-type ZnO films, control of n-type conductivity, as well as its application as a transparent contact material in GaN-based devices. The first part of this dissertation is an extensive heteroepitaxial and homoepitaxial growth study presenting the properties of ZnO(0001) layers grown on GaN(0001) templates and ZnO(0001) substrates. We show that deposition on GaN requires a two-step growth technique involving the growth of a low temperature nucleation layer before growing a high temperature epitaxial layer in order to obtain smooth ZnO films with excellent crystal quality and step-flow surface morphology. We obtained homoepitaxial ZnO(0001) films of structural quality and surface morphology that is comparable to the as-received substrates, and showed that a high growth temperature (≥1000°C) is needed in order to achieve step-flow growth mode. We performed n-type doping experiments, and established the conditions for which Indium effectively controls the n-type conductivity of ZnO films grown on GaN(0001) templates. A peak carrier concentration of 3.22x 10 19cm-3 and minimum sheet resistance of 97 O/square was achieved, while simultaneously maintaining good morphology and crystal quality. Finally, we present In-doped ZnO films implemented as p-contacts for GaN-based solar cells and LEDs

  6. Interface studies on the tunneling contact of a MOCVD-prepared tandem solar cell; Grenzflaechenuntersuchungen am Tunnelkontakt einer MOCVD-praeparierten Tandemsolarzelle

    Energy Technology Data Exchange (ETDEWEB)

    Seidel, U.

    2007-07-10

    In this thesis a tandem solar cell with a novel tunneling contact was developed. For the development of the monolithic preparation especailly critical hetero-interfaces were studied in the region of the tunneling contact with surface-sensitive measuring method. The tandem solar cell consisted of single solar cells with absorber layers of In{sub 0.53}Ga{sub 0.47}As (E{sub g}=0.73 eV) and In{sub 0.78}Ga{sub 0.22}As{sub 0.491}P{sub 0.51} (E{sub g}=1.03 eV), the serial switching of which was pursued with a tunneling contact (ESAKI diode, which consisted of a very thin n-doped InGaAs and a p-doped GaAsSb layer. The III-V semiconductor layers were prepared by metalorganic gas phase epitaxy (MOCVD) monocrystallinely on an InP(100) substrate lattice-matchedly. Especially the influence of the preparation of InGaAs surfaces on the sharpness of the InGaAs/GaAsSb interface was in-situ studied by reflection-anisotropy spectroscopy and after a contamination-free transfer into the ultrahigh vacuum with photoelectron spectroscopy and with low-energetic electron diffraction (LEED). Thereby for the first time three different reconstructions of the MOCVD-prepared InGaAs surfaces could be observed, which were dependent on the heating temperature under pure hydrogen. The arsenic-rich InGaAs surface was observed for temperatures less than 300 C and showed in the LEED picture a (4 x 3) reconstruction. In the temperature range from 300 C until about 500 C a (2 x 4) reconstruction was observed, above 500 C the InGaAs surface 94 x 2)/c(8 x 2) was reconstructed. Subsequently the study of the growth of thin GaAsSb layers on these three InGaAs surface reconstructions followed. XPS measurements showed that the Sb/As ratio in GaAsSb at the growth on the As-rich (4 x 3) reconstructed surface in the first monolayers was too low. The preparation of the GaAsSb on the two other InGaAs surfaces yielded however in both cases a distinctly higher Sb/As ratio. Finally tandem solar cells with differently

  7. HCl Flow-Induced Phase Change of α-, β-, and ε-Ga2O3 Films Grown by MOCVD

    KAUST Repository

    Sun, Haiding

    2018-03-06

    Precise control of the heteroepitaxy on a low-cost foreign substrate is often the key to drive the success of fabricating semiconductor devices in scale when a large low-cost native substrate is not available. Here, we successfully synthesized three different phases of Ga2O3 (α, β, and ε) films on c-plane sapphire by only tuning the flow rate of HCl along with other precursors in an MOCVD reactor. A 3-fold increase in the growth rate of pure β-Ga2O3 was achieved by introducing only 5 sccm of HCl flow. With continuously increased HCl flow, a mixture of β- and ε-Ga2O3 was observed, until the Ga2O3 film transformed completely to a pure ε-Ga2O3 with a smooth surface and the highest growth rate (∼1 μm/h) at a flow rate of 30 sccm. At 60 sccm, we found that the film tended to have a mixture of α- and ε-Ga2O3 with a dominant α-Ga2O3, while the growth rate dropped significantly (∼0.4 μm/h). The film became rough as a result of the mixture phases since the growth rate of ε-Ga2O3 is much higher than that of α-Ga2O3. In this HCl-enhanced MOCVD mode, the Cl impurity concentration was almost identical among the investigated samples. On the basis of our density functional theory calculation, we found that the relative energy between β-, ε-, and α-Ga2O3 became smaller, thus inducing the phase change by increasing the HCl flow in the reactor. Thus, it is plausible that the HCl acted as a catalyst during the phase transformation process. Furthermore, we revealed the microstructure and the epitaxial relationship between Ga2O3 with different phases and the c-plane sapphire substrates. Our HCl-enhanced MOCVD approach paves the way to achieving highly controllable heteroepitaxy of Ga2O3 films with different phases for device applications.

  8. The Development of a Differential Deposition Technique for Figure Correction in Grazing Incidence Optics

    Data.gov (United States)

    National Aeronautics and Space Administration — We propose the development of a physical-vapor-deposition coating technique to correct residual figure errors in grazing-incidence optics. The process involves...

  9. Rubidium-strontium isotoppe study of Muruntan deposit. 1.Ore vien dating by isochrone technique

    International Nuclear Information System (INIS)

    Kostitsyn, Yu.A.

    1993-01-01

    Hydrothermal viens of Muruntau gold-ore deposit (Central Kyzylkum) have been studies by the isochrone technique. The ages obtained for the quartz-tourmaline (257+13 Ma), quartz-arsenopyrite (230.3+-3.5 Ma) and quartz-adularia (219.4+-4.2 Ma) hydrothermal viens reflect the different stages of the deposit evolution: gold-ore and gold-silver one. Strontium isotope analysis reveals that the matter of hydrothermal viens is originated from the surrounding black schists

  10. Anatase thin film with diverse epitaxial relationship grown on yttrium stabilized zirconia substrate by chemical vapor deposition

    International Nuclear Information System (INIS)

    Miyagi, Takahira; Ogawa, Tomoyuki; Kamei, Masayuki; Wada, Yoshiki; Mitsuhashi, Takefumi; Yamazaki, Atsushi

    2003-01-01

    An anatase epitaxial thin film with diverse epitaxial relationship, YSZ (001) // anatase (001), YSZ (010) // anatase (110), was grown on a single crystalline yttrium stabilized zirconia (YSZ) (001) substrate by metal organic chemical vapor deposition (MOCVD). The full width at half maximum (FWHM) of the (004) reflection of this anatase epitaxial film was 0.4deg, and the photoluminescence of this anatase epitaxial film showed visible emission with broad spectral width and large Stokes shift at room temperature. These results indicate that this anatase epitaxial film possessed almost equal crystalline quality compared with that grown under identical growth conditions on single crystalline SrTiO 3 substrate. (author)

  11. Different defect levels configurations between double layers of nanorods and film in ZnO grown on c-Al2O3 by MOCVD

    International Nuclear Information System (INIS)

    Wu, Bin; Zhang, Yuantao; Shi, Zhifeng; Li, Xiang; Cui, Xijun; Zhuang, Shiwei; Zhang, Baolin; Du, Guotong

    2014-01-01

    Epitaxial ZnO structures with inherent two layers of nanorods layer on film layer were fabricated on c-Al 2 O 3 by metal-organic chemical vapor deposition (MOCVD) and studied by photoluminescence. Specially, photoluminescence spectra for the film layer were obtained by rendering the excitation from the substrate side. Different defect levels configurations between nanorods and film were revealed. Zinc vacancies tend to form in top nanorods layer, whereas abundant zinc–oxygen divacancies accumulate in bottom film layer. An acceptor state with activation energy of ∼200 meV is exclusive to the film layer. The stacking fault related acceptor and Al introduced donor are present in both layers. Besides, two other defect related donors contained in the nanorods layer perhaps also exist within the film layer. - Highlights: • Inherent double layer ZnO of nanorods on film layer were studied by PL. • V Zn tend to form in the nanorods layer, and V ZnO accumulate in the film layer. • An acceptor with activation energy of ∼200 meV is exclusive to the film layer. • Pure NBE emission without DLE in RT PL spectrum does not mean good crystallinity

  12. Overlayer structure of subphthalocyanine derivative deposited on Au (111) surface by a spray-jet technique

    International Nuclear Information System (INIS)

    Suzuki, Hitoshi; Yamada, Toshiki; Miki, Hideki; Mashiko, Shinro

    2006-01-01

    A new spray-jet technique was used to deposit subphthalocyanine derivative (chloro[tri-tert-butyl subphthalocyaninato]boron (TBSubPc)) on Au (111) surface in an ultra-high vacuum (UHV) chamber. The deposited molecular overlayer was observed with UHV scanning tunneling microscopy (STM) at 77 K. The STM images showed that TBSubPc molecules formed a stripe pattern with regular spacing, indicating that they preferentially adsorbed along the herringbone structure of the Au (111) surface. This behavior was very similar to that of TBSubPc molecules deposited by thermal evaporation

  13. Characterization of CuS nanocrystalline thin films synthesized by chemical bath deposition and dip coating techniques

    International Nuclear Information System (INIS)

    Chaki, Sunil H.; Deshpande, M.P.; Tailor, Jiten P.

    2014-01-01

    CuS thin films were synthesized by chemical bath deposition and dip coating techniques at ambient temperature. The energy dispersive analysis of X-rays of the thin films confirmed that both the as synthesized thin films are stoichiometric. The X-ray diffraction of the chemical bath deposited and dip coating deposited thin films showed that the films possess hexagonal structure having lattice parameters, a = b = 3.79 A and c = 16.34 A. The crystallite sizes determined from the X-ray diffraction data using Scherrer's formula for the chemical bath deposition and dip coating deposition thin films came out to be nearly 11 nm and 13 nm, respectively. The optical microscopy of the as deposited thin films surfaces showed that the substrates are well covered in both the deposited films. The scanning electron microscopy of the thin films clearly showed that in chemical bath deposited thin films the grain size varies from few μm to nm, while in dip coating deposited films the grain size ranges in nm. The optical bandgap determined from the optical absorbance spectrum analysis showed, chemical bath deposited thin films possess direct bandgap of 2.2 eV and indirect bandgap of 1.8 eV. In the case of dip coating deposited thin films, the direct bandgap is 2.5 eV and indirect bandgap is 1.9 eV. The d.c. electrical resistivity variation with temperature for both the deposited films showed that the resistivity decreases with temperature thus confirming the semiconducting nature. The thermoelectric power variations with temperature and the room temperature Hall Effect study of both the synthesized CuS thin films showed them to be of p-type conductivity. The obtained results are discussed in details. - Highlights: • CuS thin films were synthesized by chemical bath deposition and dip coating techniques. • The films possessed hexagonal structure. • The optical absorption showed that the films had direct and indirect bandgap. • Study of electrical transport properties

  14. Industrially relevant Al2O3 deposition techniques for the surface passivation of Si solar cells

    NARCIS (Netherlands)

    Schmidt, J.; Werner, F.; Veith, B.; Zielke, D.; Bock, R.; Tiba, M.V.; Poodt, P.; Roozeboom, F.; Li, A.; Cuevas, A.; Brendel, R.

    2010-01-01

    We present independently confirmed efficiencies of 21.4% for PERC cells with plasma-assisted atom-ic-layer-deposited (plasma ALD) Al2O3 rear passivation and 20.7% for cells with thermal ALD-Al2O3. Additionally, we evaluate three different industrially relevant techniques for the deposition of

  15. Handbook of thin film deposition processes and techniques principles, methods, equipment and applications

    CERN Document Server

    Seshan, Krishna

    2002-01-01

    New second edition of the popular book on deposition (first edition by Klaus Schruegraf) for engineers, technicians, and plant personnel in the semiconductor and related industries. This book traces the technology behind the spectacular growth in the silicon semiconductor industry and the continued trend in miniaturization over the last 20 years. This growth has been fueled in large part by improved thin film deposition techniques and the development of highly specialized equipment to enable this deposition. The book includes much cutting-edge material. Entirely new chapters on contamination and contamination control describe the basics and the issues-as feature sizes shrink to sub-micron dimensions, cleanliness and particle elimination has to keep pace. A new chapter on metrology explains the growth of sophisticated, automatic tools capable of measuring thickness and spacing of sub-micron dimensions. The book also covers PVD, laser and e-beam assisted deposition, MBE, and ion beam methods to bring together a...

  16. Growth, structural, optical and electrical study of ZnS thin films deposited by solution growth technique (SGT)

    Energy Technology Data Exchange (ETDEWEB)

    Sadekar, H K [Arts, Commerce and Science college, Sonai 414105 (M.S.) (India); Thin film and Nanotechnology Laboratory, Department of Physics, Dr. B.A.M. University, Aurangabad 431004 (M.S.) (India); Deshpande, N G; Gudage, Y G; Ghosh, A; Chavhan, S D; Gosavi, S R [Thin film and Nanotechnology Laboratory, Department of Physics, Dr. B.A.M. University, Aurangabad 431004 (M.S.) (India); Sharma, Ramphal [Thin film and Nanotechnology Laboratory, Department of Physics, Dr. B.A.M. University, Aurangabad 431004 (M.S.) (India)

    2008-04-03

    ZnS thin films have been deposited onto glass substrates at temperature 90 deg. C by solution growth technique (SGT). The deposition parameters were optimized. Triethanolamine (TEA) was used as a complexing agent for uniform deposition of the thin films. The elemental composition of the film was confirmed by energy dispersive analysis by X-ray (EDAX) technique. Structure and surface morphology of as-deposited films were characterized by X-ray diffraction (XRD) and scanning electron microscopy (SEM), atomic force microscopy (AFM), respectively. XRD patterns reveal that as-deposited thin films were amorphous in nature; while the obtained precipitate powder was polycrystalline in nature. SEM results revealed that deposited ZnS material has {approx}120 {+-} 20 nm average grain size and the spherical grains are distributed over the entire glass substrate. Low surface roughness was found to be 2.7 nm from AFM studies. Transmission spectra indicate a high transmission coefficient ({approx}75%) with direct band gap energy equal to 3.72 eV while indirect band gap was found to be 3.45 eV. A photoluminescence (PL) study of the ZnS at room temperature (300 K) indicates a strong luminescence band at energy 2.02 eV.

  17. An economic CVD technique for pure SnO2 thin films deposition ...

    Indian Academy of Sciences (India)

    An economic CVD technique for pure SnO2 thin films deposition: Temperature effects ..... C are depicted in figure 7. It is observed that the cut-off wave- ... cating that the energy gap of the SnO2 films varies among. 3·54, 3·35 and 1·8 eV.

  18. Effect of Coating Thickness on the Properties of TiN Coatings Deposited on Tool Steels Using Cathodic Arc Pvd Technique

    Science.gov (United States)

    Mubarak, A.; Akhter, Parvez; Hamzah, Esah; Mohd Toff, Mohd Radzi Hj.; Qazi, Ishtiaq A.

    Titanium nitride (TiN) widely used as hard coating material, was coated on tool steels, namely on high-speed steel (HSS) and D2 tool steel by physical vapor deposition method. The study concentrated on cathodic arc physical vapor deposition (CAPVD), a technique used for the deposition of hard coatings for tooling applications, and which has many advantages. The main drawback of this technique, however, is the formation of macrodroplets (MDs) during deposition, resulting in films with rougher morphology. Various standard characterization techniques and equipment, such as electron microscopy, atomic force microscopy, hardness testing machine, scratch tester, and pin-on-disc machine, were used to analyze and quantify the following properties and parameters: surface morphology, thickness, hardness, adhesion, and coefficient of friction (COF) of the deposited coatings. Surface morphology revealed that the MDs produced during the etching stage, protruded through the TiN film, resulting in film with deteriorated surface features. Both coating thickness and indentation loads influenced the hardness of the deposited coatings. The coatings deposited on HSS exhibit better adhesion compared to those on D2 tool steel. Standard deviation indicates that the coating deposited with thickness around 6.7 μm showed the most stable trend of COF versus sliding distance.

  19. Nanocomposite metal amorphous-carbon thin films deposited by hybrid PVD and PECVD technique.

    Science.gov (United States)

    Teixeira, V; Soares, P; Martins, A J; Carneiro, J; Cerqueira, F

    2009-07-01

    Carbon based films can combine the properties of solid lubricating graphite structure and hard diamond crystal structure, i.e., high hardness, chemical inertness, high thermal conductivity and optical transparency without the crystalline structure of diamond. Issues of fundamental importance associated with nanocarbon coatings are reducing stress, improving adhesion and compatibility with substrates. In this work new nanocomposite coatings with improved toughness based in nanocrystalline phases of metals and ceramics embedded in amorphous carbon matrix are being developed within the frame of a research project: nc-MeNxCy/a-C(Me) with Me = Mo, Si, Al, Ti, etc. Carbide forming metal/carbon (Me/C) composite films with Me = Mo, W or Ti possess appropriate properties to overcome the limitation of pure DLC films. These novel coating architectures will be adopted with the objective to decrease residual stress, improve adherence and fracture toughness, obtain low friction coefficient and high wear-resistance. Nanocomposite DLC's films were deposited by hybrid technique using a PVD-Physically Vapor Deposition (magnetron sputtering) and Plasma Enhanced Chemical Vapor Deposition (PECVD), by the use of CH4 gas. The parameters varied were: deposition time, substrate temperature (180 degrees C) and dopant (Si + Mo) of the amorphous carbon matrix. All the depositions were made on silicon wafers and steel substrates precoated with a silicon inter-layer. The characterisation of the film's physico-mechanical properties will be presented in order to understand the influence of the deposition parameters and metal content used within the a-C matrix in the thin film properties. Film microstructure and film hybridization state was characterized by Raman Spectroscopy. In order to characterize morphology SEM and AFM will be used. Film composition was measured by Energy-Dispersive X-ray analysis (EDS) and by X-ray photoelectron spectroscopy (XPS). The contact angle for the produced DLC's on

  20. CdTe deposition by successive ionic layer adsorption and reaction (SILAR) technique onto ZnO nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Salazar, Raul; Delamoreanu, Alexandru; Saidi, Bilel; Ivanova, Valentina [CEA, LETI, MINATEC Campus, 17 Rue des Martyrs, 38054, Grenoble (France); Levy-Clement, Claude [CNRS, Institut de Chimie et des Materiaux de Paris-Est, 94320, Thiais (France)

    2014-09-15

    In this study is reported CdTe deposition by Successive Ionic Layer Adsorption and reaction (SILAR) at room temperature onto ZnO nanowires (NWs). The as-deposited CdTe layer exhibits poor crystalline quality and not well defined optical transition which is probably result of its amorphous nature. The implementation of an annealing step and chemical treatment by CdCl{sub 2} to the classical SILAR technique improved significantly the CdTe film quality. The XRD analysis showed that the as treated layers are crystallized in the cubic zinc blende structure. The full coverage of ZnO nanowires and thickness of the CdTe shell, composed of small crystallites, was confirmed by STEM and TEM analysis. The layer thickness could be controlled by the number of SILAR cycles. The sharper optical transitions for the annealed and CdCl{sub 2} treated heterostructures additionally proves the enhancement of the layer crystalline quality. For comparison CdTe was also deposited by close space sublimation (CSS) method onto ZnO nanowires. It is shown that the SILAR deposited CdTe exhibits equal crystalline and optical properties to that prepared by CSS. These results demonstrate that SILAR technique is more suitable for conformal thin film deposition on nanostructures. CdTe extremely thin film deposited by SILAR method onto ZnO nanowire. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  1. CdTe deposition by successive ionic layer adsorption and reaction (SILAR) technique onto ZnO nanowires

    International Nuclear Information System (INIS)

    Salazar, Raul; Delamoreanu, Alexandru; Saidi, Bilel; Ivanova, Valentina; Levy-Clement, Claude

    2014-01-01

    In this study is reported CdTe deposition by Successive Ionic Layer Adsorption and reaction (SILAR) at room temperature onto ZnO nanowires (NWs). The as-deposited CdTe layer exhibits poor crystalline quality and not well defined optical transition which is probably result of its amorphous nature. The implementation of an annealing step and chemical treatment by CdCl 2 to the classical SILAR technique improved significantly the CdTe film quality. The XRD analysis showed that the as treated layers are crystallized in the cubic zinc blende structure. The full coverage of ZnO nanowires and thickness of the CdTe shell, composed of small crystallites, was confirmed by STEM and TEM analysis. The layer thickness could be controlled by the number of SILAR cycles. The sharper optical transitions for the annealed and CdCl 2 treated heterostructures additionally proves the enhancement of the layer crystalline quality. For comparison CdTe was also deposited by close space sublimation (CSS) method onto ZnO nanowires. It is shown that the SILAR deposited CdTe exhibits equal crystalline and optical properties to that prepared by CSS. These results demonstrate that SILAR technique is more suitable for conformal thin film deposition on nanostructures. CdTe extremely thin film deposited by SILAR method onto ZnO nanowire. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  2. Monometallic Pd and Pt and Bimetallic Pd-Pt/Al2O3-TiO2 for the HDS of DBT: Effect of the Pd and Pt Incorporation Method

    Directory of Open Access Journals (Sweden)

    Reynaldo Martínez Guerrero

    2014-01-01

    Full Text Available The effect of the preparation method of monometallic Pd and Pt and bimetallic Pd-Pt/Al2O3-TiO2 catalysts on the hydrodesulfurization (HDS of dibenzothiophene (DBT was investigated in this study. The synthesis was accomplished using three methods: (A impregnation, (B metal organic chemical vapor deposition (MOCVD, and (C impregnation-MOCVD. The bimetallic Pd-Pt catalyst prepared by the impregnation-MOCVD method was most active for the HDS of DBT compared to those prepared by the single impregnation or MOCVD method due to the synergetic effect between both noble metals. The greater selectivity toward biphenyl indicated that this bimetallic Pd-Pt catalyst preferentially removes sulfur via the direct desulfurization mechanism. However, the bimetallic Pd-Pt catalyst prepared using the single MOCVD method did not produce any cyclohexylbenzene, which is most likely associated with the hydrogenation/dehydrogenation sites.

  3. Optical monitoring of surface processes relevant to thin film growth by chemical vapour deposition

    International Nuclear Information System (INIS)

    Simcock, Michael Neil

    2002-01-01

    This thesis reports on the investigation of the use of reflectance anisotropy spectroscopy (RAS) as an in-situ monitor for the preparation and oxidation of GaAs(100) c(4x4) surfaces using a CVD 2000 MOCVD reactor. These surfaces were oxidised using air. It was found that it was possible to follow surface degradation using RA transients at 2.6eV and 4eV. From this data it was possible to speculate on the nature of the surface oxidation process. A study was performed into the rate of surface degradation under different concentrations of air, it was found that the relation between the air concentration and the surface degradation was complicated but that the behaviour of the first third of the degradation approximated a first order behaviour. An estimation of the activation energy of the process was then made, and an assessment of the potential use of the glove-box for STM studies which is an integral part of the MOCVD equipment was also made. Following this, a description is given of the construction of an interferometer for monitoring thin film growth. An investigation is also described into two techniques designed to evaluate the changes in reflected intensity as measured by an interferometer. The first technique uses an iteration procedure to determine the film thickness from the reflection data. This is done using a Taylor series expansion of the thin film reflection function to iterate for the thickness. Problems were found with the iteration when applied to noisy data, these were solved by using a least squares fit to smooth the data. Problems were also found with the iteration at the turning points these were solved using the derivative of the function and by anticipating the position of the turning points. The second procedure uses the virtual interface method to determine the optical constants of the topmost deposited material, the virtual substrate, and the growth rate. This method is applied by using a Taylor series expansion of the thin film reflection

  4. Photoluminescence of Mg-doped m-plane GaN grown by MOCVD on bulk GaN substrates

    OpenAIRE

    Monemar, Bo; Paskov, Plamen; Pozina, Galia; Hemmingsson, Carl; Bergman, Peder; Lindgren, David; Samuelson, Lars; Ni, Xianfeng; Morkoç, Hadis; Paskova, Tanya; Bi, Zhaoxia; Ohlsson, Jonas

    2011-01-01

    Photoluminescence (PL) properties are reported for a set of m-plane GaN films with Mg doping varied from mid 1018cm-3 to well above 1019 cm-3. The samples were grown with MOCVD at reduced pressure on low defect density m-plane bulk GaN templates. The sharp line near bandgap bound exciton (BE) spectra observed below 50 K, as well as the broader donor-acceptor pair (DAP) PL bands at 2.9 eV to 3.3 eV give evidence of several Mg related acceptors, similar to the case of c-plane GaN. The dependenc...

  5. Characterization of defects in hydrogenated amorphous silicon deposited on different substrates by capacitance techniques

    International Nuclear Information System (INIS)

    Darwich, R.; Roca i Cabarrocas, P.

    2011-01-01

    Hydrogenated amorphous silicon (a-Si:H) thin films deposited on crystalline silicon and Corning glass substrate were analyzed using different capacitance techniques. The distribution of localized states and some electronic properties were studied using the temperature, frequency and bias dependence of the Schottky barrier capacitance and deep level transient spectroscopy. Our results show that the distribution of the gap states depends on the type of substrate. We have found that the films deposited on c-Si substrate represent only one positively charged or prerelaxed neutral deep state and one interface state, while the films deposited on glass substrate have one interface state and three types of deep defect states, positively or prerelaxed neutral, neutral and negatively charged.

  6. Investigation of defects in ultra-thin Al{sub 2}O{sub 3} films deposited on pure copper by the atomic layer deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Chang, M.L.; Wang, L.C. [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 10617, Taiwan (China); Lin, H.C., E-mail: hclinntu@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 10617, Taiwan (China); Chen, M.J., E-mail: mjchen@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 10617, Taiwan (China); Lin, K.M. [Department of Materials Science and Engineering, Feng Chia University, No. 100, Wenhwa Road, Seatwen, Taichung 40724, Taiwan (China)

    2015-12-30

    Graphical abstract: Some residual OH ligands originating from incomplete reaction between TMA and surface species of OH* during ALD process induce the defects in deposited Al{sub 2}O{sub 3} films. Three possible types of defects are suggested. The analytic results indicate the defects are Type-I and/or Type-II but do not directly expose the substrate, like pinholes (Type-III). - Highlights: • Oxidation trials were conducted to investigate the defects in ultra-thin Al{sub 2}O{sub 3} films deposited ALD technique on pure copper. • The residual OH ligands in the deposited Al{sub 2}O{sub 3} films induce looser micro-structure which has worse oxidation resistance. • Superficial contamination particles on substrate surface are confirmed to be one of nucleation sites of the defects. - Abstract: Al{sub 2}O{sub 3} films with various thicknesses were deposited by the atomic layer deposition (ALD) technique on pure copper at temperatures of 100–200 °C. Oxidation trials were conducted in air at 200 °C to investigate the defects in these films. The analytic results show that the defects have a looser micro-structure compared to their surroundings, but do not directly expose the substrate, like pinholes. The film's crystallinity, mechanical properties and oxidation resistance could also be affected by these defects. Superficial contamination particles on the substrate surface are confirmed to be nucleation sites of the defects. A model for the mechanism of defect formation is proposed in this study.

  7. Growth, structural, optical and electrical study of ZnS thin films deposited by solution growth technique (SGT)

    International Nuclear Information System (INIS)

    Sadekar, H.K.; Deshpande, N.G.; Gudage, Y.G.; Ghosh, A.; Chavhan, S.D.; Gosavi, S.R.; Sharma, Ramphal

    2008-01-01

    ZnS thin films have been deposited onto glass substrates at temperature 90 deg. C by solution growth technique (SGT). The deposition parameters were optimized. Triethanolamine (TEA) was used as a complexing agent for uniform deposition of the thin films. The elemental composition of the film was confirmed by energy dispersive analysis by X-ray (EDAX) technique. Structure and surface morphology of as-deposited films were characterized by X-ray diffraction (XRD) and scanning electron microscopy (SEM), atomic force microscopy (AFM), respectively. XRD patterns reveal that as-deposited thin films were amorphous in nature; while the obtained precipitate powder was polycrystalline in nature. SEM results revealed that deposited ZnS material has ∼120 ± 20 nm average grain size and the spherical grains are distributed over the entire glass substrate. Low surface roughness was found to be 2.7 nm from AFM studies. Transmission spectra indicate a high transmission coefficient (∼75%) with direct band gap energy equal to 3.72 eV while indirect band gap was found to be 3.45 eV. A photoluminescence (PL) study of the ZnS at room temperature (300 K) indicates a strong luminescence band at energy 2.02 eV

  8. Understanding and optimization of InN and high indium containing InGaN alloys by metal organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tuna, Oecal

    2013-07-18

    Among the III-nitride semiconductors (Ga,Al,In)N, InN is the most attractive one due to having the narrowest bandgap of 0.64 eV. The revision in the bandgap of InN makes the InGaN more important since one can cover the whole solar spectrum by only changing In composition in an InGaN layer. The comparison of quality of InN and InGaN layers grown using a metal organic chemical vapor deposition (MOCVD) and a molecular beam epitaxy (MBE) methods indicate that growth with MOCVD is the more challenging, again due to the high dissociation temperature of NH{sub 3} relative to the low decomposition temperature of InN (560-570 C). However, there is significant interest in developing an MOCVD process for InN and InGaN growth since MOCVD technology is the technology currently in use for commercial fabrication of group III nitride thin films. This thesis is therefore focused on a study of MOCVD growth of n- and p-type InN and In-rich InGaN films with the goal of providing new information on the influence of growth conditions on the film properties. Initially, a detailed investigation of MOCVD of InN is given. It is shown that MOCVD growth parameters (growth temperature and V/III ratio) have impacts on the layer properties such as In droplet formation on the surface as well as on its electrical and optical properties. PAS is employed for point defect analyzation. It is shown that In vacancies isolated by nitrogen vacancies are the dominant vacancy-type positron traps in InN. A decrease in the N vacancy concentration in InN is observed as a result of the growth temperature increase from 500 to 550 C. This is an indication of a reduction of N vacancy concentration by enhancing NH{sub 3} dissociation at high growth temperature. Results obtained from optical techniques (Raman and PL) are used to estimate the free carrier concentrations in InN. Electrical characterizations are also carried out using Hall measurements. Carrier concentration values obtained by these three techniques

  9. Understanding and optimization of InN and high indium containing InGaN alloys by metal organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Tuna, Oecal

    2013-01-01

    Among the III-nitride semiconductors (Ga,Al,In)N, InN is the most attractive one due to having the narrowest bandgap of 0.64 eV. The revision in the bandgap of InN makes the InGaN more important since one can cover the whole solar spectrum by only changing In composition in an InGaN layer. The comparison of quality of InN and InGaN layers grown using a metal organic chemical vapor deposition (MOCVD) and a molecular beam epitaxy (MBE) methods indicate that growth with MOCVD is the more challenging, again due to the high dissociation temperature of NH 3 relative to the low decomposition temperature of InN (560-570 C). However, there is significant interest in developing an MOCVD process for InN and InGaN growth since MOCVD technology is the technology currently in use for commercial fabrication of group III nitride thin films. This thesis is therefore focused on a study of MOCVD growth of n- and p-type InN and In-rich InGaN films with the goal of providing new information on the influence of growth conditions on the film properties. Initially, a detailed investigation of MOCVD of InN is given. It is shown that MOCVD growth parameters (growth temperature and V/III ratio) have impacts on the layer properties such as In droplet formation on the surface as well as on its electrical and optical properties. PAS is employed for point defect analyzation. It is shown that In vacancies isolated by nitrogen vacancies are the dominant vacancy-type positron traps in InN. A decrease in the N vacancy concentration in InN is observed as a result of the growth temperature increase from 500 to 550 C. This is an indication of a reduction of N vacancy concentration by enhancing NH 3 dissociation at high growth temperature. Results obtained from optical techniques (Raman and PL) are used to estimate the free carrier concentrations in InN. Electrical characterizations are also carried out using Hall measurements. Carrier concentration values obtained by these three techniques revealed a

  10. Yb2Si2O7 Environmental Barrier Coatings Deposited by Various Thermal Spray Techniques: A Preliminary Comparative Study

    Science.gov (United States)

    Bakan, Emine; Marcano, Diana; Zhou, Dapeng; Sohn, Yoo Jung; Mauer, Georg; Vaßen, Robert

    2017-08-01

    Dense, crack-free, uniform, and well-adhered environmental barrier coatings (EBCs) are required to enhance the environmental durability of silicon (Si)-based ceramic matrix composites in high pressure, high gas velocity combustion atmospheres. This paper represents an assessment of different thermal spray techniques for the deposition of Yb2Si2O7 EBCs. The Yb2Si2O7 coatings were deposited by means of atmospheric plasma spraying (APS), high-velocity oxygen fuel spraying (HVOF), suspension plasma spraying (SPS), and very low-pressure plasma spraying (VLPPS) techniques. The initial feedstock, as well as the deposited coatings, were characterized and compared in terms of their phase composition. The as-sprayed amorphous content, microstructure, and porosity of the coatings were further analyzed. Based on this preliminary investigation, the HVOF process stood out from the other techniques as it enabled the production of vertical crack-free coatings with higher crystallinity in comparison with the APS and SPS techniques in atmospheric conditions. Nevertheless, VLPPS was found to be the preferred process for the deposition of Yb2Si2O7 coatings with desired characteristics in a controlled-atmosphere chamber.

  11. Fabrication of SERS Substrate by Multilayered Nanosphere Deposition Technique

    International Nuclear Information System (INIS)

    Fu, Chit Yaw; Dinish, U. S.; Praveen, Thoniyot; Koh, Zhen Yu; Kho, Khiang Wei; Malini, Olivo

    2010-01-01

    Metal film over nanosphere (MFON) has been employed as a reproducible and predictable SERS-active device in biosensing applications. In addition to its economic fabrication process, such substrate can be further processed to a prism-structure with increased SERS enhancement and wider Plasmon tunability. In this work, we investigate an alternative coating method to deposit a larger area of well-ordered PS beads with different sizes (oe = 100nm and 400 nm) onto a glass. The result suggests that the proposed well-coating technique can be suitably used to form closely-packed PS beads with diameter less than 100 nm for developing MFON substrates.

  12. Influence of solution deposition rate on properties of V_2O_5 thin films deposited by spray pyrolysis technique

    International Nuclear Information System (INIS)

    Abd–Alghafour, N. M.; Ahmed, Naser M.; Hassan, Zai; Mohammad, Sabah M.

    2016-01-01

    Vanadium oxide (V_2O_5) thin films were deposited on glass substrates by using a cost-efficient spray pyrolysis technique. The films were grown at 350° through thermal decomposition of VCl_3 in deionized water with different solution spray rates. The high resolution X-ray diffraction results revealed the formation of nanocrystalline films having orthorhombic structures with preferential orientation along (101) direction. The spray rate influenced the surface morphology and crystallite size of the films. The crystallite size was found to increase whereas the micro-strain was decreased by increasing the spray deposition rates. The increase in crystallite size and decrease in the macrostrain resulted in an improvement in the films’ crystallinity. The UV-Visible spectroscopy analysis indicated that the average transmittance of all films lies in the range 75-80 %. The band gap of V_2O_5 film was decreased from 2.65 to 2.46 eV with increase of the spray deposition rate from 5 ml/min to 10 ml/min. first, second, and third level headings (first level heading).

  13. XPS-nanocharacterization of organic layers electrochemically grafted on the surface of SnO{sub 2} thin films to produce a new hybrid material coating

    Energy Technology Data Exchange (ETDEWEB)

    Drevet, R., E-mail: richarddrevet@yahoo.fr [Univ. Paris Sud, SP2M-ICMMO, CNRS UMR 8182, Bât. 410, 91405 Orsay Cedex (France); Université d’Evry Val d’Essonne, LAMBE, CNRS-CEA UMR 8587, Boulevard François Mitterrand, 91025 Evry Cedex (France); Dragoé, D.; Barthés-Labrousse, M.G. [Univ. Paris Sud, SP2M-ICMMO, CNRS UMR 8182, Bât. 410, 91405 Orsay Cedex (France); Chaussé, A. [Université d’Evry Val d’Essonne, LAMBE, CNRS-CEA UMR 8587, Boulevard François Mitterrand, 91025 Evry Cedex (France); Andrieux, M. [Univ. Paris Sud, SP2M-ICMMO, CNRS UMR 8182, Bât. 410, 91405 Orsay Cedex (France)

    2016-10-30

    Graphical abstract: An innovative hybrid material layer is synthesized by combining two processes. SnO{sub 2} thin films are deposited by MOCVD on Si substrates and an organic layer made of carboxyphenyl moieties is electrochemically grafted by the reduction of a diazonium salt. XPS characterizations are carried out to assess the efficiency of the electrochemical grafting. Display Omitted - Highlights: • An innovative hybrid material layer is synthesized by combining two processes. • SnO{sub 2} thin films are deposited by MOCVD on Si substrates. • An organic layer is electrochemically grafted by the reduction of a diazonium salt. • The efficiency of the grafting is accurately assessed by XPS. • Three electrochemical grafting models are proposed. - Abstract: This work presents the synthesis and the characterization of hybrid material thin films obtained by the combination of two processes. The electrochemical grafting of organic layers made of carboxyphenyl moieties is carried out from the reduction of a diazonium salt on tin dioxide (SnO{sub 2}) thin films previously deposited on Si substrates by metal organic chemical vapor deposition (MOCVD). Since the MOCVD experimental parameters impact the crystal growth of the SnO{sub 2} layer (i.e. its morphology and its texturation), various electrochemical grafting models can occur, producing different hybrid materials. In order to evidence the efficiency of the electrochemical grafting of the carboxyphenyl moieties, X-ray Photoelectron Spectroscopy (XPS) is used to characterize the first nanometers in depth of the synthesized hybrid material layer. Then three electrochemical grafting models are proposed.

  14. XPS-nanocharacterization of organic layers electrochemically grafted on the surface of SnO_2 thin films to produce a new hybrid material coating

    International Nuclear Information System (INIS)

    Drevet, R.; Dragoé, D.; Barthés-Labrousse, M.G.; Chaussé, A.; Andrieux, M.

    2016-01-01

    Graphical abstract: An innovative hybrid material layer is synthesized by combining two processes. SnO_2 thin films are deposited by MOCVD on Si substrates and an organic layer made of carboxyphenyl moieties is electrochemically grafted by the reduction of a diazonium salt. XPS characterizations are carried out to assess the efficiency of the electrochemical grafting. Display Omitted - Highlights: • An innovative hybrid material layer is synthesized by combining two processes. • SnO_2 thin films are deposited by MOCVD on Si substrates. • An organic layer is electrochemically grafted by the reduction of a diazonium salt. • The efficiency of the grafting is accurately assessed by XPS. • Three electrochemical grafting models are proposed. - Abstract: This work presents the synthesis and the characterization of hybrid material thin films obtained by the combination of two processes. The electrochemical grafting of organic layers made of carboxyphenyl moieties is carried out from the reduction of a diazonium salt on tin dioxide (SnO_2) thin films previously deposited on Si substrates by metal organic chemical vapor deposition (MOCVD). Since the MOCVD experimental parameters impact the crystal growth of the SnO_2 layer (i.e. its morphology and its texturation), various electrochemical grafting models can occur, producing different hybrid materials. In order to evidence the efficiency of the electrochemical grafting of the carboxyphenyl moieties, X-ray Photoelectron Spectroscopy (XPS) is used to characterize the first nanometers in depth of the synthesized hybrid material layer. Then three electrochemical grafting models are proposed.

  15. Growth Assisted by Glancing Angle Deposition: A New Technique to Fabricate Highly Porous Anisotropic Thin Films.

    Science.gov (United States)

    Sanchez-Valencia, Juan Ramon; Longtin, Remi; Rossell, Marta D; Gröning, Pierangelo

    2016-04-06

    We report a new methodology based on glancing angle deposition (GLAD) of an organic molecule in combination with perpendicular growth of a second inorganic material. The resulting thin films retain a very well-defined tilted columnar microstructure characteristic of GLAD with the inorganic material embedded inside the columns. We refer to this new methodology as growth assisted by glancing angle deposition or GAGLAD, since the material of interest (here, the inorganic) grows in the form of tilted columns, though it is deposited under a nonglancing configuration. As a "proof of concept", we have used silver and zinc oxide as the perpendicularly deposited material since they usually form ill-defined columnar microstructures at room temperature by GLAD. By means of our GAGLAD methodology, the typical tilted columnar microstructure can be developed for materials that otherwise do not form ordered structures under conventional GLAD. This simple methodology broadens significantly the range of materials where control of the microstructure can be achieved by tuning the geometrical deposition parameters. The two examples presented here, Ag/Alq3 and ZnO/Alq3, have been deposited by physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD), respectively: two different vacuum techniques that illustrate the generality of the proposed technique. The two type of hybrid samples present very interesting properties that demonstrate the potentiality of GAGLAD. On one hand, the Ag/Alq3 samples present highly optical anisotropic properties when they are analyzed with linearly polarized light. To our knowledge, these Ag/Alq3 samples present the highest angular selectivity reported in the visible range. On the other hand, ZnO/Alq3 samples are used to develop highly porous ZnO thin films by using Alq3 as sacrificial material. In this way, antireflective ZnO samples with very low refractive index and extinction coefficient have been obtained.

  16. In situ, real-time thickness measurement techniques for bath-deposited CdS thin films on Cu(In,Ga)Se2

    International Nuclear Information System (INIS)

    Mann, Jonathan R.; Noufi, Rommel

    2012-01-01

    A technique has been developed that can measure the thickness of a 30–70 nm thin film of cadmium sulfide on a Cu(In,Ga)Se 2 substrate, in real time, as it grows in a chemical bath. The technique does not damage the film, and can be used to monitor batch depositions and roll-to-roll depositions with equal accuracy. The technique is based on reflectance spectroscopy through the chemical bath. - Highlights: ► Reflection spectra were collected during the chemical bath deposition of CdS. ► Two algorithms were generated to extract film thickness from each spectrum. ► Two conventional techniques were used to independently verify CdS film thicknesses. ► The accuracies of the algorithms are within 7% of the actual thicknesses. ► The algorithms offer in situ, real time thicknesses through the chemical bath.

  17. Controlling the quality of nanocrystalline silicon made by hot-wire chemical vapor deposition by using a reverse H2 profiling technique

    NARCIS (Netherlands)

    Li, H. B. T.; Franken, R.H.; Stolk, R.L.; van der Werf, C.H.M.; Rath, J.K.; Schropp, R.E.I.

    2008-01-01

    Hydrogen profiling, i.e., decreasing the H2 dilution during deposition, is a well-known technique to maintain a proper crystalline ratio of the nanocrystalline (nc-Si:H) absorber layers of plasma-enhanced chemical vapor-deposited (PECVD) thin film solar cells. With this technique a large increase in

  18. Tandem solar cells deposited using hot-wire chemical vapor deposition

    NARCIS (Netherlands)

    Veen, M.K. van

    2003-01-01

    In this thesis, the application of the hot-wire chemical vapor deposition (HWCVD) technique for the deposition of silicon thin films is described. The HWCVD technique is based on the dissociation of silicon-containing gasses at the catalytic surface of a hot filament. Advantages of this technique

  19. Preparation of Anatase TiO2 Thin Films with (OiPr)2Ti(CH3COCHCONEt2)2 Precursor by MOCVD

    International Nuclear Information System (INIS)

    Bae, Byoung Jae; Seo, Won Seok; Miah, Arzu; Park, Joon T.; Lee, Kwang Yeol; Kim, Keun Chong

    2004-01-01

    The reaction of titanium tetraisopropoxide with 2 equiv of N,N-diethyl acetoacetamide affords Ti(O i Pr) 2 (CH 3 COCHCONEt 2 ) 2 (1) as colorless crystals in 80% yield. Compound 1 is characterized by spectroscopic (Mass and 1 H/ 13 C NMR) and microanalytical data. Molecular structure of 1 has been determined by a single crystal X-ray diffraction study, which reveals that it is a monomeric, cis-diisopropoxide and contains a six coordinate Ti(IV) atom with a cis(CONEt 2 ), trans(COCH 3 ) configuration (1a) in a distorted octahedral environment. Variable-temperature 1 H NMR spectra of 1 indicate that it exists as an equilibrium mixture of cis, trans (1a) and cis, cis (1b) isomers in a 0.57 : 0.43 ratio at -20 .deg. C in toluene-d 8 solution. Thermal properties of 1 as a MOCVD precursor for titanium dioxide films have been evaluated by thermal gravimetric analysis and vapor pressure measurement. Thin films of pure anatase titanium dioxide (after annealing above 500 .deg. C under oxygen) have been grown on Si(100) with precursor 1 in the substrate temperature range of 350- 500 .deg. C using a bubbler-based MOCVD method

  20. Mocvd Growth of Group-III Nitrides on Silicon Carbide: From Thin Films to Atomically Thin Layers

    Science.gov (United States)

    Al Balushi, Zakaria Y.

    Group-III nitride semiconductors (AlN, GaN, InN and their alloys) are considered one of the most important class of materials for electronic and optoelectronic devices. This is not limited to the blue light-emitting diode (LED) used for efficient solid-state lighting, but other applications as well, such as solar cells, radar and a variety of high frequency power electronics, which are all prime examples of the technological importance of nitride based wide bandgap semiconductors in our daily lives. The goal of this dissertation work was to explore and establish new growth schemes to improve the structural and optical properties of thick to atomically thin films of group-III nitrides grown by metalorganic chemical vapor deposition (MOCVD) on SiC substrates for future novel devices. The first research focus of this dissertation was on the growth of indium gallium nitride (InGaN). This wide bandgap semiconductor has attracted much research attention as an active layer in LEDs and recently as an absorber material for solar cells. InGaN has superior material properties for solar cells due to its wavelength absorption tunability that nearly covers the entire solar spectrum. This can be achieved by controlling the indium content in thick grown material. Thick InGaN films are also of interest as strain reducing based layers for deep-green and red light emitters. The growth of thick films of InGaN is, however, hindered by several combined problems. This includes poor incorporation of indium in alloys, high density of structural and morphological defects, as well as challenges associated with the segregation of indium in thick films. Overcoming some of these material challenges is essential in order integrate thick InGaN films into future optoelectronics. Therefore, this dissertation research investigated the growth mechanism of InGaN layers grown in the N-polar direction by MOCVD as a route to improve the structural and optical properties of thick InGaN films. The growth

  1. Two different mechanisms of fatigue damage due to cyclic stress loading at 77 K for MOCVD-YBCO-coated conductors

    International Nuclear Information System (INIS)

    Sugano, M; Yoshida, Y; Hojo, M; Shikimachi, K; Hirano, N; Nagaya, S

    2008-01-01

    Tensile fatigue tests were carried out at 77 K for YBCO-coated conductors fabricated by metal-organic chemical vapor deposition (MOCVD). The S-N relationship, variation of critical current (I c ) during cyclic loading and microscopic fatigue damage were investigated. Fatigue strength at 10 6 cycles was evaluated to be σ max = 1300 MPa and 890 MPa under the stress ratios of 0.5 and 0.1. Two different mechanisms of fatigue damage, depending on the number of stress cycles to failure, were observed. In one of the fracture mechanisms, fatigue behavior is characterized by overall fracture which occurs at 10 4 -10 5 cycles. For these specimens, I c after unloading does not degrade before overall fracture. Although only shallow slip bands were found at the Ag surface, fatigue cracks were found on the Hastelloy C-276 surface of the fractured specimen. These results suggest that overall fracture due to cyclic stress was caused by fatigue of the Hastelloy substrate. In the other fracture mechanism, even though overall fracture did not occur at 10 6 cycles, a slight decrease of I c was detected after 10 5 cycles. No fatigue crack was found on the Hastelloy surface, while deep slip bands corresponding to the initial stage of fatigue crack were observed on the Ag surface. From these results, we concluded that I c degradation at a high cycle number is attributed to the fatigue of the Ag stabilizing layer

  2. Effect of spray application technique on spray deposition in greenhouse strawberries and tomatoes.

    Science.gov (United States)

    Braekman, Pascal; Foque, Dieter; Messens, Winy; Van Labeke, Marie-Christine; Pieters, Jan G; Nuyttens, David

    2010-02-01

    Increasingly, Flemish greenhouse growers are using spray booms instead of spray guns to apply plant protection products. Although the advantages of spray booms are well known, growers still have many questions concerning nozzle choice and settings. Spray deposition using a vertical spray boom in tomatoes and strawberries was compared with reference spray equipment. Five different settings of nozzle type, size and pressure were tested with the spray boom. In general, the standard vertical spray boom performed better than the reference spray equipment in strawberries (spray gun) and in tomatoes (air-assisted sprayer). Nozzle type and settings significantly affected spray deposition and crop penetration. Highest overall deposits in strawberries were achieved using air-inclusion or extended-range nozzles. In tomatoes, the extended-range nozzles and the twin air-inclusion nozzles performed best. Using smaller-size extended-range nozzles above the recommended pressure range resulted in lower deposits, especially inside the crop canopy. The use of a vertical spray boom is a promising technique for applying plant protection products in a safe and efficient way in tomatoes and strawberries, and nozzle choice and setting should be carefully considered.

  3. Obtention and characterization of TiO{sub 2}/TiN multilayers coatings; Obtencao e caracterizacao de revestitimentos compostos de multicamadas TiO{sub 2}/TiN

    Energy Technology Data Exchange (ETDEWEB)

    Goncalves, Andre

    2010-07-01

    Nano science has emerged in recent years as one of the most important areas for future technological developments, especially in the area of electronic devices. Nanotechnology has an interdisciplinary character which includes knowledge from physics, chemistry, engineering, and biology. This technology is being used in the manufacture of microprocessors, pumps for dose of medicine, and coating materials, among others. The MOCVD technique has been used recently to obtain nanocrystalline coatings, and provide films of better quality than those obtained by conventional CVD or physical methods. Furthermore, the MOCVD technique presents itself as a competitive alternative because it is relatively inexpensive and easy to deploy compared to physical deposition methods. In this work multilayer coatings of TiO{sub 2}/TiN were produced. During the experiment, the opening and closing of the valves of gases admission, requires from the operator manual ability to trigger the valve and controlling the deposition time, which creates the possibility of errors, leading directly into the thickness of each layer. Thus, the need of reducing the influence of the operator, and the possibility of using time intervals of less than a minute in the growths, created the opportunity to develop a computer program to manage the whole system. The software was developed using the 'State machine' concept for the process control and Hardware in the loop simulation. (author)

  4. Obtention and characterization of TiO2/TiN multilayers coatings

    International Nuclear Information System (INIS)

    Goncalves, Andre

    2010-01-01

    Nano science has emerged in recent years as one of the most important areas for future technological developments, especially in the area of electronic devices. Nanotechnology has an interdisciplinary character which includes knowledge from physics, chemistry, engineering, and biology. This technology is being used in the manufacture of microprocessors, pumps for dose of medicine, and coating materials, among others. The MOCVD technique has been used recently to obtain nanocrystalline coatings, and provide films of better quality than those obtained by conventional CVD or physical methods. Furthermore, the MOCVD technique presents itself as a competitive alternative because it is relatively inexpensive and easy to deploy compared to physical deposition methods. In this work multilayer coatings of TiO 2 /TiN were produced. During the experiment, the opening and closing of the valves of gases admission, requires from the operator manual ability to trigger the valve and controlling the deposition time, which creates the possibility of errors, leading directly into the thickness of each layer. Thus, the need of reducing the influence of the operator, and the possibility of using time intervals of less than a minute in the growths, created the opportunity to develop a computer program to manage the whole system. The software was developed using the 'State machine' concept for the process control and Hardware in the loop simulation. (author)

  5. High and Low Energy Proton Radiation Damage in p/n InP MOCVD Solar Cells

    Science.gov (United States)

    Rybicki, George; Weinberg, Irv; Scheiman, Dave; Vargas-Aburto, Carlos; Uribe, Roberto

    1995-01-01

    InP p(+)/n/n(+) solar cells, fabricated by metal organic chemical vapor deposition, (MOCVD) were irradiated with 0.2 MeV and 10 MeV protons to a fluence of 10(exp 13)/sq cm. The power output degradation, IV behavior, carrier concentration and defect concentration were observed at intermediate points throughout the irradiations. The 0.2 MeV proton-irradiated solar cells suffered much greater and more rapid degradation in power output than those irradiated with 10 MeV protons. The efficiency losses were accompanied by larger increases in the recombination currents in the 0.2 MeV proton-irradiated solar cells. The low energy proton irradiations also had a larger impact on the series resistance of the solar cells. Despite the radiation induced damage, the carrier concentration in the base of the solar cells showed no reduction after 10 MeV or 0.2 MeV proton irradiations and even increased during irradiation with 0.2 MeV protons. In a deep level transient spectroscopy (DLTS) study of the irradiated samples, the minority carrier defects H4 and H5 at E(sub v) + 0.33 and E(sub v) + 0.52 eV and the majority carrier defects E7 and El0 at E(sub c) - 0.39 and E(sub c) - 0.74 eV, were observed. The defect introduction rates for the 0.2 MeV proton irradiations were about 20 times higher than for the 10 MeV proton irradiations. The defect El0, observed here after irradiation, has been shown to act as a donor in irradiated n-type InP and may be responsible for obscuring carrier removal. The results of this study are consistent with the much greater damage produced by low energy protons whose limited range causes them to stop in the active region of the solar cell.

  6. CVD synthesis of HTSC films using volatile coordination compounds

    International Nuclear Information System (INIS)

    Volkov, S.V.; Zub, V.Y.; Balakshina, O.N.; Mazurenko, E.A.

    1995-01-01

    Thin HTSC films of YBa 2 Cu 3 O 7-x with high c-axis orientation have been grown using PE MOCVD technique and adducts of copper, yttrium and barium acetylacetonate with α,α'- dipyridyl as precursors. In-situ films were deposited in N 2 and O 2 gas reactant mixture at reduced substrate temperatures. HTSC films prepared on SrTiO 3 , ZrO 2 (Y) and MgO substrates have rather high electric characteristics (e.g. j c ∼10 4 - 10 5 A/cm 2 ). The problem of β-diketonate adducts using as precursors for plasma enhanced chemical vapor deposition of superconductive films was discussed. (orig.)

  7. Spectral Sensitization of TiO2 Substrates by Monolayers of Porphyrin Heterodimers

    NARCIS (Netherlands)

    Koehorst, R.B.M.; Boschloo, G.K.; Savenije, T.J.; Goossens, A.; Schaafsma, T.J.

    2000-01-01

    Photoelectrochemical cells have been constructed by depositing monolayers of oriented covalently linked zinc/free base porphyrin heterodimers onto ~30 nm nonporous layers of TiO2 on ITO, deposited by metal-organic chemical vapor deposition (MO-CVD), and onto ~100 nm porous, nanostructured TiO2

  8. Techniques for the research on mass deposition effects in the bio-materials induced by heavy ion implantation

    International Nuclear Information System (INIS)

    Yuan Shibin; Wei Zengquan; Li Qiang

    2002-01-01

    Researchers have used heavy ion beams to implant small biomolecules, followed by advanced instrumental analysis to make preliminary studies on mass deposition induced by ion implantation. But research reports on the biological effects, i.e. mass deposition effects induced by mass deposition in living tissues, cells and macro-biomolecules have not been delivered hitherto. In the near future radioactive heavy ion beams will be possible to implant living cells and biomolecules, and auto-radiography, radioactive measurements and molecular biological techniques will be employed to further studies on the effects

  9. Multifunctional ZnO interfaces with hierarchical micro- and nanostructures: bio-inspiration from the compound eyes of butterflies

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Sha; Yang, Yefeng; Jin, Yizheng; Huang, Jingyun; Zhao, Binghui; Ye, Zhizhen [Zhejiang University, State Key Laboratory of Silicon Materials, Department of Materials Science and Engineering, Hangzhou (China)

    2010-07-15

    Multifunctional zinc oxide (ZnO) interfaces were fabricated by utilizing the technique of low-temperature metal-organic chemical vapor deposition (MOCVD). The ZnO interfacial material exhibit antiwetting, antireflectance, and photonic properties derived from the unique hierarchical micro- and nanostructures of the compound eye of the butterflies. We demonstrate that the fabrication of the multifunctional interfaces by using biotemplates can be applied to other materials, such as Pt. Our study provides an excellent example to obtain multifunctional interfaces by learning from nature. (orig.)

  10. GaN-based vertical-cavity surface-emitting lasers with tunnel junction contacts grown by metal-organic chemical vapor deposition

    Science.gov (United States)

    Lee, SeungGeun; Forman, Charles A.; Lee, Changmin; Kearns, Jared; Young, Erin C.; Leonard, John T.; Cohen, Daniel A.; Speck, James S.; Nakamura, Shuji; DenBaars, Steven P.

    2018-06-01

    We report the first demonstration of III–nitride vertical-cavity surface-emitting lasers (VCSELs) with tunnel junction (TJ) intracavity contacts grown completely by metal–organic chemical vapor deposition (MOCVD). For the TJs, n++-GaN was grown on in-situ activated p++-GaN after buffered HF surface treatment. The electrical properties and epitaxial morphologies of the TJs were first investigated on TJ LED test samples. A VCSEL with a TJ intracavity contact showed a lasing wavelength of 408 nm, a threshold current of ∼15 mA (10 kA/cm2), a threshold voltage of 7.8 V, a maximum output power of 319 µW, and a differential efficiency of 0.28%.

  11. Laboratory Deposition Apparatus to Study the Effects of Wax Deposition on Pipe Magnetic Field Leakage Signals

    Directory of Open Access Journals (Sweden)

    Karim Mohd Fauzi Abd

    2014-07-01

    Full Text Available Accurate technique for wax deposition detection and severity measurement on cold pipe wall is important for pipeline cleaning program. Usually these techniques are validated by conventional techniques on laboratory scale wax deposition flow loop. However conventional techniques inherent limitations and it is difficult to reproduce a predetermine wax deposit profile and hardness at designated location in flow loop. An alternative wax deposition system which integrates modified pour casting method and cold finger method is presented. This system is suitable to reproduce high volume of medium hard wax deposit in pipe with better control of wax deposit profile and hardness.

  12. Annealing effects on the structural and optical properties of vanadium oxide film obtained by the hot-filament metal oxide deposition technique (HFMOD)

    Energy Technology Data Exchange (ETDEWEB)

    Scarminio, Jair; Silva, Paulo Rogerio Catarini da, E-mail: scarmini@uel.br, E-mail: prcsilva@uel.br [Universidade Estadual de Londrina (UEL), PR (Brazil). Departamento de Fisica; Gelamo, Rogerio Valentim, E-mail: rogelamo@gmail.com [Universidade Federal do Triangulo Mineiro (UFTM), Uberaba, MG (Brazil); Moraes, Mario Antonio Bica de, E-mail: bmoraes@mailhost.ifi.unicamp.br [Universidade Estadual de Campinas (UNICAMP), SP (Brazil)

    2017-01-15

    Vanadium oxide films amorphous, nonstoichiometric and highly absorbing in the optical region were deposited on ITO-coated glass and on silicon substrates, by the hot-filament metal oxide deposition technique (HFMOD) and oxidized by ex-situ annealing in a furnace at 200, 300, 400 and 500 deg C, under an atmosphere of argon and rarefied oxygen. X-ray diffraction, Raman and Rutherford backscattering spectroscopy as well as optical transmission were employed to characterize the amorphous and annealed films. When annealed at 200 and 300 deg C the as-deposited opaque films become transparent but still amorphous. Under treatments at 400 and 500 deg C a crystalline nonstoichiometric V{sub 2}O{sub 5} structure is formed. All the annealed films became semiconducting, with their optical absorption coefficients changing with the annealing temperature. An optical gap of 2.25 eV was measured for the films annealed at 400 and 500 deg C. The annealing in rarefied oxygen atmosphere proved to be a useful and simple ex-situ method to modulate the structural and optical properties of vanadium oxide films deposited by HFMOD technique. This technique could be applied to other amorphous and non-absorbing oxide films, replacing the conventional and sometimes expensive method of modulate desirable film properties by controlling the film deposition parameters. Even more, the HFMOD technique can be an inexpensive alternative to deposit metal oxide films. (author)

  13. Characterization of Cr2O3 thin films obtained by chemical vapor deposition

    International Nuclear Information System (INIS)

    Pillis, M.F.; Galego, E.; Serna, M.M.; Correa, O.V.; Ramanathan, L.V.; Franco, A.C.

    2010-01-01

    The goal of this work was the synthesis and characterization of Cr 2 O 3 thin films, obtained by chemical vapor deposition, using chromium acetylacetonate as chromium precursor. The growth of the films was carried out in a conventional horizontal MOCVD equipment, under pressures varying from 2 to 10 mbar, and temperature of 600 deg C. It was observed that the growth of the films only occurs when oxygen is present in the atmosphere. Under growth pressures of 2 and 5 mbar the growth takes place but under 10 mbar of pressure the precursor is dragged and the growth does not occur. The characterization of the films was performed by using scanning electron microscopy and X-ray diffraction. The films presented a columnar structure, and thickness varying from 40 to 250 nm. The influence of some process parameters is discussed. (author)

  14. ZnO nanowall network grown by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Mukherjee, Amrita, E-mail: but.then.perhaps@gmail.com; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology Bombay, Powai, Mumbai-400076 (India)

    2015-06-24

    Network of wedge shaped ZnO nanowalls are grown on c-sapphire by Chemical Vapor Deposition (CVD) technique. Structural studies using x-ray diffraction show much better crystallinity in the nanowall sample as compared to the continuous film. Moreover, the defect related broad green luminescence is found to be suppressed in the nanowall sample. The low temperature photoluminescence study also suggests the quantum confinement of carriers in nanowall sample. Electrical studies performed on the nanowalls show higher conductivity, which has been explained in terms of the reduction of scattering cross-section as a result of 1D quantum confinement of carriers on the tip of the nanowalls.

  15. Influence of solution deposition rate on properties of V{sub 2}O{sub 5} thin films deposited by spray pyrolysis technique

    Energy Technology Data Exchange (ETDEWEB)

    Abd–Alghafour, N. M., E-mail: na2013bil@gmail.com [Iraqi Ministry of Education, Anbar (Iraq); Ahmed, Naser M.; Hassan, Zai; Mohammad, Sabah M. [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, University Sains Malaysia,11800 Penang (Malaysia)

    2016-07-19

    Vanadium oxide (V{sub 2}O{sub 5}) thin films were deposited on glass substrates by using a cost-efficient spray pyrolysis technique. The films were grown at 350° through thermal decomposition of VCl{sub 3} in deionized water with different solution spray rates. The high resolution X-ray diffraction results revealed the formation of nanocrystalline films having orthorhombic structures with preferential orientation along (101) direction. The spray rate influenced the surface morphology and crystallite size of the films. The crystallite size was found to increase whereas the micro-strain was decreased by increasing the spray deposition rates. The increase in crystallite size and decrease in the macrostrain resulted in an improvement in the films’ crystallinity. The UV-Visible spectroscopy analysis indicated that the average transmittance of all films lies in the range 75-80 %. The band gap of V{sub 2}O{sub 5} film was decreased from 2.65 to 2.46 eV with increase of the spray deposition rate from 5 ml/min to 10 ml/min. first, second, and third level headings (first level heading).

  16. Microstructure and surface morphology of YSZ thin films deposited by e-beam technique

    International Nuclear Information System (INIS)

    Laukaitis, G.; Dudonis, J.; Milcius, D.

    2008-01-01

    In present study yttrium-stabilized zirconia (YSZ) thin films were deposited on optical quartz (amorphous SiO 2 ), porous Ni-YSZ and crystalline Alloy 600 (Fe-Ni-Cr) substrates using e-beam deposition technique and controlling technological parameters: substrate temperature and electron gun power which influence thin-film deposition mechanism. X-ray diffraction, scanning electron microscopy (SEM), and atomic force microscopy (AFM) were used to investigate how thin-film structure and surface morphology depend on these parameters. It was found that the crystallite size, roughness and growth mechanism of YSZ thin films are influenced by electron gun power. To clarify the experimental results, YSZ thin-film formation as well evolution of surface roughness at its initial growing stages were analyzed. The evolution of surface roughness could be explained by the processes of surface mobility of adatoms and coalescence of islands. The analysis of these experimental results explain that surface roughness dependence on substrate temperature and electron gun power non-monotonous which could result from diffusivity of adatoms and the amount of atomic clusters in the gas stream of evaporated material

  17. Optimal properties for coated titanium implants with the hydroxyapatite layer formed by the pulsed laser deposition technique

    Science.gov (United States)

    Himmlova, Lucia; Dostalova, Tatjana; Jelinek, Miroslav; Bartova, Jirina; Pesakova, V.; Adam, M.

    1999-02-01

    Pulsed laser deposition technique allow to 'tailor' bioceramic coat for metal implants by the change of deposition conditions. Each attribute is influenced by the several deposition parameters and each parameter change several various properties. Problem caused that many parameters has an opposite function and improvement of one property is followed by deterioration of other attribute. This study monitor influence of each single deposition parameter and evaluate its importance form the point of view of coat properties. For deposition KrF excimer laser in stainless-steel deposition chamber was used. Deposition conditions (ambient composition and pressures, metallic substrate temperature, energy density and target-substrate distance) were changed according to the film properties. A non-coated titanium implant was used as a control. Films with promising mechanical quality underwent an in vitro biological tests -- measurement of proliferation activity, observing cell interactions with macrophages, fibroblasts, testing toxicity of percolates, observing a solubility of hydroxyapatite (HA) coat. Deposition conditions corresponding with the optimal mechanical and biochemical properties are: metal temperature 490 degrees Celsius, ambient-mixture of argon and water vapor, energy density 3 Jcm-2, target-substrate distance 7.5 cm.

  18. Synthesis of carbon nanotubes using the cobalt nanocatalyst by thermal chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Madani, S.S. [Department of Chemistry, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Zare, K. [Department of Chemistry, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Department of Chemistry, Shahid Beheshti University, Tehran (Iran, Islamic Republic of); Ghoranneviss, M. [Plasma Physics Research Center, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Salar Elahi, A., E-mail: Salari_phy@yahoo.com [Plasma Physics Research Center, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of)

    2015-11-05

    The three main synthesis methods of Carbon nanotubes (CNTs) are the arc discharge, the laser ablation and the chemical vapour deposition (CVD) with a special regard to the latter one. CNTs were produced on a silicon wafer by Thermal Chemical Vapor Deposition (TCVD) using acetylene as a carbon source, cobalt as a catalyst and ammonia as a reactive gas. The DC-sputtering system was used to prepare cobalt thin films on Si substrates. A series of experiments was carried out to investigate the effects of reaction temperature and deposition time on the synthesis of the nanotubes. The deposition time was selected as 15 and 25 min for all growth temperatures. Energy Dispersive X-ray (EDX) measurements were used to investigate the elemental composition of the Co nanocatalyst deposited on Si substrates. Atomic Force Microscopy (AFM) was used to characterize the surface topography of the Co nanocatalyst deposited on Si substrates. The as-grown CNTs were characterized under Field Emission Scanning Electron Microscopy (FESEM) to study the morphological properties of CNTs. Also, the grown CNTs have been investigated by High Resolution Transmission Electron Microscopy (HRTEM) and Raman spectroscopy. The results demonstrated that increasing the temperature leads to increasing the diameter of CNTs. The ideal reaction temperature was 850 °C and the deposition time was 15 min. - Graphical abstract: FESEM images of CNTs grown on the cobalt catalyst at growth temperatures of (a) 850 °C, (b) 900 °C, (c) 950 °C and (d) 1000 °C during the deposition time of 15 min. - Highlights: • Carbon nanotubes (CNTs) were produced on a silicon wafer by TCVD technique. • EDX and AFM were used to investigate the elemental composition and surface topography. • FESEM was used to study the morphological properties of CNTs. • The grown CNTs have been investigated by HRTEM and Raman spectroscopy.

  19. Wurtzite InP nanowire arrays grown by selective area MOCVD

    International Nuclear Information System (INIS)

    Chu, Hyung-Joon; Stewart, Lawrence; Yeh, Ting-Wei; Dapkus, P.D.

    2010-01-01

    InP nanowires are a unique material phase because this normally zincblende material forms in the wurtzite crystal structure below a critical diameter owing to the contribution of sidewalls to the total formation energy. This may allow control of the carrier transport and optical properties of InP nanowires for applications such as nano scale transistors, lasers and detectors. In this work, we describe the fabrication of InP nanowire arrays by selective area growth using MOCVD in the diameter range where the wurtzite structure is formed. The spatial growth rate in selective area growth is modeled by a diffusion model for the precursors. The proposed model achieves an average error of 9%. Electron microscopy shows that the grown InP nanowires are in the wurtzite crystal phase with many stacking faults. The threshold diameter of the crystal phase transition of InP nanowires is larger than the thermodynamic estimation. In order to explain this tendency, we propose a surface kinetics model based on a 2 x 2 reconstruction. This model can explain the increased tendency for wurtzite nanowire formation on InP (111)A substrates and the preferred growth direction of binary III-V compound semiconductor nanowires. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Uranium exploration techniques

    International Nuclear Information System (INIS)

    Nichols, C.E.

    1984-01-01

    The subject is discussed under the headings: introduction (genetic description of some uranium deposits; typical concentrations of uranium in the natural environment); sedimentary host rocks (sandstones; tabular deposits; roll-front deposits; black shales); metamorphic host rocks (exploration techniques); geologic techniques (alteration features in sandstones; favourable features in metamorphic rocks); geophysical techniques (radiometric surveys; surface vehicle methods; airborne methods; input surveys); geochemical techniques (hydrogeochemistry; petrogeochemistry; stream sediment geochemistry; pedogeochemistry; emanometry; biogeochemistry); geochemical model for roll-front deposits; geologic model for vein-like deposits. (U.K.)

  1. Pilot-scale electron cyclotron resonance-metal organic chemical vapor deposition system for the preparation of large-area fluorine-doped SnO{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jeon, Bup Ju [Department of Energy and Environmental Engineering, Shinhan University, 233-1, Sangpae-dong, Dongducheon, Gyeonggi-do 483-777 (Korea, Republic of); Hudaya, Chairul [Department of Electrical Engineering, Faculty of Engineering, Universitas Indonesia, Kampus Baru UI, Depok 16424 (Indonesia); Center for Energy Convergence, Green City Research Institute, Korea Institute of Science and Technology, Hwarangno 14 gil 5, Seoul 136-791 (Korea, Republic of); Department of Energy and Environmental Engineering, Korea University of Science and Technology, 176 Gajungro Yuseong-gu, Daejeon 305-350 (Korea, Republic of); Lee, Joong Kee, E-mail: leejk@kist.re.kr [Center for Energy Convergence, Green City Research Institute, Korea Institute of Science and Technology, Hwarangno 14 gil 5, Seoul 136-791 (Korea, Republic of); Department of Energy and Environmental Engineering, Korea University of Science and Technology, 176 Gajungro Yuseong-gu, Daejeon 305-350 (Korea, Republic of)

    2016-05-15

    The authors report the surface morphology, optical, electrical, thermal and humidity impacts, and electromagnetic interference properties of fluorine-doped tin oxide (SnO{sub 2}:F or “FTO”) thin films on a flexible polyethylene terephthalate (PET) substrate fabricated by a pilot-scale electron cyclotron resonance–metal organic chemical vapor deposition (PS ECR-MOCVD). The characteristics of large area FTO thin films were compared with a commercially available transparent conductive electrode made of tin-doped indium oxide (ITO), prepared with an identical film and PET thickness of 125 nm and 188 μm, respectively. The results revealed that the as-prepared FTO thin films exhibited comparable performances with the incumbent ITO films, including a high optical transmittance of 97% (substrate-subtracted), low electrical resistivity of about 5 × 10{sup −3} Ω cm, improved electrical and optical performances due to the external thermal and humidity impact, and an excellent shielding effectiveness of electromagnetic interference of nearly 2.3 dB. These excellent performances of the FTO thin films were strongly attributed to the design of the PS ECR-MOCVD, which enabled a uniform plasma environment resulting from a proper mixture of electromagnetic profiles and microwave power.

  2. Vapor deposition of tantalum and tantalum compounds

    International Nuclear Information System (INIS)

    Trkula, M.

    1996-01-01

    Tantalum, and many of its compounds, can be deposited as coatings with techniques ranging from pure, thermal chemical vapor deposition to pure physical vapor deposition. This review concentrates on chemical vapor deposition techniques. The paper takes a historical approach. The authors review classical, metal halide-based techniques and current techniques for tantalum chemical vapor deposition. The advantages and limitations of the techniques will be compared. The need for new lower temperature processes and hence new precursor chemicals will be examined and explained. In the last section, they add some speculation as to possible new, low-temperature precursors for tantalum chemical vapor deposition

  3. Integrated MSM-FET photoreceiver fabricated on MOCVD grown Hg2-xCdxTe

    International Nuclear Information System (INIS)

    Leech, P.W.; Gwynn, P.J.; Pain, G.N.; Petkovic, N.R.; Thompson, J.; Jamieson, D.N.

    1991-01-01

    This paper reports on progress in the monolithic integration of a metal-semiconductor-metal (MSM) detector and transimpedance amplifier and of a photoconductive detector (PCD) with a metal-semiconductor field effect transistor (MESFET) in Hg 1-x Cd x Te. The layers of CdTe/n-type Hg 1-x Cd x Te were grown by MOCVD on semi-insulating GaAs substrates (2 0 misoriented 100). Fabrication of the devices was by an FET planar process; with a standard lift-off used to form Schottky metallization on both the interdigitated electrodes of the MSM detector (2 μm width, 2 μm spacing) and the gate of the MESFETs (5μm length, 100μm width). The MSM photodetectors exhibited breakdown voltages in the range 60 to 80V, a dark current of 10na at 5V bias, and responsivities of >1.0 A/W measured at 40V using CW 1.3 μm illumination

  4. Determination of Redistribution of Erosion/Deposition Rate in Cultivated Area Using 137Cs Technique

    International Nuclear Information System (INIS)

    Nita Suhartini; Syamsul Abbas RAS; Barokah A; Ali Arman L

    2004-01-01

    The aim of the research is to determine the rate of redistribution of erosion/deposition in cultivated area. The application of 137 Cs technique was carried out at cultivated area in Bojong - Ciawi, with slope less than 10 o and slope length of about 2 km. A reference site was selected at the top of the slope, and this site is flat, open and covered with grass. Two sites in the cultivated area were selected as study site namely LU-I ( 15 x 25 ) m with the distance of 1000 m from the top, and LU-II (17.5 x 20) m with the distance of 1300 m from the top. Sampling of soil at reference site was done by using scraper (20 x 50) cm, while sampling at study site by using core sampling (di = 7 cm). Soil samples were brought to the laboratorium for preparation and analysis of 137 Cs content. Preparation are including of drying, weighing the total dry, sieving and crushing. Analysis of 137 Cs content was done using multi channel analyzer (MCA) that connected to high purity germanium (HPGe), at 661 keV, and the minimum counting time of 16 hours. To estimate the erosion/deposit rate, two mathematical model were used, namely Proportional Model (PM) and Mass Balance Model 1 (MBM1). The result for application of 137 Cs technique showed that MBM1 gives somewhat higher value for deposit rate and somewhat lower value for erosion than PM. Land use - I (LU-I) of Bojong - Ciawi was suffering from erosion with the erosion rate from 1 t/(ha.y) to 13 t/(ha.y), and LU-II has deposit rate from 1 t/(ha.y) to 50 t/(ha.y). (author)

  5. Nano sized bismuth oxy chloride by metal organic chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Jagdale, Pravin, E-mail: pravin.jagdale@polito.it [Department of Applied Science and Technology (DISAT), Politecnico di Torino, 10129 (Italy); Castellino, Micaela [Center for Space Human Robotics, Istituto Italiano di Tecnologia, Corso Trento 21, 10129 Torino (Italy); Marrec, Françoise [Laboratory of Condensed Matter Physics, University of Picardie Jules Verne (UPJV), Amiens 80039 (France); Rodil, Sandra E. [Instituto de Investigaciones en Materiales, Universidad Nacional Autonoma de Mexicom (UNAM), Mexico D.F. 04510 (Mexico); Tagliaferro, Alberto [Department of Applied Science and Technology (DISAT), Politecnico di Torino, 10129 (Italy)

    2014-06-01

    Metal organic chemical vapour deposition (MOCVD) method was used to prepare thin films of bismuth based nano particles starting from bismuth salts. Nano sized bismuth oxy chloride (BiOCl) crystals were synthesized from solution containing bismuth chloride (BiCl{sub 3}) in acetone (CH{sub 3}-CO-CH{sub 3}). Self-assembly of nano sized BiOCl crystals were observed on the surface of silicon, fused silica, copper, carbon nanotubes and aluminium substrates. Various synthesis parameters and their significant impact onto the formation of self-assembled nano-crystalline BiOCl were investigated. BiOCl nano particles were characterized by X-ray diffraction, X-ray photoelectron spectroscopy, field emission scanning electron microscopy, energy-dispersive X-ray spectroscopy and Micro-Raman spectroscopy. These analyses confirm that bismuth nanometer-sized crystal structures showing a single tetragonal phase were indeed bismuth oxy chloride (BiOCl) square platelets 18–250 nm thick and a few micrometres wide.

  6. Seasonal atmospheric deposition variations of polychlorinated biphenyls (PCBs) and comparison of some deposition sampling techniques.

    Science.gov (United States)

    Birgül, Askın; Tasdemir, Yücel

    2011-03-01

    Ambient air and bulk deposition samples were collected between June 2008 and June 2009. Eighty-three polychlorinated biphenyl (PCB) congeners were targeted in the samples. The average gas and particle PCB concentrations were found as 393 ± 278 and 70 ± 102 pg/m(3), respectively, and 85% of the atmospheric PCBs were in the gas phase. Bulk deposition samples were collected by using a sampler made of stainless steel. The average PCB bulk deposition flux value was determined as 6,020 ± 4,350 pg/m(2) day. The seasonal bulk deposition fluxes were not statistically different from each other, but the summer flux had higher values. Flux values differed depending on the precipitation levels. The average flux value in the rainy periods was 7,480 ± 4,080 pg/m(2) day while the average flux value in dry periods was 5,550 ± 4,420 pg/m(2) day. The obtained deposition values were lower than the reported values given for the urban and industrialized areas, yet close to the ones for the rural sites. The reported deposition values were also influenced by the type of the instruments used. The average dry deposition and total deposition velocity values calculated based on deposition and concentration values were found as 0.23 ± 0.21 and 0.13 ± 0.13 cm/s, respectively.

  7. Site control technique for quantum dots using electron beam induced deposition

    Energy Technology Data Exchange (ETDEWEB)

    Iizuka, Kanji; Jung, JaeHun; Yokota, Hiroshi [Nippon Institute of Technology, 4-1 Gakuendai, Miyashiro, Minami-saitama, Saitama 3458501 (Japan)

    2014-05-15

    To develop simple and high throughput sit definition technique for quantum dots (QDs), the electron beam induced deposition (EBID) method was used as desorption guide of phosphorus atoms form InP substrate. As the results one or a few indium (In) droplets (DLs) were created in the carbon grid pattern by thermal annealing at a temperature of 450°C for 10 min in the ultra high vacuum condition. The size of In DLs was larger than QDs, but arsenide DLs by molecular beam in growth chamber emitted wavelength of 1.028μm at 50K by photoluminescence measurement.

  8. Site control technique for quantum dots using electron beam induced deposition

    International Nuclear Information System (INIS)

    Iizuka, Kanji; Jung, JaeHun; Yokota, Hiroshi

    2014-01-01

    To develop simple and high throughput sit definition technique for quantum dots (QDs), the electron beam induced deposition (EBID) method was used as desorption guide of phosphorus atoms form InP substrate. As the results one or a few indium (In) droplets (DLs) were created in the carbon grid pattern by thermal annealing at a temperature of 450°C for 10 min in the ultra high vacuum condition. The size of In DLs was larger than QDs, but arsenide DLs by molecular beam in growth chamber emitted wavelength of 1.028μm at 50K by photoluminescence measurement

  9. Synthesis of nanostructured SiC using the pulsed laser deposition technique

    International Nuclear Information System (INIS)

    Zhang, H.X.; Feng, P.X.; Makarov, V.; Weiner, B.R.; Morell, G.

    2009-01-01

    We report the new results on the direct synthesis of nanostructured silicon carbide (SiC) materials using the pulsed laser deposition technique. Scanning electron microscopy images revealed that SiC nanoholes, nanosprouts, nanowires, and nanoneedles were obtained. The crystallographic structure, chemical composition, and bond structure of the nanoscale SiC materials were investigated using X-ray diffraction, energy dispersive X-ray spectroscopy, X-ray photoelectron spectroscopy, and Raman scattering spectroscopy. The transverse optical mode and longitudinal optical mode in Raman spectra were found to become sharper as the substrate temperature was increased, while the material structure evolved from amorphous to crystalline

  10. Synthesis of Colloidal ZnO Nanoparticles and Deposit of Thin Films by Spin Coating Technique

    Directory of Open Access Journals (Sweden)

    Jose Alberto Alvarado

    2013-01-01

    Full Text Available ZnO colloidal nanoparticles were synthesized, the average size of these nanoparticles is around 25 nm with hexagonal form. It was noted that stabilization depends directly on the purifying process; in this work we do not change the nature of the solution as a difference from Meulekamp's method, and we do not use any alkanes to remove the byproducts; only a centrifuge to remove those ones was used, thereby the stabilization increases up to 24 days. It is observed from the results that only three times of washing is enough to prevent the rapid aging process. The effect of annealing process on the composition, size, and geometrical shape of ZnO nanoparticles was studied in order to know whether the annealing process affects the crystallization and growth of the nanoparticles. After the synthesis, the colloidal nanoparticles were deposited by spin coating technique showing that the formed nanoparticles have no uniformly deposition pattern. But is possible to deposit those ones in glass substrates. A possible deposition process of the nanoparticles is proposed.

  11. The study of metal sulphide nanomaterials obtained by chemical bath deposition and hot-injection technique

    Science.gov (United States)

    Maraeva, E. V.; Alexandrova, O. A.; Forostyanaya, N. A.; Levitskiy, V. S.; Mazing, D. S.; Maskaeva, L. N.; Markov, V. Ph; Moshnikov, V. A.; Shupta, A. A.; Spivak, Yu M.; Tulenin, S. S.

    2015-11-01

    In this study lead sulphide - cadmium sulphide based layers were obtained through chemical deposition of water solutions and cadmium sulphide quantum dots were formed through hot-injection technique. The article discusses the results of surface investigations with the use of atomic force microscopy, Raman spectroscopy and photoluminescence measurements.

  12. Structural and electrical characterizations of BiFeO{sub 3} capacitors deposited by sol–gel dip coating technique

    Energy Technology Data Exchange (ETDEWEB)

    Cetinkaya, Ali Osman, E-mail: cetinkayaaliosman@gmail.com [Physics Department, Abant Izzet Baysal University, 14280 Bolu (Turkey); Center for Nuclear Radiation Detector Research and Applications, 14280 Bolu (Turkey); Kaya, Senol; Aktag, Aliekber [Physics Department, Abant Izzet Baysal University, 14280 Bolu (Turkey); Center for Nuclear Radiation Detector Research and Applications, 14280 Bolu (Turkey); Budak, Erhan [Chemistry Department, Abant Izzet Baysal University, 14280 Bolu (Turkey); Yilmaz, Ercan [Physics Department, Abant Izzet Baysal University, 14280 Bolu (Turkey); Center for Nuclear Radiation Detector Research and Applications, 14280 Bolu (Turkey)

    2015-09-01

    Bismuth ferrite (BiFeO{sub 3}) thin films were deposited by sol–gel dip coating (SGDC) technique on Si-P(100) and glass substrates to investigate the structural and electrical characteristics. The aluminum (Al) metal contacts were formed on the samples deposited on the Si-P(100) to fabricate metal-oxide-semiconductor (MOS) capacitors. The fabricated MOS structures were characterized electrically by capacitance–voltage (C–V) and conductance–voltage (G/ω–V) measurements. The structural characterizations were performed by X-ray diffraction technique and scanning electron microscopy. The compositions of the films were investigated by energy-dispersive X-ray spectroscopy. The results exhibit that pure rhombohedral perovskite phase films were fabricated without any elemental contamination. Average grain sizes of the BiFeO{sub 3} deposited on silicon and glass wafers were found to be about 34,50 and 30,00 nm, respectively. In addition, while the thin films deposited on glass substrate exhibit porous surface, those deposited on Si-P(100) wafers exhibit dense microstructure with a homogenous surface. Moreover, the C–V and G/ω–V characteristics are sensitive to applied voltage frequency due to frequency dependent charges (N{sub ss}) and series resistance (R{sub s}). The peak values of R{sub s} have been decreased from 2,6 kΩ to 40 Ω, while N{sub ss} is varied from 6,57 × 10{sup 12} to 3,68 × 10{sup 12} eV{sup −1} cm{sup −2} with increasing in frequency. Consequently, pure phase polycrystalline BiFeO{sub 3} thin films were fabricated successfully by SGDC technique and BiFeO{sub 3} dielectric layer exhibits stable insulation characteristics. - Highlights: • Bismuth ferrite thin films were deposited onto silicon and glass substrates by sol–gel. • Structural and electrical properties of fabricated films have been investigated. • Pure rhombohedral perovskite phase films without any contamination were deposited. • Series resistance and interface

  13. Preparation and characterization of VOx nanorods using pulsed laser deposition technique

    International Nuclear Information System (INIS)

    Rama, N.; Senthil Kumar, E.; Ramachandra Rao, M.S.

    2009-01-01

    Full text: Vanadium oxide (VO x ) is one of the most functional oxides of the transition metal oxide family. This versatility comes because of the ability of Vanadium to exist as both monovalent and multivalent in these oxides. These oxides find potential usage in the field of thermochromism electrochromism catalysts, electrochemistry etc. especially in their nano-form because of their increased sensitivity to these applications. These nano-forms are usually prepared using conventional techniques such as solgel techniques, vapour phase transport, hydrothermal synthesis etc. In this work we have used pulsed laser deposition technique to fabricate vanadium oxide nanorods for the first time. The grown nanorods has a predominant VO 2 phase with a secondary phase of V 3 O 7 . The diameters of the rods were around 300 nm with Raman spectra showing all the group vibrations corresponding to VO x phase. The nanorods exhibited photoluminescence in the visible range due to the presence of oxygen defects. These results, including the mechanism of growth of these nanorods, will be discussed in detail. The existence of multivalence in these rods finds potential applications in electrochemistry while the visible photoluminescence in optical applications

  14. Comprehensive geophysical survey technique in exploration for deep-buried hydrothermal type uranium deposits in Xiangshan volcanic basin, China

    International Nuclear Information System (INIS)

    Ke, D.

    2014-01-01

    According to recent drilling results, uranium mineralization has been found underground more than 1000 m deep in the Xiangshan volcanic basin, in where uranium exploration has been carried out for over 50 years. This paper presents a comprehensive geophysical survey technique, including audio magnetotelluric method (AMT), high resolution ground magnetic and radon survey, which aim to prospect deep-buried and concealed uranium deposits in Xiangshan volcanic basin. Based on research and application, a comprehensive geophysical technique consisting of data acquisition, processing and interpretation has been established. Concealed rock and ore-controlling structure buried deeper than 1000 m can be detected by using this technique. Moreover, one kind of anti-interference technique of AMT survey is presented, which can eliminate the interference induced by the high-voltage power lines. Result of AMT in Xiangshan volcanic basin is demonstrated as high-low-high mode, which indicates there are three layers in geology. The upper layer with high resistivity is mainly the react of porphyroclastic lava. The middle layer with low resistivity is metamorphic schists or dellenite whereas the lower layer with high resistivity is inferred as granite. The interface between middle and lower layer is recognized as the potential zone for occurrence of uranium deposits. According to the corresponding relation of the resistivity and magnetic anomaly with uranium ore bodies, the tracing model of faults and interfaces between the different rocks, and the forecasting model of advantageous area for uranium deposits have been established. In terms of the forecasting model, some significant sections for uranium deposits were delineated in the west of the Xiangshan volcanic basin. As a result, some achievements on uranium prospecting have been acquired. High grade economic uranium ore bodies have been found in several boreholes, which are located in the forecasted zones. (author)

  15. The study of metal sulphide nanomaterials obtained by chemical bath deposition and hot-injection technique

    International Nuclear Information System (INIS)

    Maraeva, E V; Alexandrova, O A; Levitskiy, V S; Mazing, D S; Moshnikov, V A; Shupta, A A; Spivak, Yu M; Forostyanaya, N A; Maskaeva, L N; Markov, V Ph; Tulenin, S S

    2015-01-01

    In this study lead sulphide – cadmium sulphide based layers were obtained through chemical deposition of water solutions and cadmium sulphide quantum dots were formed through hot-injection technique. The article discusses the results of surface investigations with the use of atomic force microscopy, Raman spectroscopy and photoluminescence measurements. (paper)

  16. Characterization of Ultra thin chromium layers deposited ou to SiO2 using the Le-PIXE and the RB S techniques

    International Nuclear Information System (INIS)

    Zahraman, K.; Nsouli, B.; Roumie, M.

    2007-01-01

    In this paper, we demonstrate the ability of the Le-PIXE (Low Energy PIXE) technique, using proton energies < 1 MeV, for the monitoring of the thickness and the thickness uniformity of ultra thin (0.5 nm < t < 20 nm) chromium layers deposited onto quartz substrates. Chromium is a good candidate for obtaining conductive ultra thin layers on insulator substrates such as quartz (SiO2). The resistivity of such layers is highly related to the quality of the deposited chromium film. In order to optimize the deposition process, there is a need for rapid and accurate monitoring of such films (film thickness, thickness uniformity over a big surface...). The acquisition time needed to obtain results with less than 3-4 % precision was 5 minutes for the thinnest layers. The validation for the use of the Le-PIXE technique was checked by means of conventional RB S technique.

  17. Effect of the spray application technique on the deposition of entomopathogenic nematodes in vegetables.

    Science.gov (United States)

    Brusselman, Eva; Beck, Bert; Pollet, Sabien; Temmerman, Femke; Spanoghe, Pieter; Moens, Maurice; Nuyttens, David

    2012-03-01

    The present study compared entomopathogenic nematode delivery at the base of savoy cabbage and cauliflower, at the lower side of savoy cabbage and cauliflower leaves and in leek stems and the ground deposition using a five-nozzle spray boom equipped with an ISO 08 flat fan, an air induction flat fan and Twinjet spray nozzles. Additionally, an air support system and a row application system were evaluated. Approximately 40% of the applied nematodes did not reach the foot of the cabbage plants. The use of an air support system or a row application system improved nematode deposition at the savoy cabbage base. Relative nematode deposition on the lower side of savoy cabbage leaves was 27.20%, while only 2.64% of the applied nematodes reached the lower side of cauliflower leaves. After spraying leek with a standard boom, a low relative nematode deposition (26.64%) was measured in the leek stem. Nozzle type affected the distribution of nematodes in droplet spots. Nozzle type has a minor effect on the number of entomopathogenic nematodes delivered on difficult-to-reach targets. The use of modified spray application techniques directing the spray to the target site are necessary to increase the chances of contact of entomopathogenic nematodes with their target. Copyright © 2011 Society of Chemical Industry.

  18. Temperature Uniformity of Wafer on a Large-Sized Susceptor for a Nitride Vertical MOCVD Reactor

    International Nuclear Information System (INIS)

    Li Zhi-Ming; Jiang Hai-Ying; Han Yan-Bin; Li Jin-Ping; Yin Jian-Qin; Zhang Jin-Cheng

    2012-01-01

    The effect of coil location on wafer temperature is analyzed in a vertical MOCVD reactor by induction heating. It is observed that the temperature distribution in the wafer with the coils under the graphite susceptor is more uniform than that with the coils around the outside wall of the reactor. For the case of coils under the susceptor, we find that the thickness of the susceptor, the distance from the coils to the susceptor bottom and the coil turns significantly affect the temperature uniformity of the wafer. An optimization process is executed for a 3-inch susceptor with this kind of structure, resulting in a large improvement in the temperature uniformity. A further optimization demonstrates that the new susceptor structure is also suitable for either multiple wafers or large-sized wafers approaching 6 and 8 inches

  19. Progress in scale-up of second-generation HTS conductor

    International Nuclear Information System (INIS)

    Selvamanickam, V.; Chen, Y.; Xiong, X.; Xie, Y.; Zhang, X.; Qiao, Y.; Reeves, J.; Rar, A.; Schmidt, R.; Lenseth, K.

    2007-01-01

    Tremendous progress has been recently made in the achievement of high-performance, high-speed, long-length second-generation (2G) HTS conductors. Using ion beam assisted deposition (IBAD) MgO and metal organic chemical vapor deposition (MOCVD), SuperPower has scaled up tape lengths to 427 m with a minimum critical current value of 191 A/cm corresponding to a critical current x length performance of 81,550 m. Tape speeds up to 120 m/h have been reached with IBAD MgO, up to 80 m/h with buffer deposition and up to 45 m/h with MOCVD, all in single pass processing of 12 mm wide tape. Critical current value of 227 A/cm has been achieved in a 203 m long tape produced in an all-high-speed fabrication process. Critical current values have been raised to 721 A/cm, 592 A/cm and 486 A/cm in short, reel-to-reel processed tape, over 1 m length and over 11.1 m, respectively, using thicker MOCVD HTS films. Finally, over 10,000 m of copper-stabilized, 4 mm wide conductor has been produced and tested for delivery to the Albany Cable project. The average critical current of the 10,000 m lot was 81 A

  20. Progress in scale-up of second-generation HTS conductor

    Energy Technology Data Exchange (ETDEWEB)

    Selvamanickam, V. [SuperPower Inc., 450 Duane Avenue, Schenectady, NY 12304 (United States)], E-mail: vselva@igc.com; Chen, Y.; Xiong, X.; Xie, Y.; Zhang, X.; Qiao, Y.; Reeves, J.; Rar, A.; Schmidt, R.; Lenseth, K. [SuperPower Inc., 450 Duane Avenue, Schenectady, NY 12304 (United States)

    2007-10-01

    Tremendous progress has been recently made in the achievement of high-performance, high-speed, long-length second-generation (2G) HTS conductors. Using ion beam assisted deposition (IBAD) MgO and metal organic chemical vapor deposition (MOCVD), SuperPower has scaled up tape lengths to 427 m with a minimum critical current value of 191 A/cm corresponding to a critical current x length performance of 81,550 m. Tape speeds up to 120 m/h have been reached with IBAD MgO, up to 80 m/h with buffer deposition and up to 45 m/h with MOCVD, all in single pass processing of 12 mm wide tape. Critical current value of 227 A/cm has been achieved in a 203 m long tape produced in an all-high-speed fabrication process. Critical current values have been raised to 721 A/cm, 592 A/cm and 486 A/cm in short, reel-to-reel processed tape, over 1 m length and over 11.1 m, respectively, using thicker MOCVD HTS films. Finally, over 10,000 m of copper-stabilized, 4 mm wide conductor has been produced and tested for delivery to the Albany Cable project. The average critical current of the 10,000 m lot was 81 A.

  1. Advanced Epi Tools for Gallium Nitride Light Emitting Diode Devices

    Energy Technology Data Exchange (ETDEWEB)

    Patibandla, Nag; Agrawal, Vivek

    2012-12-01

    Over the course of this program, Applied Materials, Inc., with generous support from the United States Department of Energy, developed a world-class three chamber III-Nitride epi cluster tool for low-cost, high volume GaN growth for the solid state lighting industry. One of the major achievements of the program was to design, build, and demonstrate the world’s largest wafer capacity HVPE chamber suitable for repeatable high volume III-Nitride template and device manufacturing. Applied Materials’ experience in developing deposition chambers for the silicon chip industry over many decades resulted in many orders of magnitude reductions in the price of transistors. That experience and understanding was used in developing this GaN epi deposition tool. The multi-chamber approach, which continues to be unique in the ability of the each chamber to deposit a section of the full device structure, unlike other cluster tools, allows for extreme flexibility in the manufacturing process. This robust architecture is suitable for not just the LED industry, but GaN power devices as well, both horizontal and vertical designs. The new HVPE technology developed allows GaN to be grown at a rate unheard of with MOCVD, up to 20x the typical MOCVD rates of 3{micro}m per hour, with bulk crystal quality better than the highest-quality commercial GaN films grown by MOCVD at a much cheaper overall cost. This is a unique development as the HVPE process has been known for decades, but never successfully commercially developed for high volume manufacturing. This research shows the potential of the first commercial-grade HVPE chamber, an elusive goal for III-V researchers and those wanting to capitalize on the promise of HVPE. Additionally, in the course of this program, Applied Materials built two MOCVD chambers, in addition to the HVPE chamber, and a robot that moves wafers between them. The MOCVD chambers demonstrated industry-leading wavelength yield for GaN based LED wafers and industry

  2. Stoichiometry, thickness and crystallinity of MOCVD grown Hg{sub 1x-y}Cd{sub x}Mn{sub y}Te determined by nuclear techniques of analysis

    Energy Technology Data Exchange (ETDEWEB)

    Studd, W.B.; Johnston, P.N.; Bubb, I.F. [Royal Melbourne Inst. of Tech., VIC (Australia); Leech, P.W. [Applied Research and Developement, Telecom Australia, Clayton, VIC (Australia)

    1993-12-31

    The quaternary semi-conductor Hg{sub 1-x-y}Cd{sub x}Mn{sub y}Te has been grown by Metal Organic Chemical Vapour Deposition using the Interdiffused Multi-layer Process. The layers have been analysed by Ion beam (PIXE, RBS, channeling) and related analytical techniques (EDXRF, XRD, RHEED) to obtain stoichiometric and structural information. The analysis shows that all four elements are present throughout the layer and that the elemental concentrations and thickness of the layer vary considerably over the film. Channeling, XRD and RHEED have been combined to show that the layer is polycrystalline. 14 refs., 3 figs.

  3. Stoichiometry, thickness and crystallinity of MOCVD grown Hg{sub 1x-y}Cd{sub x}Mn{sub y}Te determined by nuclear techniques of analysis

    Energy Technology Data Exchange (ETDEWEB)

    Studd, W B; Johnston, P N; Bubb, I F [Royal Melbourne Inst. of Tech., VIC (Australia); Leech, P W [Applied Research and Developement, Telecom Australia, Clayton, VIC (Australia)

    1994-12-31

    The quaternary semi-conductor Hg{sub 1-x-y}Cd{sub x}Mn{sub y}Te has been grown by Metal Organic Chemical Vapour Deposition using the Interdiffused Multi-layer Process. The layers have been analysed by Ion beam (PIXE, RBS, channeling) and related analytical techniques (EDXRF, XRD, RHEED) to obtain stoichiometric and structural information. The analysis shows that all four elements are present throughout the layer and that the elemental concentrations and thickness of the layer vary considerably over the film. Channeling, XRD and RHEED have been combined to show that the layer is polycrystalline. 14 refs., 3 figs.

  4. Pulsed laser deposition in Twente: from research tool towards industrial deposition

    NARCIS (Netherlands)

    Blank, David H.A.; Dekkers, Jan M.; Rijnders, Augustinus J.H.M.

    2014-01-01

    After the discovery of the perovskite high Tc superconductors in 1986, a rare and almost unknown deposition technique attracted attention. Pulsed laser deposition (PLD), or laser ablation as it was called in the beginning, became popular because of the possibility to deposit complex materials, like

  5. Ion-substituted calcium phosphate coatings deposited by plasma-assisted techniques: A review.

    Science.gov (United States)

    Graziani, Gabriela; Bianchi, Michele; Sassoni, Enrico; Russo, Alessandro; Marcacci, Maurilio

    2017-05-01

    One of the main critical aspects behind the failure or success of an implant resides in its ability to fast bond with the surrounding bone. To boost osseointegration, the ideal implant material should exhibit composition and structure similar to those of biological apatite. To this aim, the most common approach is to coat the implant surface with a coating of hydroxyapatite (HA), resembling the main component of mineralized tissues. However, bone apatite is a non-stoichiometric, multi-substituted poorly-crystalline apatite, containing significant amounts of foreign ions, with high biological relevance. Ion-substituted HAs can be deposited by so called "wet methods", which are however poorly reproducible and hardly industrially feasible; at the same time bioactive coatings realized by plasma assisted method, interesting for industrial applications, are generally made of stoichiometric (i.e. un-substituted) HA. In this work, the literature concerning plasma-assisted deposition methods used to deposit ion-substituted HA was reviewed and the last advances in this field discussed. The ions taken into exam are those present in mineralized tissues and possibly having biological relevance. Notably, literature about this topic is scarce, especially relating to in vivo animal and clinical trials; further on, available studies evaluate the performance of substituted coatings from different points of view (mechanical properties, bone growth, coating dissolution, etc.) which hinders a proper evaluation of the real efficacy of ion-doped HA in promoting bone regeneration, compared to stoichiometric HA. Moreover, results obtained for plasma sprayed coatings (which is the only method currently employed for deposition at the industrial scale) were collected and compared to those of novel plasma-assisted techniques, that are expected to overcome its limitations. Data so far available on the topic were discussed to highlight advantages, limitations and possible perspectives of these

  6. A novel barium strontium titanate/nickel/titanium nitride/silicon structure for gigabit-scale DRAM capacitors

    Science.gov (United States)

    Ritums, Dwight Lenards

    A materials system has been developed for advanced oxide high permittivity capacitors for use in Dynamic Random Access Memory (DRAM) applications. A capacitor test structure has been fabricated, demonstrating the integration of this materials system onto Si. It is a 3-D stacked electrode structure which uses the high-K dielectric material Ba1- xSrxTiO 3 (BST) and a novel Ni/TiN bottom electrode system. The structure was grown using pulsed laser deposition (PLD), photo-assisted metal-organic chemical vapor deposition (PhA-MOCVD), and electron beam deposition, and resulted in thin film capacitors with dielectric constants over 500. Other advanced oxides, principally SrVO3, were also investigated for use as electrode materials. The fabricated test structure is 3 μgm wide and 1 μm thick. RIE was used to generate the 3-D structure, and an etch gas recipe was developed to pattern the 3-D electrode structure onto the TiN. The Ni was deposited by electron beam deposition, and the BST was grown by PLD and PhA-MOCVD. Conformal coating of the electrode by the BST was achieved. The film structure was analyzed with XRD, SEM, EDS, XPS, AES, and AFM, and the electronic properties of the devices were characterized. Permittivites of up to 500 were seen in the PLD-grown films, and values up to 700 were seen in the MOCVD- deposited films. The proof of concept of a high permittivity material directly integrated onto Si has been demonstrated for this capacitor materials system. With further lithographic developments, this system can be applied toward gigabit device fabrication.

  7. Studies of CdS/CdTe interface: Comparison of CdS films deposited by close space sublimation and chemical bath deposition techniques

    Energy Technology Data Exchange (ETDEWEB)

    Han, Jun-feng, E-mail: pkuhjf@bit.edu.cn [Institut des Matériaux Jean Rouxel (IMN), Université de Nantes, UMR CNRS 6502, 2 rue de la Houssinière, BP 32229, 44322 Nantes Cedex 3 (France); Institute of Materials Science, Darmstadt University of Technology, Petersenstr. 23, 64287 Darmstadt (Germany); School of Physics, Beijing Institute of Technology, Beijing 100081 (China); Fu, Gan-hua; Krishnakumar, V.; Schimper, Hermann-Josef [Institute of Materials Science, Darmstadt University of Technology, Petersenstr. 23, 64287 Darmstadt (Germany); Liao, Cheng [Department of Physics, Peking University, Beijing 100871 (China); Jaegermann, Wolfram [Institute of Materials Science, Darmstadt University of Technology, Petersenstr. 23, 64287 Darmstadt (Germany); Besland, M.P. [Institut des Matériaux Jean Rouxel (IMN), Université de Nantes, UMR CNRS 6502, 2 rue de la Houssinière, BP 32229, 44322 Nantes Cedex 3 (France)

    2015-05-01

    The CdS layers were deposited by two different methods, close space sublimation (CSS) and chemical bath deposition (CBD) technique. The CdS/CdTe interface properties were investigated by transmission electron microscope (TEM) and X-ray photoelectron spectroscopy (XPS). The TEM images showed a large CSS-CdS grain size in the range of 70-80 nm. The interface between CSS-CdS and CdTe were clear and sharp, indicating an abrupt hetero-junction. On the other hand, CBD-CdS layer had much smaller grain size in the 5-10 nm range. The interface between CBD-CdS and CdTe was not as clear as CSS-CdS. With the stepwise coverage of CdTe layer, the XPS core levels of Cd 3d and S 2p in CSS-CdS had a sudden shift to lower binding energies, while those core levels shifted gradually in CBD-CdS. In addition, XPS depth profile analyses indicated a strong diffusion in the interface between CBD-CdS and CdTe. The solar cells prepared using CSS-CdS yielded better device performance than the CBD-CdS layer. The relationships between the solar cell performances and properties of CdS/CdTe interfaces were discussed. - Highlights: • Studies of CdS deposited by close space sublimation and chemical bath deposition • An observation of CdS/CdTe interface by transmission electron microscope • A careful investigation of CdS/CdTe interface by X ray photoelectron spectra • An easier diffusion at the chemical bath deposition CdS and CdTe interface.

  8. Metallization on FDM Parts Using the Chemical Deposition Technique

    Directory of Open Access Journals (Sweden)

    Azhar Equbal

    2014-08-01

    Full Text Available Metallization of ABS (acrylonitrile-butadiene-styrene parts has been studied on flat part surfaces. These parts are fabricated on an FDM (fused deposition modeling machine using the layer-wise deposition principle using ABS as a part material. Electroless copper deposition on ABS parts was performed using two different surface preparation processes, namely ABS parts prepared using chromic acid for etching and ABS parts prepared using a solution mixture of sulphuric acid and hydrogen peroxide (H2SO4/H2O2 for etching. After surface preparations using these routes, copper (Cu is deposited electrolessly using four different acidic baths. The acidic baths used are 5 wt% CuSO4 (copper sulfate with 15 wt% of individual acids, namely HF (hydrofluoric acid, H2SO4 (sulphuric acid, H3PO4 (phosphoric acid and CH3COOH (acetic acid. Cu deposition under different acidic baths used for both the routes is presented and compared based on their electrical performance, scanning electron microscopy (SEM and energy dispersive X-ray spectrometry (EDS. The result shows that chromic acid etched samples show better electrical performance and Cu deposition in comparison to samples etched via H2SO4/H2O2.

  9. Characteristic of doping and diffusion of heavily doped n and p type InP and InGaAs epitaxial layers grown by metal organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Pinzone, C.J.; Dupuis, R.D.; Ha, N.T.; Luftman, H.S.; Gerrard, N.D.

    1990-01-01

    Electronic and photonic device applications of the InGaAs/InP materials system often require the growth of epitaxial material doped to or near the solubility limit of the impurity in the host material. These requirements present an extreme challenge for the crystal grower. To produce devices with abrupt dopant profiles, preserve the junction during subsequent growth, and retain a high degree of crystalline perfection, it is necessary to understand the limits of dopant incorporation and the behavior of the impurity in the material. In this study, N-type doping above 10 19 cm -3 has been achieved in InP and InGaAs using Sn as a dopant. P-type Zn doping at these levels has also been achieved in these materials but p type activation above ∼3 x 10 18 cm -3 in InP has not been seen. All materials were grown by the metalorganic chemical vapor deposition (MOCVD) crystal growth technique. Effective diffusion coefficients have been measured for Zn and Sn in both materials from analysis of secondary ion mass spectra (SIMS) of specially grown and annealed samples

  10. Low-temperature deposition of ZnO thin films on PET and glass substrates by DC-sputtering technique

    International Nuclear Information System (INIS)

    Banerjee, A.N.; Ghosh, C.K.; Chattopadhyay, K.K.; Minoura, Hideki; Sarkar, Ajay K.; Akiba, Atsuya; Kamiya, Atsushi; Endo, Tamio

    2006-01-01

    The structural, optical and electrical properties of ZnO thin films (260 - 490 nm thick) deposited by direct-current sputtering technique, at a relatively low-substrate temperature (363 K), onto polyethylene terephthalate and glass substrates have been investigated. X-ray diffraction patterns confirm the proper phase formation of the material. Optical transmittance data show high transparency (80% to more than 98%) of the films in the visible portion of solar radiation. Slight variation in the transparency of the films is observed with a variation in the deposition time. Electrical characterizations show the room-temperature conductivity of the films deposited onto polyethylene terephthalate substrates for 4 and 5 h around 0.05 and 0.25 S cm -1 , respectively. On the other hand, for the films deposited on glass substrates, these values are 8.5 and 9.6 S cm -1 for similar variation in the deposition time. Room-temperature conductivity of the ZnO films deposited on glass substrates is at least two orders of magnitude higher than that of ZnO films deposited onto polyethylene terephthalate substrates under identical conditions. Hall-measurements show the maximum carrier concentration of the films on PET and glass substrate around 2.8 x 10 16 and 3.1 x 10 2 cm -3 , respectively. This report will provide newer applications of ZnO thin films in flexible display technology

  11. Study of InGaN/GaN quantum dot systems by TEM techniques and photoluminescence spectroscopy

    International Nuclear Information System (INIS)

    Kashtiban, R J; Bangert, U; Harvey, A J; Sherliker, B; Halsall, M P

    2010-01-01

    InGaN/GaN multilayer quantum dot structures produced by MOCVD techniques on c-plane sapphire were studied by transmission electron microscopy (TEM) and photoluminescence (PL) techniques. Indium fluctuations ranging from 1-4 nm were observed with both energy filtered TEM (EFTEM) and high angle annular dark field (HAADF) scanning TEM. The existence of V-shaped defects with nucleation centres at the termination of threading dislocation were observed in HAADF images. There was also evidence of the formation of large quantum dots at low densities from lattice HRTEM images. This was further confirmed by PL measurements through the observation of a single sharp line at low power with the typical saturation behaviour at higher power excitation.

  12. Photoconductive GaN UV Detectors

    National Research Council Canada - National Science Library

    Baranowski, Jacek

    1999-01-01

    This report results from a contract tasking University of Warsaw as follows: The contractor will investigate the growth of GaN material using atmospheric pressure metalorganic chemical vapor deposition method (MOCVD...

  13. Final Report: Vapor Transport Deposition for Thin Film III-V Photovoltaics

    Energy Technology Data Exchange (ETDEWEB)

    Boettcher, Shannon [Univ. of Oregon, Eugene, OR (United States); Greenaway, Ann [Univ. of Oregon, Eugene, OR (United States); Boucher, Jason [Univ. of Oregon, Eugene, OR (United States); Aloni, Shaul [Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States)

    2016-02-10

    Silicon, the dominant photovoltaic (PV) technology, is reaching its fundamental performance limits as a single absorber/junction technology. Higher efficiency devices are needed to reduce cost further because the balance of systems account for about two-thirds of the overall cost of the solar electricity. III-V semiconductors such as GaAs are used to make the highest-efficiency photovoltaic devices, but the costs of manufacture are much too high for non-concentrated terrestrial applications. The cost of III-V’s is driven by two factors: (1) metal-organic chemical vapor deposition (MOCVD), the dominant growth technology, employs expensive, toxic and pyrophoric gas-phase precursors, and (2) the growth substrates conventionally required for high-performance devices are monocrystalline III-V wafers. The primary goal of this project was to show that close-spaced vapor transport (CSVT), using water vapor as a transport agent, is a scalable deposition technology for growing low-cost epitaxial III-V photovoltaic devices. The secondary goal was to integrate those devices on Si substrates for high-efficiency tandem applications using interface nanopatterning to address the lattice mismatch. In the first task, we developed a CSVT process that used only safe solid-source powder precursors to grow epitaxial GaAs with controlled n and p doping and mobilities/lifetimes similar to that obtainable via MOCVD. Using photoelectrochemical characterization, we showed that the best material had near unity internal quantum efficiency for carrier collection and minority carrier diffusions lengths in of ~ 8 μm, suitable for PV devices with >25% efficiency. In the second task we developed the first pn junction photovoltaics using CSVT and showed unpassivated structures with open circuit photovoltages > 915 mV and internal quantum efficiencies >0.9. We also characterized morphological and electrical defects and identified routes to reduce those defects. In task three we grew epitaxial

  14. Volatile organometallic and semiconductor materials

    International Nuclear Information System (INIS)

    Dickson, R.S.

    1991-01-01

    This article reports on a project concerned with the metal organic chemical vapour deposition (MOCVD) of mercury-cadmium telluride (MCT) undertaken by a research consortium based in the Clayton area involving Monash University Chemistry Department, Telecom Research Laboratories, and CSIRO Division of Material Sciences and Technology. An M.R. Semicon 226 MOCVD reactor, operating near atmospheric presure with hydrogen carrier gas has been used. Most applications of MCT are direct consequence of its responsiveness to radiation in infrared region spectrum. The main aims of the project were to prepare and assess a range of volatile organometallics that might find use as a dopant sources for MCT, to prepare and study the properties of a range of different lanthanide complexes for MOCVD applications and to fully characterize the semiconductor wafers after growth. 19 refs., 3 figs

  15. Patterned deposition by atmospheric pressure plasma-enhanced spatial atomic layer deposition

    NARCIS (Netherlands)

    Poodt, P.; Kniknie, B.J.; Branca, A.; Winands, G.J.J.; Roozeboom, F.

    2011-01-01

    An atmospheric pressure plasma enhanced atomic layer deposition reactor has been developed, to deposit Al2O3 films from trimethyl aluminum and an He/O2 plasma. This technique can be used for 2D patterned deposition in a single in-line process by making use of switched localized plasma sources. It

  16. Economical Atomic Layer Deposition

    Science.gov (United States)

    Wyman, Richard; Davis, Robert; Linford, Matthew

    2010-10-01

    Atomic Layer Deposition is a self limiting deposition process that can produce films at a user specified height. At BYU we have designed a low cost and automated atomic layer deposition system. We have used the system to deposit silicon dioxide at room temperature using silicon tetrachloride and tetramethyl orthosilicate. Basics of atomic layer deposition, the system set up, automation techniques and our system's characterization are discussed.

  17. Modification of the morphology and optical properties of SnS films using glancing angle deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Sazideh, M.R., E-mail: Mohammadrezasazideh@gmail.com [Thin Film Lab., Faculty of Physics, Semnan University, Semnan, Islamic Republic of Iran (Iran, Islamic Republic of); Dizaji, H. Rezagholipour, E-mail: hrgholipour@semnan.ac.ir [Faculty of Physics, Semnan University, P.O. Box: 35195-363, Semnan, Islamic Republic of Iran (Iran, Islamic Republic of); Ehsani, M.H., E-mail: mhe_ehsani@yahoo.com [Faculty of Physics, Semnan University, P.O. Box: 35195-363, Semnan, Islamic Republic of Iran (Iran, Islamic Republic of); Moghadam, R. Zarei, E-mail: r.zarei1991@gmail.com [Thin Film Lab., Faculty of Physics, Semnan University, Semnan, Islamic Republic of Iran (Iran, Islamic Republic of)

    2017-05-31

    Highlights: • SnS thin films produced by thermal evaporation method using glancing angle deposition technique. • At α = 85° the layers show a considerable change in effective refractive index (Δn = 1.7) at near-IR spectral range. • FESEM images showed drastic changes in the structure and morphology of individual nano-plates as a function of incident angle deposition. - Abstract: Tin sulfide (SnS) films were prepared by thermal evaporation method using Glancing Angle Deposition (GLAD) technique at zero and different oblique incident flux angles (α = 45°, 55°, 65°, 75° and 85°). The physical properties of prepared films were systematically investigated. The X-ray diffraction analysis indicated that the film deposited at α = 0° formed as single phase with an orthorhombic structure. However, the layers became amorphous at α = 45°, 55°, 65°, 75° and 85°. Beside the appearance of amorphous feature in the film prepared at α higher than zero, Sn{sub 2}S{sub 3} phase was also observed. The top and cross-sectional field emission scanning electron microscope (FESEM) images of the samples showed noticeable changes in the structure and morphology of individual nano-plates as a function of incident angle. The band gap and refractive index values of the films were calculated by optical transmission measurements. The optical band-gap values were observed to increase with increasing the incident flux angle. This can be due to presence of Sn{sub 2}S{sub 3} phase observed in the samples produced at α values other than zero. The effective refractive index and porosity exhibit an opposite evolution as the incident angle α rises. At α = 85° the layers show a considerable change in effective refractive index (Δn = 1.7) at near-IR spectral range.

  18. Metal-organic chemical vapor deposition of ultra-thin photovoltaic devices using a pyrite based p-i-n structure

    Energy Technology Data Exchange (ETDEWEB)

    Clayton, A.J., E-mail: andy.clayton@optictechnium.com [CSER, Glyndwr University, OpTIC Technium, St Asaph, LL17 0JD (United Kingdom); Irvine, S.J.C.; Barrioz, V.; Brooks, W.S.M. [CSER, Glyndwr University, OpTIC Technium, St Asaph, LL17 0JD (United Kingdom); Zoppi, G.; Forbes, I. [NPAC, Northumbria University, Newcastle upon Tyne, NE1 8ST (United Kingdom); Rogers, K.D.; Lane, D.W.; Hutchings, K.; Roncallo, S. [Centre for Material Science and Engineering, Cranfield University, Swindon, SN6 8LA (United Kingdom)

    2011-08-31

    Ultra-thin photovoltaic (PV) devices were produced by atmospheric pressure metal organic chemical vapour deposition (AP-MOCVD) incorporating a highly absorbing intermediate sulphurised FeS{sub x} layer into a CdS/CdTe structure. X-ray diffraction (XRD) confirmed a transitional phase change to pyrite FeS{sub 2} after post growth sulphur (S) annealing of the FeS{sub x} layer between 400 deg. C and 500 deg. C. Devices using a superstrate configuration incorporating a sulphurised or non-sulphurised FeS{sub x} layer were compared to p-n devices with only a CdS/CdTe structure. Devices with sulphurised FeS{sub x} layers performed least efficiently, even though pyrite fractions were present. Rutherford back scattering (RBS) confirmed deterioration of the CdS/FeS{sub x} interface due to S inter-diffusion during the annealing process.

  19. MAPLE deposition of nanomaterials

    International Nuclear Information System (INIS)

    Caricato, A.P.; Arima, V.; Catalano, M.; Cesaria, M.; Cozzoli, P.D.; Martino, M.; Taurino, A.; Rella, R.; Scarfiello, R.; Tunno, T.; Zacheo, A.

    2014-01-01

    The matrix-assisted pulsed laser evaporation (MAPLE) has been recently exploited for depositing films of nanomaterials by combining the advantages of colloidal inorganic nanoparticles and laser-based techniques. MAPLE-deposition of nanomaterials meeting applicative purposes demands their peculiar properties to be taken into account while planning depositions to guarantee a congruent transfer (in terms of crystal structure and geometric features) and explain the deposition outcome. In particular, since nanofluids can enhance thermal conductivity with respect to conventional fluids, laser-induced heating can induce different ablation thermal regimes as compared to the MAPLE-treatment of soft materials. Moreover, nanoparticles exhibit lower melting temperatures and can experience pre-melting phenomena as compared to their bulk counterparts, which could easily induce shape and or crystal phase modification of the material to be deposited even at very low fluences. In this complex scenario, this review paper focuses on examples of MAPLE-depositions of size and shape controlled nanoparticles for different applications highlights advantages and challenges of the MAPLE-technique. The influence of the deposition parameters on the physical mechanisms which govern the deposition process is discussed.

  20. MAPLE deposition of nanomaterials

    Energy Technology Data Exchange (ETDEWEB)

    Caricato, A.P., E-mail: annapaola.caricato@le.infn.it [Department of Mathematics and Physics “E. De Giorgi”, University of Salento, Via Arnesano, I-73100 Lecce (Italy); Arima, V.; Catalano, M. [National Nanotechnology Laboratory (NNL), CNR Istituto Nanoscienze, c/o Distretto Tecnologico, Via Arnesano n. 16, I-73100 Lecce (Italy); Cesaria, M. [Department of Mathematics and Physics “E. De Giorgi”, University of Salento, Via Arnesano, I-73100 Lecce (Italy); Cozzoli, P.D. [Department of Mathematics and Physics “E. De Giorgi”, University of Salento, Via Arnesano, I-73100 Lecce (Italy); National Nanotechnology Laboratory (NNL), CNR Istituto Nanoscienze, c/o Distretto Tecnologico, Via Arnesano n. 16, I-73100 Lecce (Italy); Martino, M. [Department of Mathematics and Physics “E. De Giorgi”, University of Salento, Via Arnesano, I-73100 Lecce (Italy); Taurino, A.; Rella, R. [Institute for Microelectronics and Microsystems, IMM-CNR, Via Monteroni, I-73100 Lecce (Italy); Scarfiello, R. [National Nanotechnology Laboratory (NNL), CNR Istituto Nanoscienze, c/o Distretto Tecnologico, Via Arnesano n. 16, I-73100 Lecce (Italy); Tunno, T. [Department of Mathematics and Physics “E. De Giorgi”, University of Salento, Via Arnesano, I-73100 Lecce (Italy); Zacheo, A. [Department of Mathematics and Physics “E. De Giorgi”, University of Salento, Via Arnesano, I-73100 Lecce (Italy); National Nanotechnology Laboratory (NNL), CNR Istituto Nanoscienze, c/o Distretto Tecnologico, Via Arnesano n. 16, I-73100 Lecce (Italy)

    2014-05-01

    The matrix-assisted pulsed laser evaporation (MAPLE) has been recently exploited for depositing films of nanomaterials by combining the advantages of colloidal inorganic nanoparticles and laser-based techniques. MAPLE-deposition of nanomaterials meeting applicative purposes demands their peculiar properties to be taken into account while planning depositions to guarantee a congruent transfer (in terms of crystal structure and geometric features) and explain the deposition outcome. In particular, since nanofluids can enhance thermal conductivity with respect to conventional fluids, laser-induced heating can induce different ablation thermal regimes as compared to the MAPLE-treatment of soft materials. Moreover, nanoparticles exhibit lower melting temperatures and can experience pre-melting phenomena as compared to their bulk counterparts, which could easily induce shape and or crystal phase modification of the material to be deposited even at very low fluences. In this complex scenario, this review paper focuses on examples of MAPLE-depositions of size and shape controlled nanoparticles for different applications highlights advantages and challenges of the MAPLE-technique. The influence of the deposition parameters on the physical mechanisms which govern the deposition process is discussed.

  1. High-efficiency photovoltaic cells

    Science.gov (United States)

    Yang, H.T.; Zehr, S.W.

    1982-06-21

    High efficiency solar converters comprised of a two cell, non-lattice matched, monolithic stacked semiconductor configuration using optimum pairs of cells having bandgaps in the range 1.6 to 1.7 eV and 0.95 to 1.1 eV, and a method of fabrication thereof, are disclosed. The high band gap subcells are fabricated using metal organic chemical vapor deposition (MOCVD), liquid phase epitaxy (LPE) or molecular beam epitaxy (MBE) to produce the required AlGaAs layers of optimized composition, thickness and doping to produce high performance, heteroface homojunction devices. The low bandgap subcells are similarly fabricated from AlGa(As)Sb compositions by LPE, MBE or MOCVD. These subcells are then coupled to form a monolithic structure by an appropriate bonding technique which also forms the required transparent intercell ohmic contact (IOC) between the two subcells. Improved ohmic contacts to the high bandgap semiconductor structure can be formed by vacuum evaporating to suitable metal or semiconductor materials which react during laser annealing to form a low bandgap semiconductor which provides a low contact resistance structure.

  2. A comparison of biological effect and spray liquid distribution and deposition for different spray application techniques in different crops

    OpenAIRE

    Larsolle, Anders; Wretblad, Per; Westberg, Carl

    2002-01-01

    The objective of this study was to compare a selection of spray application techniques with different application volumes, with respect to the spray liquid distribution on flat surfaces, the deposition in fully developed crops and the biological effect. The spray application techniques in this study were conventional spray technique with three different nozzles: Teelet XR, Lechler ID and Lurmark DriftBeta, and also AirTec, Danfoil, Hardi Twin, Kyndestoit and Släpduk. The dynamic spray liquid ...

  3. The Influence of Various Deposition Techniques on the Photoelectrochemical Properties of the Titanium Dioxide Thin Fil

    Czech Academy of Sciences Publication Activity Database

    Morozová, Magdalena; Klusoň, Petr; Dzik, P.; Veselý, M.; Baudyš, M.; Krýsa, J.; Šolcová, Olga

    2013-01-01

    Roč. 65, č. 3 (2013), s. 452-458 ISSN 0928-0707 R&D Projects: GA TA ČR TA01020804 Grant - others:GA ČR(CZ) GP104/09/P165 Institutional support: RVO:67985858 Keywords : titanium dioxide * photoelectrochemical properties * deposition techniques Subject RIV: CI - Industrial Chemistry, Chemical Engineering Impact factor: 1.547, year: 2013

  4. Layer-by-layer deposition of superconducting Sr-Ca-Cu-O films by the spray pyrolysis technique

    International Nuclear Information System (INIS)

    Pawar, S.H.; Pawaskar, P.N.; Ubale, M.J.; Kulkarni, S.B.

    1995-01-01

    Layer-by-layer deposition of Sr-Ca-Cu-O films has been carried out using the spray pyrolysis technique. Reagent-grade nitrates of strontium, calcium and copper were used to prepare starting solutions for spray pyrolysis. A two-step procedure was used for every layer of the constituents in the sequence Sr-Cu-Ca-Cu-Sr: first, deposition onto silver substrate at 350 C, then firing at T≥450 C, both at atmospheric pressure. The films were 2-3 μm thick and showed adequate adhesion to the substrate. The films were then characterised by studying their electron micrographs, X-ray diffraction patterns and electrical resistivity. The films showed superconductivity below 104 K. ((orig.))

  5. Electrochemical performances of proton-conducting SOFC with La-Sr-Fe-O cathode fabricated by electrophoretic deposition techniques

    International Nuclear Information System (INIS)

    Asamoto, Makiko; Miyake, Shinji; Yonei, Yuka; Yamaura, Hiroyuki; Yahiro, Hidenori

    2009-01-01

    The electrochemical performances of Proton-conducting SOFC with La 0.7 Sr 0.3 FeO 3 (LSF) cathode fabricated by the electrophoretic deposition (EPD) technique were investigated. The EPD technique provided the uniform layer of LSF cathode with constant thickness and can easily control the thickness by changing an applied voltage. The power density of the SOFC cell was dependent on the thickness of LSF cathode. The activation energy was measured to elucidate the rate-determining step for LSF cathode reaction. (author)

  6. Modulating the Optoelectronic Properties of Silver Nanowires Films: Effect of Capping Agent and Deposition Technique.

    Science.gov (United States)

    Lopez-Diaz, D; Merino, C; Velázquez, M M

    2015-11-11

    Silver nanowires 90 nm in diameter and 9 µm in length have been synthesized using different capping agents: polyvinyl pyrrolidone (PVP) and alkyl thiol of different chain lengths. The nanowire structure is not influenced by the displacement of PVP by alkyl thiols, although alkyl thiols modify the lateral aggregation of nanowires. We examined the effect of the capping agent and the deposition method on the optical and electrical properties of films prepared by Spray and the Langmuir-Schaefer methodologies. Our results revealed that nanowires capped with PVP and C8-thiol present the best optoelectronic properties. By using different deposition techniques and by modifying the nanowire surface density, we can modulate the optoelectronic properties of films. This strategy allows obtaining films with the optoelectronic properties required to manufacture touch screens and electromagnetic shielding.

  7. Enhanced performance of CdS/CdTe thin-film devices through temperature profiling techniques applied to close-spaced sublimation deposition

    Energy Technology Data Exchange (ETDEWEB)

    Xiaonan Li; Sheldon, P.; Moutinho, H.; Matson, R. [National Renewable Energy Lab., Golden, CO (United States)

    1996-05-01

    The authors describe a methodology developed and applied to the close-spaced sublimation technique for thin-film CdTe deposition. The developed temperature profiles consisted of three discrete temperature segments, which the authors called the nucleation, plugging, and annealing temperatures. They have demonstrated that these temperature profiles can be used to grow large-grain material, plug pinholes, and improve CdS/CdTe photovoltaic device performance by about 15%. The improved material and device properties have been obtained while maintaining deposition temperatures compatible with commercially available substrates. This temperature profiling technique can be easily applied to a manufacturing environment by adjusting the temperature as a function of substrate position instead of time.

  8. Vapor deposition of large area NpO2 and UO2 deposits

    International Nuclear Information System (INIS)

    Adair, H.L.; Gibson, J.R.; Kobisk, E.H.; Dailey, J.M.

    1976-01-01

    Deposition of NpO 2 and UO 2 thin films over an area of 7.5 to 10 cm diam has become a routine operation in preparation of fission chamber plates. Vacuum evaporation or electroplating has been used for this purpose. The ''paint brush'' technique has been used as well; however, uniformity requirements normally eliminate this procedure. Vapor deposition in vacuum appears to be the most suitable technique for preparing NpO 2 and UO 2 deposits of >200 cm 2 . This paper describes the procedures used in preparing uniform large area deposits of NpO 2 (approximately 300 cm 2 ) and UO 2 (approximately 2000 cm 2 ) by vacuum evaporation using electron bombardment heating and several substrate motion and heating methods to achieve uniformity and adhesion

  9. Improving optical properties of silicon nitride films to be applied in the middle infrared optics by a combined high-power impulse/unbalanced magnetron sputtering deposition technique.

    Science.gov (United States)

    Liao, Bo-Huei; Hsiao, Chien-Nan

    2014-02-01

    Silicon nitride films are prepared by a combined high-power impulse/unbalanced magnetron sputtering (HIPIMS/UBMS) deposition technique. Different unbalance coefficients and pulse on/off ratios are applied to improve the optical properties of the silicon nitride films. The refractive indices of the Si3N4 films vary from 2.17 to 2.02 in the wavelength ranges of 400-700 nm, and all the extinction coefficients are smaller than 1×10(-4). The Fourier transform infrared spectroscopy and x-ray diffractometry measurements reveal the amorphous structure of the Si3N4 films with extremely low hydrogen content and very low absorption between the near IR and middle IR ranges. Compared to other deposition techniques, Si3N4 films deposited by the combined HIPIMS/UBMS deposition technique possess the highest refractive index, the lowest extinction coefficient, and excellent structural properties. Finally a four-layer coating is deposited on both sides of a silicon substrate. The average transmittance from 3200 to 4800 nm is 99.0%, and the highest transmittance is 99.97% around 4200 nm.

  10. Research on ZnO/Si heterojunction solar cells

    DEFF Research Database (Denmark)

    Chen, Li; Chen, Xinliang; Liu, Yiming

    2017-01-01

    We put forward an n-ZnO/p-Si heterojunction solar cell model based on AFORS-HET simulations and provide experimental support in this article. ZnO: B (B-doped ZnO) thin films deposited by metal-organic chemical vapor deposition (MOCVD) are planned to act as electrical emitter layer on p-type c...

  11. Higher lung deposition with Respimat® Soft Mist™ Inhaler than HFA-MDI in COPD patients with poor technique

    Directory of Open Access Journals (Sweden)

    Peter Brand

    2008-08-01

    Full Text Available Peter Brand1, Bettina Hederer2, George Austen3, Helen Dewberry3, Thomas Meyer41RWTH, Aachen, Germany; 2Boehringer Ingelheim, Ingelheim, Germany; 3Boehringer Ingelheim, Bracknell, UK; 4Inamed Research, Gauting, GermanyAbstract: Aerosols delivered by Respimat® Soft Mist™ Inhaler (SMI are slower-moving and longer-lasting than those from pressurized metered-dose inhalers (pMDIs, improving the efficiency of pulmonary drug delivery to patients. In this four-way cross-over study, adults with chronic obstructive pulmonary disease (COPD and with poor pMDI technique received radiolabelled Berodual® (fenoterol hydrobromide 50 µg/ipratropium bromide 20 µg via Respimat® SMI or hydrofluoroalkane (HFA-MDI (randomized order on test days 1 and 2, with no inhaler technique training. The procedure was repeated on test days 3 and 4 after training. Deposition was measured by gamma scintigraphy. All 13 patients entered (9 males, mean age 62 years; FEV1 46% of predicted inhaled too fast at screening (peak inspiratory flow rate [IF]: 69–161 L/min. Whole lung deposition was higher with Respimat® SMI than with pMDI for untrained (37% of delivered dose vs 21% of metered dose and trained patients (53% of delivered vs 21% of metered dose (pSign-Test = 0.15; pANOVA< 0.05. Training also improved inhalation profiles (slower average and peak IF as well as longer breath-hold time. Drug delivery to the lungs with Respimat® SMI is more efficient than with pMDI, even with poor inhaler technique. Teaching patients to hold their breath as well as to inhale slowly and deeply increased further lung deposition using Respimat® SMI.Keywords: chronic obstructive pulmonary disease, drug delivery, inhalation, metered-dose inhaler, poor inhalation technique, training

  12. Metallographic techniques for evaluation of Thermal Barrier Coatings produced by Electron Beam Physical Vapor Deposition

    International Nuclear Information System (INIS)

    Kelly, Matthew; Singh, Jogender; Todd, Judith; Copley, Steven; Wolfe, Douglas

    2008-01-01

    Thermal Barrier Coatings (TBC) produced by Electron Beam Physical Vapor Deposition (EB-PVD) are primarily applied to critical hot section turbine components. EB-PVD TBC for turbine applications exhibit a complicated structure of porous ceramic columns separated by voids that offers mechanical compliance. Currently there are no standard evaluation methods for evaluating EB-PVD TBC structure quantitatively. This paper proposes a metallographic method for preparing samples and evaluating techniques to quantitatively measure structure. TBC samples were produced and evaluated with the proposed metallographic technique and digital image analysis for columnar grain size and relative intercolumnar porosity. Incorporation of the proposed evaluation technique will increase knowledge of the relation between processing parameters and material properties by incorporating a structural link. Application of this evaluation method will directly benefit areas of quality control, microstructural model development, and reduced development time for process scaling

  13. Curvature evolution of 200 mm diameter GaN-on-insulator wafer fabricated through metalorganic chemical vapor deposition and bonding

    Science.gov (United States)

    Zhang, Li; Lee, Kwang Hong; Kadir, Abdul; Wang, Yue; Lee, Kenneth E.; Tan, Chuan Seng; Chua, Soo Jin; Fitzgerald, Eugene A.

    2018-05-01

    Crack-free 200 mm diameter N-polar GaN-on-insulator (GaN-OI) wafers are demonstrated by the transfer of metalorganic chemical vapor deposition (MOCVD)-grown Ga-polar GaN layers from Si(111) wafers onto SiO2/Si(100) wafers. The wafer curvature of the GaN-OI wafers after the removal of the original Si(111) substrate is correlated with the wafer curvature of the starting GaN-on-Si wafers and the voids on the GaN-on-Si surface that evolve into cracks on the GaN-OI wafers. In crack-free GaN-OI wafers, the wafer curvature during the removal of the AlN nucleation layer, AlGaN strain-compensation buffer layers and GaN layers is correlated with the residual stress distribution within individual layers in the GaN-OI wafer.

  14. Growth and characterization of yttrium iron garnet films on Si substrates by Chemical Solution Deposition (CSD) technique

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Xin; Chen, Ying; Wang, Genshui [Key Laboratory of Inorganic Function Materials and Devices, Shanghai Institute of Ceramics, Chinese Academy of Sciences, University of Chinese Academy of Sciences, 1295 Dingxi Rd., Shanghai 200050 (China); Zhang, Yuanyuan [Key Laboratory of Polar Materials and Devices, Ministry of Education, Department of Electronic Engineering, East China Normal University, 500 Dongchuan Rd., Shanghai 200241 (China); Ge, Jun [Key Laboratory of Inorganic Function Materials and Devices, Shanghai Institute of Ceramics, Chinese Academy of Sciences, University of Chinese Academy of Sciences, 1295 Dingxi Rd., Shanghai 200050 (China); Tang, Xiaodong [Key Laboratory of Polar Materials and Devices, Ministry of Education, Department of Electronic Engineering, East China Normal University, 500 Dongchuan Rd., Shanghai 200241 (China); Ponchel, Freddy; Rémiens, Denis [Institute of Electronics, Microelectronics and Nanotechnology (IEMN)–DOAE, UMR CNRS 8520, Université des Sciences et Technologies de Lille, 59652 Villeneuve d’Ascq Cedex (France); Dong, Xianlin, E-mail: xldong@mail.sic.ac.cn [Key Laboratory of Inorganic Function Materials and Devices, Shanghai Institute of Ceramics, Chinese Academy of Sciences, University of Chinese Academy of Sciences, 1295 Dingxi Rd., Shanghai 200050 (China)

    2016-06-25

    Yttrium Iron Garnet (YIG) films were prepared on Si substrates by Chemical Solution Deposition (CSD) technique using acetic acid and deionized water as solvents. Well-crystallized and crack-free YIG films were obtained when annealed at 750 °C and 850 °C respectively, showing a low surface roughness of several nanometers. When annealed at 750 °C for 30 min, the saturated magnetization (Ms) and coercive field (Hc) of YIG films were 0.121 emu/mm{sup 3} (4πMs = 1.52 kGs) and 7 Oe respectively, which were similar to that prepared by PLD technique. The peak-to-peak linewidth of ferromagnetic resonance (FMR) was 220 Oe at 9.10 GHz. The results demonstrated that CSD was an excellent technique to prepare high quality yttrium iron garnet (YIG) films on silicon, which could provide a lower-cost way for large-scale production on Si-based integrated devices. - Highlights: • The preparation of YIG films by Chemical Solution Deposition are demonstrated. • Well-crystallized and crack-free YIG films can be obtained on Si substrate by CSD. • YIG films can be crystallized in 750 °C with good magnetic performances. • It's beneficial to large-scale production of YIG films on Si integrated devices.

  15. Influence of deposition parameters on morphological properties of biomedical calcium phosphate coatings prepared using electrostatic spray deposition

    International Nuclear Information System (INIS)

    Leeuwenburgh, S.C.G.; Wolke, J.G.C.; Schoonman, J.; Jansen, J.A.

    2005-01-01

    In order to deposit biomedical calcium phosphate (CaP) coatings with a defined surface morphology, the electrostatic spray deposition (ESD) technique was used since this technique offers the possibility to deposit ceramic coatings with a variety of surface morphologies. A scanning electron microscopical study was performed in order to investigate the influence of several deposition parameters on the final morphology of the deposited coatings. The chemical characteristics of the coatings were studied by means of X-ray diffraction and Fourier-transform infrared spectroscopy. Regarding the chemical coating properties, the results showed that the coatings can be described as crystalline carbonate apatite coatings, a crystal phase which is similar to the mineral phase of bone and teeth. The morphology of CaP coatings, deposited using the ESD technique, was strongly dependent on the deposition parameters. By changing the nozzle-to-substrate distance, the precursor liquid flow rate and the deposition temperature, coating morphologies were deposited, which varied from dense to highly porous, reticular morphologies. The formation of various morphologies was the result of an equilibrium between the relative rates of CaP solute precipitation/reaction, solvent evaporation and droplet spreading onto the substrate surface

  16. Transport Properties of LCMO Granular Films Deposited by the Pulsed Electron Deposition Technique

    Institute of Scientific and Technical Information of China (English)

    CHEN Leiming; XU Bin; ZHANG Yan; CHEN Zhenping

    2011-01-01

    By finely controlling the deposition parameters in the pulsed electron deposition process,granular La2/3Ca1/3MnO3 (LCMO) film was grown on silicon substrates.The substrate temperature,ambient pressure in the deposition chamber and acceleration potential for the electron beam were all found to affect the grain size of the film,resulting in different morphologies of the samples.Transport properties of the obtained granular films,especially the magnetoresistance (MR),were studied.Prominent low-field MR was observed in all samples,indicating the forming of grain boundaries in the sample.The low-field MR show great sensitive to the morphology evolution,which reaches the highest value of about 40% for the sample with the grain size of about 250 nm.More interestingly,positive-MR (p-MR) was also detected above 300 K when low magnetic field applying,whereas it disappeared with higher magnetic field applied up to 1.5 and 2 Tesla.Instead of the spinpolarized tunneling process being commonly regarded as a responsible reason,lattice mismatch between LCMO film and silicon substrate appears to be the origin of the p-MR

  17. Photoluminescence of nc-Si:Er thin films obtained by physical and chemical vapour deposition techniques: The effects of microstructure and chemical composition

    Energy Technology Data Exchange (ETDEWEB)

    Cerqueira, M.F., E-mail: fcerqueira@fisica.uminho.p [Departamento de Fisica, Universidade do Minho, Campus de Gualtar 4710-057 Braga (Portugal); Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, Via Orabona n.4-70126 Bari (Italy); Stepikhova, M. [Institute for Physics of Microstructures RAS, 603600 Nizhnij Novgorod GSP-105 (Russian Federation); Alpuim, P.; Andres, G. [Departamento de Fisica, Universidade do Minho, Campus de Gualtar 4710-057 Braga (Portugal); Kozanecki, A. [Polish Academy of Sciences, Institute of Physics, PL-02668, Warsaw (Poland); Soares, M.J.; Peres, M. [Departamento de Fisica, Universidade de Aveiro, Campus de Santiago, 3700 Aveiro (Portugal)

    2009-08-31

    Erbium doped nanocrystalline silicon (nc-Si:Er) thin films were produced by reactive magnetron rf sputtering and by Er ion implantation into chemical vapor deposited Si films. The structure and chemical composition of films obtained by the two approaches were studied by micro-Raman scattering, spectroscopic ellipsometry and Rutherford backscattering techniques. Variation of deposition parameters was used to deposit films with different crystalline fraction and crystallite size. Photoluminescence measurements revealed a correlation between film microstructure and the Er{sup 3+} photoluminescence efficiency.

  18. Photodetectors based on carbon nanotubes deposited by using a spray technique on semi-insulating gallium arsenide

    Directory of Open Access Journals (Sweden)

    Domenico Melisi

    2014-11-01

    Full Text Available In this paper, a spray technique is used to perform low temperature deposition of multi-wall carbon nanotubes on semi-insulating gallium arsenide in order to obtain photodectors. A dispersion of nanotube powder in non-polar 1,2-dichloroethane is used as starting material. The morphological properties of the deposited films has been analysed by means of electron microscopy, in scanning and transmission mode. Detectors with different layouts have been prepared and current–voltage characteristics have been recorded in the dark and under irradiation with light in the range from ultraviolet to near infrared. The device spectral efficiency obtained from the electrical characterization is finally reported and an improvement of the photodetector behavior due to the nanotubes is presented and discussed.

  19. Modulating the Optoelectronic Properties of Silver Nanowires Films: Effect of Capping Agent and Deposition Technique

    Directory of Open Access Journals (Sweden)

    D. Lopez-Diaz

    2015-11-01

    Full Text Available Silver nanowires 90 nm in diameter and 9 µm in length have been synthesized using different capping agents: polyvinyl pyrrolidone (PVP and alkyl thiol of different chain lengths. The nanowire structure is not influenced by the displacement of PVP by alkyl thiols, although alkyl thiols modify the lateral aggregation of nanowires. We examined the effect of the capping agent and the deposition method on the optical and electrical properties of films prepared by Spray and the Langmuir-Schaefer methodologies. Our results revealed that nanowires capped with PVP and C8-thiol present the best optoelectronic properties. By using different deposition techniques and by modifying the nanowire surface density, we can modulate the optoelectronic properties of films. This strategy allows obtaining films with the optoelectronic properties required to manufacture touch screens and electromagnetic shielding.

  20. Experimental investigation of ash deposits characteristics of co-combustion of coal and rice hull using a digital image technique

    International Nuclear Information System (INIS)

    Qiu, Kunzan; Zhang, Hailong; Zhou, Hao; Zhou, Bin; Li, Letian; Cen, Kefa

    2014-01-01

    This paper investigated the ash deposit characteristics during the co-firing Da Tong (DA) coal with different proportions of rice hull (0%, 5%, 10%, and 20%, based on weight) in a pilot-scale furnace. The growth of ash deposit with a four-stage mode was presented. The stable thickness values of DA coal, 5% rice hull, 10% rice hull, and 20% rice hull were 0.5, 1.4, 2.9, 5.7 cm, with stable heat flux values of 230, 200, 175, and 125 kW/m 2 , respectively. According to the results of scanning electron microscopy with energy dispersive X-ray analysis (SEM-EDX), the amount of Si in the deposits increased with the increasing proportion of rice hull rich in SiO 2 . The X-ray diffraction (XRD) analysis results indicated that most elements except Si were in the amorphous state because of the formation of eutectics. The stable thicknesses of deposits increased exponentially with the proportion of rice hull. The deposit was loose, easy removable but it reduced the heat transfer significantly. Consequently, sootblowing timely was necessary when co-firing DA coal with rice hull. - Highlights: • Digital image technique was used to monitor deposits growth process. • A type of four stages mode of ash deposit growth was presented. • The heat flux of ash deposits fit a three-stage mode. • The addition of rice hull increased the porosity of deposits

  1. A comparative study of ultraviolet photoconductivity relaxation in zinc oxide (ZnO) thin films deposited by different techniques

    International Nuclear Information System (INIS)

    Yadav, Harish Kumar; Gupta, Vinay

    2012-01-01

    Photoresponse characteristics of ZnO thin films deposited by three different techniques namely rf diode sputtering, rf magnetron sputtering, and electrophoretic deposition has been investigated in the metal-semiconductor-metal (MSM) configuration. A significant variation in the crystallinity, surface morphology, and photoresponse characteristics of ZnO thin film with change in growth kinetics suggest that the presence of defect centers and their density govern the photodetector relaxation properties. A relatively low density of traps compared to the true quantum yield is found very crucial for the realization of practical ZnO thin film based ultraviolet (UV) photodetector.

  2. A comparative study of ultraviolet photoconductivity relaxation in zinc oxide (ZnO) thin films deposited by different techniques

    Energy Technology Data Exchange (ETDEWEB)

    Yadav, Harish Kumar; Gupta, Vinay [Department of Physics and Astrophysics, University of Delhi, Delhi 110 007 (India)

    2012-05-15

    Photoresponse characteristics of ZnO thin films deposited by three different techniques namely rf diode sputtering, rf magnetron sputtering, and electrophoretic deposition has been investigated in the metal-semiconductor-metal (MSM) configuration. A significant variation in the crystallinity, surface morphology, and photoresponse characteristics of ZnO thin film with change in growth kinetics suggest that the presence of defect centers and their density govern the photodetector relaxation properties. A relatively low density of traps compared to the true quantum yield is found very crucial for the realization of practical ZnO thin film based ultraviolet (UV) photodetector.

  3. Enhanced surface functionality via plasma modification and plasma deposition techniques to create more biologically relevant materials

    Science.gov (United States)

    Shearer, Jeffrey C.

    Functionalizing nanoparticles and other unusually shaped substrates to create more biologically relevant materials has become central to a wide range of research programs. One of the primary challenges in this field is creating highly functionalized surfaces without modifying the underlying bulk material. Traditional wet chemistry techniques utilize thin film depositions to functionalize nanomaterials with oxygen and nitrogen containing functional groups, such as --OH and --NHx. These functional groups can serve to create surfaces that are amenable to cell adhesion or can act as reactive groups for further attachment of larger structures, such as macromolecules or antiviral agents. Additional layers, such as SiO2, are often added between the nanomaterial and the functionalized coating to act as a barrier films, adhesion layers, and to increase overall hydrophilicity. However, some wet chemistry techniques can damage the bulk material during processing. This dissertation examines the use of plasma processing as an alternative method for producing these highly functionalized surfaces on nanoparticles and polymeric scaffolds through the use of plasma modification and plasma enhanced chemical vapor deposition techniques. Specifically, this dissertation will focus on (1) plasma deposition of SiO2 barrier films on nanoparticle substrates; (2) surface functionalization of amine and alcohol groups through (a) plasma co-polymerization and (b) plasma modification; and (3) the design and construction of plasma hardware to facilitate plasma processing of nanoparticles and polymeric scaffolds. The body of work presented herein first examines the fabrication of composite nanoparticles by plasma processing. SiOxC y and hexylamine films were coated onto TiO2 nanoparticles to demonstrate enhanced water dispersion properties. Continuous wave and pulsed allyl alcohol plasmas were used to produce highly functionalized Fe2 O3 supported nanoparticles. Specifically, film composition was

  4. Preparation of Anatase TiO{sub 2} Thin Films with (O{sup i}Pr){sub 2}Ti(CH{sub 3}COCHCONEt{sub 2}){sub 2} Precursor by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Bae, Byoung Jae; Seo, Won Seok; Miah, Arzu; Park, Joon T. [Korea Advanced Institute of Science and Technology, Daejeon (Korea, Republic of); Lee, Kwang Yeol [Korea University, Seoul (Korea, Republic of); Kim, Keun Chong [Hong-Ik University, Chochiwon (Korea, Republic of)

    2004-11-15

    The reaction of titanium tetraisopropoxide with 2 equiv of N,N-diethyl acetoacetamide affords Ti(O{sup i}Pr){sub 2}(CH{sub 3}COCHCONEt{sub 2}){sub 2} (1) as colorless crystals in 80% yield. Compound 1 is characterized by spectroscopic (Mass and {sup 1}H/{sup 13}C NMR) and microanalytical data. Molecular structure of 1 has been determined by a single crystal X-ray diffraction study, which reveals that it is a monomeric, cis-diisopropoxide and contains a six coordinate Ti(IV) atom with a cis(CONEt{sub 2}), trans(COCH{sub 3}) configuration (1a) in a distorted octahedral environment. Variable-temperature {sup 1}H NMR spectra of 1 indicate that it exists as an equilibrium mixture of cis, trans (1a) and cis, cis (1b) isomers in a 0.57 : 0.43 ratio at -20 .deg. C in toluene-d{sub 8} solution. Thermal properties of 1 as a MOCVD precursor for titanium dioxide films have been evaluated by thermal gravimetric analysis and vapor pressure measurement. Thin films of pure anatase titanium dioxide (after annealing above 500 .deg. C under oxygen) have been grown on Si(100) with precursor 1 in the substrate temperature range of 350- 500 .deg. C using a bubbler-based MOCVD method.

  5. Atmospheric deposition of trace elements around Ulan Bator city studied by moss and lichen biomonitoring technique and INAA

    International Nuclear Information System (INIS)

    Ganbold, G.; Gehrbish, Sh.; Tsehndehehkhuu, Ts.; Gundorina, S.F.; Frontas'eva, M.V.; Ostrovnaya, T.M.; Pavlov, S.S.

    2005-01-01

    For the first time the moss and lichen biomonitoring technique has been applied to air pollution in Mongolia (Ulan-Bator, the capital city). INAA at the IBR-2 reactor has made it possible to determine the content of 35 elements in moss and lichen biomonitors. Samples collected at sites located 10-15 km from the center of Ulan-Bator were analyzed by Instrumental Neutron Activation Analysis (INAA) using epithermal neutrons. The mosses (Rhytidium rugosum, Thuidium abietinum, Entodon concinnus) and lichens (Cladonia stellaris, Parmelia separata) were used to study the atmospheric deposition of trace elements. It was shown that the suggested types of mosses could be used as suitable biomonitors to estimate the concentration levels of heavy metals and trace elements in Ulan-Bator atmospheric deposition. The results are compared to the data of atmospheric deposition of some European countries

  6. Evidence of room temperature ferromagnetism in argon/oxygen annealed TiO2 thin films deposited by electron beam evaporation technique

    International Nuclear Information System (INIS)

    Mohanty, P.; Kabiraj, D.; Mandal, R.K.; Kulriya, P.K.; Sinha, A.S.K.; Rath, Chandana

    2014-01-01

    TiO 2 thin films deposited by electron beam evaporation technique annealed in either O 2 or Ar atmosphere showed ferromagnetism at room temperature. The pristine amorphous film demonstrates anatase phase after annealing under Ar/O 2 atmosphere. While the pristine film shows a super-paramagnetic behavior, both O 2 and Ar annealed films display hysteresis at 300 K. X-ray photo emission spectroscopy (XPS), Raman spectroscopy, Rutherford’s backscattering spectroscopy (RBS), cross-sectional transmission electron microscopy (TEM) and energy dispersive X-ray spectroscopy (EDS) were used to refute the possible role of impurities/contaminants in magnetic properties of the films. The saturation magnetization of the O 2 annealed film is found to be higher than the Ar annealed one. It is revealed from shifting of O 1s and Ti 2p core level spectra as well as from the enhancement of high binding energy component of O 1s spectra that the higher magnetic moment is associated with higher oxygen vacancies. In addition, O 2 annealed film demonstrates better crystallinity, uniform deposition and smoother surface than that of the Ar annealed one from glancing angle X-ray diffraction (GAXRD) and atomic force microscopy (AFM). We conclude that although ferromagnetism is due to oxygen vacancies, the higher magnetization in O 2 annealed film could be due to crystallinity, which has been observed earlier in Co doped TiO 2 film deposited by pulsed laser deposition (Mohanty et al., 2012 [10]). - Highlights: • TiO 2 films were deposited by e-beam evaporation technique and post annealed under O 2 /Ar at 500 °C. • The pristine film shows SPM behavior where as O 2 and Ar annealed films demonstrate RTFM. • The presence of magnetic impurities has been discarded by various characterization techniques. • The magnetic moment is found to be higher in O 2 annealed film than the Ar annealed one. • The higher M s in O 2 annealed film is attributed to oxygen vacancies as well as crystallinity

  7. Defect attributed variations of the photoconductivity and photoluminescence in the HVPE and MOCVD as-grown and irradiated GaN structures

    International Nuclear Information System (INIS)

    Gaubas, E.; Pobedinskas, P.; Vaitkus, J.; Uleckas, A.; Zukauskas, A.; Blue, A.; Rahman, M.; Smith, K.M.; Aujol, E.; Beaumont, B.; Faurie, J.-P.; Gibart, P.

    2005-01-01

    The effect of native and radiation induced defects on the photoconductivity transients and photoluminescence spectra have been examined in GaN epitaxial layers of 2.5 and 12μm thickness grown on bulk n-GaN/sapphire substrates by metal-organic chemical vapor deposition (MOCVD). For comparison, free-standing GaN as-grown samples of 500μm thickness, fabricated by hydride vapor phase epitaxy (HVPE), were investigated. Manifestation of defects induced by 10-keV X-ray irradiation with the dose of 600Mrad and 100-keV neutrons with the fluences of 5x10 14 and 10 16 cm -2 as well as of 24GeV/c protons with fluence 10 16 cm -2 have been revealed through contact photoconductivity and microwave absorption transients. The amplitude of the initial photoconductivity decay is significantly reduced by the native and radiation defects density. Synchronous decrease of the steady-state PL intensity of yellow, blue and ultraviolet bands peaked at 2.18, 2.85, and 3.42eV, respectively, with density of radiation-induced defects is observed. The decrease of the PL intensity is accompanied by an increase of asymptotic decay lifetime in the photoconductivity transients, which is due to excess-carrier multi-trapping. The decay fits the stretched exponent approximation exp[-(t/τ) α ] with the different factors α in as-grown material (α∼0.7) and irradiated samples (α∼0.3). The fracton dimension d s of disordered structure changes from 4.7 to 0.86 for as-grown and irradiated material, respectively, and it implies the percolative carrier motion on an infinite cluster of dislocations net in the as-grown material and cluster fragmentation into finite fractons after irradiations

  8. Laser chemical vapor deposition of millimeter scale three-dimensional shapes

    Science.gov (United States)

    Shaarawi, Mohammed Saad

    2001-07-01

    Laser chemical vapor deposition (LCVD) has been successfully developed as a technique to synthesize millimeter-scale components directly from the gas phase. Material deposition occurs when heat generated by the interaction of a laser beam with a substrate thermally decomposes the gas precursor. Selective illumination or scanning the laser beam over portions of a substrate forms the single thin layer of material that is the building block of this process. Sequential scanning of the laser in a pre-defined pattern on the substrate and subsequent deposit causes the layers to accumulate forming the three-dimensional shape. The primary challenge encountered in LCVD shape forming is the synthesis of uniform layers. Three deposition techniques are studied to address this problem. The most successful technique, Active Surface Deposition, is based on the premise that the most uniform deposits are created by measuring the deposition surface topology and actively varying the deposition rate in response to features at the deposition surface. Defects observed in the other techniques were significantly reduced or completely eliminated using Active Surface Deposition. The second technique, Constant Temperature Deposition, maintains deposit uniformity through the use of closed-loop modulation of the laser power to sustain a constant surface temperature during deposition. The technique was successful in depositing high quality graphite tubes >2 mm tall from an acetylene precursor and partially successful in depositing SiC + C composite tubes from tetramethylsilane (TMS). The final technique, Constant Power Deposition, is based on the premise that maintaining a uniform power output throughout deposition would result in the formation of uniform layers. Constant Power Deposition failed to form coherent shapes. Additionally, LCVD is studied using a combination of analytic and numerical models to gain insight into the deposition process. Thermodynamic modeling is used to predict the

  9. Novel Geochemical Techniques Integrated In Exploration for Uranium Deposits at Depth

    International Nuclear Information System (INIS)

    Kyser, Kurt

    2014-01-01

    Recent results in the use of geochemistry in detecting deep uranium deposits: (1) Map element distributions in and around deposits to assess the total chemical environment associated with the deposit, (2) Use element tracing with isotopic compositions in surface media to detect specific components from uranium deposits at depth, (3) Capitalize on element mobility across the geosphere-biosphere interface to enhance exploration using select media, (4) Geochemical data from drill core or surface media can enhance target identification when integrated with geophysical data.

  10. Structural and optical properties of GaN thin films grown on Al2O3 substrates by MOCVD at different reactor pressures

    International Nuclear Information System (INIS)

    Guillén-Cervantes, A.; Rivera-Álvarez, Z.; López-López, M.; Ponce-Pedraza, A.; Guarneros, C.; Sánchez-Reséndiz, V.M.

    2011-01-01

    GaN thin films grown by MOCVD on (0 0 0 1) Al 2 O 3 substrates at different growth pressures were characterized by field-emission scanning electron microscopy, atomic force microscopy, micro-Raman, and photoluminescence at room temperature. It was found that there is an optimum pressure of 76 Torr at which the structural and optical properties of the GaN samples are superior. On the other hand samples grown at higher pressure exhibited hexagonal surface pits and surface spirals. The results showed that the growth pressure strongly influences the morphology, and significantly affects the structural and optical properties of the GaN epilayers.

  11. Bulletin of Materials Science

    Indian Academy of Sciences (India)

    -organic chemical vapour deposited (MOCVD) gamma iron oxide thin film for ... V2)5-Te)2 glasses using heterogeneous conductor model -- M Pal, S K Saha and ... The hardness-flow stress correlation in metallic materials -- G Soundararajan ...

  12. Morphological Evolution of a-GaN on r-Sapphire by Metalorganic Chemical Vapor Deposition

    International Nuclear Information System (INIS)

    Sang Ling; Liu Jian-Ming; Xu Xiao-Qing; Wang Jun; Zhao Gui-Juan; Liu Chang-Bo; Gu Cheng-Yan; Liu Gui-Peng; Wei Hong-Yuan; Liu Xiang-Lin; Yang Shao-Yan; Zhu Qin-Sheng; Wang Zhan-Guo

    2012-01-01

    The morphological evolution of a-GaN deposited by metalorganic chemical vapor deposition (MOCVD) on r-sapphire is studied. The influences of V/III ratio and growth temperature on surface morphology are investigated. V-pits and stripes are observed on the surface of a-GaN grown at 1050°C and 1100°C, respectively. The overall orientation and geometry of V-pits are uniform and independent on the V/III molar ratio in the samples grown at 1050°C, while in the samples grown at 1100°C, the areas of stripes decrease with the adding of V/III ratio. We deduce the origin of V-pits and stripes by annealing the buffer layers at different temperatures. Because of the existence of inclined (101-bar1) facets, V-pits are formed at 1050°C. The (101-bar1) plane is an N terminated surface, which is metastable at higher temperature, so stripes instead of V-pits are observed at 1100°C. Raman spectra suggest that the growth temperature of the first layer in the two-step process greatly affects the strain of the films. Hence, to improve the growth temperature of the first layer in the two-step method may be an effective way to obtain high quality a-GaN film on r-sapphire. (condensed matter: structure, mechanical and thermal properties)

  13. Suppression of hydrogenated carbon film deposition by scavenger techniques and their application to the tritium inventory control of fusion devices

    International Nuclear Information System (INIS)

    Tabares, F.L.; Tafalla, D.; Tanarro, I.; Herrero, V.J.; Islyaikin, A.; Maffiotte, C.

    2002-01-01

    The well-known radical and ion scavenger techniques of application in amorphous hydrogenated carbon film deposition studies are investigated in relation to the mechanism of tritium and deuterium co-deposition in carbon-dominated fusion devices. A particularly successful scheme results from the injection of nitrogen into methane/hydrogen plasmas for conditions close to those prevailing in the divertor region of present fusion devices. A complete suppression of the a-C : H film deposition has been achieved for N 2 /CH 4 ratios close to one in methane (5%)/hydrogen DC plasma. The implications of these findings in the tritium retention control in future fusion reactors are addressed. (author). Letter-to-the-editor

  14. Experimental study of structural and optical properties of integrated MOCVD GaAs/Si(001) heterostructures

    Science.gov (United States)

    Seredin, P. V.; Lenshin, A. S.; Zolotukhin, D. S.; Arsentyev, I. N.; Nikolaev, D. N.; Zhabotinskiy, A. V.

    2018-02-01

    This is the first report of the control of the structural and optical functional characteristics of integrated GaAs/Si(001) heterostructures due to the use of misoriented Si(001) substrates with protoporous sublayer. The growth of the epitaxial GaAs layer on silicon substrates without formation of the antiphase domains can be performed on substrates deviating less than 4°-6° from the singular (001) plane or without the use of a transition layer of GaAs nano-columns. Preliminary etching of the silicon substrate with protoporous Si sublayer formation facilitated the acquisition of an epitaxial GaAs film in a single-crystalline state with a considerably less residual strain factor using MOCVD, which has a positive effect on the structural quality of the film. These data are in a good agreement with the results of IR reflection spectroscopy as well as PL and UV spectroscopy. The optical properties of the integrated GaAs/Si (001) heterostructures in the IR and UV spectral regions were also determined by the residual strain value.

  15. Experimental measurements of the thermal conductivity of ash deposits: Part 2. Effects of sintering and deposit microstructure

    Energy Technology Data Exchange (ETDEWEB)

    A. L. Robinson; S. G. Buckley; N. Yang; L. L. Baxter

    2000-04-01

    The authors report results from an experimental study that examines the influence of sintering and microstructure on ash deposit thermal conductivity. The measurements are made using a technique developed to make in situ, time-resolved measurements of the effective thermal conductivity of ash deposits formed under conditions that closely replicate those found in the convective pass of a commercial boiler. The technique is designed to minimize the disturbance of the natural deposit microstructure. The initial stages of sintering and densification are accompanied by an increase in deposit thermal conductivity. Subsequent sintering continues to densify the deposit, but has little effect on deposit thermal conductivity. SEM analyses indicates that sintering creates a layered deposit structure with a relatively unsintered innermost layer. They hypothesize that this unsintered layer largely determines the overall deposit thermal conductivity. A theoretical model that treats a deposit as a two-layered material predicts the observed trends in thermal conductivity.

  16. Growth and properties of Al-rich InxAl1-xN ternary alloy grown on GaN template by metalorganic chemical vapour deposition

    International Nuclear Information System (INIS)

    Oh, Tae Su; Suh, Eun-Kyung; Kim, Jong Ock; Jeong, Hyun; Lee, Yong Seok; Nagarajan, S; Lim, Kee Young; Hong, Chang-Hee

    2008-01-01

    An Al-rich In x Al 1-x N ternary alloy was grown on a GaN template by metal-organic chemical vapour deposition (MOCVD). The GaN template was fabricated on a c-plane sapphire with a low temperature GaN nucleation layer. The growth of the 300 nm thick In x Al 1-x N layer was carried out under various growth temperatures and pressures. The surface morphology and the InN molar fraction of the In x Al 1-x N layer were assessed by using atomic force microscopy (AFM) and high resolution x-ray diffraction, respectively. The AFM surface images of the In x Al 1-x N ternary alloy exhibited quantum dot-like grains caused by the 3D island growth mode. The grains, however, disappeared rapidly by increasing diffusion length and mobility of the Al adatoms with increasing growth temperature and the full width at half maximum value of ternary peaks in HR-XRD decreased with decreasing growth pressure. The MOCVD growth condition with the increased growth temperature and decreased growth pressure would be effective to grow the In x Al 1-x N ternary alloy with a smooth surface and improved quality. The optical band edge of In x Al 1-x N ternary alloys was estimated by optical absorbance and, based on the results of HR-XRD and optical absorbance measurements, we obtained the bowing parameter of the In x Al 1-x N ternary alloy at b = 5.3 eV, which was slightly larger than that of previous reports

  17. Efficient Pd@MIL-101(Cr) hetero-catalysts for 2-butyne-1,4-diol hydrogenation exhibiting high selectivity

    KAUST Repository

    Yin, Dongdong; Li, Chuang; Ren, Hangxing; Shekhah, Osama; Liu, Jinxuan; Liang, Changhai

    2017-01-01

    Pd@MIL-101(Cr) hetero-catalysts have been successfully prepared using the metal-organic chemical vapour deposition (MOCVD) approach, by choosing [Pd(η-CH)(η-CH)] as a volatile precursor, and the hydrothermally stable metal-organic framework, MIL-101

  18. Atmospheric Deposition of Trace Elements Around Ulan-Bator City Studied by Moss and Lichen Biomonitoring Technique and INAA

    CERN Document Server

    Ganbold, G; Gundorina, S F; Frontasyeva, M V; Ostrovnaya, T M; Pavlov, S S; Tsendeekhuu, T

    2005-01-01

    For the first time the moss and lichen biomonitoring technique has been applied to air pollution in Mongolia (Ulan-Bator, the capital city). INAA at the IBR-2 reactor has made it possible to determine the content of 35 elements in moss and lichen biomonitors. Samples collected at sites located 10-15 km from the center of Ulan-Bator were analyzed by Instrumental Neutron Activation Analysis (INAA) using epithermal neutrons. The mosses (\\textit{Rhytidium rugosum}, \\textit{Thuidium abietinum}, \\textit{Entodon concinnus}) and lichens (\\textit{Cladonia stellaris}, \\textit{Parmelia separata}) were used to study the atmospheric deposition of trace elements. It was shown that the suggested types of mosses could be used as suitable biomonitors to estimate the concentration levels of heavy metals and trace elements in Ulan-Bator atmospheric deposition. The results are compared to the data of atmospheric deposition of some European countries.

  19. Influence of deposition rate on the properties of tin coatings deposited on tool steels using arc method

    International Nuclear Information System (INIS)

    Akhtar, P.; Abbas, M.

    2007-01-01

    Titanium nitride (TiN) widely used as hard coating material, was coated on tool steels, namely on high-speed steel (HSS) and D2 tool steel by physical vapour deposition method. The study concentrated on cathodic arc physical vapour deposition (CAPVD), a technique used for the deposition of hard coatings for tooling applications, and which has many advantages. The main drawback of this technique, however, is the formation of macrodroplets (MD's) during deposition, resulting in films with rougher morphology. Various standard characterization techniques and equipment, such as electron microscopy, atomic force microscopy, hardness testing machine, scratch tester and pin-on-disc machine, were used to analyze and quantify the following properties and parameters, surface morphology, thickness, hardness, adhesion and coefficient of friction (COF) of the deposited coatings. Surface morphology revealed that the MD's produced during the etching stage, protruded through the thin film, resulting in film with deteriorated surface features. Both coating thickness and indentation loads influenced the hardness of the deposited coatings. The coatings deposited on HSS exhibit better adhesion compared to those on D2 tool steel. Standard deviation indicates that the coating deposited with thickness around 6.7 macro m showed the most stable trend of COF versus sliding distance. (author)

  20. Biosensor Applications of MAPLE Deposited Lipase

    Directory of Open Access Journals (Sweden)

    Valeria Califano

    2014-10-01

    Full Text Available Matrix Assisted Pulsed Laser Evaporation (MAPLE is a thin film deposition technique derived from Pulsed Laser Deposition (PLD for deposition of delicate (polymers, complex biological molecules, etc. materials in undamaged form. The main difference of MAPLE technique with respect to PLD is the target: it is a frozen solution or suspension of the (guest molecules to be deposited in a volatile substance (matrix. Since laser beam energy is mainly absorbed by the matrix, damages to the delicate guest molecules are avoided, or at least reduced. Lipase, an enzyme catalyzing reactions borne by triglycerides, has been used in biosensors for detection of β-hydroxyacid esters and triglycerides in blood serum. Enzymes immobilization on a substrate is therefore required. In this paper we show that it is possible, using MAPLE technique, to deposit lipase on a substrate, as shown by AFM observation, preserving its conformational structure, as shown by FTIR analysis.

  1. Characterization of Pd catalyst-electrodes deposited on YSZ: Influence of the preparation technique and the presence of a ceria interlayer

    Energy Technology Data Exchange (ETDEWEB)

    Jimenez-Borja, Carmen, E-mail: Carmen.JBorja@uclm.es [Departamento de Ingenieria Quimica, Facultad de Ciencias Quimicas, Universidad de Castilla-La Mancha. Avenida Camilo Jose Cela 12, 13071 Ciudad Real (Spain); Matei, Florina [Department of Petroleum Processing Engineering and Environmental Protection, Petroleum - Gas University of Ploiesti (Romania); Dorado, Fernando; Valverde, Jose Luis [Departamento de Ingenieria Quimica, Facultad de Ciencias Quimicas, Universidad de Castilla-La Mancha. Avenida Camilo Jose Cela 12, 13071 Ciudad Real (Spain)

    2012-11-15

    Highlights: Black-Right-Pointing-Pointer Impregnation of palladium over YSZ led to more dispersed films. Black-Right-Pointing-Pointer XPS spectra indicated electron deficient Pd{sup 2+} species on the surface of palladium films. Black-Right-Pointing-Pointer Impregnated palladium films were more active than those prepared by paste deposition Black-Right-Pointing-Pointer The addition of a CeO{sub 2} interlayer enhanced the catalytic rate for the impregnated samples. - Abstract: Palladium catalyst-electrodes supported on Y{sub 2}O{sub 3}-stabilized-ZrO{sub 2} (YSZ) prepared either by paste deposition or wet impregnation technique were characterized using X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD), scanning electron microscopy (SEM) and transmission electron microscopy (TEM). It was found a strong dependence of the catalytic film preparation technique as well as of the presence of a ceria interlayer between the palladium film and the solid electrolyte on the catalytic activity towards methane oxidation. Impregnated palladium films were found to be more active than films prepared by paste deposition. Besides, the addition of ceria allowed stabilizing the palladium active phase for methane oxidation.

  2. Preparation and characterization of thick metastable sputter deposits

    International Nuclear Information System (INIS)

    Allen, R.P.; Dahlgren, S.D.; Merz, M.D.

    1975-01-01

    High-rate dc supported-discharge sputtering techniques were developed and used to prepare 0.1 mm to 5.0 mm-thick deposits of a variety of metastable materials including amorphous alloys representing more than 15 different rare-earth-transition metal systems and a wide range of compositions and deposition conditions. The ability to prepare thick, homogeneous deposits has made it possible for the first time to investigate the structure, properties, and annealing behavior of these unique sputtered alloys using neutron diffraction, ultrasonic, and other experimental techniques that are difficult or impractical for thin films. More importantly, these characterization studies show that the structure and properties of the massive sputter deposits are independent of thickness and can be reproduced from deposit to deposit. Other advantages and applications of this metastable materials preparation technique include the possibility of varying structure and properties by control of the deposition parameters and the ability to deposit even reactive alloys with a very low impurity content

  3. MOCVD Process Technology for Affordable, High-Yield, High-Performance MESFET Structures. MIMIC Phase 3

    Science.gov (United States)

    1993-01-26

    development of large-area deposition for producing high efficiency solar cells."I This earlier work suggested that, with the appropriate modifications, the...using a numerical technique described previously.(2ŗ ) The model simultaneously solves the equations of continuity, motion, differential thermal energy ...Undoped IkeA 1.0 mnn BUBSTRATE Beni rNSIif *A FIGURE 2-4. EPrrAXIAL STRUCTURE FOR BUFFER LEAKAGE TESTS. 350 um 350 um Contact Contact G-20 um , CAP

  4. Fabrication and characterisation of ligand-functionalised ultrapure monodispersed metal nanoparticle nanoassemblies employing advanced gas deposition technique

    Science.gov (United States)

    Geremariam Welearegay, Tesfalem; Cindemir, Umut; Österlund, Lars; Ionescu, Radu

    2018-02-01

    Here, we report for the first time the fabrication of ligand-functionalised ultrapure monodispersed metal nanoparticles (Au, Cu, and Pt) from their pure metal precursors using the advanced gas deposition technique. The experimental conditions during nanoparticle formation were adjusted in order to obtain ultrafine isolated nanoparticles on different substrates. The morphology and surface analysis of the as-deposited metal nanoparticles were investigated using scanning electron microscopy, x-ray diffraction and Fourier transform infra-red spectroscopy, which demonstrated the formation of highly ordered pure crystalline nanoparticles with a relatively uniform size distribution of ∼10 nm (Au), ∼4 nm (Cu) and ∼3 nm (Pt), respectively. A broad range of organic ligands containing thiol or amine functional groups were attached to the nanoparticles to form continuous networks of nanoparticle-ligand nanoassemblies, which were characterised by scanning electron microscopy and x-ray photoelectron spectroscopy. The electrical resistance of the functional nanoassemblies deposited in the gap spacing of two microfabricated parallel Au electrodes patterned on silicon substrates ranged between tens of kΩ and tens of MΩ, which is suitable for use in many applications including (bio)chemical sensors, surface-enhanced Raman spectroscopy and molecular electronic rectifiers.

  5. Real-time kinetic modeling of YSZ thin film roughness deposited by e-beam evaporation technique

    International Nuclear Information System (INIS)

    Galdikas, A.; Cerapaite-Trusinskiene, R.; Laukaitis, G.; Dudonis, J.

    2008-01-01

    In the present study, the process of yttrium-stabilized zirconia (YSZ) thin films deposition on optical quartz (SiO 2 ) substrates using e-beam deposition technique controlling electron gun power is analyzed. It was found that electron gun power influences the non-monotonous kinetics of YSZ film surface roughness. The evolution of YSZ thin film surface roughness was analyzed by a kinetic model. The model is based on the rate equations and includes processes of surface diffusion of the adatoms and the clusters, nucleation, growth and coalescence of islands in the case of thin film growth in Volmer-Weber mode. The analysis of the experimental results done by modeling explains non-monotonous kinetics and dependence of the surface roughness on the electron gun power. A good quantitative agreement with experimental results is obtained taking into account the initial roughness of the substrate surface and the amount of the clusters in the flux of evaporated material.

  6. Development and application of a green-chemistry solution deposition technique for buffer layer coating on cube-textured metal substrates in view of further deposition of rare-earth based superconductors

    DEFF Research Database (Denmark)

    Pallewatta, Pallewatta G A P

    which consist of YBCO superconducting coatings on cube-textured Ni based alloy tapes.  Before the epitaxial deposition this superconducting layer, a buffer layer is applied on the metal substrate as a diffusion barrier which is also required to transfer the strong texture of the underlying substrate......, allowing the epitaxial growth of the superconducting layer. State-of-the-art coated conductor hetero structures are mainly based on CeO2 based buffer stacks that consist of a sequence of several different buffer layers. Buffer layers deposited by continuous chemical deposition techniques, which...... is expected to be very advantageous in reel-to-reel applications. The thickness of these SrTiO3 monolayers was large enough to stop the nickel and copper diffusion from the Cu/Ni substrate. Hence, the developed high quality buffer layers are expected to be acting as efficient diffusion barriers and also...

  7. Applications of prospecting geochemical techniques to the search for and to the study of uranium deposits in metropolitan France

    International Nuclear Information System (INIS)

    Grimbert, Arnold

    1957-01-01

    After having recalled facts which leaded the CEA to use new geochemical techniques for the prospecting of uranium deposits through sampling and analysis of soils and waters, the author describes the organisation and methods implemented for this prospecting activity: team composition for sampling and analysis, role of each engineer and technician in the prospecting stages (preliminary study, routine prospecting, result interpretation), sampling and analysis processes. He also reports campaigns of geochemical prospecting: study of the La Chapelle Largeau deposit (objectives, geological context, preliminary study, routine prospecting, study of geochemical anomalies), tactical research on Verneix indices (study of radioactivity anomaly discovered by radio-prospecting), strategical searches in a non prospected area in the South of Avallon. The author discusses the issues of efficiency and cost price of this geochemical prospecting technique in soils and in waters. Appendices present the equipment and operation modality for soil sampling, and for soil sample preparation, and principles, equipment and products for soil analysis and for water analysis [fr

  8. Spatial atomic layer deposition: a route towards further industrialization of atomic layer deposition

    NARCIS (Netherlands)

    Poodt, P.; Cameron, D.C.; Dickey, E.; George, S.M.; Kuznetsov, Vladimir; Parsons, G.N.; Roozeboom, F.; Sundaram, G.; Vermeer, A.

    2012-01-01

    Spatial atomic layer deposition can be used as a high-throughput manufacturing technique in functional thin film deposition for applications such as flexible electronics. This; however, requires low-temperature processing and handling of flexible substrates. The authors investigate the process

  9. Efficient Pd@MIL-101(Cr) hetero-catalysts for 2-butyne-1,4-diol hydrogenation exhibiting high selectivity

    KAUST Repository

    Yin, Dongdong

    2017-01-05

    Pd@MIL-101(Cr) hetero-catalysts have been successfully prepared using the metal-organic chemical vapour deposition (MOCVD) approach, by choosing [Pd(η-CH)(η-CH)] as a volatile precursor, and the hydrothermally stable metal-organic framework, MIL-101(Cr) as a support. The prepared Pd@MIL-101(Cr) hetero-catalysts characterized with various analytical techniques, exhibited highly monodispersed immobilized Pd nanoparticles in the MIL-101(Cr) cavities, while retaining the pristine crystallinity and porosity. The intact hybrid Pd@MIL-101(Cr) has been demonstrated to be an efficient catalyst for 2-butyne-1,4-diol hydrogenation with excellent activity, stability and selectivity (2-butene-1,4-diol (>94%)).

  10. A comparative study of the electrical properties of Pd/ZnO Schottky contacts fabricated using electron beam deposition and resistive/thermal evaporation techniques

    International Nuclear Information System (INIS)

    Mtangi, W.; Auret, F. D.; Janse van Rensburg, P. J.; Coelho, S. M. M.; Legodi, M. J.; Nel, J. M.; Meyer, W. E.; Chawanda, A.

    2011-01-01

    A systematic investigation to check the quality of Pd Schottky contacts deposited on ZnO has been performed on electron beam (e-beam) deposited and resistively/thermally evaporated samples using current-voltage, IV, and conventional deep level transient spectroscopy (DLTS) measurements. Room temperature IV measurements reveal the dominance of pure thermionic emission on the resistively evaporated contacts, while the e-beam deposited contacts show the dominance of generation recombination at low voltages, -10 A at a reverse voltage of 1.0 V whereas the e-beam deposited contacts have reverse currents of the order of 10 -6 A at 1.0 V. Average ideality factors have been determined as (1.43 ± 0.01) and (1.66 ± 0.02) for the resistively evaporated contacts and e-beam deposited contacts, respectively. The IV barrier heights have been calculated as (0.721 ± 0.002) eV and (0.624 ± 0.005) eV for the resistively evaporated and e-beam deposited contacts, respectively. Conventional DLTS measurements reveal the presence of three prominent defects in both the resistive and e-beam contacts. Two extra peaks with energy levels of 0.60 and 0.81 eV below the conduction band minimum have been observed in the e-beam deposited contacts. These have been explained as contributing to the generation recombination current that dominates at low voltages and high leakage currents. Based on the reverse current at 1.0 V, the degree of rectification, the dominant current transport mechanism and the observed defects, we conclude that the resistive evaporation technique yields better quality Schottky contacts for use in solar cells and ultraviolet detectors compared to the e-beam deposition technique. The 0.60 eV has been identified as possibly related to the unoccupied level for the doubly charged oxygen vacancy, V o 2+ .

  11. Effects of pH and temperature on the deposition properties of stannate chemical conversion coatings formed by the potentiostatic technique on AZ91 D magnesium alloy

    International Nuclear Information System (INIS)

    Elsentriecy, Hassan H.; Azumi, Kazuhisa; Konno, Hidetaka

    2008-01-01

    The effects of pH and temperature of a stannate bath on the quality of stannate chemical conversion coatings formed on AZ91 D magnesium alloy by using the potentiostatic polarization technique at E = -1.1 V were investigated in order to improve uniformity and corrosion protection performance of the coating films. It was found that the uniformity and corrosion resistance of coating films deposited by potentiostatic polarization were closely associated with pH and temperature of the coating bath. The pH and temperature to obtain the best coating film were investigated as a function of corrosion protection performance evaluated by curves of potentiodynamic anodic polarization conducted in borate buffer solution. Scanning electron microscope observation and electrochemical corrosion tests of the stannate-coated samples confirmed significant improvement in uniformity and corrosion resistivity of coating films deposited by the potentiostatic technique by modifying the pH and temperature of the coating bath. It was also found that uniformity and corrosion resistivity of the coating films deposited by the potentiostatic technique were considerably improved compared to those of coatings deposited by the simple immersion method at the best conditions of pH and temperature of the coating bath

  12. Determination of the Mg occupation site in MOCVD- and MBE-grown Mg-doped InN using X-ray absorption fine-structure measurements

    Energy Technology Data Exchange (ETDEWEB)

    Miyajima, Takao; Uemura, Shigeaki; Kudo, Yoshihiro [Materials Laboratories, Sony Corporation, Atsugi, Kanagawa (Japan); Kitajima, Yoshinori [Photon Factory, High Energy Accelerator Research Organization, Tsukuba, Ibaraki (Japan); Yamamoto, Akio [Graduate School of Engineering, University of Fukui, Fukui (Japan); Muto, Daisuke; Nanishi, Yasushi [Department of Photonics, Ritsumeikan University, 1-1-1 Noji-Higashi, Kusatsu, Shiga 525-8577 (Japan)

    2008-07-01

    We analyzed the atomic structure around Mg atoms in MOCVD- and MBE-grown Mg-doped InN using Mg K-edge X-ray absorption fine-structure (XAFS) measurements. Our experimental data closely fit to the simulated data in which Mg atoms occupy the substitutional sites of In atoms. From this result, we conclude that Mg atoms essentially occupy not N atoms sites but In atoms sites, meaning that Mg atoms can act as acceptors in InN. We believe that observations of p-type conductivity are prevented by problems such as carrier compensation and electron accumulation at the surface. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Breakthrough to Non-Vacuum Deposition of Single-Crystal, Ultra-Thin, Homogeneous Nanoparticle Layers: A Better Alternative to Chemical Bath Deposition and Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Yu-Kuang Liao

    2017-04-01

    Full Text Available Most thin-film techniques require a multiple vacuum process, and cannot produce high-coverage continuous thin films with the thickness of a few nanometers on rough surfaces. We present a new ”paradigm shift” non-vacuum process to deposit high-quality, ultra-thin, single-crystal layers of coalesced sulfide nanoparticles (NPs with controllable thickness down to a few nanometers, based on thermal decomposition. This provides high-coverage, homogeneous thickness, and large-area deposition over a rough surface, with little material loss or liquid chemical waste, and deposition rates of 10 nm/min. This technique can potentially replace conventional thin-film deposition methods, such as atomic layer deposition (ALD and chemical bath deposition (CBD as used by the Cu(In,GaSe2 (CIGS thin-film solar cell industry for decades. We demonstrate 32% improvement of CIGS thin-film solar cell efficiency in comparison to reference devices prepared by conventional CBD deposition method by depositing the ZnS NPs buffer layer using the new process. The new ZnS NPs layer allows reduction of an intrinsic ZnO layer, which can lead to severe shunt leakage in case of a CBD buffer layer. This leads to a 65% relative efficiency increase.

  14. A simple method to deposit palladium doped SnO2 thin films using plasma enhanced chemical vapor deposition technique

    International Nuclear Information System (INIS)

    Kim, Young Soon; Wahab, Rizwan; Shin, Hyung-Shik; Ansari, S. G.; Ansari, Z. A.

    2010-01-01

    This work presents a simple method to deposit palladium doped tin oxide (SnO 2 ) thin films using modified plasma enhanced chemical vapor deposition as a function of deposition temperature at a radio frequency plasma power of 150 W. Stannic chloride (SnCl 4 ) was used as precursor and oxygen (O 2 , 100 SCCM) (SCCM denotes cubic centimeter per minute at STP) as reactant gas. Palladium hexafluroacetyleacetonate (Pd(C 5 HF 6 O 2 ) 2 ) was used as a precursor for palladium. Fine granular morphology was observed with tetragonal rutile structure. A peak related to Pd 2 Sn is observed, whose intensity increases slightly with deposition temperature. Electrical resistivity value decreased from 8.6 to 0.9 mΩ cm as a function of deposition temperature from 400 to 600 deg. C. Photoelectron peaks related to Sn 3d, Sn 3p3, Sn 4d, O 1s, and C 1s were detected with varying intensities as a function of deposition temperature.

  15. Noninvasive radioisotopic technique for detection of platelet deposition in mitral valve prostheses and quantitation of visceral microembolism in dogs

    International Nuclear Information System (INIS)

    Dewanjee, M.K.; Fuster, V.; Rao, S.A.; Forshaw, P.L.; Kaye, M.P.

    1983-01-01

    A noninvasive technique has been developed in the dog model for imaging, with a gamma camera, the platelet deposition on Bjoerk-Shiley mitral valve prostheses early postoperatively. At 25 hours after implantation of the prosthesis and 24 hours after intravenous administration of 400 to 500 microCi of platelets labeled with indium-111, the platelet deposition in the sewing ring and perivalvular cardiac tissue can be clearly delineated in a scintiphotograph. An in vitro technique was also developed for quantitation of visceral microemboli in brain, lungs, kidneys, and other tissues. Biodistribution of the labeled platelets was quantitated, and the tissue/blood radioactivity ratio was determined in 22 dogs in four groups: unoperated normal dogs, sham-operated dogs, prosthesis-implanted dogs, and prosthesis-implanted dogs treated with dipyridamole before and aspirin and dipyridamole immediately after operation. Fifteen to 20% of total platelets were consumed as a consequence of the surgical procedure. On quantitation, we found that platelet deposition on the components of the prostheses was significantly reduced in prosthesis-implanted animals treated with dipyridamole and aspirin when compared with prosthesis-implanted, untreated dogs. All prosthesis-implanted animals considered together had a twofold to fourfold increase in tissue/blood radioactivity ratio in comparison with unoperated and sham-operated animals, an indication that the viscera work as filters and trap platelet microemboli that are presumably produced in the region of the mitral valve prostheses. In the dog model, indium-111-labeled platelets thus provide a sensitive marker for noninvasive imaging of platelet deposition on mechanical mitral valve prostheses, in vitro evaluation of platelet microembolism in viscera, in vitro quantitation of surgical consumption of platelets, and evaluation of platelet-inhibitor drugs

  16. Characterization of TiO{sub 2} thin films obtained by metal-organic chemical vapour deposition; Caracterizacao de filmes finos de TiO{sub 2} obtidos por deposicao quimica em fase vapor

    Energy Technology Data Exchange (ETDEWEB)

    Carriel, Rodrigo Crociati

    2015-07-01

    Titanium dioxide (TiO{sub 2}) thin films were grown on silicon substrate (100) by MOCVD process (chemical deposition of organometallic vapor phase). The films were grown at 400, 500, 600 and 700 ° C in a conventional horizontal equipment. Titanium tetraisopropoxide was used as source of both oxygen and titanium. Nitrogen was used as carrier and purge gas. X-ray diffraction technique was used for the characterization of the crystalline structure. Scanning electron microscopy with field emission gun was used to evaluate the morphology and thickness of the films. The films grown at 400 and 500°C presented anatase phase. The film grown at 600ºC presented rutile besides anatase phase, while the film grown at 700°C showed, in addition to anatase and rutile, brookite phase. In order to evaluate the electrochemical behavior of the films cyclic voltammetry technique was used. The tests revealed that the TiO2 films formed exclusively by the anatase phase exhibit strong capacitive character. The anodic current peak is directly proportional to the square root of the scanning rate for films grown at 500ºC, suggesting that linear diffusion is the predominant mechanism of cations transport. It was observed that in the film grown during 60 minutes the Na+ ions intercalation and deintercalation easily. The films grown in the other conditions did not present the anodic current peak, although charge was accumulated in the film. (author)

  17. Carbon thin films deposited by the magnetron sputtering technique using cobalt, copper and nickel as buffer-layers

    International Nuclear Information System (INIS)

    Costa e Silva, Danilo Lopes

    2015-01-01

    In this work, carbon thin films were produced by the magnetron sputtering technique using single crystal substrates of alumina c-plane (0001) and Si (111) and Si (100) substrates, employing Co, Ni and Cu as intermediate films (buffer-layers). The depositions were conducted in three stages, first with cobalt buffer-layers where only after the production of a large number of samples, the depositions using cooper buffer-layers were carried out on Si substrates. Then, depositions were performed with nickel buffer layers using single-crystal alumina substrates. The crystallinity of the carbon films was evaluated by using the technique of Raman spectroscopy and, then, by X-ray diffraction (XRD). The morphological characterization of the films was performed by scanning electron microscopy (SEM and FEG-SEM) and high-resolution transmission electron microscopy (HRTEM). The XRD peaks related to the carbon films were observed only in the results of the samples with cobalt and nickel buffer-layers. The Raman spectroscopy showed that the carbon films with the best degree of crystallinity were the ones produced with Si (111) substrates, for the Cu buffers, and sapphire substrates for the Ni and Co buffers, where the latter resulted in a sample with the best crystallinity of all the ones produced in this work. It was observed that the cobalt has low recovering over the alumina substrates when compared to the nickel. Sorption tests of Ce ions by the carbon films were conducted in two samples and it was observed that the sorption did not occur probably because of the low crystallinity of the carbon films in both samples. (author)

  18. Transparent conducting ZnO-CdO thin films deposited by e-beam evaporation technique

    Science.gov (United States)

    Mohamed, H. A.; Ali, H. M.; Mohamed, S. H.; Abd El-Raheem, M. M.

    2006-04-01

    Thin films of Zn{1-x} Cd{x}O with x = 0, 0.1, 0.2, 0.3, 0.4 and 0.5 at.% were deposited by electron-beam evaporation technique. It has been found that, for as-deposited films, both the transmittance and electrical resistivity decreased with increasing the Cd content. To improve the optical and electrical properties of these films, the effect of annealing temperature and time were taken into consideration for Zn{1-x} Cd{x}O film with x = 0.2. It was found that, the optical transmittance and the electrical conductivity were improved significantly with increasing the time of annealing. At fixed temperature of 300 °C, the transmittance increased with increasing the time of annealing and reached its maximum values of 81% in the visible region and 94% in the NIR region at annealing time of 120 min. The low electrical resistivity of 3.6 × 10-3 Ω cm was achieved at the same conditions. Other parameters named free carrier concentrations, refractive index, extinction coefficient, plasma frequency, and relaxation time were studied as a function of annealing temperature and time for 20% Cd content.

  19. Using wire shaping techniques and holographic optics to optimize deposition characteristics in wire-based laser cladding.

    Science.gov (United States)

    Goffin, N J; Higginson, R L; Tyrer, J R

    2016-12-01

    In laser cladding, the potential benefits of wire feeding are considerable. Typical problems with the use of powder, such as gas entrapment, sub-100% material density and low deposition rate are all avoided with the use of wire. However, the use of a powder-based source material is the industry standard, with wire-based deposition generally regarded as an academic curiosity. This is because, although wire-based methods have been shown to be capable of superior quality results, the wire-based process is more difficult to control. In this work, the potential for wire shaping techniques, combined with existing holographic optical element knowledge, is investigated in order to further improve the processing characteristics. Experiments with pre-placed wire showed the ability of shaped wire to provide uniformity of wire melting compared with standard round wire, giving reduced power density requirements and superior control of clad track dilution. When feeding with flat wire, the resulting clad tracks showed a greater level of quality consistency and became less sensitive to alterations in processing conditions. In addition, a 22% increase in deposition rate was achieved. Stacking of multiple layers demonstrated the ability to create fully dense, three-dimensional structures, with directional metallurgical grain growth and uniform chemical structure.

  20. Geophysical techniques for reconnaissance investigations of soils and surficial deposits in mountainous terrain

    Science.gov (United States)

    Olson, C.G.; Doolittle, J.A.

    1985-01-01

    Two techniques were assessed for their capabilities in reconnaissance studies of soil characteristics: depth to the water table and depth to bedrock beneath surficial deposits in mountainous terrain. Ground-penetrating radar had the best near-surface resolution in the upper 2 m of the profile and provided continuous interpretable imagery of soil profiles and bedrock surfaces. Where thick colluvium blankets side slopes, the GPR could not consistently define the bedrock interface. In areas with clayey or shaley sediments, the GPR is also more limited in defining depth and is less reliable. Seismic refraction proved useful in determining the elevation of the water table and depth to bedrock, regardless of thickness of overlying material, but could not distinguish soil-profile characteristics.-from Authors

  1. Application of the electrophoretic deposition technique for obtaining Yttria-stabilized zirconia tubes; Aplicacao da tecnica de deposicao eletroforetica para a obtencao de tubos ceramicos de zirconia-itria

    Energy Technology Data Exchange (ETDEWEB)

    Caproni, E.; Muccillo, R., E-mail: ecaproni@gmail.com, E-mail: muccillo@usp.br [Centro de Ciencia e Tecnologia de Materiais, Instituto de Pesquisas Energeticas e Nucleares (IPEN/CNEN-SP), Sao Paulo, SP (Brazil)

    2012-01-15

    The electrophoretic deposition (EPD) is recognized as the most versatile technique for processing particulate materials, due to low cost, deposition in minutes and forming of pieces with complex geometry shapes. In this work an experimental setup for the simultaneous conformation of 16 ceramic tubes by EPD was built. Bimodal submicron Yttria-stabilized zirconia particles were deposited into graphite electrodes, after suitably adjusting the rheological characteristics of the suspension in isopropanol. After graphite burning and YSZ sintering at 1500 deg C, the ceramic tubes were characterized by X-ray diffraction, scanning probe microscope, impedance spectroscopy and electrical response as a function of oxygen content. Small dense one end-closed ceramic tubes, fully stabilized in the cubic phase, were successfully obtained by the EPD technique, showing the ability of that technique for processing large quantities of tubular solid electrolytes with electrical response to different amounts of oxygen according to the Nernst law (author)

  2. Stress-induced leakage current characteristics of PMOS fabricated by a new multi-deposition multi-annealing technique with full gate last process

    International Nuclear Information System (INIS)

    Wang Yanrong; Yang Hong; Xu Hao; Luo Weichun; Qi Luwei; Zhang Shuxiang; Wang Wenwu; Zhu Huilong; Zhao Chao; Chen Dapeng; Ye Tianchun; Yan Jiang

    2017-01-01

    In the process of high- k films fabrication, a novel multi deposition multi annealing (MDMA) technique is introduced to replace simple post deposition annealing. The leakage current decreases with the increase of the post deposition annealing (PDA) times. The equivalent oxide thickness (EOT) decreases when the annealing time(s) change from 1 to 2. Furthermore, the characteristics of SILC (stress-induced leakage current) for an ultra-thin SiO 2 /HfO 2 gate dielectric stack are studied systematically. The increase of the PDA time(s) from 1 to 2 can decrease the defect and defect generation rate in the HK layer. However, increasing the PDA times to 4 and 7 may introduce too much oxygen, therefore the type of oxygen vacancy changes. (paper)

  3. Structural and Optical Properties of Chemical Bath Deposited Silver Oxide Thin Films: Role of Deposition Time

    Directory of Open Access Journals (Sweden)

    A. C. Nwanya

    2013-01-01

    Full Text Available Silver oxide thin films were deposited on glass substrates at a temperature of 50°C by chemical bath deposition technique under different deposition times using pure AgNO3 precursor and triethanolamine as the complexing agent. The chemical analysis based on EDX technique shows the presence of Ag and O at the appropriate energy levels. The morphological features obtained from SEM showed that the AgxO structures varied as the deposition time changes. The X-ray diffraction showed the peaks of Ag2O and AgO in the structure. The direct band gap and the refractive index increased as the deposition time increased and was in the range of 1.64–1.95 eV and 1.02–2.07, respectively. The values of the band gap and refractive index obtained indicate possible applications in photovoltaic and photothermal systems.

  4. Tight comparison of Mg and Y thin film photocathodes obtained by the pulsed laser deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Lorusso, A. [Dipartimento di Matematica e Fisica “E. De Giorgi”, Università del Salento and Istituto Nazionale di Fisica Nucleare, 73100 Lecce (Italy); Gontad, F., E-mail: francisco.gontad@le.infn.it [Dipartimento di Matematica e Fisica “E. De Giorgi”, Università del Salento and Istituto Nazionale di Fisica Nucleare, 73100 Lecce (Italy); Solombrino, L. [Dipartimento di Matematica e Fisica “E. De Giorgi”, Università del Salento and Istituto Nazionale di Fisica Nucleare, 73100 Lecce (Italy); Chiadroni, E. [Laboratori Nazionali di Frascati, Istituto Nazionale di Fisica Nucleare, 00044 Frascati (Italy); Broitman, E. [Department of Physics, Chemistry and Biology (IFM), Linköping University, SE-58183 Linköping (Sweden); Perrone, A. [Dipartimento di Matematica e Fisica “E. De Giorgi”, Università del Salento and Istituto Nazionale di Fisica Nucleare, 73100 Lecce (Italy)

    2016-11-11

    In this work Magnesium (Mg) and Yttrium (Y) thin films have been deposited on Copper (Cu) polycrystalline substrates by the pulsed laser ablation technique for photocathode application. Such metallic materials are studied for their interesting photoemission properties and are proposed as a good alternative to the Cu photocathode, which is generally used in radio-frequency guns. Mg and Y films were uniform with no substantial differences in morphology; a polycrystalline structure was found for both of them. Photoemission measurements of such cathodes based on thin films were performed, revealing a quantum efficiency higher than Cu bulk. Photoemission theory according to the three-step model of Spicer is invoked to explain the superior photoemission performance of Mg with respect to Y. - Highlights: • Mg and Y thin film photocathodes were successfully prepared by pulsed laser deposition. • Mg quantum efficiency is higher than Y, despite its higher work function. • The three-step model of Spicer justify the difference in quantum efficiency.

  5. Chemical bath deposited and dip coating deposited CuS thin films - Structure, Raman spectroscopy and surface study

    Science.gov (United States)

    Tailor, Jiten P.; Khimani, Ankurkumar J.; Chaki, Sunil H.

    2018-05-01

    The crystal structure, Raman spectroscopy and surface microtopography study on as-deposited CuS thin films were carried out. Thin films deposited by two techniques of solution growth were studied. The thin films used in the present study were deposited by chemical bath deposition (CBD) and dip coating deposition techniques. The X-ray diffraction (XRD) analysis of both the as-deposited thin films showed that both the films possess covellite phase of CuS and hexagonal unit cell structure. The determined lattice parameters of both the films are in agreement with the standard JCPDS as well as reported data. The crystallite size determined by Scherrer's equation and Hall-Williamsons relation using XRD data for both the as-deposited thin films showed that the respective values were in agreement with each other. The ambient Raman spectroscopy of both the as-deposited thin films showed major emission peaks at 474 cm-1 and a minor emmision peaks at 265 cm-1. The observed Raman peaks matched with the covellite phase of CuS. The atomic force microscopy of both the as-deposited thin films surfaces showed dip coating thin film to be less rough compared to CBD deposited thin film. All the obtained results are presented and deliberated in details.

  6. Strain-Compensated InGaAsP Superlattices for Defect Reduction of InP Grown on Exact-Oriented (001 Patterned Si Substrates by Metal Organic Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Ludovico Megalini

    2018-02-01

    Full Text Available We report on the use of InGaAsP strain-compensated superlattices (SC-SLs as a technique to reduce the defect density of Indium Phosphide (InP grown on silicon (InP-on-Si by Metal Organic Chemical Vapor Deposition (MOCVD. Initially, a 2 μm thick gallium arsenide (GaAs layer was grown with very high uniformity on exact oriented (001 300 mm Si wafers; which had been patterned in 90 nm V-grooved trenches separated by silicon dioxide (SiO2 stripes and oriented along the [110] direction. Undercut at the Si/SiO2 interface was used to reduce the propagation of defects into the III–V layers. Following wafer dicing; 2.6 μm of indium phosphide (InP was grown on such GaAs-on-Si templates. InGaAsP SC-SLs and thermal annealing were used to achieve a high-quality and smooth InP pseudo-substrate with a reduced defect density. Both the GaAs-on-Si and the subsequently grown InP layers were characterized using a variety of techniques including X-ray diffraction (XRD; atomic force microscopy (AFM; transmission electron microscopy (TEM; and electron channeling contrast imaging (ECCI; which indicate high-quality of the epitaxial films. The threading dislocation density and RMS surface roughness of the final InP layer were 5 × 108/cm2 and 1.2 nm; respectively and 7.8 × 107/cm2 and 10.8 nm for the GaAs-on-Si layer.

  7. Nonpolar a-plane GaN grown on r-plane sapphire using multilayer AlN buffer by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Chiang, C.H.; Chen, K.M.; Wu, Y.H.; Yeh, Y.S.; Lee, W.I.; Chen, J.F.; Lin, K.L.; Hsiao, Y.L.; Huang, W.C.; Chang, E.Y.

    2011-01-01

    Mirror-like and pit-free non-polar a-plane (1 1 -2 0) GaN films are grown on r-plane (1 -1 0 2) sapphire substrates using metalorganic chemical vapor deposition (MOCVD) with multilayer high-low-high temperature AlN buffer layers. The buffer layer structure and film quality are essential to the growth of a flat, crack-free and pit-free a-plane GaN film. The multilayer AlN buffer structure includes a thin low-temperature-deposited AlN (LT-AlN) layer inserted into the high-temperature-deposited AlN (HT-AlN) layer. The results demonstrate that the multilayer AlN buffer structure can improve the surface morphology of the upper a-plane GaN film. The grown multilayer AlN buffer structure reduced the tensile stress on the AlN buffer layers and increased the compressive stress on the a-plane GaN film. The multilayer AlN buffer structure markedly improves the surface morphology of the a-plane GaN film, as revealed by scanning electron microscopy. The effects of various growth V/III ratios was investigated to obtain a-plane GaN films with better surface morphology. The mean roughness of the surface was 1.02 nm, as revealed by atomic force microscopy. Accordingly, the multilayer AlN buffer structure improves the surface morphology and facilitates the complete coalescence of the a-plane GaN layer.

  8. Efficient removal of UDMH from dilute nitride MOCVD exhaust streams

    Science.gov (United States)

    Pahle, Jörg; Czerniak, Mike; Seeley, Andy; Baker, Derek

    2004-12-01

    Unsymmetrical dimethyl hydrazine (UDMH) (CH 3) 2N 2H 2 is often used in the deposition of dilute nitride semiconductors because it provides a source of nitrogen with a low thermal decomposition temperature (Temperature-dependent carrier lifetime in GaNAs using resonant-coupled photoconductive decay, NCPV Program Review Meeting, Lakewood, Colorado, 14-17 October, 2001). The problems with using this material, however, are its significant toxicity (0.01 ppm compared to ammonia's 25 ppm) and also the fact that it blocks the action of conventional dosed wet scrubbers sometimes used on nitride applications, resulting in diminished efficiency in removing arsine (the source of arsenic), and arsine being similarly toxic (TLV of 0.05 ppm). Efficient removal of UDMH, AsH 3 and hydrogen (which, though not toxic poses a potential safety hazard) by means of a combined thermal oxidation reaction and wet scrubber in series is described at input gas flow rates exceeding those typically encountered in practice. The detection technique employed was Fourier transform infra red spectroscopy (FTIR), and the calibration and resolution techniques will be described. For input UDMH flows of up to 445 sccm (i.e. 1.85×10 -2 mol/min), destructive reaction efficiencies (DREs) of >99.9% were demonstrated, corresponding to the background detection resolution of 0.4 ppm.

  9. Industrial implementation of plasma deposition using the expanding thermal plasma technique

    NARCIS (Netherlands)

    Sanden, van de M.C.M.; Oever, van den P.J.; Creatore, M.; Schaepkens, M.; Miebach, T.; Iacovangelo, C.D.; Bosch, R.C.M.; Bijker, M.D.; Evers, M.F.J.; Schram, D.C.; Kessels, W.M.M.

    2004-01-01

    Two successful industrial implementations of the expanding thermal plasma setup, a novel plasma source, obtaining high deposition rate are discussed. The Ar/O2/hexamethyldisiloxane and Ar/O2/octamethyl-cyclosiloxane-fed expanding thermal plasma setup is used to deposit scratch resistant silicone

  10. Research Update: Large-area deposition, coating, printing, and processing techniques for the upscaling of perovskite solar cell technology

    Directory of Open Access Journals (Sweden)

    Stefano Razza

    2016-09-01

    Full Text Available To bring perovskite solar cells to the industrial world, performance must be maintained at the photovoltaic module scale. Here we present large-area manufacturing and processing options applicable to large-area cells and modules. Printing and coating techniques, such as blade coating, slot-die coating, spray coating, screen printing, inkjet printing, and gravure printing (as alternatives to spin coating, as well as vacuum or vapor based deposition and laser patterning techniques are being developed for an effective scale-up of the technology. The latter also enables the manufacture of solar modules on flexible substrates, an option beneficial for many applications and for roll-to-roll production.

  11. Advanced deposition model for thermal activated chemical vapor deposition

    Science.gov (United States)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  12. Liquefier Dynamics in Fused Deposition

    DEFF Research Database (Denmark)

    Bellini, Anna; Guceri, Selcuk; Bertoldi, Maurizio

    2004-01-01

    Layered manufacturing (LM) is an evolution of rapid prototyping (RP) technology whereby a part is built in layers. Fused deposition modeling (FDM) is a particular LM technique in which each section is fabricated through vector style deposition of building blocks, called roads, which...

  13. MOCVD of zirconium oxide thin films: Synthesis and characterization

    International Nuclear Information System (INIS)

    Torres-Huerta, A.M.; Dominguez-Crespo, M.A.; Ramirez-Meneses, E.; Vargas-Garcia, J.R.

    2009-01-01

    The synthesis of thin films of zirconia often produces tetragonal or cubic phases, which are stable at high temperatures, but that can be transformed into the monoclinic form by cooling. In the present study, we report the deposition of thin zirconium dioxide films by metalorganic chemical vapor deposition using zirconium (IV)-acetylacetonate as precursor. Colorless, porous, homogeneous and well adherent ZrO 2 thin films in the cubic phase were obtained within the temperature range going from 873 to 973 K. The deposits presented a preferential orientation towards the (1 1 1) and (2 2 0) planes as the substrate temperature was increased, and a crystal size ranging between 20 and 25 nm. The kinetics is believed to result from film growth involving the deposition and aggregation of nanosized primary particles produced during the CVD process. A mismatch between the experimental results obtained here and the thermodynamic prediction was found, which can be associated with the intrinsic nature of the nanostructured materials, which present a high density of interfaces.

  14. MOCVD of zirconium oxide thin films: Synthesis and characterization

    Energy Technology Data Exchange (ETDEWEB)

    Torres-Huerta, A.M., E-mail: atohuer@hotmail.com [Centro de Investigacion en Ciencia Aplicada y Tecnologia Avanzada, Unidad Altamira, Instituto Politecnico Nacional, Km. 14.5 Carr. Tampico-Puerto Industrial, C.P. 89600, Altamira, Tamaulipas (Mexico); Dominguez-Crespo, M.A.; Ramirez-Meneses, E. [Centro de Investigacion en Ciencia Aplicada y Tecnologia Avanzada, Unidad Altamira, Instituto Politecnico Nacional, Km. 14.5 Carr. Tampico-Puerto Industrial, C.P. 89600, Altamira, Tamaulipas (Mexico); Vargas-Garcia, J.R. [ESIQIE, Departamento de Metalurgia y Materiales, Instituto Politecnico Nacional. A.P. 75-876, 07300 Mexico, D.F. (Mexico)

    2009-02-15

    The synthesis of thin films of zirconia often produces tetragonal or cubic phases, which are stable at high temperatures, but that can be transformed into the monoclinic form by cooling. In the present study, we report the deposition of thin zirconium dioxide films by metalorganic chemical vapor deposition using zirconium (IV)-acetylacetonate as precursor. Colorless, porous, homogeneous and well adherent ZrO{sub 2} thin films in the cubic phase were obtained within the temperature range going from 873 to 973 K. The deposits presented a preferential orientation towards the (1 1 1) and (2 2 0) planes as the substrate temperature was increased, and a crystal size ranging between 20 and 25 nm. The kinetics is believed to result from film growth involving the deposition and aggregation of nanosized primary particles produced during the CVD process. A mismatch between the experimental results obtained here and the thermodynamic prediction was found, which can be associated with the intrinsic nature of the nanostructured materials, which present a high density of interfaces.

  15. Amorphous indium gallium zinc oxide thin film grown by pulse laser deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Mistry, Bhaumik V., E-mail: bhaumik-phy@yahoo.co.in; Joshi, U. S. [Department of Physics, University School of Sciences, Gujarat University, Ahmedabad-380 009 (India)

    2016-05-23

    Highly electrically conducting and transparent in visible light IGZO thin film were grown on glass substrate at substrate temperature of 400 C by a pulse laser deposition techniques. Structural, surface, electrical, and optical properties of IGZO thin films were investigated at room temperature. Smooth surface morphology and amorphous nature of the film has been confirmed from the AFM and GIXRD analysis. A resistivity down to 7.7×10{sup −3} V cm was reproducibly obtained while maintaining optical transmission exceeding 70% at wavelengths from 340 to 780 nm. The carrier densities of the film was obtain to the value 1.9×10{sup 18} cm{sup 3}, while the Hall mobility of the IGZO thin film was 16 cm{sup 2} V{sup −1}S{sup −1}.

  16. Analyzing the LiF thin films deposited at different substrate temperatures using multifractal technique

    Energy Technology Data Exchange (ETDEWEB)

    Yadav, R.P. [Department of Physics, University of Allahabad, Allahabad, UP 211002 (India); Dwivedi, S., E-mail: suneetdwivedi@gmail.com [K Banerjee Centre of Atmospheric and Ocean Studies, University of Allahabad, Allahabad, UP 211002 (India); Mittal, A.K. [Department of Physics, University of Allahabad, Allahabad, UP 211002 (India); K Banerjee Centre of Atmospheric and Ocean Studies, University of Allahabad, Allahabad, UP 211002 (India); Kumar, Manvendra [Nanotechnology Application Centre, University of Allahabad, Allahabad, UP 211002 (India); Pandey, A.C. [K Banerjee Centre of Atmospheric and Ocean Studies, University of Allahabad, Allahabad, UP 211002 (India); Nanotechnology Application Centre, University of Allahabad, Allahabad, UP 211002 (India)

    2014-07-01

    The Atomic Force Microscopy technique is used to characterize the surface morphology of LiF thin films deposited at substrate temperatures 77 K, 300 K and 500 K, respectively. It is found that the surface roughness of thin film increases with substrate temperature. The multifractal nature of the LiF thin film at each substrate temperature is investigated using the backward two-dimensional multifractal detrended moving average analysis. The strength of multifractility and the non-uniformity of the height probabilities of the thin films increase as the substrate temperature increases. Both the width of the multifractal spectrum and the difference of fractal dimensions of the thin films increase sharply as the temperature reaches 500 K, indicating that the multifractility of the thin films becomes more pronounced at the higher substrate temperatures with greater cluster size. - Highlights: • Analyzing LiF thin films using multifractal detrended moving average technique • Surface roughness of LiF thin film increases with substrate temperature. • LiF thin films at each substrate temperature exhibit multifractality. • Multifractility becomes more pronounced at the higher substrate temperatures.

  17. Growth and characterization of tin disulfide (SnS2) thin film deposited by successive ionic layer adsorption and reaction (SILAR) technique

    International Nuclear Information System (INIS)

    Deshpande, N.G.; Sagade, A.A.; Gudage, Y.G.; Lokhande, C.D.; Sharma, Ramphal

    2007-01-01

    Thin films of tin disulfide (SnS 2 ) have been deposited by using low cost successive ionic layer adsorption and reaction (SILAR) technique. The deposition parameters such as SILAR cycles (60), immersion time (20 s), rinsing time (10 s) and deposition temperature (27 o C) were optimized to obtain good quality of films. Physical investigations were made to study the structural, optical and electrical properties. X-ray diffraction (XRD) patterns reveal that the deposited SnS 2 thin films have hexagonal crystal structure. Energy dispersive X-ray analysis (EDAX) indicated elemental ratio close to those for tin disulfide (SnS (2.02) ). Uniform deposition of the material over the entire glass substrate was revealed by scanning electron microscopy (SEM). Atomic force microscopy (AFM) showed the film is uniform and the substrate surface is well covered with small spherical grains merged in each other. A direct band gap of 2.22 eV was obtained. Photoluminescence (PL) showed two strong peaks corresponding to green and red emission. Ag/SnS 2 junction showed Schottky diode like I-V characteristics. The barrier height calculated was 0.22 eV. Thermoelectric power (TEP) properties showed that tin disulfide exhibits n-type conductivity

  18. OPTICAL PROPERTIES OF Al:ZnO THIN FILM DEPOSITED BY DIFFERENT SOL-GEL TECHNIQUES: ULTRASONIC SPRAY PYROLYSIS AND DIP-COATING

    Directory of Open Access Journals (Sweden)

    Ebru Gungor

    2016-08-01

    Full Text Available Undoped and Al-doped ZnO polycrystalline thin films have been fabricated on glass substrates by using a computer-controlled dip coating (DC and ultrasonic spray pyrolysis (USP systems. The film deposition parameters of DC process were optimized for the samples. In this technique, the substrate was exposed to temperature gradient using a tube furnace. In the study, the other solvent-based technique was conventional USP. The zinc salt and Al salt concentrations in the solution were kept constant as 0.1 M and 2% of Zn salt’s molarity, respectively. The optical properties were compared for the films deposited two different techniques. The optical transmission of Al:ZnO/Glass/Al:ZnO sample dip coated and  the optical transmission of Al:ZnO/Glass sample ultrasonically sprayed were determined higher than 80% in the visible and near infrared region. Experimental optical transmittance spectra of the films in the forms of FilmA/Glass/FilmA and FilmA/glass were used to determine the optical constants. It was observed that the optical band gaps of Al doped ZnO films onto glass substrate were increases with increase of Al content and the absorption edge shifted to the shorter wavelength (blue shift compared with the undoped ZnO thin film.

  19. Tuning the morphology of metastable MnS films by simple chemical bath deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Dhandayuthapani, T. [Directorate of Distance Education, Alagappa University, Karaikudi 630004 (India); Girish, M. [Department of Physics, Alagappa University, Karaikudi 630004 (India); Sivakumar, R., E-mail: krsivakumar1979@yahoo.com [Directorate of Distance Education, Alagappa University, Karaikudi 630004 (India); Sanjeeviraja, C. [Department of Physics, Alagappa Chettiar College of Engineering and Technology, Karaikudi 630004 (India); Gopalakrishnan, R. [Department of Physics, Anna University, Chennai 600025 (India)

    2015-10-30

    Graphical abstract: - Highlights: • MnS films with diverse morphological features were prepared without any complexing agent. • The change in morphology of MnS films may be due to the “oriented aggregation”. • The dual role (as sulfur source and structure directing agent) of thiourea was observed. • Sulfur source concentration induced enhancement in the crystallization of films. - Abstract: In the present investigation, we have prepared the spherical particles, almond-like, and cauliflower-like morphological structures of metastable MnS films on glass substrate by chemical bath deposition technique at low temperature without using any complexing or chelating agent. The morphological change of MnS films with molar ratio may be due to the oriented aggregation of adjacent particles. The compositional purity of deposited film was confirmed by the EDAX study. X-ray diffraction and micro-Raman studies confirm the sulfur source concentration induced enhancement in the crystallization of films with metastable MnS phase (zinc-blende β-MnS, and wurtzite γ-MnS). The shift in PL emission peak with molar ratio may be due to the change in optical energy band gap of the MnS, which was further confirmed by the optical absorbance study. The paramagnetic behavior of the sample was confirmed by the M–H plot.

  20. The effect of a slight mis-orientation angle of c-plane sapphire substrate on surface and crystal quality of MOCVD grown GaN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Seong-Woo; Suzuki, Toshimasa [Nippon Institute of Technology, 4-1 Gakuendai, Miyashiro, Saitama, 345-8501 (Japan); Aida, Hideo [NAMIKI Precision Jewel Co. Ltd., 3-8-22 Shinden, Adachi-ku, Tokyo, 123-8511 (Japan)

    2004-09-01

    The effect of a slight mis-orientation of c-plane sapphire substrate on the surface morphology and crystal quality of GaN thin films grown by MOCVD has been investigated. The mis-orientation angle of vicinal c-plane sapphire substrate was changed within the range of 0.00(zero)-1.00(one) degree, and the experimental results were compared with those on just angle (zero degree) c-plane sapphire substrate. The surface morphology and crystal quality were found to be very sensitive to mis-orientation angle. Consequently, the mis-orientation angle was optimized to be 0.15 . (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  1. Characterization of hydroxyapatite coating by pulse laser deposition technique on stainless steel 316 L by varying laser energy

    International Nuclear Information System (INIS)

    Khandelwal, Himanshu; Singh, Gurbhinder; Agrawal, Khelendra; Prakash, Satya; Agarwal, R.D.

    2013-01-01

    Highlights: ► Hydroxyapatite coating was successfully deposited on stainless steel substrate by pulse laser deposition at different energy levels (i.e. 300 mJ and 500 mJ, respectively). ► Variation in laser energy affects the surface characteristic of hydroxyapatite coating (particle size, surface roughness, uniformity, Ca/P ratio). ► Laser energy between 300 mJ and 500 mJ is the optimal choice for obtaining ideal Ca/P ratio. - Abstract: Hydroxyapatite is an attractive biomaterial mainly used in bone and tooth implants because it closely resembles human tooth and bone mineral and has proven to be biologically compatible with these tissues. In spite of this advantage of hydroxyapatite it has also certain limitation like inferior mechanical properties which do not make it suitable for long term load bearing applications; hence a lot of research is going on in the development of hydroxyapatite coating over various metallic implants. These metallic implants have good biocompatibility and mechanical properties. The aim of the present work is to deposit hydroxyapatite coating over stainless steel grade 316 L by pulse laser deposition technique by varying laser energy. To know the effect of this variation, the coatings were than characterized in detail by X-ray diffraction, finite emission-scanning electron microscope, atomic force microscope and energy dispersive X-ray spectroscopy.

  2. Non-radiative recombination process in BGaAs/GaAs alloys: Two layer photothermal deflection model

    Energy Technology Data Exchange (ETDEWEB)

    Ilahi, S., E-mail: ilehi_soufiene@yahoo.fr [Université de Carthage, Unité de Recherche de caractérisation photothermique et modélisation, Institut Préparatoire aux Etudes d’Ingénieurs de Nabeul (IPEIN), 8000 Merazka, Nabeul (Tunisia); Baira, M.; Saidi, F. [Université de Monastir, Laboratoire de Micro-Optoélectronique et Nanostructures, Faculté des Sciences de Monastir. Avenue de l’Environnement, Monastir 5019 (Tunisia); Yacoubi, N. [Université de Carthage, Unité de Recherche de caractérisation photothermique et modélisation, Institut Préparatoire aux Etudes d’Ingénieurs de Nabeul (IPEIN), 8000 Merazka, Nabeul (Tunisia); Auvray, L. [Laboratoire Multimateriaux et Interfaces, Université Claude Bernard Lyon I, 43, Boulevard du 11 Novembre 1918, 69622 Villeurbanne Cedex (France); Maaref, H. [Université de Monastir, Laboratoire de Micro-Optoélectronique et Nanostructures, Faculté des Sciences de Monastir. Avenue de l’Environnement, Monastir 5019 (Tunisia)

    2013-12-25

    Highlights: •We have developed a two layer photothermal deflection model. •We have determined the electronic properties of BGaAs/GaAs alloys. •We have studied the boron effect in the electronic parameters. -- Abstract: Photo-thermal deflection technique PTD is used to study the nonradiative recombination process in BGaAs/GaAs alloy with boron composition of 3% and 8% grown by metal organic chemical vapor deposition (MOCVD). A two layer theoretical model has been developed taking into account both thermal and electronic contribution in the photothermal signal allowing to extract the electronic parameters namely electronic diffusivity, surface and interface recombination. It is found that the increase of boron composition alters the BGaAs epilayers transport properties.

  3. High efficiency AlGaInN-based light emitting diode in the 360-380 nm wavelength range

    Energy Technology Data Exchange (ETDEWEB)

    Sato, Hisao; Wang, Hong-Xing; Sato, Daisuke; Takaki, Ryohei; Wada, Naoki; Tanahashi, Tetsuya; Yamashita, Kenji; Kawano, Shunsuke; Mizobuchi, Takashi; Dempo, Akihiko; Morioka, Kenji; Kimura, Masahiro; Nohda, Suguru [Nitride Semiconductors Co., Ltd., 115-7 Itayajima, Akinokami, Seto-cho, Naruto, Tokushima 771-0360 (Japan); Sugahara, Tomoya [Satellite Venture Business Laboratory, The University of Tokushima (Japan); Sakai, Shiro [Department of Electrical and Electronic Engineering, The University of Tokushima, 2-1 Minami-josanjima, Tokushima 770-8506 (Japan)

    2003-11-01

    High performance LEDs emitting in the wavelength range 360-380 nm, are fabricated on sapphire substrates by one-time metalorganic chemical vapor deposition (MOCVD) without using epitaxial lateral overgrowth (ELO) or similar techniques. By improving layer structures and growth conditions, the output power of the LEDs was much improved. The light output power of the LEDs at an injection current of 20 mA is 3.2 mW, 2.5 mW and 1 mW at wavelengths of 378 nm, 373 nm and 363 nm, which correspond to an external quantum efficiency of 4.8%, 3.8% and 1.4%, respectively. (copyright 2003 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. CuFeO2 formation using fused deposition modeling 3D printing and sintering technique

    Science.gov (United States)

    Salea, A.; Dasaesamoh, A.; Prathumwan, R.; Kongkaew, T.; Subannajui, K.

    2017-09-01

    CuFeO2 is a metal oxide mineral material which is called delafossite. It can potentially be used as a chemical catalyst, and gas sensing material. There are methods to fabricate CuFeO2 such as chemical synthesis, sintering, sputtering, and chemical vapor deposition. In our work, CuFeO2 is prepared by Fused Deposition Modeling (FDM) 3D printing. The composite filament which composed of Cu and Fe elements is printed in three dimensions, and then sintered and annealed at high temperature to obtain CuFeO2. Suitable polymer blend and maximum percent volume of metal powder are studied. When percent volume of metal powder is increased, melt flow rate of polymer blend is also increased. The most suitable printing condition is reported and the properties of CuFeO2 are observed by Scanning Electron Microscopy, and Dynamic Scanning Calorimeter, X-ray diffraction. As a new method to produce semiconductor, this technique has a potential to allow any scientist or students to design and print a catalyst or sensing material by the most conventional 3D printing machine which is commonly used around the world.

  5. Final report: High current capacity high temperature superconducting film based tape for high field magnets

    International Nuclear Information System (INIS)

    Ying Xin

    2000-01-01

    The primary goal of the program was to establish the process parameters for the continuous deposition of high quality, superconducting YBCO films on one meter lengths of buffered RABiTS tape using MOCVD and to characterize the potential utility of the resulting tapes in high field magnet applications

  6. Buffer optimization for crack-free GaN epitaxial layers grown on Si(1 1 1) substrate by MOCVD

    International Nuclear Information System (INIS)

    Arslan, Engin; Ozbay, Ekmel; Ozturk, Mustafa K; Ozcelik, Suleyman; Teke, Ali

    2008-01-01

    We report the growth of GaN films on the Si(1 1 1) substrate by metalorganic chemical vapour phase deposition (MOCVD). Different buffer layers were used to investigate their effects on the structural and optical properties of GaN layers. A series of GaN layers were grown on Si(1 1 1) with different buffer layers and buffer thicknesses and were characterized by Nomarski microscopy, atomic force microscopy, high-resolution x-ray diffraction (XRD) and photoluminescence (PL) measurements. We first discuss the optimization of the LT-AlN/HT-AlN/Si(1 1 1) templates and then the optimization of the graded AlGaN intermediate layers. In order to prevent stress relaxation, step-graded AlGaN layers were introduced along with a crack-free GaN layer of thickness exceeding 2.6 μm. The XRD and PL measurements results confirmed that a wurtzite GaN was successfully grown. The resulting GaN film surfaces were flat, mirror-like and crack-free. The mosaic structure in the GaN layers was investigated. With a combination of Williamson-Hall measurements and the fitting of twist angles, it was found that the buffer thickness determines the lateral coherence length, vertical coherence length, as well as the tilt and twist of the mosaic blocks in GaN films. The PL spectra at 8 K show that a strong band edge photoluminescence of GaN on Si (1 1 1) emits light at an energy of 3.449 eV with a full width at half maximum (FWHM) of approximately 16 meV. At room temperature, the peak position and FWHM of this emission become 3.390 eV and 58 meV, respectively. The origin of this peak was attributed to the neutral donor bound exciton. It was found that the optimized total thickness of the AlN and graded AlGaN layers played a very important role in the improvement of quality and in turn reduced the cracks during the growth of GaN/Si(1 1 1) epitaxial layers

  7. Panel 1 - comparative evaluation of deposition technologies

    Energy Technology Data Exchange (ETDEWEB)

    Fenske, G.R.; Stodolsky, F. [Argonne National Lab., IL (United States); Benson, D.K.; Pitts, R.J. [National Renewable Energy Lab., Golden, CO (United States); Bhat, D.G. [GTE Valenite Corp., Troy, MI (United States); Yulin Chen [Allison Gas Turbine Division, GM, Indianapolis, IN (United States); Gat, R.; Sunkara, M.K. [Case Western Reserve Univ., Cleveland, OH (United States); Kelly, M. [Stanford Univ., CA (United States); Lawler, J.E. [Univ. of Wisconsin, Madison (United States); Nagle, D.C. [Martin Marietta Labs., Baltimore, MD (United States); Outka, D. [Sandia National Laboratories, Livermore, CA (United States); Revankar, G.S. [Deere & Co., Moline, IL (United States); Subramaniam, V.V. [Ohio State Univ., Columbus (United States); Wilbur, P.J. [Colorado State Univ., Fort Collins (United States); Mingshow Wong [Northwestern Univ., Evanston, IL (United States); Woolam, W.E. [Southwest Research Inst., Arlington, VA (United States)

    1993-01-01

    This working group attempted to evaluate/compare the different types of deposition techniques currently under investigation for depositing diamond and diamond-like carbon films. A table lists the broad types of techniques that were considered for depositing diamond and diamond-like carbon films. After some discussion, it was agreed that any evaluation of the various techniques would be dependent on the end application. Thus the next action was to list the different areas where diamond and DLC films could find applications in transportation. These application areas are listed in a table. The table intentionally does not go into great detail on applications because that subject is dealt with specifically by Panel No. 4 - Applications To Transportation. The next action concentrated on identifying critical issues or limitations that need to be considered in evaluating the different processes. An attempt was then made to rank different broad categories of deposition techniques currently available or under development based on the four application areas and the limitations. These rankings/evaluations are given for diamond and DLC techniques. Finally, the working group tried to identify critical development and research issues that need to be incorporated into developing a long-term program that focuses on diamond/DLC coatings for transportation needs. 5 tabs.

  8. Organic-inorganic field effect transistor with SnI-based perovskite channel layer using vapor phase deposition technique

    Science.gov (United States)

    Matsushima, Toshinori; Yasuda, Takeshi; Fujita, Katsuhiko; Tsutsui, Tetsuo

    2003-11-01

    High field-effect hole mobility of (formula available in paper)and threshold voltage is -3.2 V) in organic-inorganic layered perovskite film (formula available in paper)prepared by a vapor phase deposition technique have been demonstrated through the octadecyltrichlorosilane treatment of substrate. Previously, the (formula available in paper)films prepared on the octadecyltrichlorosilane-covered substrates using a vapor evaporation showed not only intense exciton absorption and photoluminescence in the optical spectroscopy but also excellent crystallinity and large grain structure in X-ray and atomic force microscopic studies. Especially, the (formula available in paper)structure in the region below few nm closed to the surface of octadecyltrichlorosilane monolayer was drastically improved in comparison with that on the non-covered substrate. Though our initial (formula available in paper)films via a same sequence of preparation of (formula available in paper)and octadecyltrichlorosilane monolayer did not show the field-effect properties because of a lack of spectral, structural, and morphological features. The unformation of favorable (formula available in paper)structure in the very thin region, that is very important for the field-effect transistors to transport electrons or holes, closed to the surface of non-covered (formula available in paper)dielectric layer was also one of the problems for no observation of them. By adding further optimization and development, such as deposition rate of perovskite, substrate heating during deposition, and tuning device architecture, with hydrophobic treatment, the vacuum-deposited (formula available in paper)have achieved above-described high performance in organic-inorganic hybrid transistors.

  9. Synthesis of dense nano cobalt-hydroxyapatite by modified electroless deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Mohd Zaheruddin, K., E-mail: zaheruddin@unimap.edu.my; Rahmat, A., E-mail: azmirahmat@unimap.edu.my; Shamsul, J. B., E-mail: sbaharin@unimap.edu.my; Mohd Nazree, B. D., E-mail: nazree@unimap.edu.my; Aimi Noorliyana, H., E-mail: aimiliyana@unimap.edu.my [School of Materials Engineering, Universiti Malaysia Perlis, Kompleks Pusat Pengajian Jejawi Universiti Malaysia Perlis, Taman Muhibbah, Jejawi 02600 Arau Perlis (Malaysia)

    2016-07-19

    Cobalt-hydroxyapatite (Co-HA) composites was successfully prepared by simple electroless deposition process of Co on the surface of hydroxyapatite (HA) particles. Co deposition was carried out in an alkaline bath with sodium hypophosphite as a reducing agent. The electroless process was carried out without sensitization and activation steps. The deposition of Co onto HA was characterized by scanning electron microscopy (SEM) and energy-dispersive X-ray spectroscopy (EDX). The Co-HA composite powder was compacted and sintered at 1250°C. The Co particles were homogeneously dispersed in the HA matrix after sintering and the mechanical properties of composites was enhanced to 100 % with 3 % wt Co and gradually decreased at higher Co content.

  10. Investigation of InN layers grown by MOCVD using analytical and high resolution TEM: The structure, band gap, role of the buffer layers

    International Nuclear Information System (INIS)

    Ruterana, P.; Abouzaid, M.; Gloux, F.; Maciej, W.; Doualan, J.L.; Drago, M.; Schmidtling, T.; Pohl, U.W.; Richter, W.

    2006-01-01

    In this work we investigate the microstructure of InN layers grown by MOCVD on different buffer layers using TEM (InN, GaN). The large mismatch between the various lattices (InN, sapphire or GaN) leads to particular interface structures. Our local analysis allows to show that at atomic scale, the material has the InN lattice parameters and that no metallic In precipitates are present, meaning that the PL emission below 0.8 eV is a genuine property of the InN semiconductor. It is also shown that the N polar layers, which exhibit a 2D growth, have poorer PL emission than In polar layers. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. Effect of indium doping level on certain physical properties of CdS films deposited using an improved SILAR technique

    Energy Technology Data Exchange (ETDEWEB)

    Ravichandran, K., E-mail: kkr1365@yahoo.com [P.G. and Research Department of Physics, AVVM Sri Pushpam College (Autonomous), Poondi, Thanjavur-613 503, Tamil Nadu (India); Senthamilselvi, V. [P.G. and Research Department of Physics, AVVM Sri Pushpam College (Autonomous), Poondi, Thanjavur-613 503, Tamil Nadu (India); Department of Physics, Kunthavai Naachiyaar Government College for Women (Autonomous), Thanjavur-613 007, Tamil Nadu (India)

    2013-04-01

    The influence of indium (In) doping levels (0, 2, …, 8 at.%) on certain physical properties of cadmium sulphide (CdS) thin films deposited using an improved successive ionic layer adsorption and reaction (ISILAR) method has been studied. In this improved SILAR technique, a fresh anionic solution was introduced after a particular number of dipping cycles in order to achieve good stoichiometry. All the deposited films exhibited cubic phase with (1 1 1) plane as preferential orientation. The calculated crystallite size values are found to be decreased from 54.80 nm to 23.65 nm with the increase in In doping level. The optical study confirmed the good transparency (80%) of the film. A most compact and pinhole free smooth surface was observed for the CdS films with 8 at.% of In doping level. The perceived photoluminescence (PL) bands endorsed the lesser defect crystalline nature of the obtained CdS:In films. The chemical composition analysis (EDAX) showed the near stoichiometric nature of this ISILAR deposited CdS:In films.

  12. Effect of indium doping level on certain physical properties of CdS films deposited using an improved SILAR technique

    International Nuclear Information System (INIS)

    Ravichandran, K.; Senthamilselvi, V.

    2013-01-01

    The influence of indium (In) doping levels (0, 2, …, 8 at.%) on certain physical properties of cadmium sulphide (CdS) thin films deposited using an improved successive ionic layer adsorption and reaction (ISILAR) method has been studied. In this improved SILAR technique, a fresh anionic solution was introduced after a particular number of dipping cycles in order to achieve good stoichiometry. All the deposited films exhibited cubic phase with (1 1 1) plane as preferential orientation. The calculated crystallite size values are found to be decreased from 54.80 nm to 23.65 nm with the increase in In doping level. The optical study confirmed the good transparency (80%) of the film. A most compact and pinhole free smooth surface was observed for the CdS films with 8 at.% of In doping level. The perceived photoluminescence (PL) bands endorsed the lesser defect crystalline nature of the obtained CdS:In films. The chemical composition analysis (EDAX) showed the near stoichiometric nature of this ISILAR deposited CdS:In films.

  13. Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition

    Science.gov (United States)

    Cao, Yan-Qiang; Wu, Bing; Wu, Di; Li, Ai-Dong

    2017-05-01

    In situ-formed SiO2 was introduced into HfO2 gate dielectrics on Ge substrate as interlayer by plasma-enhanced atomic layer deposition (PEALD). The interfacial, electrical, and band alignment characteristics of the HfO2/SiO2 high-k gate dielectric stacks on Ge have been well investigated. It has been demonstrated that Si-O-Ge interlayer is formed on Ge surface during the in situ PEALD SiO2 deposition process. This interlayer shows fantastic thermal stability during annealing without obvious Hf-silicates formation. In addition, it can also suppress the GeO2 degradation. The electrical measurements show that capacitance equivalent thickness of 1.53 nm and a leakage current density of 2.1 × 10-3 A/cm2 at gate bias of Vfb + 1 V was obtained for the annealed sample. The conduction (valence) band offsets at the HfO2/SiO2/Ge interface with and without PDA are found to be 2.24 (2.69) and 2.48 (2.45) eV, respectively. These results indicate that in situ PEALD SiO2 may be a promising interfacial control layer for the realization of high-quality Ge-based transistor devices. Moreover, it can be demonstrated that PEALD is a much more powerful technology for ultrathin interfacial control layer deposition than MOCVD.

  14. Properties of antimony doped ZnO thin films deposited by spray pyrolysis technique

    Energy Technology Data Exchange (ETDEWEB)

    Sadananda Kumar, N., E-mail: sadanthara@gmail.com; Bangera, Kasturi V.; Shivakumar, G. K. [National Institute of Technology Karnataka, Surathkal, Thin Films Laboratory, Department of Physics (India)

    2015-07-15

    Antimony (Sb) doped zinc oxide (ZnO) thin films were deposited on the glass substrate at 450°C using spray pyrolysis technique. Effect of Sb doping on surface morphology structural, optical and electrical properties were studied. X-ray diffraction (XRD) analysis showed that both the undoped and doped ZnO thin films are polycrystalline in nature with (101) preferred orientation. SEM analysis showed a change in surface morphology of Sb doped ZnO thin films. Doping results in a marked increase in conductivity without affecting the transmittance of the films. ZnO films prepared with 3 at % Sb shows the lowest resistivity of 0.185 Ohm cm with a Hall mobility of 54.05 cm{sup 2} V{sup –1} s{sup –1}, and a hole concentration of 6.25 × 10{sup 17} cm{sup –3}.

  15. Diameter Tuning of β-Ga2O3 Nanowires Using Chemical Vapor Deposition Technique.

    Science.gov (United States)

    Kumar, Mukesh; Kumar, Vikram; Singh, R

    2017-12-01

    Diameter tuning of [Formula: see text]-Ga 2 O 3 nanowires using chemical vapor deposition technique have been investigated under various experimental conditions. Diameter of root grown [Formula: see text]-Ga 2 O 3 nanowires having monoclinic crystal structure is tuned by varying separation distance between metal source and substrate. Effect of gas flow rate and mixer ratio on the morphology and diameter of nanowires has been studied. Nanowire diameter depends on growth temperature, and it is independent of catalyst nanoparticle size at higher growth temperature (850-900 °C) as compared to lower growth temperature (800 °C). These nanowires show changes in structural strain value with change in diameter. Band-gap of nanowires increases with decrease in the diameter.

  16. Mechanical characteristics of a tool steel layer deposited by using direct energy deposition

    Science.gov (United States)

    Baek, Gyeong Yun; Shin, Gwang Yong; Lee, Eun Mi; Shim, Do Sik; Lee, Ki Yong; Yoon, Hi-Seak; Kim, Myoung Ho

    2017-07-01

    This study focuses on the mechanical characteristics of layered tool steel deposited using direct energy deposition (DED) technology. In the DED technique, a laser beam bonds injected metal powder and a thin layer of substrate via melting. In this study, AISI D2 substrate was hardfaced with AISI H13 and M2 metal powders for mechanical testing. The mechanical and metallurgical characteristics of each specimen were investigated via microstructure observation and hardness, wear, and impact tests. The obtained characteristics were compared with those of heat-treated tool steel. The microstructures of the H13- and M2-deposited specimens show fine cellular-dendrite solidification structures due to melting and subsequent rapid cooling. Moreover, the cellular grains of the deposited M2 layer were smaller than those of the H13 structure. The hardness and wear resistance were most improved in the M2-deposited specimen, yet the H13-deposited specimen had higher fracture toughness than the M2-deposited specimen and heat-treated D2.

  17. High-resistivity unintentionally carbon-doped GaN layers with nitrogen as nucleation layer carrier gas grown by metal-organic chemical vapor deposition

    Directory of Open Access Journals (Sweden)

    Fu Chen

    2017-12-01

    Full Text Available In this letter, high-resistivity unintentionally carbon-doped GaN layers with sheet resistivity greater than 106 Ω/□ have been grown on c-plane sapphire substrates by metal-organic chemical vapor deposition (MOCVD. We have observed that the growth of GaN nucleation layers (NLs under N2 ambient leads to a large full width at half maximum (FWHM of (102 X-ray diffraction (XRD line in the rocking curve about 1576 arc sec. Unintentional carbon incorporation can be observed in the secondary ion mass spectroscopy (SIMS measurements. The results demonstrate the self-compensation mechanism is attributed to the increased density of edge-type threading dislocations and carbon impurities. The AlGaN/GaN HEMT grown on the high-resistivity GaN template has also been fabricated, exhibiting a maximum drain current of 478 mA/mm, a peak transconductance of 60.0 mS/mm, an ON/OFF ratio of 0.96×108 and a breakdown voltage of 621 V.

  18. High-resistivity unintentionally carbon-doped GaN layers with nitrogen as nucleation layer carrier gas grown by metal-organic chemical vapor deposition

    Science.gov (United States)

    Chen, Fu; Sun, Shichuang; Deng, Xuguang; Fu, Kai; Yu, Guohao; Song, Liang; Hao, Ronghui; Fan, Yaming; Cai, Yong; Zhang, Baoshun

    2017-12-01

    In this letter, high-resistivity unintentionally carbon-doped GaN layers with sheet resistivity greater than 106 Ω/□ have been grown on c-plane sapphire substrates by metal-organic chemical vapor deposition (MOCVD). We have observed that the growth of GaN nucleation layers (NLs) under N2 ambient leads to a large full width at half maximum (FWHM) of (102) X-ray diffraction (XRD) line in the rocking curve about 1576 arc sec. Unintentional carbon incorporation can be observed in the secondary ion mass spectroscopy (SIMS) measurements. The results demonstrate the self-compensation mechanism is attributed to the increased density of edge-type threading dislocations and carbon impurities. The AlGaN/GaN HEMT grown on the high-resistivity GaN template has also been fabricated, exhibiting a maximum drain current of 478 mA/mm, a peak transconductance of 60.0 mS/mm, an ON/OFF ratio of 0.96×108 and a breakdown voltage of 621 V.

  19. GaN-based blue laser diodes

    Energy Technology Data Exchange (ETDEWEB)

    Miyajima, Takao; Yanashima, Katsunori; Funato, Kenji; Asatsuma, Tsunenori; Kobayashi, Toshimasa [CT Development Centre, CNC, Sony Corporation, Atsugi, Kanagawa (Japan); Tojyo, Tsuyoshi; Asano, Takeharu; Kijima, Satoru; Hino, Tomonori; Takeya, Motonobu; Uchida, Shiro; Ikeda, Masao [Sony Shiroishi Semiconductor Inc., Shiroishi, Miyagi (Japan); Tomiya, Shigetaka [Environment and Analyhsis Technology Department, Sony Corporation, Hodogaya, Yokohama (Japan)

    2001-08-13

    We report our recent progress on GaN-based high-power laser diodes (LDs), which will be applied as a light source in high-density optical storage systems. We have developed raised-pressure metal-organic chemical vapour deposition (RP-MOCVD), which can reduce the threading-dislocation density in the GaN layer to several times 10{sup 8} cm{sup -2}, and demonstrated continuous-wave (cw) operation of GaN-based LD grown by RP-MOCVD. Furthermore, we found that the epitaxial lateral overgrowth (ELO) technique is useful for further reducing threading-dislocation density to 10{sup 6} cm{sup -2} and reducing the roughness of the cleaved facet. By using this growth technique and optimizing device parameters, the lifetime of LDs was improved to more than 1000 hours under 30 mW cw operation at 60 deg. C. Our results proved that reducing both threading-dislocation density and consumption power is a valid approach to realizing a practical GaN-based LD. On the other hand, the practical GaN-based LD was obtained when threading-dislocation density in ELO-GaN was only reduced to 10{sup 6} cm{sup -2}, which is a relatively small reduction as compared with threading-dislocation density in GaAs- and InP-based LDs. We believe that the multiplication of non-radiative centres is very slow in GaN-based LDs, possibly due to the innate character of the GaN-based semiconductor itself. (author)

  20. Technique for large-scale structural mapping at uranium deposits i in non-metamorphosed sedimentary cover rocks

    International Nuclear Information System (INIS)

    Kochkin, B.T.

    1985-01-01

    The technique for large-scale construction (1:1000 - 1:10000), reflecting small amplitude fracture plicate structures, is given for uranium deposits in non-metamorphozed sedimentary cover rocks. Structure drill log sections, as well as a set of maps with the results of area analysis of hidden disturbances, structural analysis of iso-pachous lines and facies of platform mantle horizons serve as sour ce materials for structural mapplotting. The steps of structural map construction are considered: 1) structural carcass construction; 2) reconstruction of structure contour; 3) time determination of structure initiation; 4) plotting of an additional geologic load

  1. A K Tyagi

    Indian Academy of Sciences (India)

    Home; Journals; Bulletin of Materials Science. A K Tyagi. Articles written in Bulletin of Materials Science. Volume 25 Issue 2 April 2002 pp 163-168 Thin Films. Carbonaceous alumina films deposited by MOCVD from aluminium acetylacetonate: a spectroscopic ellipsometry study · M P Singh G Raghavan A K Tyagi S A ...

  2. S A Shivashankar

    Indian Academy of Sciences (India)

    Home; Journals; Bulletin of Materials Science. S A Shivashankar. Articles written in Bulletin of Materials Science. Volume 25 Issue 2 April 2002 pp 163-168 Thin Films. Carbonaceous alumina films deposited by MOCVD from aluminium acetylacetonate: a spectroscopic ellipsometry study · M P Singh G Raghavan A K Tyagi ...

  3. Sub-aerial tailings deposition

    International Nuclear Information System (INIS)

    Knight, R.B.; Haile, J.P.

    1984-01-01

    The sub-aerial technique involves the systematic deposition of tailings in thin layers and allowing each layer to settle, drain and partially air dry prior to covering with a further layer. Underdrainage produces densities in excess of those achieved by sub-aqueous deposition and any air-drying serves to preconsolidate each layer with a resulting further increase in density. The low permeability of the tailings surface resulting from this deposition technique results in high runoff coefficients and, by decanting the runoff component of direct precipitation, a net evaporation condition can be achieved even in high rainfall areas. An underdrainage system prevents the build-up of excess pore-pressures within the tailings mass and at decommissioning the tailings are fully consolidated and drained thereby eliminating the possibility of any long term seepage. This paper presents a general description of these design concepts, and details of two projects where the concepts have been applied

  4. Thin film silicon by a microwave plasma deposition technique: Growth and devices, and, interface effects in amorphous silicon/crystalline silicon solar cells

    Science.gov (United States)

    Jagannathan, Basanth

    Thin film silicon (Si) was deposited by a microwave plasma CVD technique, employing double dilution of silane, for the growth of low hydrogen content Si films with a controllable microstructure on amorphous substrates at low temperatures (prepared by this technique. Such films showed a dark conductivity ˜10sp{-6} S/cm, with a conduction activation energy of 0.49 eV. Film growth and properties have been compared for deposition in Ar and He carrier systems and growth models have been proposed. Low temperature junction formation by undoped thin film silicon was examined through a thin film silicon/p-type crystalline silicon heterojunctions. The thin film silicon layers were deposited by rf glow discharge, dc magnetron sputtering and microwave plasma CVD. The hetero-interface was identified by current transport analysis and high frequency capacitance methods as the key parameter controlling the photovoltaic (PV) response. The effect of the interface on the device properties (PV, junction, and carrier transport) was examined with respect to modifications created by chemical treatment, type of plasma species, their energy and film microstructure interacting with the substrate. Thermally stimulated capacitance was used to determine the interfacial trap parameters. Plasma deposition of thin film silicon on chemically clean c-Si created electron trapping sites while hole traps were seen when a thin oxide was present at the interface. Under optimized conditions, a 10.6% efficient cell (11.5% with SiOsb2 A/R) with an open circuit voltage of 0.55 volts and a short circuit current density of 30 mA/cmsp2 was fabricated.

  5. Plasma processing techniques for deposition of carbonic thin protective coatings on structural nuclear materials

    International Nuclear Information System (INIS)

    Andrei, V.; Oncioiu, G.; Coaca, E.; Rusu, O.; Lungu, C.

    2009-01-01

    Full text of publication follows: The production of nano-structured surface films with controlled properties is crucial for the development of materials necessary for the Advanced Systems for Nuclear Energy. Since the surface of materials is the zone through which materials interact with the environment, the surface science and surface engineering techniques plays an essential role in the understanding and control of the processes involved. Complex surface structures were developed on stainless steels used as structural nuclear materials: austenitic stainless steels based on Fe, austenitic steels with high content of Cr, ferrites resistant to corrosion, by various Plasma Processing methods which include: - Plasma Electrolytic (PE) treatments: the steel substrates were modified by nitriding and nitro-carburizing plasma diffusion treatments; - carbonic films deposition in Thermionic Vacuum Arc Plasma. The results of the characterization of surface structures obtained in various experimental conditions for improvement of the properties (corrosion resistance, hardness, wear properties) are reported: the processes and structures were characterized by correlation of the results of the complementary techniques: XPS, 'depth profiling', SEM, XRD, EIS. An overall description of the processes involved in the surface properties improvement, and some consideration about the new materials development for energy technologies are presented

  6. The structural properties of CdS deposited by chemical bath deposition and pulsed direct current magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Lisco, F., E-mail: F.Lisco@lboro.ac.uk [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom); Kaminski, P.M.; Abbas, A.; Bass, K.; Bowers, J.W.; Claudio, G. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom); Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona 4, 70126 Bari (Italy); Walls, J.M. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom)

    2015-05-01

    Cadmium sulphide (CdS) thin films were deposited by two different processes, chemical bath deposition (CBD), and pulsed DC magnetron sputtering (PDCMS) on fluorine doped-tin oxide coated glass to assess the potential advantages of the pulsed DC magnetron sputtering process. The structural, optical and morphological properties of films obtained by CBD and PDCMS were investigated using X-ray photoelectron spectroscopy, X-ray diffraction, scanning and transmission electron microscopy, spectroscopic ellipsometry and UV-Vis spectrophotometry. The as-grown films were studied and comparisons were drawn between their morphology, uniformity, crystallinity, and the deposition rate of the process. The highest crystallinity is observed for sputtered CdS thin films. The absorption in the visible wavelength increased for PDCMS CdS thin films, due to the higher density of the films. The band gap measured for the as-grown CBD-CdS is 2.38 eV compared to 2.34 eV for PDCMS-CdS, confirming the higher density of the sputtered thin film. The higher deposition rate for PDCMS is a significant advantage of this technique which has potential use for high rate and low cost manufacturing. - Highlights: • Pulsed DC magnetron sputtering (PDCMS) of CdS films • Chemical bath deposition of CdS films • Comparison between CdS thin films deposited by chemical bath and PDCMS techniques • High deposition rate deposition for PDCMS deposition • Uniform, pinhole free CdS thin films.

  7. The structural properties of CdS deposited by chemical bath deposition and pulsed direct current magnetron sputtering

    International Nuclear Information System (INIS)

    Lisco, F.; Kaminski, P.M.; Abbas, A.; Bass, K.; Bowers, J.W.; Claudio, G.; Losurdo, M.; Walls, J.M.

    2015-01-01

    Cadmium sulphide (CdS) thin films were deposited by two different processes, chemical bath deposition (CBD), and pulsed DC magnetron sputtering (PDCMS) on fluorine doped-tin oxide coated glass to assess the potential advantages of the pulsed DC magnetron sputtering process. The structural, optical and morphological properties of films obtained by CBD and PDCMS were investigated using X-ray photoelectron spectroscopy, X-ray diffraction, scanning and transmission electron microscopy, spectroscopic ellipsometry and UV-Vis spectrophotometry. The as-grown films were studied and comparisons were drawn between their morphology, uniformity, crystallinity, and the deposition rate of the process. The highest crystallinity is observed for sputtered CdS thin films. The absorption in the visible wavelength increased for PDCMS CdS thin films, due to the higher density of the films. The band gap measured for the as-grown CBD-CdS is 2.38 eV compared to 2.34 eV for PDCMS-CdS, confirming the higher density of the sputtered thin film. The higher deposition rate for PDCMS is a significant advantage of this technique which has potential use for high rate and low cost manufacturing. - Highlights: • Pulsed DC magnetron sputtering (PDCMS) of CdS films • Chemical bath deposition of CdS films • Comparison between CdS thin films deposited by chemical bath and PDCMS techniques • High deposition rate deposition for PDCMS deposition • Uniform, pinhole free CdS thin films

  8. Steam generator deposit control program assessment at Comanche Peak

    International Nuclear Information System (INIS)

    Stevens, J.; Fellers, B.; Orbon, S.

    2002-01-01

    Comanche Peak has employed a variety of methods to assess the effectiveness of the deposit control program. These include typical methods such as an extensive visual inspection program and detailed corrosion product analysis and trending. In addition, a recently pioneered technique, low frequency eddy current profile analysis (LFEC) has been utilized. LFEC provides a visual mapping of the magnetite deposit profile of the steam generator. Analysis of the LFEC results not only provides general area deposition rates, but can also provide local deposition patterns, which is indicative of steam generator performance. Other techniques utilized include trending of steam pressure, steam generator hideout-return, and flow assisted corrosion (FAC) results. The sum of this information provides a comprehensive assessment of the deposit control program effectiveness and the condition of the steam generator. It also provides important diagnostic and predictive information relative to steam generator life management and mitigative strategies, such as special cleaning procedures. This paper discusses the techniques employed by Comanche Peak Chemistry to monitor the effectiveness of the deposit control program and describes how this information is used in strategic planning. (authors)

  9. Physical Vapor Deposition of Thin Films

    Science.gov (United States)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  10. Zn Thin Film Deposition for Fe Layer Shielding Use the Sputtering Technique on Cylindrical Form

    International Nuclear Information System (INIS)

    Yunanto; Tjipto Sujitno, BA; Suprapto; Simbolon, Sahat

    2002-01-01

    Deposition of thin film on Fe substrate use sputtering technique on cylindrical form was carried out. The purpose of this research is to protect Fe due to the corrosion with Zn thin film. Sputtering method was proposed to protect a component of complex form. Substrate has functioned as anode, meanwhile target in cylindrical form as a cathode. Argon ion from anode bombard Zn with enough energy for releasing Zn. Zn atom would scatter and some of then was focused on the anode. For testing Zn atom on Fe by using XRF and corrosion rate with potentiostat. It was found that corrosion rate was decreased from 0.051 mpy to 0.031 mpy on 0.63 % of Fe substrate. (author)

  11. Fundamental study on repairing technique for cracked or damaged parts of structures by cold gas dynamic spray technique

    International Nuclear Information System (INIS)

    Ogawa, Kazuhiro; Amao, Satoshi; Ichikawa, Yuji; Shoji, Tetsuo

    2008-01-01

    This study proposes an innovative technique for repairing of cracked or damaged parts of structures, such as nuclear or thermal power plants, by means of cold gas dynamic spray (CS) technique. In the case of generation of cracks etc. in the structure, the cracks can be repaired by welding. However, the welding spends considerable time on repair, and also needs special skills. The CS technique is known as a new technique not only for coatings but also for thick depositions. It has many advantages, i.e. dense deposition, high deposition rate and low oxidation. Therefore, it has a possibility to apply the CS technique instead of welding to repair the cracks etc. In this study, the cold gas dynamic spray technique as a new repairing technique for some structures is introduced. (author)

  12. Electrical properties of MIS devices on CdZnTe/HgCdTe

    Science.gov (United States)

    Lee, Tae-Seok; Jeoung, Y. T.; Kim, Hyun Kyu; Kim, Jae Mook; Song, Jinhan; Ann, S. Y.; Lee, Ji Y.; Kim, Young Hun; Kim, Sun-Ung; Park, Mann-Jang; Lee, S. D.; Suh, Sang-Hee

    1998-10-01

    In this paper, we report the capacitance-voltage (C-V) properties of metal-insulator-semiconductor (MIS) devices on CdTe/HgCdTe by the metalorganic chemical vapor deposition (MOCVD) and CdZnTe/HgCdTe by thermal evaporation. In MOCVD, CdTe layers are directly grown on HgCdTe using the metal organic sources of DMCd and DiPTe. HgCdTe layers are converted to n-type and the carrier concentration, ND is low 1015 cm-3 after Hg-vacancy annealing at 260 degrees Celsius. In thermal evaporation, CdZnTe passivation layers were deposited on HgCdTe surfaces after the surfaces were etched with 0.5 - 2.0% bromine in methanol solution. To investigate the electrical properties of the MIS devices, the C-V measurement is conducted at 80 K and 1 MHz. C-V curve of MIS devices on CdTe/HgCdTe by MOCVD has shown nearly flat band condition and large hysteresis, which is inferred to result from many defects in CdTe layer induced during Hg-vacancy annealing process. A negative flat band voltage (VFB approximately equals -2 V) and a small hysteresis have been observed for MIS devices on CdZnTe/HgCdTe by thermal evaporation. It is inferred that the negative flat band voltage results from residual Te4+ on the surface after etching with bromine in methanol solution.

  13. Heavy Metals and Trace Elements Atmospheric Deposition Studies in Tula Region Using Moss Biomonitors Technique

    CERN Document Server

    Ermakova, E V; Steinnes, E

    2002-01-01

    For the first time the moss biomonitors technique was used in air pollution studies in Tula Region (Central Russia), applying NAA, AAS. Moss samples were collected at 83 sites in accordance with the sampling strategy adopted in European projects on biomonitoring atmospheric deposition. A wide set of trace elements in mosses was determined. The method of epithermal neutron activation at IBR-2 reactor of FLNP JINR has made it possible to identify 33 elements (Na, Mg, Al, Cl, K, Ca, Sc, V, Cr, Mn, Fe, Co, Ni, Zn, As, Br, Rb, Sr, Mo, Sb, I, Cs, Ba, La, Ce, Sm, Tb, Yb, Hf, Ta, W, Th, U) in the large-scale concentration range - from 10000 ppm for K to 0,001 ppm for Tb and Ta. Cu, Cd and Pb were determined by the flame AAS in the Norwegian Institute of Science and Technology. Using the graphical technique and principal component analysis allowed to separate plant, crustal and general pollution components in the moss. The obtained data will be used for constructing coloured maps of the distribution of elements over t...

  14. Recent advances in controlled synthesis of two-dimensional transition metal dichalcogenides via vapour deposition techniques

    KAUST Repository

    Shi, Yumeng; Li, Henan; Li, Lain-Jong

    2014-01-01

    In recent years there have been many breakthroughs in two-dimensional (2D) nanomaterials, among which the transition metal dichalcogenides (TMDs) attract significant attention owing to their unusual properties associated with their strictly defined dimensionalities. TMD materials with a generalized formula of MX2, where M is a transition metal and X is a chalcogen, represent a diverse and largely untapped source of 2D systems. Semiconducting TMD monolayers such as MoS2, MoSe2, WSe2 and WS2 have been demonstrated to be feasible for future electronics and optoelectronics. The exotic electronic properties and high specific surface areas of 2D TMDs offer unlimited potential in various fields including sensing, catalysis, and energy storage applications. Very recently, the chemical vapour deposition technique (CVD) has shown great promise to generate high-quality TMD layers with a scalable size, controllable thickness and excellent electronic properties. Wafer-scale deposition of mono to few layer TMD films has been obtained. Despite the initial success in the CVD synthesis of TMDs, substantial research studies on extending the methodology open up a new way for substitution doping, formation of monolayer alloys and producing TMD stacking structures or superlattices. In this tutorial review, we will introduce the latest development of the synthesis of monolayer TMDs by CVD approaches.

  15. Recent advances in controlled synthesis of two-dimensional transition metal dichalcogenides via vapour deposition techniques

    KAUST Repository

    Shi, Yumeng

    2014-10-20

    In recent years there have been many breakthroughs in two-dimensional (2D) nanomaterials, among which the transition metal dichalcogenides (TMDs) attract significant attention owing to their unusual properties associated with their strictly defined dimensionalities. TMD materials with a generalized formula of MX2, where M is a transition metal and X is a chalcogen, represent a diverse and largely untapped source of 2D systems. Semiconducting TMD monolayers such as MoS2, MoSe2, WSe2 and WS2 have been demonstrated to be feasible for future electronics and optoelectronics. The exotic electronic properties and high specific surface areas of 2D TMDs offer unlimited potential in various fields including sensing, catalysis, and energy storage applications. Very recently, the chemical vapour deposition technique (CVD) has shown great promise to generate high-quality TMD layers with a scalable size, controllable thickness and excellent electronic properties. Wafer-scale deposition of mono to few layer TMD films has been obtained. Despite the initial success in the CVD synthesis of TMDs, substantial research studies on extending the methodology open up a new way for substitution doping, formation of monolayer alloys and producing TMD stacking structures or superlattices. In this tutorial review, we will introduce the latest development of the synthesis of monolayer TMDs by CVD approaches.

  16. Electrophoretic deposition of biomaterials

    Science.gov (United States)

    Boccaccini, A. R.; Keim, S.; Ma, R.; Li, Y.; Zhitomirsky, I.

    2010-01-01

    Electrophoretic deposition (EPD) is attracting increasing attention as an effective technique for the processing of biomaterials, specifically bioactive coatings and biomedical nanostructures. The well-known advantages of EPD for the production of a wide range of microstructures and nanostructures as well as unique and complex material combinations are being exploited, starting from well-dispersed suspensions of biomaterials in particulate form (microsized and nanoscale particles, nanotubes, nanoplatelets). EPD of biological entities such as enzymes, bacteria and cells is also being investigated. The review presents a comprehensive summary and discussion of relevant recent work on EPD describing the specific application of the technique in the processing of several biomaterials, focusing on (i) conventional bioactive (inorganic) coatings, e.g. hydroxyapatite or bioactive glass coatings on orthopaedic implants, and (ii) biomedical nanostructures, including biopolymer–ceramic nanocomposites, carbon nanotube coatings, tissue engineering scaffolds, deposition of proteins and other biological entities for sensors and advanced functional coatings. It is the intention to inform the reader on how EPD has become an important tool in advanced biomaterials processing, as a convenient alternative to conventional methods, and to present the potential of the technique to manipulate and control the deposition of a range of nanomaterials of interest in the biomedical and biotechnology fields. PMID:20504802

  17. Advanced high temperature superconductor film-based process using RABiTS

    International Nuclear Information System (INIS)

    Goyal, A.; Hawsey, R.A.; Hack, J.; Moon, D.

    2000-01-01

    The purpose of this Cooperative Research and Development Agreement (CRADA) between Lockheed Martin Energy Research Corporation (Contractor), Managing contractor for Oak Ridge National Laboratory (ORNL) and Midwest Superconductivity, Inc. (MSI) and Westinghouse Science and Electric Company (WEC) was to develop the basis for a commercial process for the manufacturing of superconducting tape based on the RABiTS technology developed at ORNL. The chosen method for deposition of YBCO films on RABiTS was Metal Organic chemical Vapor Deposition (MOCVD)

  18. Depositing Materials on the Micro- and Nanoscale

    DEFF Research Database (Denmark)

    Mar, Mikkel Dysseholm; Herstrøm, Berit; Shkondin, Evgeniy

    2014-01-01

    on sequential introduction of precursor pulses with intermediate purging steps. The process proceeds by specific surface ligand-exchange reactions and this leads to layer-by-layer growth control. No other thin film deposition technique can approach the conformity achieved by ALD on high aspect ratio structures....... In these systems thin films of different kind are important parts of giving the system the properties needed. This can be properties like light absorbing layers, antireflection coatings or conductive layers in solar cells. It can be low stress layers in membranes, chemicals resistant layers in chemical sensors......, layers with specific optical properties in optical sensors, piezoelectric thin films or insulating layers in many other applications. These different materials and properties impose a demand for different kind of deposition techniques. At DTU Danchip we have a large variety of these deposition techniques...

  19. Pulsed laser deposition of Tl-Ca-Ba-Cu-O films

    International Nuclear Information System (INIS)

    Ianno, N.J.; Liou, S.H.; Woollam, J.A.; Thompson, D.; Johs, B.

    1990-01-01

    Pulsed laser deposition is a technique commonly used to deposit high quality thin films of high temperature superconductors. This paper discusses the results obtained when this technique is applied to the deposition of Tl-Ca-Ba-Cu-O thin films using a frequency doubled Nd:YAG laser operating at 532 nm and an excimer laser operating at 248 nm. Films with onset temperatures of 125 K and zero resistance temperatures of 110 K deposited on (100) oriented MgO from a composite Tl2Ca2Ba2Cu3Ox target were obtained at both wavelengths upon appropriate post deposition annealing. Films deposited at 532 nm exhibit a rough surface, while those deposited at 248 nm are smooth and homogeneous. Upon annealing, films deposited at both wavelengths are single phase Tl2Ca2Ba2Cu3Ox. 12 refs

  20. Properties of pulsed laser deposited NiO/MWCNT thin films

    CSIR Research Space (South Africa)

    Yalisi, B

    2011-05-01

    Full Text Available Pulsed laser deposition (PLD) is a thin-film deposition technique, which uses short and intensive laser pulses to evaporate target material. The technique has been used in this work to produce selective solar absorber (SSA) thin film composites...

  1. Effects of deposition time in chemically deposited ZnS films in acidic solution

    Energy Technology Data Exchange (ETDEWEB)

    Haddad, H.; Chelouche, A., E-mail: azeddinechelouche@gmail.com; Talantikite, D.; Merzouk, H.; Boudjouan, F.; Djouadi, D.

    2015-08-31

    We report an experimental study on the synthesis and characterization of zinc sulfide (ZnS) single layer thin films deposited on glass substrates by chemical bath deposition technique in acidic solution. The effect of deposition time on the microstructure, surface morphology, optical absorption, transmittance, and photoluminescence (PL) was investigated by X-ray diffraction (XRD), scanning electronic microscopy (SEM), UV-Vis–NIR spectrophotometry and photoluminescence (PL) spectroscopy. The results showed that the samples exhibit wurtzite structure and their crystal quality is improved by increasing deposition time. The latter, was found to affect the morphology of the thin films as showed by SEM micrographs. The optical measurements revealed a high transparency in the visible range and a dependence of absorption edge and band gap on deposition time. The room temperature PL spectra indicated that all ZnS grown thin films emit a UV and blue light, while the band intensities are found to be dependent on deposition times. - Highlights: • Single layer ZnS thin films were deposited by CBD in acidic solution at 95 °C. • The effect of deposition time was investigated. • Coexistence of ZnS and ZnO hexagonal structures for time deposition below 2 h • Thicker ZnS films were achieved after monolayer deposition for 5 h. • The highest UV-blue emission observed in thin film deposited at 5 h.

  2. Environmental safety issues for semiconductors (research on scarce materials recycling)

    International Nuclear Information System (INIS)

    Izumi, Shigekazu

    2004-01-01

    In the 21st century, in the fabrication of various industrial parts, particularly, current and future electronics devices in the semiconductor industry, environmental safety issues should be carefully considered. We coined a new term, environmental safety issues for semiconductors, considering our semiconductor research and technology which include environmental and ecological factors. The main object of this analysis is to address the present situation of environmental safety problems in the semiconductor industry; some of which are: (1) the generation and use of hazardous toxic gases in the crystal growth procedure such as molecular beam epitaxy (MBE) and metalorganic chemical vapor deposition (MOCVD), (2) the generation of industrial toxic wastes in the semiconductor process and (3) scarce materials recycling from wastes in the MBE and MOCVD growth procedure

  3. Elimination of macrostep-induced current flow nonuniformity in vertical GaN PN diode using carbon-free drift layer grown by hydride vapor phase epitaxy

    Science.gov (United States)

    Fujikura, Hajime; Hayashi, Kentaro; Horikiri, Fumimasa; Narita, Yoshinobu; Konno, Taichiro; Yoshida, Takehiro; Ohta, Hiroshi; Mishima, Tomoyoshi

    2018-04-01

    In vertical GaN PN diodes (PNDs) grown entirely by metal–organic chemical vapor deposition (MOCVD), large current nonuniformity was observed. This nonuniformity was induced by macrosteps on the GaN surface through modulation of carbon incorporation into the n-GaN crystal. It was eliminated in a hybrid PND consisting of a carbon-free n-GaN layer grown by hydride vapor phase epitaxy (HVPE) and an MOCVD-regrown p-GaN layer. The hybrid PND showed a fairly low on-resistance (2 mΩ cm2) and high breakdown voltage (2 kV) even without a field plate electrode. These results clearly indicated the strong advantages of the HVPE-grown drift layer for improving power device performance, uniformity, and yield.

  4. Effect of AlN growth temperature on trap densities of in-situ metal-organic chemical vapor deposition grown AlN/AlGaN/GaN metal-insulator-semiconductor heterostructure field-effect transistors

    Directory of Open Access Journals (Sweden)

    Joseph J. Freedsman

    2012-06-01

    Full Text Available The trapping properties of in-situ metal-organic chemical vapor deposition (MOCVD grown AlN/AlGaN/GaN metal-insulator-semiconductor heterostructure field-effect transistors (MIS-HFETs with AlN layers grown at 600 and 700 °C has been quantitatively analyzed by frequency dependent parallel conductance technique. Both the devices exhibited two kinds of traps densities, due to AlN (DT-AlN and AlGaN layers (DT-AlGaN respectively. The MIS-HFET grown at 600 °C showed a minimum DT-AlN and DT-AlGaN of 1.1 x 1011 and 1.2 x 1010 cm-2eV-1 at energy levels (ET -0.47 and -0.36 eV. Further, the gate-lag measurements on these devices revealed less degradation ∼ ≤ 5% in drain current density (Ids-max. Meanwhile, MIS-HFET grown at 700 °C had more degradation in Ids-max ∼26 %, due to high DT-AlN and DT-AlGaN of 3.4 x 1012 and 5 x 1011 cm-2eV-1 positioned around similar ET. The results shows MIS-HFET grown at 600 °C had better device characteristics with trap densities one order of magnitude lower than MIS-HFET grown at 700 °C.

  5. Modification of thin film properties by ion bombardment during deposition

    International Nuclear Information System (INIS)

    Harper, J.M.E.; Cuomo, J.J.; Gambino, R.J.; Kaufman, H.R.

    1984-01-01

    Many thin film deposition techniques involve some form of energetic particle bombardment of the growing film. The degree of bombardment greatly influences the film composition, structure and other properties. While in some techniques the degree of bombardment is secondary to the original process design, in recent years more deposition systems are being designed with the capability for controlled ion bombardment of thin films during deposition. The highest degree of control is obtained with ion beam sources which operate independently of the vapor source providing the thin film material. Other plasma techniques offer varying degrees of control of energetic particle bombardment. Deposition methods involving ion bombardment are described, and the basic processes with which film properties are modified by ion bombardment are summarized. (Auth.)

  6. Laboratory model study of newly deposited dredger fills using improved multiple-vacuum preloading technique

    Directory of Open Access Journals (Sweden)

    Jingjin Liu

    2017-10-01

    Full Text Available Problems continue to be encountered concerning the traditional vacuum preloading method in field during the treatment of newly deposited dredger fills. In this paper, an improved multiple-vacuum preloading method was developed to consolidate newly dredger fills that are hydraulically placed in seawater for land reclamation in Lingang Industrial Zone of Tianjin City, China. With this multiple-vacuum preloading method, the newly deposited dredger fills could be treated effectively by adopting a novel moisture separator and a rapid improvement technique without sand cushion. A series of model tests was conducted in the laboratory for comparing the results from the multiple-vacuum preloading method and the traditional one. Ten piezometers and settlement plates were installed to measure the variations in excess pore water pressures and moisture content, and vane shear strength was measured at different positions. The testing results indicate that water discharge–time curves obtained by the traditional vacuum preloading method can be divided into three phases: rapid growth phase, slow growth phase, and steady phase. According to the process of fluid flow concentrated along tiny ripples and building of larger channels inside soils during the whole vacuum loading process, the fluctuations of pore water pressure during each loading step are divided into three phases: steady phase, rapid dissipation phase, and slow dissipation phase. An optimal loading pattern which could have a best treatment effect was proposed for calculating the water discharge and pore water pressure of soil using the improved multiple-vacuum preloading method. For the newly deposited dredger fills at Lingang Industrial Zone of Tianjin City, the best loading step was 20 kPa and the loading of 40–50 kPa produced the highest drainage consolidation. The measured moisture content and vane shear strength were discussed in terms of the effect of reinforcement, both of which indicate

  7. Growth and characterization of iridium dioxide nanorods

    International Nuclear Information System (INIS)

    Chen, R.S.; Huang, Y.S.; Liang, Y.M.; Tsai, D.S.; Tiong, K.K.

    2004-01-01

    Conductive iridium dioxide (IrO 2 ) nanorods have been successfully grown on the Si(1 0 0) substrates via metalorganic chemical vapor deposition (MOCVD). A wedge-shaped morphology and naturally formed sharp tips are observed for IrO 2 nanorods using field-emission scanning electron microscopy (FESEM). High-resolution transmission electron microscopy (TEM) image and electron diffraction pattern show the growth of IrO 2 nanorods preferentially along c-axis. Structure and composition of IrO 2 nanorods have also been characterized using the techniques of Raman spectroscopy and X-ray photoelectron spectroscopy (XPS), respectively. It is noted that the IrO 2 nanorods are self-mediated instead of the conventional vapor-liquid-solid (VLS) approach or catalyst-mediated method

  8. Pre-fabricated nanorods in RE–Ba–Cu–O superconductors

    International Nuclear Information System (INIS)

    Khatri, N D; Majkic, G; Shi, T; Selvamanickam, V; Chen, Y

    2013-01-01

    Pre-fabrication of metallic nanorods on biaxially textured templates has been explored in this study to introduce flux pinning centers in RE–Ba–Cu–O (REBCO, RE =rare earth) based superconductors. Pt nanorods were deposited by an electron beam assisted deposition method on LaMnO 3 -capped biaxially textured IBAD-(ion beam assisted deposition) substrates. Well-controlled nanorods with varying diameter (50–120 nm), length (up to 1 μm), orientation and unit cell size were grown over an area of 120–150 μm 2 . The nanorod-decorated samples were then deposited with Gd–Y–Ba–Cu–O ((Gd, Y)BCO) by metal organic chemical vapor deposition (MOCVD). The Pt nanorods remain in their positions during MOCVD and become embedded in the (Gd, Y)BCO matrix, although they suffer creep-induced shape deformation due to exposure to elevated temperature. Higher unit cell size, longer nanorods, and nanorods oriented at an angle to the substrate normal adversely affect the epitaxy of the (Gd, Y)BCO film due to formation of a-axis grains. The observed current-carrying capacity of the Pt nanorod sample is lower than its corresponding reference sample without any nanorods and processed under identical conditions, but it decreases at a slower rate with increasing magnetic field. Potential routes to improve the performance while retaining the desirable characteristics of controlled nanorod direction and density are discussed. (paper)

  9. Deposition of tantalum carbide coatings on graphite by laser interactions

    Science.gov (United States)

    Veligdan, James; Branch, D.; Vanier, P. E.; Barietta, R. E.

    1994-01-01

    Graphite surfaces can be hardened and protected from erosion by hydrogen at high temperatures by refractory metal carbide coatings, which are usually prepared by chemical vapor deposition (CVD) or chemical vapor reaction (CVR) methods. These techniques rely on heating the substrate to a temperature where a volatile metal halide decomposes and reacts with either a hydrocarbon gas or with carbon from the substrate. For CVR techniques, deposition temperatures must be in excess of 2000 C in order to achieve favorable deposition kinetics. In an effort to lower the bulk substrate deposition temperature, the use of laser interactions with both the substrate and the metal halide deposition gas has been employed. Initial testing involved the use of a CO2 laser to heat the surface of a graphite substrate and a KrF excimer laser to accomplish a photodecomposition of TaCl5 gas near the substrate. The results of preliminary experiments using these techniques are described.

  10. Thin films for emerging applications v.16

    CERN Document Server

    Francombe, Maurice H

    1992-01-01

    Following in the long-standing tradition of excellence established by this serial, this volume provides a focused look at contemporary applications. High Tc superconducting thin films are discussed in terms of ion beam and sputtering deposition, vacuum evaporation, laser ablation, MOCVD, and other deposition processes in addition to their ultimate applications. Detailed treatment is also given to permanent magnet thin films, lateral diffusion and electromigration in metallic thin films, and fracture and cracking phenomena in thin films adhering to high-elongation substrates.

  11. Synthesis and self-assembly of dumbbell shaped ZnO sub-micron structures using low temperature chemical bath deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Borade, P. [National Centre for Nanoscience and Nanotechnology, University of Mumbai, Kalina Campus, Santacruz (E), Mumbai 400098 (India); Joshi, K.U. [Anton-Paar India Pvt. Ltd., Thane (W), 400607 (India); Gokarna, A.; Lerondel, G. [Laboratoire de Nanotechnologie et D' Instrumentation Optique, Institut Charles Delaunay, CNRS UMR 6281, Université de Technologie de Troyes, 12 Rue Marie Curie, BP 2060, 10010 Troyes (France); Walke, P. [National Centre for Nanoscience and Nanotechnology, University of Mumbai, Kalina Campus, Santacruz (E), Mumbai 400098 (India); Late, D. [National Chemical Laboratory (NCL), Pune 400027 (India); Jejurikar, S.M., E-mail: jejusuhas@gmail.com [National Centre for Nanoscience and Nanotechnology, University of Mumbai, Kalina Campus, Santacruz (E), Mumbai 400098 (India)

    2016-02-01

    We report well dispersed horizontal growth of ZnO sub-micron structures using simplest technique ever known i.e. chemical bath deposition (CBD). A set of samples were prepared under two different cases A) dumbbell shaped ZnO grown in CBD bath and B) tubular ZnO structures evolved from dumbbell shaped structures by dissolution mechanism. Single phase wurtzite ZnO formation is confirmed using X-ray diffraction (XRD) technique in both cases. From the morphological investigations performed using scanning electron microscopy (SEM), sample prepared under case A indicate formation of hex bit tool (HBT) shaped ZnO crystals, which observed to self-organize to form dumbbell structures. Further these microstructures are then converted into tubular structures as a fragment of post CBD process. The possible mechanism responsible for the self-assembly of HBT units to form dumbbell structures is discussed. Observed free excitonic peak located at 370 nm in photoluminescence (PL) spectra recorded at 18 K indicate that the micro/nanostructures synthesized using CBD are of high optical quality. - Highlights: • Controlled growth of Dumbbell shaped ZnO using Chemical Bath Deposition (CBD). • Growth mechanism of dumbbell shaped ZnO by self-assembling was discussed. • Quick Transformation of ZnO dumbbell structures in to tubular structures by dissolution. • Sharp UV Emission at 370 nm from both dumbbell and tubular structures.

  12. Effect of deposition temperature on the structural, morphological and optical band gap of lead selenide thin films synthesized by chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Hone, Fekadu Gashaw, E-mail: fekeye@gmail.com [Hawassa University, Department of Physics, Hawassa (Ethiopia); Ampong, Francis Kofi [Kwame Nkrumah University of Science and Technology, Department of Physics, Kumasi (Ghana)

    2016-11-01

    Lead selenide (PbSe) nanocrystalline thin films have been deposited on silica glass substrates by the chemical bath deposition technique. The samples were deposited at the bath temperatures of 60, 75 and 90 °C respectively and characterized by a variety of techniques. The XRD results revealed that the PbSe thin film deposited at 60 °C was amorphous in nature. Films deposited at higher temperatures exhibited sharp and intense diffraction peaks, indicating an improvement in crystallinety. The deposition temperature also had a strong influence on the preferred orientation of the crystallites as well as other structural parameters such as microstrain and dislocation density. From the SEM study it was observed that film deposited at 90 °C had well defined crystallites, uniformly distributed over the entire surface of the substrate. The EDAX study confirmed that the samples deposited at the higher temperature had a better stoichiometric ratio. The optical band gap varied from 2.26 eV to 1.13 eV with increasing deposition temperature. - Highlights: • The crystallinety of the films improved as the deposition temperature increased. • The deposition temperature strongly influenced the preferred orientations. • Microstrain and dislocation density are decreased linearly with deposition temperature. • Band gap decreased from 2.26 eV to 1.13 eV as the deposition temperature increased.

  13. Optoelectronic properties of cadmium sulfide thin films deposited by thermal evaporation technique

    International Nuclear Information System (INIS)

    Ali, N.; Iqbal, M.A.; Hussain, S.T.; Waris, M.; Munair, S.A.

    2011-01-01

    The substrate temperature in depositions of thin films plays a vital role in the characteristics of deposited films. We studied few characteristics of cadmium sulphide thin film deposited at different temperature (150 deg. C- 300 deg. C) on corning 7059 glass substrate. We measured transmittance, absorbance, band gap and reflectance via UV spectroscopy. It was found that the transmittance for 300 nm to 1100 nm was greater than 80%. The resistivity and mobility was calculated by Vander Pauw method which were 10-80 cm and 2-60 cm/sup 2/V/sup -1/S/sup -1/ respectively. The thermoelectric properties of the film were measured by hot and cold probe method which shows the N-type nature of the film. (author)

  14. Chemical vapor deposition (CVD) of uranium for alpha spectrometry

    International Nuclear Information System (INIS)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F.

    2015-09-01

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  15. Mining of sedimentary-type ore deposits

    International Nuclear Information System (INIS)

    Bruha, J.; Slovacek, T.; Berka, J.; Sadilek, P.

    1992-01-01

    A procedure is proposed for mining sedimentary-type ore deposits, particularly uranium deposits, using the stope-pillar technique. The stope having been mined out, the free room is filled with hydro-setting gob from the surface. A precondition for the application of this technique is horizontal ore mineralization in sediments where the total thickness of the mineralized ore layer is at least 3 to 5 m. Mining losses do not exceed 5%. For thicknesses greater than 5 m, the roof is reinforced and the walls are secured with netting. The assets of the technique include higher labor productivity of the driving, lower material demands in reinforcing and filling, lower power consumption, and reduced use of explosives. (Z.S.). 3 figs

  16. Fabrication of Antireflection Nanodiamond Particle Film by the Spin Coating Deposition Technique

    Directory of Open Access Journals (Sweden)

    Chii-Ruey Lin

    2014-01-01

    Full Text Available Diamond-based antireflective (AR coatings were fabricated using a spin coating of diamond suspension at room temperature as nucleation enhancement procedure and microwave plasma enhanced chemical vapour deposition. Various working pressures were used to investigate their effect on the optical characterization of the as-deposited diamond films. Scanning electron microscopy (SEM and atomic forced microscopy (AFM were employed to analyze the surface properties of the diamond films. Raman spectra and transmission electron microscopy (TEM also were used for analysis of the microstructure of the films. The results showed that working pressure had a significant effect on thickness, surface roughness, and wettability of the as-deposited diamond films. Deposited under 35 Torr or working pressure, the film possessed a low surface roughness of 13.8 nm and fine diamond grain sizes of 35 nm. Reflectance measurements of the films also were carried out using UV-Vis spectrometer and revealed a low reflectance value of the diamond films. The achievement demonstrated feasibility of the proposed spin-coating procedure for large scale production and thus opens up a prospect application of diamond film as an AR coating in industrial optoelectronic device.

  17. Assessment of multiple geophysical techniques for the characterization of municipal waste deposit sites

    Science.gov (United States)

    Gaël, Dumont; Tanguy, Robert; Nicolas, Marck; Frédéric, Nguyen

    2017-10-01

    In this study, we tested the ability of geophysical methods to characterize a large technical landfill installed in a former sand quarry. The geophysical surveys specifically aimed at delimitating the deposit site horizontal extension, at estimating its thickness and at characterizing the waste material composition (the moisture content in the present case). The site delimitation was conducted with electromagnetic (in-phase and out-of-phase) and magnetic (vertical gradient and total field) methods that clearly showed the transition between the waste deposit and the host formation. Regarding waste deposit thickness evaluation, electrical resistivity tomography appeared inefficient on this particularly thick deposit site. Thus, we propose a combination of horizontal to vertical noise spectral ratio (HVNSR) and multichannel analysis of the surface waves (MASW), which successfully determined the approximate waste deposit thickness in our test landfill. However, ERT appeared to be an appropriate tool to characterize the moisture content of the waste, which is of prior information for the organic waste biodegradation process. The global multi-scale and multi-method geophysical survey offers precious information for site rehabilitation studies, water content mitigation processes for enhanced biodegradation or landfill mining operation planning.

  18. Morphology evolution in spinel manganite films deposited from an aqueous solution

    International Nuclear Information System (INIS)

    Ko, Song Won; Li, Jing; Trolier-McKinstry, Susan

    2012-01-01

    Spinel manganite films were deposited by the spin spray technique at low deposition temperatures ( 1000, agglomeration of small particles was dominant, which suggests that homogeneous nucleation is dominant during deposition. Heterogeneous nucleation was critical to obtain dense films. - Highlights: ► Film microstructure depends on supersaturation. ► Heterogeneous nucleation induces dense and continuous films. ► The spin spray technique enables use of a variety of substrates.

  19. Experimental setup for producing tungsten coated graphite tiles using plasma enhanced chemical vapor deposition technique for fusion plasma applications

    International Nuclear Information System (INIS)

    Chauhan, Sachin Singh; Sharma, Uttam; Choudhary, K.K.; Sanyasi, A.K.; Ghosh, J.; Sharma, Jayshree

    2013-01-01

    Plasma wall interaction (PWI) in fusion grade machines puts stringent demands on the choice of materials in terms of high heat load handling capabilities and low sputtering yields. Choice of suitable material still remains a challenge and open topic of research for the PWI community. Carbon fibre composites (CFC), Beryllium (Be), and Tungsten (W) are now being considered as first runners for the first wall components of future fusion machines. Tungsten is considered to be one of the suitable materials for the job because of its superior properties than carbon like low physical sputtering yield and high sputter energy threshold, high melting point, fairly high re-crystallization temperature, low fuel retention capabilities, low chemical sputtering with hydrogen and its isotopes and most importantly the reparability with various plasma techniques both ex-situ and in-situ. Plasma assisted chemical vapour deposition is considered among various techniques as the most preferable technique for fabricating tungsten coated graphite tiles to be used as tokamak first wall and target components. These coated tiles are more favourable compared to pure tungsten due to their light weight and easier machining. A system has been designed, fabricated and installed at SVITS, Indore for producing tungsten coated graphite tiles using Plasma Enhanced Chemical Vapor Deposition (PE-CVD) technique for Fusion plasma applications. The system contains a vacuum chamber, a turbo-molecular pump, two electrodes, vacuum gauges, mass analyzer, mass flow controllers and a RF power supply for producing the plasma using hydrogen gas. The graphite tiles will be put on one of the electrodes and WF6 gas will be inserted in a controlled manner in the hydrogen plasma to achieve the tungsten-coating with WF6 dissociation. The system is integrated at SVITS, Indore and a vacuum of the order of 3*10 -6 is achieved and glow discharge plasma has been created to test all the sub-systems. The system design with

  20. Structural and electronic properties of InN epitaxial layer grown on c-plane sapphire by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Barick, Barun Kumar, E-mail: bkbarick@gmail.com; Prasad, Nivedita; Saroj, Rajendra Kumar; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology, Bombay, Mumbai 400076 (India)

    2016-09-15

    Growth of InN epilayers on c-plane sapphire substrate by chemical vapor deposition technique using pure indium metal and ammonia as precursors has been systematically explored. It has been found that [0001] oriented indium nitride epitaxial layers with smooth surface morphology can be grown on c-plane sapphire substrates by optimizing the growth conditions. Bandgap of the film is observed to be Burstein–Moss shifted likely to be due to high background electron concentration. It has been found that the concentration of this unintentional doping decreases with the increase in the growth temperature and the ammonia flux. Epitaxial quality on the other hand deteriorates as the growth temperature increases. Moreover, the morphology of the deposited layer has been found to change from flat top islands to faceted mounds as the flow rate of ammonia increases. This phenomenon is expected to be related to the difference in surface termination character at low and high ammonia flow rates.

  1. Control of a metalorganic chemical vapor deposition process for improved composition and thickness precision in compound semiconductors

    Science.gov (United States)

    Gaffney, Monique Suzanne

    1998-11-01

    Metalorganic chemical vapor deposition (MOCVD) is a process used to manufacture electronic and optoelectronic devices that has traditionally lacked real-time growth monitoring and control. Controlling the growth rate and composition using the existing sensors, as well as advanced monitoring systems developed in-house, is shown to improve device quality. Specific MOCVD growth objectives are transformed into controller performance goals. Group III bubbler concentration variations, which perturb both growth rate and composition precision, are identified to be the primary disturbances. First a feed forward control system was investigated, which used an ultrasonic concentration monitor, located upstream in the process. This control strategy resulted in improved regulation of the gallium delivery rate by cancelling the sensed gallium bubbler concentration disturbances via the injection mass flow controller. The controller performance is investigated by growing GaInAs/InP superlattices. Results of growths performed under normal operating conditions and also under large perturbations include X-ray diffraction from the samples as well as real-time sensor signal data. High quality superlattices that display up to eight orders of satellite peaks are obtained under the feed forward compensation scheme, demonstrating improved layer-to-layer reproducibility of thickness and composition. The success of the feed forward control demonstration led to the development of a more complex downstream feedback control system. An ultraviolet absorption monitor was fabricated and retrofitted as a feedback control signal. A control-oriented model of the downstream process was developed for the feedback controller synthesis. Although challenged with both the photolysis and multi-gas detection issues common to UV absorption monitors, closed loop control with the UV sensor was performed and proved to be an effective method of disturbance rejection. An InP/GaInAs test structure was grown under

  2. The residual C concentration control for low temperature growth p-type GaN

    International Nuclear Information System (INIS)

    Liu Shuang-Tao; Zhao De-Gang; Yang Jing; Jiang De-Sheng; Liang Feng; Chen Ping; Zhu Jian-Jun; Liu Zong-Shun; Li Xiang; Liu Wei; Xing Yao; Zhang Li-Qun

    2017-01-01

    In this work, the influence of C concentration to the performance of low temperature growth p-GaN is studied. Through analyses, we have confirmed that the C impurity has a compensation effect to p-GaN. At the same time we have found that several growth and annealing parameters have influences on the residual C concentration: (i) the C concentration decreases with the increase of growth pressure; (ii) we have found there exists a Ga memory effect when changing the Cp 2 Mg flow which will lead the growth rate and C concentration increase along the increase of Cp 2 Mg flow; (iii) annealing outside of metal–organic chemical vapor deposition (MOCVD) could decrease the C concentration while in situ annealing in MOCVD has an immobilization role to C concentration. (paper)

  3. Tunable white light emission from hafnium oxide films co-doped with trivalent terbium and europium ions deposited by Pyrosol technique

    Energy Technology Data Exchange (ETDEWEB)

    Guzman-Olguin, J.C.; Montes, E.; Guzman-Mendoza, J. [Centro de Investigacion en Ciencia Aplicada y Tecnologia Avanzada del Instituto Politecnico Nacional, Unidad Legaria (Mexico); Baez-Rodriguez, A.; Zamora-Peredo, L. [Centro de Investigacion en Micro y Nanotecnologia, Universidad Veracruzana, Boca del Rio, Ver (Mexico); Garcia-Hipolito, M.; Alvarez-Fregoso, O. [Instituto de Investigaciones en Materiales, Universidad Nacional Autonoma de Mexico, Circuito Exterior, Ciudad Universitaria, Coyoacan (Mexico); Martinez-Merlin, I.; Falcony, C. [Centro de Investigacion y de Estudios Avanzados del Instituto Politecnico Nacional (Mexico)

    2017-10-15

    In this paper, the photo and cathodoluminescent properties of HfO{sub 2} films optically activated with different atomic concentrations of Tb{sup 3+} and Eu{sup 3+} ions, deposited by the Pyrosol technique, are reported. These films were deposited at temperatures from 400 to 600 C, using chlorides as raw materials. The surface morphologies of all deposited films were rough and dense. X-ray diffraction analysis showed that the films deposited at 600 C were polycrystalline exhibiting the HfO{sub 2} monoclinic phase. The tuning by the means of the excitation wavelength generates photoluminescence spectra, for co-doped films, in several emissions from blue to yellow (including white light) due to the characteristic electronic transitions of Tb{sup 3+} (green), Eu{sup 3+}(red) ions and the violet-blue emission associated to the host lattice (HfO{sub 2}). According to the chromaticity diagram, the best white light is reached for the sample S2 excited with 382 nm (x = 0.3343, y = 0.3406). The cathodoluminescence emission spectra for co-doped films showed emissions from green to red (including yellow, orange and other intermediate emissions). The averaged quantum efficiency values of the sample labeled as S2 resulted between 47 and 78% depending on the excitation wavelength. In addition, XPS, TEM, SEM and decay times were performed to characterize these films. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. Electrostatic deposition of a micro solder particle using a single probe by applying a single rectangular pulse

    International Nuclear Information System (INIS)

    Nakabayashi, Daizo; Sawai, Kenji; Saito, Shigeki; Takahashi, Kunio

    2012-01-01

    Recently, micromanipulation techniques have been in high demand. A technique to deposit a metal microparticle onto a metal substrate by using a single metal probe has been proposed as one of the techniques. A solder particle with a diameter of 20–30 µm, initially adhering to the probe tip, is detached and deposited onto a substrate. The success rate of the particle deposition was 44% in the previous research, and is insufficient for industrial applications. In this paper, a technique of particle deposition by applying a single rectangular pulse is proposed, and the mechanism of the deposition is described. In the mechanism, an electric discharge between the probe and the particle when the particle reaches the substrate plays an important role in the particle deposition. Moreover, the mechanism of the proposed technique is verified by experiments of particle deposition, which are observed using a high-speed camera, a scanning electron microscope (SEM) and an oscilloscope. The success rate of the particle deposition has increased to 93% by the proposed technique. Furthermore, the damage to the particle by the electric discharge is evaluated using an RC circuit model, and the applicability of the proposed technique is discussed. (paper)

  5. Microstructure of vapor deposited coatings on curved substrates

    Energy Technology Data Exchange (ETDEWEB)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu [Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., P.O. Box 400745, Charlottesville, Virginia 22904 (United States)

    2015-09-15

    Thermal barrier coating systems consisting of a metallic bond coat and ceramic over layer are widely used to extend the life of gas turbine engine components. They are applied using either high-vacuum physical vapor deposition techniques in which vapor atoms rarely experience scattering collisions during propagation to a substrate, or by gas jet assisted (low-vacuum) vapor deposition techniques that utilize scattering from streamlines to enable non-line-of-sight deposition. Both approaches require substrate motion to coat a substrate of complex shape. Here, direct simulation Monte Carlo and kinetic Monte Carlo simulation methods are combined to simulate the deposition of a nickel coating over the concave and convex surfaces of a model airfoil, and the simulation results are compared with those from experimental depositions. The simulation method successfully predicted variations in coating thickness, columnar growth angle, and porosity during both stationary and substrate rotated deposition. It was then used to investigate a wide range of vapor deposition conditions spanning high-vacuum physical vapor deposition to low-vacuum gas jet assisted vapor deposition. The average coating thickness was found to increase initially with gas pressure reaching a maximum at a chamber pressure of 8–10 Pa, but the best coating thickness uniformity was achieved under high vacuum deposition conditions. However, high vacuum conditions increased the variation in the coatings pore volume fraction over the surface of the airfoil. The simulation approach was combined with an optimization algorithm and used to investigate novel deposition concepts to tailor the local coating thickness.

  6. Microstructure of vapor deposited coatings on curved substrates

    International Nuclear Information System (INIS)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G.

    2015-01-01

    Thermal barrier coating systems consisting of a metallic bond coat and ceramic over layer are widely used to extend the life of gas turbine engine components. They are applied using either high-vacuum physical vapor deposition techniques in which vapor atoms rarely experience scattering collisions during propagation to a substrate, or by gas jet assisted (low-vacuum) vapor deposition techniques that utilize scattering from streamlines to enable non-line-of-sight deposition. Both approaches require substrate motion to coat a substrate of complex shape. Here, direct simulation Monte Carlo and kinetic Monte Carlo simulation methods are combined to simulate the deposition of a nickel coating over the concave and convex surfaces of a model airfoil, and the simulation results are compared with those from experimental depositions. The simulation method successfully predicted variations in coating thickness, columnar growth angle, and porosity during both stationary and substrate rotated deposition. It was then used to investigate a wide range of vapor deposition conditions spanning high-vacuum physical vapor deposition to low-vacuum gas jet assisted vapor deposition. The average coating thickness was found to increase initially with gas pressure reaching a maximum at a chamber pressure of 8–10 Pa, but the best coating thickness uniformity was achieved under high vacuum deposition conditions. However, high vacuum conditions increased the variation in the coatings pore volume fraction over the surface of the airfoil. The simulation approach was combined with an optimization algorithm and used to investigate novel deposition concepts to tailor the local coating thickness

  7. Optical thin film deposition

    International Nuclear Information System (INIS)

    Macleod, H.A.

    1979-01-01

    The potential usefulness in the production of optical thin-film coatings of some of the processes for thin film deposition which can be classified under the heading of ion-assisted techniques is examined. Thermal evaporation is the process which is virtually universally used for this purpose and which has been developed to a stage where performance is in almost all respects high. Areas where further improvements would be of value, and the possibility that ion-assisted deposition might lead to such improvements, are discussed. (author)

  8. Vapor deposition of molybdenum oxide using bis(ethylbenzene) molybdenum and water

    International Nuclear Information System (INIS)

    Drake, Tasha L.; Stair, Peter C.

    2016-01-01

    Three molybdenum precursors—bis(acetylacetonate) dioxomolybdenum, molybdenum isopropoxide, and bis(ethylbenzene) molybdenum—were tested for molybdenum oxide vapor deposition. Quartz crystal microbalance studies were performed to monitor growth. Molybdenum isopropoxide and bis(ethylbenzene) molybdenum achieved linear growth rates 0.01 and 0.08 Å/cycle, respectively, using atomic layer deposition techniques. Negligible MoO_x growth was observed on alumina powder using molybdenum isopropoxide, as determined by inductively coupled plasma optical emission spectroscopy. Bis(ethylbenzene) molybdenum achieved loadings of 0.5, 1.1, and 1.9 Mo/nm"2 on alumina powder after one, two, and five cycles, respectively, using atomic layer deposition techniques. The growth window for bis(ethylbenzene) molybdenum is 135–150 °C. An alternative pulsing strategy was also developed for bis(ethylbenzene) molybdenum that results in higher growth rates in less time compared to atomic layer deposition techniques. The outlined process serves as a methodology for depositing molybdenum oxide for catalytic applications. All as-deposited materials undergo further calcination prior to characterization and testing.

  9. Al₂O₃ Coatings on Magnesium Alloy Deposited by the Fluidized Bed (FB) Technique.

    Science.gov (United States)

    Baiocco, Gabriele; Rubino, Gianluca; Tagliaferri, Vincenzo; Ucciardello, Nadia

    2018-01-09

    Magnesium alloys are widely employed in several industrial domains for their outstanding properties. They have a high strength-weight ratio, with a density that is lower than aluminum (33% less), and feature good thermal properties, dimensional stability, and damping characteristics. However, they are vulnerable to oxidation and erosion-corrosion phenomena when applied in harsh service conditions. To avoid the degradation of magnesium, several coating methods have been presented in the literature; however, all of them deal with drawbacks that limit their application in an industrial environment, such as environmental pollution, toxicity of the coating materials, and high cost of the necessary machinery. In this work, a plating of Al₂O₃ film on a magnesium alloy realized by the fluidized bed (FB) technique and using alumina powder is proposed. The film growth obtained through this cold deposition process is analyzed, investigating the morphology as well as tribological and mechanical features and corrosion behavior of the plated samples. The resulting Al₂O₃ coatings show consistent improvement of the tribological and anti-corrosive performance of the magnesium alloy.

  10. Hot-wire chemical vapour deposition of carbon nanotubes

    CSIR Research Space (South Africa)

    Cummings, FR

    2006-07-01

    Full Text Available ablation of graphite, carbon-arc discharge and chemical vapour deposition (CVD). However, some of these techniques have been shown to be expensive due to high deposition temperatures and are not easily controllable. Recently hot-wire chemical vapour...

  11. Characterization of ZnO:SnO{sub 2} (50:50) thin film deposited by RF magnetron sputtering technique

    Energy Technology Data Exchange (ETDEWEB)

    Cynthia, S. R.; Sanjeeviraja, C.; Ponmudi, S. [Department of Physics, Alagappa Chettiar College of Engineering and Technology, Karaikudi-630004 (India); Sivakumar, R., E-mail: krsivakumar1979@yahoo.com [Directorate of Distance Education, Alagappa University, Karaikudi-630004 (India)

    2016-05-06

    Zinc oxide (ZnO) and tin oxide (SnO{sub 2}) thin films have attracted significant interest recently for use in optoelectronic application such as solar cells, flat panel displays, photonic devices, laser diodes and gas sensors because of their desirable electrical and optical properties and wide band gap. In the present study, thin films of ZnO:SnO{sub 2} (50:50) were deposited on pre-cleaned microscopic glass substrate by RF magnetron sputtering technique. The substrate temperature and RF power induced changes in structural, surface morphological, compositional and optical properties of the films have been studied.

  12. Investigation and application of microwave electron cyclotron resonance plasma physical vapour deposition

    International Nuclear Information System (INIS)

    Ren Zhaoxing; Sheng Yanya; Shi Yicai; Wen Haihu; Cao Xiaowen

    1991-06-01

    The evaporating deposition of Ti film and Cu film by using microwave electron cyclotron resonance (ECR) technique was investigated. It deposition rate was about 50 nm/min and the temperature of the substrate was 50∼150 deg C. The thin amorphous films with strong adherent force were obtained. The sputtering deposition with ECR plasma was studied by employing higher plasma density and ionicity and negative substrate potential to make YBaCuO superconducting film. Its film was compact and amorphous with a thickness of 1.0 μm and the deposition rate was about 10 nm/min. The results show that this technique can initiate a high density and high ionicity plasma at lower gas pressure (10 -2 ∼10 -3 Pa). This plasma is the most suitable plasma source in thin film deposition process and surface treatment technique

  13. Photo-conductive detection of continuous THz waves via manipulated ultrafast process in nanostructures

    Science.gov (United States)

    Moon, Kiwon; Lee, Eui Su; Lee, Il-Min; Park, Dong Woo; Park, Kyung Hyun

    2018-01-01

    Time-domain and frequency-domain terahertz (THz) spectroscopy systems often use materials fabricated with exotic and expensive methods that intentionally introduce defects to meet short carrier lifetime requirements. In this study, we demonstrate the development of a nano-photomixer that meets response speed requirements without using defect-incorporated, low-temperature-grown (LTG) semiconductors. Instead, we utilized a thin InGaAs layer grown on a semi-insulating InP substrate by metal-organic chemical vapor deposition (MOCVD) combined with nano-electrodes to manipulate local ultrafast photo-carrier dynamics via a carefully designed field-enhancement and plasmon effect. The developed nano-structured photomixer can detect continuous-wave THz radiation up to a frequency of 2 THz with a peak carrier collection efficiency of 5%, which is approximately 10 times better than the reference efficiency of 0.4%. The better efficiency results from the high carrier mobility of the MOCVD-grown InGaAs thin layer with the coincidence of near-field and plasmon-field distributions in the nano-structure. Our result not only provides a generally applicable methodology for manipulating ultrafast carrier dynamics by means of nano-photonic techniques to break the trade-off relation between the carrier lifetime and mobility in typical LTG semiconductors but also contributes to mass-producible photo-conductive THz detectors to facilitate the widespread application of THz technology.

  14. Photoionization spectroscopy of deep defects responsible for current collapse in nitride-based field effect transistors

    International Nuclear Information System (INIS)

    Klein, P B; Binari, S C

    2003-01-01

    This review is concerned with the characterization and identification of the deep centres that cause current collapse in nitride-based field effect transistors. Photoionization spectroscopy is an optical technique that has been developed to probe the characteristics of these defects. Measured spectral dependences provide information on trap depth, lattice coupling and on the location of the defects in the device structure. The spectrum of an individual trap may also be regarded as a 'fingerprint' of the defect, allowing the trap to be followed in response to the variation of external parameters. The basis for these measurements is derived through a modelling procedure that accounts quantitatively for the light-induced drain current increase in the collapsed device. Applying the model to fit the measured variation of drain current increase with light illumination provides an estimate of the concentrations and photoionization cross-sections of the deep defects. The results of photoionization studies of GaN metal-semiconductor field effect transistors and AlGaN/GaN high electron mobility transistors (HEMTs) grown by metal-organic chemical vapour deposition (MOCVD) are presented and the conclusions regarding the nature of the deep traps responsible are discussed. Finally, recent photoionization studies of current collapse induced by short-term (several hours) bias stress in AlGaN/GaN HEMTs are described and analysed for devices grown by both MOCVD and molecular beam epitaxy. (topical review)

  15. Physical, optical and electrical properties of copper selenide (CuSe) thin films deposited by solution growth technique at room temperature

    International Nuclear Information System (INIS)

    Gosavi, S.R.; Deshpande, N.G.; Gudage, Y.G.; Sharma, Ramphal

    2008-01-01

    Copper selenide (CuSe) thin films are grown onto amorphous glass substrate from an aqueous alkaline medium using solution growth technique (SGT) at room temperature. The preparative parameters were optimized to obtain good quality of thin films. The as-deposited films were characterized for physical, optical and electrical properties. X-ray diffraction (XRD) pattern reveals that the films are polycrystalline in nature. Energy dispersive analysis by X-ray (EDAX) shows formation of stoichiometric CuSe compound. Uniform deposition of CuSe thin films on glass substrate was observed from scanning electron microscopy (SEM) and atomic force microscopy (AFM) micrographs. Average grain size was determined to 144.53 ± 10 nm using atomic force microscopy. The band gap was found to be 2.03 eV with direct band-to-band transition. Semi-conducting behaviour was observed from resistivity measurements. Ohmic behaviour was seen from I-V curve with good electrical conductivity

  16. Impact of the substrate misorientation and its preliminary etching on the structural and optical properties of integrated GaAs/Si MOCVD heterostructures

    Science.gov (United States)

    Seredin, P. V.; Lenshin, A. S.; Zolotukhin, D. S.; Arsentyev, I. N.; Zhabotinskiy, A. V.; Nikolaev, D. N.

    2018-03-01

    This is the first attempt to make a report regarding the control of the structural and optical functional characteristics of integrated GaAs/Si heterostructures owing to the employment of preliminary etched misoriented Si substrates. The epitaxial GaAs layer on silicon substrates with no formation of the antiphase domains can be grown using substrates deviating less than 4°-6° from the singular (100) plane or without the use of a transition layer of GaAs nano-stakes. Preliminary etching of the Si substrate made it easier to acquire an epitaxial GaAs film in a single-crystalline state with a significantly less relaxation factor MOCVD, which positively influences on the structural performance of the film. These data agree with the results of Infrared reflection spectroscopy as well as Photoluminescence and UV-Vis spectroscopy. The optical properties of the integrated GaAs/Si (100) heterostructures in the IR and UV spectral regions were also identified by means of the relaxation coefficients.

  17. Effects of deposition period on the chemical bath deposited Cu4SnS4 thin films

    International Nuclear Information System (INIS)

    Kassim, Anuar; Wee Tee, Tan; Soon Min, Ho.; Nagalingam, Saravanan

    2010-01-01

    Cu 4 SnS 4 thin films were prepared by simple chemical bath deposition technique. The influence of deposition period on the structural, morphological and optical properties of films was studied. The films were characterized using X-ray diffraction, atomic force microscopy and UV-Vis Spectrophotometer. X-ray diffraction patterns indicated that the films were polycrystalline with prominent peak attributed to (221) plane of orthorhombic crystal structure. The films prepared at 80 min showed significant increased in the intensity of all diffractions. According to AFM images, these films indicated that the surface of substrate was covered completely. The obtained films also produced higher absorption characteristics when compared to the films prepared at other deposition periods based on optical absorption studies. The band gap values of films deposited at different deposition periods were in the range of 1.6-2.1 eV. Deposition for 80 min was found to be the optimum condition to produce good quality thin films under the current conditions. (author).

  18. High powered pulsed plasma enhanced deposition of thin film semiconductor and optical materials

    International Nuclear Information System (INIS)

    Llewellyn, I.P.; Sheach, K.J.A.; Heinecke, R.A.

    1993-01-01

    A glow discharge deposition technique is described which allows the deposition of a large range of high quality materials without the requirement for substrate heating. The method is differentiated from conventional plasma deposition techniques in that a much higher degree of dissociation is achieved in the gases prior to deposition, such that thermally activated surface reactions are no longer required in order to produce a dense film. The necessary discharge intensity (>300Wcm -3 ) is achieved using a high power radio frequency generator which is pulsed at a low duty cycle (1%) to keep the average energy of the discharge low (100W), in order to avoid the discharge heating the substrate. In addition, by varying the gas composition between discharge pulses, layered structures of materials can be produced, with a disordered interface about 8 A thick. Various uses of the technique in semiconductor and optical filter production are described, and the properties of films deposited using these technique are presented. (orig.)

  19. Crystalline nanostructured Cu doped ZnO thin films grown at room temperature by pulsed laser deposition technique and their characterization

    Energy Technology Data Exchange (ETDEWEB)

    Drmosh, Qasem A. [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Rao, Saleem G.; Yamani, Zain H. [Laser Research Group, Department of Physics, Center of Excellence in Nanotechnology, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Gondal, Mohammed A., E-mail: magondal@kfupm.edu.sa [Laser Research Group, Department of Physics, Center of Excellence in Nanotechnology, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia)

    2013-04-01

    We report structural and optical properties of Cu doped ZnO (ZnO:Cu) thin films deposited on glass substrate at room temperature by pulsed laser deposition (PLD) method without pre and post annealing contrary to all previous reports. For preparation of (ZnO:Cu) composites pure Zn and Cu targets in special geometrical arrangements were exposed to 248 nm radiations generated by KrF exciter laser. The laser energy was 200 mJ with 10 Hz frequency and 20 ns pulse width. The effect of Cu concentration on crystal structure, morphology, and optical properties were investigated by XRD, FESEM and photoluminescence spectrometer respectively. A systematic shift in ZnO (0 0 2) peak with Cu concentration observed in XRD spectra demonstrated that Cu ion has been incorporated in ZnO lattice. Uniform film with narrow size range grains were observed in FESEM images. The photoluminescence (PL) spectra measured at room temperature revealed a systematic red shift in ZnO emission peak and decrease in the band gap with the increase in Cu concentration. These results entail that PLD technique can be realized to deposit high quality crystalline ZnO and ZnO:Cu thin films without pre and post heat treatment which is normally practiced worldwide for such structures.

  20. The influence of charge effect on the growth of hydrogenated amorphous silicon by the hot-wire chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Q.; Nelson, B.P.; Iwaniczko, E.; Mahan, A.H.; Crandall, R.S.; Benner, J. [National Renewable Energy Lab., Golden, CO (United States)

    1998-09-01

    The authors observe at lower substrate temperatures that the scatter in the dark conductivity on hydrogenated amorphous silicon (a-Si:H) films grown on insulating substrates (e.g., Corning 7059 glass) by the hot-wire chemical vapor deposition technique (HWCVD) can be five orders of magnitude or more. This is especially true at deposition temperatures below 350 C. However, when the authors grow the same materials on substrates with a conductive grid, virtually all of their films have acceptable dark conductivity (< 5 {times} 10{sup {minus}10} S/cm) at all deposition temperatures below 425 C. This is in contrast to only about 20% of the materials grown in this same temperature range on insulating substrates having an acceptable dark conductivity. The authors estimated an average energy of 5 eV electrons reaching the growing surface in vacuum, and did additional experiments to see the influence of both the electron flux and the energy of the electrons on the film growth. Although these effects do not seem to be important for growing a-Si:H by HWCVD on conductive substrates, they help better understand the important parameters for a-Si:H growth, and thus, to optimize these parameters in other applications of HWCVD technology.

  1. Chemical solution deposition of functional oxide thin films

    CERN Document Server

    Schneller, Theodor; Kosec, Marija

    2014-01-01

    Chemical Solution Deposition (CSD) is a highly-flexible and inexpensive technique for the fabrication of functional oxide thin films. Featuring nearly 400 illustrations, this text covers all aspects of the technique.

  2. Technique for controllable vapor-phase deposition of 1-nitro(14C)pyrene and other polycyclic aromatic hydrocarbons onto environmental particulate matter

    International Nuclear Information System (INIS)

    Lucas, S.V.; Lee, K.W.; Melton, C.W.; Lewtas, J.; Ball, L.M.

    1991-01-01

    To produce environmental particles fortified with a polycyclic aromatic hydrocarbon (PAH) for toxicology studies, an experimental apparatus was devised for deposition of the desired chemical species onto particles in a controlled and reproducible manner. The technique utilized consists of dispersion of the particles on a gaseous stream at a controlled rate, thermal vaporization of a solution of PAH, delivery of the vaporized PAH into the aerosol of particles at a controlled rate, subsequent condensation of the PAH onto the particles, and final recovery of the coated particles. The effectiveness of this approach was demonstrated by vapor-coating a 14 C-labeled PAH (1-nitro( 14 C)-pyrene) onto diesel engine exhaust particles that had previously been collected by tunnel dilution sampling techniques. Using the 14 C label as a tracer, the coated particles were characterized with respect to degree of coating, integrity of particle structure and absence of chemical decomposition of the coating substrate. The study demonstrates that the described method provides a controllable means for depositing a substance uniformly and with a high coating efficiency onto aerosolized particles. The technique was also used to vapor-coat benzo(a)pyrene onto diesel engine exhaust and urban ambient air particulate matter, and 2-nitrofluoranthene onto urban ambient air particulate matter. Coating efficiencies of about 400 micrograms/g particulate matter were routinely obtained on a single coating run, and up to 1200 micrograms/g (1200 ppm) were achieved after a second pass through the process. The coated particles were subsequently utilized in biological fate, distribution and metabolism studies

  3. Evaluation and study of advanced optical contamination, deposition, measurement, and removal techniques. [including computer programs and ultraviolet reflection analysis

    Science.gov (United States)

    Linford, R. M. F.; Allen, T. H.; Dillow, C. F.

    1975-01-01

    A program is described to design, fabricate and install an experimental work chamber assembly (WCA) to provide a wide range of experimental capability. The WCA incorporates several techniques for studying the kinetics of contaminant films and their effect on optical surfaces. It incorporates the capability for depositing both optical and contaminant films on temperature-controlled samples, and for in-situ measurements of the vacuum ultraviolet reflectance. Ellipsometer optics are mounted on the chamber for film thickness determinations, and other features include access ports for radiation sources and instrumentation. Several supporting studies were conducted to define specific chamber requirements, to determine the sensitivity of the measurement techniques to be incorporated in the chamber, and to establish procedures for handling samples prior to their installation in the chamber. A bibliography and literature survey of contamination-related articles is included.

  4. Deposition of waste kaolin in aluminum alloy by electrolytic plasma technique

    International Nuclear Information System (INIS)

    Palinkas, Fabiola Bergamasco da Silva Marcondes; Antunes, Maria Lucia Pereira; Cruz, Nilson Cristino; Rangel, Elidiane Cipriano; Souza, Jose Antonio da Silva

    2016-01-01

    Full text: Kaolin is a widely explored mineral for various industrial purposes and its processing generates up to 90% of waste, corresponding to 500 thousand tons annually. The Deposition of Kaolin residue on aluminum alloys by electrolytic plasma has objective of a valorization of the residue. It was evaluated the mineralogical composition by X-ray diffraction (XRD), using PANalytical diffractometer X'Pert Pro. The scanning electron microscopy (SEM) and the spectrometry of dispersive of energy (EDS) evaluated the morphology and elementary chemical composition by microscope scanning electron JEOL JSM-6010LA. The Infrared Spectroscopy (FTIR) has used a Spectrometer the Perkin-Elmer 1760X FT-IR with spectral range 4000-400 cm -1 . XRD results indicate peaks of kaolinite as the main constituent. The morphology of the particles correspond to pseudo-hexagonal lamellar crystals characteristic of kaolinite, analysis by EDS allows to identify the composition of the particles as Al and Si. The samples were deposited at concentrations of 5, 10 and 15 mg of the residue and each concentration were considered deposition times of 5, 10 and 15 minutes. Tests evaluate the films as the wettability, chemical composition, morphology, mechanical strength and corrosion resistance. Results indicate the presence of kaolinite, alumina and mullite in the obtained coatings. (author)

  5. Formation of aluminum films on silicon by ion beam deposition: a comparison with ionized cluster beam deposition

    International Nuclear Information System (INIS)

    Zuhr, R.A.; Haynes, T.E.; Galloway, M.D.; Tanaka, S.; Yamada, A.; Yamada, I.

    1991-01-01

    The direct ion beam deposition (IBD) technique has been used to study the formation of oriented aluminum films on single crystal silicon substrates. In the IBD process, thin film growth is accomplished by decelerating a magnetically analyzed ion beam to low energies (10-200 eV) for direct deposition onto the substrate under UHV conditions. The aluminum-on-silicon system is one which has been studied extensively by ionized cluster beam (ICB) deposition. This technique has produced intriguing results for aluminum, with oriented crystalline films being formed at room temperature in spite of the 25% mismatch in lattice constant between aluminum and silicon. In this work, we have studied the formation of such films by IBD, with emphasis on the effects of ion energy, substrate temperature, and surface cleanliness. Oriented films have been grown on Si(111) at temperatures from 40 to 300degC and with ion energies of 30-120 eV per ion. Completed films were analyzed by ion scattering, X-ray diffraction, scanning-electron microscopy, and optical microscopy. Results achieved for thin films grown by IBD are comparable to those for similar films grown by ICB deposition. (orig.)

  6. ZnO film deposition on Al film and effects of deposition temperature on ZnO film growth characteristics

    International Nuclear Information System (INIS)

    Yoon, Giwan; Yim, Munhyuk; Kim, Donghyun; Linh, Mai; Chai, Dongkyu

    2004-01-01

    The effects of the deposition temperature on the growth characteristics of the ZnO films were studied for film bulk acoustic wave resonator (FBAR) device applications. All films were deposited using a radio frequency magnetron sputtering technique. It was found that the growth characteristics of ZnO films have a strong dependence on the deposition temperature from 25 to 350 deg. C. ZnO films deposited below 200 deg. C exhibited reasonably good columnar grain structures with highly preferred c-axis orientation while those above 200 deg. C showed very poor columnar grain structures with mixed-axis orientation. This study seems very useful for future FBAR device applications

  7. Chemical solution deposition techniques for epitaxial growth of complex oxides

    NARCIS (Netherlands)

    ten Elshof, Johan E.; Koster, G.; Huijben, Mark; Rijnders, G.

    2015-01-01

    The chemical solution deposition (CSD) process is a wet-chemical process that is employed to fabricate a wide variety of amorphous and crystalline oxide thin films. This chapter describes the typical steps in a CSD process and their influence on the final microstructure and properties of films, and

  8. Enhancement of the optical and electrical properties of ITO thin films deposited by electron beam evaporation technique

    Science.gov (United States)

    Ali, H. M.; Mohamed, H. A.; Mohamed, S. H.

    2005-08-01

    Indium tin oxide (ITO) is widely utilized in numerous industrial applications due to its unique combined properties of transparency to visible light and electrical conductivity. ITO films were deposited on glass substrates by an electron beam evaporation technique at room temperature from bulk samples, with different thicknesses. The film with 1500 Å thick was selected to perform annealing in the temperature range of 200 400 °C and annealing for varying times from 15 to 120 min at 400 °C. The X-ray diffraction of the films was analyzed in order to investigate its dependence on thickness, and annealing. Electrical and optical measurements were also carried out. Transmittance, optical energy gap, refractive index, carrier concentration, thermal emissivity and resistivity were investigated. It was found that the as-deposited films with different thicknesses were highly absorbing and have relatively poor electrical properties. The films become opaque with increasing the film thickness. After thermal annealing, the resistance decreases and a simultaneous variation in the optical transmission occurs. A transmittance value of 85.5% in the IR region and 82% in the visible region of the spectrum and a resistivity of 2.8 × 10-4 Ω Cm were obtained at annealing temperature of 400 °C for 120 min.

  9. Sn and Cu oxide nanoparticles deposited on TiO{sub 2} nanoflower 3D substrates by Inert Gas Condensation technique

    Energy Technology Data Exchange (ETDEWEB)

    Kusior, A., E-mail: akusior@agh.edu.pl [Faculty of Materials Science and Ceramics, AGH University of Science and Technology, al. Mickiewicza 30, 30-059 Krakow (Poland); Kollbek, K. [Academic Centre for Materials and Nanotechnology, AGH University of Science and Technology, al. Mickiewicza 30, 30-059 Krakow (Poland); Kowalski, K. [Faculty of Metals Engineering and Industrial Computer Science, AGH University of Science and Technology, al. Mickiewicza 30, 30-059 Krakow (Poland); Borysiewicz, M. [Institute of Electron Technology, al. Lotnikow 32/46, 02-668 Warszawa (Poland); Wojciechowski, T. [Institute of Physics Polish Academy of Science, al. Lotnikow 32/46, 02-668 Warszawa (Poland); Adamczyk, A.; Trenczek-Zajac, A.; Radecka, M. [Faculty of Materials Science and Ceramics, AGH University of Science and Technology, al. Mickiewicza 30, 30-059 Krakow (Poland); Zakrzewska, K. [Faculty of Computer Science, Electronics and Telecommunications, AGH University of Science and Technology, al. Mickiewicza 30, 30-059 Krakow (Poland)

    2016-09-01

    Graphical abstract: - Highlights: • Inert Gas Condensation method yields non-agglomerated nanoparticles. • The growth of nanoparticles is controllable at the level of deposition. • Electrical conductivity increases with respect to pure nanostructured TiO{sub 2}. - Abstract: Sn and Cu oxide nanoparticles were deposited by Inert Gas Condensation (IGC) technique combined with dc magnetron sputtering onto nanoflower TiO{sub 2} 3D substrates obtained in the oxidation process of Ti-foil in 30% H{sub 2}O{sub 2}. Sputtering parameters such as insertion length and Ar/He flow rates were optimized taking into account the nanostructure morphology. Comparative studies with hydrothermal method were carried out. Surface properties of the synthesized nanomaterials were studied by Scanning Electron Microscopy, SEM, Atomic Force Microscopy, AFM, and X-ray Photoelectron Spectroscopy, XPS. X-ray diffraction, XRD and Raman spectroscopy were performed in order to determine phase composition. Impedance spectroscopy demonstrated the influence of nanoparticles on the electrical conductivity.

  10. Ellipsometric study of metal-organic chemically vapor deposited III-V semiconductor structures

    Science.gov (United States)

    Alterovitz, Samuel A.; Sekula-Moise, Patricia A.; Sieg, Robert M.; Drotos, Mark N.; Bogner, Nancy A.

    1992-01-01

    An ellipsometric study of MOCVD-grown layers of AlGaAs and InGaAs in thick films and strained layer complex structures is presented. It is concluded that the ternary composition of thick nonstrained layers can be accurately determined to within experimental errors using numerical algorithms. In the case of complex structures, thickness of all layers and the alloy composition of nonstrained layers can be determined simultaneously, provided that the correlations between parameters is no higher than 0.9.

  11. Organo-layered double hydroxides composite thin films deposited by laser techniques

    Energy Technology Data Exchange (ETDEWEB)

    Birjega, R. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest-Magurele (Romania); Vlad, A., E-mail: angela.vlad@gmail.com [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest-Magurele (Romania); Matei, A.; Dumitru, M.; Stokker-Cheregi, F.; Dinescu, M. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest-Magurele (Romania); Zavoianu, R. [University of Bucharest, Faculty of Chemistry, Department of Chemical Technology and Catalysis, 4-12 Regina Elisabeta Bd., Bucharest 030018 (Romania); Raditoiu, V.; Corobea, M.C. [National R.& D. Institute for Chemistry and Petrochemistry, ICECHIM, 202 Splaiul Independentei Str., CP-35-274, 060021 Bucharest (Romania)

    2016-06-30

    Highlights: • PLD and MAPLE was successfully used to produce organo-layered double hydroxides. • The organic anions (dodecyl sulfate-DS) were intercalated in co-precipitation step. • Zn2.5Al-LDH (Zn/Al = 2.5) and Zn2.5Al-DS thin films obtained in this work could be suitable for further applications as hydrophobic surfaces. - Abstract: We used laser techniques to create hydrophobic thin films of layered double hydroxides (LDHs) and organo-modified LDHs. A LDH based on Zn-Al with Zn{sup 2+}/Al{sup 3+} ratio of 2.5 was used as host material, while dodecyl sulfate (DS), which is an organic surfactant, acted as guest material. Pulsed laser deposition (PLD) and matrix assisted pulsed laser evaporation (MAPLE) were employed for the growth of the films. The organic anions were intercalated in co-precipitation step. The powders were subsequently used either as materials for MAPLE, or they were pressed and used as targets for PLD. The surface topography of the thin films was investigated by atomic force microscopy (AFM), the crystallographic structure of the powders and films was checked by X-ray diffraction. FTIR spectroscopy was used to evidence DS interlayer intercalation, both for powders and the derived films. Contact angle measurements were performed in order to establish the wettability properties of the as-prepared thin films, in view of functionalization applications as hydrophobic surfaces, owing to the effect of DS intercalation.

  12. Microstructural, nanomechanical, and microtribological properties of Pb thin films prepared by pulsed laser deposition and thermal evaporation techniques

    Energy Technology Data Exchange (ETDEWEB)

    Broitman, Esteban, E-mail: esbro@ifm.liu.se [Thin Film Physics Division, IFM, Linköping University, SE-581 83 Linköping (Sweden); Flores-Ruiz, Francisco J. [Thin Film Physics Division, IFM, Linköping University, SE-581 83 Linköping, Sweden and Centro de Investigación y de Estudios Avanzados del I.P.N., Unidad Querétaro, Querétaro 76230 (Mexico); Di Giulio, Massimo [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Gontad, Francisco; Lorusso, Antonella; Perrone, Alessio [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce, Italy and INFN-Istituto Nazionale di Fisica Nucleare, 73100 Lecce (Italy)

    2016-03-15

    In this work, the authors compare the morphological, structural, nanomechanical, and microtribological properties of Pb films deposited by thermal evaporation (TE) and pulsed laser deposition (PLD) techniques onto Si (111) substrates. Films were investigated by scanning electron microscopy, surface probe microscopy, and x-ray diffraction in θ-2θ geometry to determine their morphology, root-mean-square (RMS) roughness, and microstructure, respectively. TE films showed a percolated morphology with densely packed fibrous grains while PLD films had a granular morphology with a columnar and tightly packed structure in accordance with the zone growth model of Thornton. Moreover, PLD films presented a more polycrystalline structure with respect to TE films, with RMS roughness of 14 and 10 nm, respectively. Hardness and elastic modulus vary from 2.1 to 0.8 GPa and from 14 to 10 GPa for PLD and TE films, respectively. A reciprocal friction test has shown that PLD films have lower friction coefficient and wear rate than TE films. Our study has demonstrated for first time that, at the microscale, Pb films do not show the same simple lubricious properties measured at the macroscale.

  13. Electrophoretic deposition of 9-YSZ solid electrolyte on Ni- YSZ composite

    International Nuclear Information System (INIS)

    Santos, F.S.; Yoshito, W.K.; Lazar, D.R.R.; Ussui, V.

    2010-01-01

    9-YSZ ceramic and Ni-YSZ metal/ceramic composite are the more commonly used materials for the fabrication of solid oxide fuel cell electrolyte and anode, respectively. The main challenges for these applications are the forming of both materials as superposed double thin layers. In the present work ceramic powder of 9- YSZ was synthesized by a coprecipitation technique and the Ni O-YSZ composite by a combustion technique. The later was formed by uniaxial pressing as cylindrical pellets of 15 mm diameter. Thin ceramic layers of 9-YSZ were deposited on composite pellets from a suspension with 10% solid content by an Electrophoretic Deposition technique. Applied voltage varied in the range of 30 to 200 V and deposition time from 15 to 90 seconds, evaluating the deposited mass, porosity on the interface and adhesion of layers. Resulted ceramics were characterized by X-ray diffraction and were observed in a scanning electron microscope. Results showed that deposited layers are thin (∼20μm), dense and have good adhesion on the surface of composite substrate. (author)

  14. Film thickness determination by grazing incidence diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Battiston, G A; Gerbasi, R [CNR, Padua (Italy). Istituto di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati

    1996-09-01

    Thin films deposited via MOCVD (Metal Organic Chemical Vapour Deposition) are layers in the thickness range of a few manometers to about ten micrometers. An understanding of the physics and chemistry of films is necessary for a better comprehension of the phenomena involved in the film deposition procedure and its optimisation. Together with the crystalline phase a parameter that must be determined is the thickness of the layer. In this work the authors present a method for the measurement of the film thickness. This procedure, based on diffraction intensity absorption of the X-rays, both incident and diffracted in passing through the layers, resulted quite simple, rapid and non-destructive.

  15. Film thickness determination by grazing incidence diffraction

    International Nuclear Information System (INIS)

    Battiston, G. A.; Gerbasi, R.

    1996-01-01

    Thin films deposited via MOCVD (Metal Organic Chemical Vapour Deposition) are layers in the thickness range of a few manometers to about ten micrometers. An understanding of the physics and chemistry of films is necessary for a better comprehension of the phenomena involved in the film deposition procedure and its optimisation. Together with the crystalline phase a parameter that must be determined is the thickness of the layer. In this work the authors present a method for the measurement of the film thickness. This procedure, based on diffraction intensity absorption of the X-rays, both incident and diffracted in passing through the layers, resulted quite simple, rapid and non-destructive

  16. Atmospheric deposition of heavy metals in transilvanian plateau of romania studied by the moss bio monitoring Technique employing nuclear and related analytical Techniques and gis technology

    International Nuclear Information System (INIS)

    Lucaciu, Adriana; Craciun, L.; Cuculeanu, V.; Eseanu, D.

    2001-01-01

    This paper presents data for 39 elements of 69 moss samples (Hypnum cupressiforme) collected in the Transilvanian Plateau of Romania. This results have obtained in the framework of the project Atmospheric Deposition of Heavy Metals in Rural and Urban Areas of Romania Studied by the Moss Bio monitoring Technique Employing Nuclear and Related Analytical Techniques and GIS Technology carried out under the auspices of the International Atomic Energy Agency, Vienna.The samples collected have been analyzed by ENAA with the exception of Cu, Cd, and Pb which were determined by AAS. IAEA certified materials were used to ensure the quality of the measurements. The regional concentration variations of selected elements are presented in the form of maps constructed by GIS technology. Extremely high values are observed for elements such as Cu, Zn, As and Sb in parts of this territory affected by local metal industries. The levels are among the highest observed in the world, and could be partly responsible for the unfortunate health situation in some of these areas

  17. Deposition and modification of tantalum carbide coatings on graphite by laser interactions

    International Nuclear Information System (INIS)

    Veligdan, J.; Branch, D.; Vanier, P.E.; Barletta, R.E.

    1992-01-01

    Graphite surfaces can be hardened and protected from erosion by hydrogen at high temperatures by refractory metal carbide coatings, which are usually prepared by chemical vapor deposition (CVD) or chemical vapor reaction (CVR) methods. These techniques rely on heating the substrate to a temperature where a volatile metal halide decomposes and reacts with either a hydrocarbon gas or with carbon from the substrate. For CVR techniques, deposition temperatures must be in excess of 2000 degrees C in order to achieve favorable deposition kinetics. In an effort to lower the bulk substrate deposition temperature, the use of laser interactions with both the substrate and the metal halide deposition gas has been employed. Initial testing, involved the use of a CO 2 laser to heat the surface of a graphite substrate and a KrF excimer laser to accomplish a photodecomposition of TaCl 5 gas near the substrate. Results of preliminary experiments using these techniques are described

  18. Perioperative ultrasound-guided wire marking of calcific deposits in calcifying tendinitis of the rotator cuff.

    Science.gov (United States)

    Sigg, Andreas; Draws, Detlev; Stamm, Axel; Pfeiffer, Michael

    2011-03-01

    The identification of a calcific deposit in the rotator cuff can often cause difficulties. A new technique is described to identify the calcific deposit perioperatively with a ultrasound-guided wire. The technique allows a safe direct marking of calcific deposits making the procedure faster especially in difficult cases.

  19. In situ growth monitoring of AlGaN/GaN distributed Bragg reflectors at 530 nm using a 633 nm laser

    Energy Technology Data Exchange (ETDEWEB)

    Wen Feng; Huang Lirong; Jiang Bo; Tong Liangzhu; Xu Wei; Liu Deming, E-mail: hlr5649@163.co [Wuhan National Laboratory for Optoelectronics, College of Opto-Electronics Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China)

    2010-09-15

    The metal-organic chemical vapor deposition (MOCVD) growth of AlGaN/GaN distributed Bragg reflectors (DBR) with a reflection peak at 530 nm was in situ monitored using 633 nm laser reflectometry. Evolutions of in situ reflected reflectivity for different kinds of AlGaN/GaN DBR were simulated by the classical transfer matrix method. Two DBR samples, which have the same parameters as the simulated structures, were grown by MOCVD. The simulated and experimental results show that it is possible to evaluate the DBR parameters from the envelope shape of the in situ reflectivity spectrum. With the help of the 633 nm laser reflectometry, a DBR light emitting diode (LED) was grown. The room temperature photoluminescence spectra show that the reflection peak of the DBR in the LED is within the design region. (semiconductor devices)

  20. Atmospheric heavy metal deposition in Europe estimated by moss analysis

    Energy Technology Data Exchange (ETDEWEB)

    Ruehling, Aa. [Swedish Environmental Research Inst., Lund (Sweden). Dept. of Ecology

    1995-12-31

    Atmospheric heavy metal deposition in Europe including 21 countries was monitored in 1990-1992 by the moss technique. This technique is based on the fact that the concentrations of heavy metals in moss are closely correlated to atmospheric deposition. This was the first attempt to map heavy metal deposition in this large area. The objectives of the project were to characterise qualitatively and quantitatively the regional atmospheric deposition pattern of heavy metals in background areas in Europe, to indicate the location of important heavy metal pollution sources and to allow retrospective comparisons with similar studies. The present survey is a follow-up of a joint Danish and Swedish project in 1980 and an extended survey in 1985 within the framework of the Nordic Council of Ministers. In Sweden, heavy-metal deposition was first mapped on a nation-wide scale in 1968-1971 and 1975. (author)