WorldWideScience

Sample records for deposition mocvd process

  1. In-situ deposition of YBCO high-Tc superconducting thin films by MOCVD and PE-MOCVD

    International Nuclear Information System (INIS)

    Zhao, J.; Noh, D.W.; Chern, C.; Li, Y.Q.; Norris, P.E.; Kear, B.; Gallois, B.

    1991-01-01

    Metal-Organic Chemical Vapor Deposition (MOCVD) offers the advantages of a high degree of compositional control, adaptability for large scale production, and the potential for low temperature fabrication. The capability of operating at high oxygen partial pressure is particularly suitable for in situ formation of high temperature superconducting (HTSC) films. Yttrium barium copper oxide (YBCO) thin films having a sharp zero-resistance transition with T(sub c) greater than 90 K and J(sub c) of approximately 10(exp 4) A on YSZ have been prepared, in situ, at a substrate temperature of about 800 C. Moreover, the ability to form oxide films at low temperature is very desirable for device applications of HTSC materials. Such a process would permit the deposition of high quality HTSC films with a smooth surface on a variety of substrates. Highly c-axis oriented, dense, scratch resistant, superconducting YBCO thin films with mirror-like surfaces have been prepared, in situ, at a reduced substrate temperature as low as 570 C by a remote microwave-plasma enhanced metal-organic chemical vapor deposition (PE-MOCVD) process. Nitrous oxide was used as a reactant gas to generate active oxidizing species. This process, for the first time, allows the formation of YBCO thin films with the orthorhombic superconducting phase in the as-deposited state. The as-deposited films grown by PE-MOCVD show attainment of zero resistance at 72 K with a transition width of about 5 K. MOCVD was carried out in a commercial production scale reactor with the capability of uniform deposition over 100 sq cm per growth run. Preliminary results indicate that PE-MOCVD is a very attractive thin film deposition process for superconducting device technology

  2. Deposition studies and coordinated characterization of MOCVD YBCO films on IBAD-MgO templates

    International Nuclear Information System (INIS)

    Aytug, T; Paranthaman, M; Heatherly, L; Zuev, Y; Zhang, Y; Kim, K; Goyal, A; Maroni, V A; Chen, Y; Selvamanickam, V

    2009-01-01

    A recently installed research-scale metal-organic chemical vapor deposition (MOCVD) system at Oak Ridge National Laboratory, provided by SuperPower, Inc., has been used to investigate processing variables for MOCVD YBCO precursors and trends in the resulting properties. Systematic studies of YBCO film growth on LaMnO 3 /IBAD-MgO templates were carried out by optimizing deposition temperature and oxygen flow rate. Microstructural and superconducting properties of the YBCO films were analyzed by x-ray diffraction, scanning electron microscopy and transport measurements. The identification of intermediate phases formed during the YBCO precursor transformation was investigated by coordinated reel-to-reel Raman microprobe analysis. With this combination of various characterization techniques, an improved understanding of the growth characteristics of MOCVD YBCO films was established. Finally, critical current densities greater than 2 MA cm -2 for film thicknesses of 0.8 μm were demonstrated.

  3. Deposition studies and coordinated characterization of MOCVD YBCO films on IBAD-MgO templates

    Energy Technology Data Exchange (ETDEWEB)

    Aytug, T; Paranthaman, M [Oak Ridge National Laboratory, Chemical Sciences Division, Oak Ridge, TN 37831 (United States); Heatherly, L; Zuev, Y; Zhang, Y; Kim, K; Goyal, A [Oak Ridge National Laboratory, Materials Science and Technology Division, Oak Ridge, TN 37831 (United States); Maroni, V A [Argonne National Laboratory, Chemical Sciences and Engineering Division, Argonne, IL 60439 (United States); Chen, Y; Selvamanickam, V [SuperPower, Incorporated, 450 Duane Avenue, Schenectady, NY 12304 (United States)], E-mail: aytugt@ornl.gov

    2009-01-15

    A recently installed research-scale metal-organic chemical vapor deposition (MOCVD) system at Oak Ridge National Laboratory, provided by SuperPower, Inc., has been used to investigate processing variables for MOCVD YBCO precursors and trends in the resulting properties. Systematic studies of YBCO film growth on LaMnO{sub 3}/IBAD-MgO templates were carried out by optimizing deposition temperature and oxygen flow rate. Microstructural and superconducting properties of the YBCO films were analyzed by x-ray diffraction, scanning electron microscopy and transport measurements. The identification of intermediate phases formed during the YBCO precursor transformation was investigated by coordinated reel-to-reel Raman microprobe analysis. With this combination of various characterization techniques, an improved understanding of the growth characteristics of MOCVD YBCO films was established. Finally, critical current densities greater than 2 MA cm{sup -2} for film thicknesses of 0.8 {mu}m were demonstrated.

  4. Epitaxial growth and processing of InP films in a ``novel`` remote plasma-MOCVD apparatus

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, G. [Bari Univ. (Italy). Centro di Studio per la Chimica; Losurdo, M. [Bari Univ. (Italy). Centro di Studio per la Chimica; Capezzuto, P. [Bari Univ. (Italy). Centro di Studio per la Chimica; Capozzi, V. [Bari Univ. (Italy). Ist. di Fisica; Lorusso, F.G. [Bari Univ. (Italy). Ist. di Fisica; Minafra, A. [Bari Univ. (Italy). Ist. di Fisica

    1996-06-01

    A new remote plasma MOCVD apparatus for the treatment and deposition of III-V materials and, specifically, of indium phosphide, has been developed. The plasma source is used to produce hydrogen atoms and to predissociate phosphine for, respectively, the reduction of native oxide on InP substrate surface and the InP deposition. In situ diagnostics by optical emission spectroscopy, mass spectrometry, and spectroscopic ellipsometry are used to fingerprint the gas phase and the growth surface. The plasma cleaning process effectively reduce the InP oxide layer without surface damage. Indium phosphide epilayers deposited from trimethylindium and plasma activated PH{sub 3} show singular photoluminescence spectra with signal intensity higher than that of the best InP film deposited under conventional MOCVD condition (without PH{sub 3} plasma preactivation). (orig.)

  5. MOCVD and ALD of rare earth containing multifunctional materials. From precursor chemistry to thin film deposition and applications

    International Nuclear Information System (INIS)

    Milanov, Andrian Petrov

    2010-01-01

    The present thesis deals with the development of metal-organic complexes of rare elements. They should be used as novel precursors for the production of rare earth thin films by metal-organic chemical vapor deposition (MOCVD) and Atomic Layer Deposition (ALD). Within the work two precursor classes were examined, the tris-Malonato-complexes as well as the tris-Guanidinato-complexes of a series of rare earth metals. The latter showed excellent properties regarding to their volatility, their thermal stability, the defined decomposition and high reactivity towards water. They have been successfully used as precursors for the MOCVD of rare earth oxide layers. By using of a gadolinium guanidinate it could also be shown that the rare earth guanidinates are promising precursors for ALD of rare earth oxide and MOCVD of rare earth nitride layers. [de

  6. Characterisation of titanium nitride films obtained by metalorganic chemical vapor deposition (MOCVD); Caracterizacao de filmes de nitreto de titanio obtidos por MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Pillis, M.F., E-mail: mfpillis@ipen.b [Instituto de Pesquisas Energeticas e Nucleares (CCTM/IPEN/CNEN-SP), Sao Paulo, SP (Brazil). Centro de Ciencia e Tecnologia de Materiais; Franco, A.C. [Universidade de Sao Paulo (IF/USP), SP (Brazil). Inst. de Fisica; Araujo, E.G. de [Instituto de Pesquisas Energeticas e Nucleares (IPEN/CNEN-SP), Sao Paulo, SP (Brazil); Sacilotti, M. [Universidade Federal de Pernambuco (IF/UFPE), Recife, PE (Brazil). Inst. de Fisica; Fundacao de Amparo a Ciencia e Tecnologia de Pernambuco (FACEPE), Recife, PE (Brazil)

    2009-07-01

    Ceramic coatings have been widely used as protective coating to improve the life of cutting tools, for corrosion protection and in microelectronics, optical and medical areas. Transition metals nitrides are of special interest due to its high hardness and thermal stability. In this work thin films of titanium nitride were obtained by MOCVD (metalorganic chemical vapor deposition) process. The tests were carried out for 1h at 700 deg C under 80 and 100 mbar of pressure. The characterization was made by using scanning electron microscopy coupled with dispersive energy analysis, and X-ray diffraction. Preliminary results suggested that Ti{sub 2}N phase was formed and that the growth rate varied between 4 and 13 nm/min according to the process parameter considered. (author)

  7. Development of high-temperature superconducting coated conductor by MOCVD method

    International Nuclear Information System (INIS)

    Kim, Chan Joong; Jun, Byung Hyuk; Jung, Choung Hwan

    2004-07-01

    To fabricate the second generation superconductor wire, coated conductor, we selected MOCVD (Metal organic chemical vapor deposition) method which is commercially available and whose growth rate is very high. The first buffer layer CeO 2 was successfully deposited on the Ni tape. The thick Y-stabilized ZrO 2 layer was thus inserted between two CeO 2 layers by MOCVD method. The c-axis growth of the first CeO 2 , the inserted YSZ and top CeO 2 layer was achieved by optimized the deposition condition for the three buffers. It was found that the YBCO deposition was fairly dependant on the depostion temperature, time, oxygen partial pressure, amount of the source supplied. Especially the thickness of the YBCO films was linearly dedendant on the deposition temperature and time, but current properties was not linearly dependant on the film thickness. The critical current (Ic) of the YBCO film grown on SrTiO 3 and IBAD template were over 100 A/cm-width and 50 A/cm-width at 77 K and 0 field. To establish the MOCVD process, collaboration work with several organizations was made

  8. Reduced thermal budget processing of Y-Ba-Cu-O films by rapid isothermal processing assisted metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Singh, R.; Sinha, S.; Hsu, N.J.; Ng, J.T.C.; Chou, P.; Thakur, R.P.S.; Narayan, J.

    1991-01-01

    Metalorganic chemical vapor deposition (MOCVD) has the potential of emerging as a viable technique to fabricate ribbons, tapes, coated wires, and the deposition of films of high-temperature superconductors, and related materials. As a reduced thermal budget processing technique, rapid isothermal processing (RIP) based on incoherent radiation as the source of energy can be usefully coupled to conventional MOCVD. In this paper we report on the deposition and characterization of high quality superconducting thin films of Y-Ba-Cu-O (YBCO) on yttrium stabilized zirconia substrates by RIP assisted MOCVD. Using O 2 gas as the source of oxygen, YBCO films deposited initially at 600 degree C for 1 min and at 745 degree C for 25 min followed by deposition at 780 degree C for 45 s are primarily c-axis oriented and zero resistance is observed at 89--90 K. The zero magnetic field current density at 53 and 77 K are 1.2x10 6 and 3x10 5 A/cm 2 , respectively. By using a mixture of N 2 O and O 2 as the oxygen source substrate temperature was further reduced in the deposition of YBCO films. The films deposited initially at 600 degree C for 1 min and than at 720 degree C for 30 min are c-axis oriented and with zero resistance being observed at 91 K. The zero magnetic field current densities at 53 and 77 K are 3.4x10 6 and 1.2x10 6 A/cm 2 , respectively. To the best of our knowledge this is the highest value of critical current density, J c for films deposited by MOCVD at a substrate temperature as low as 720 degree C. It is envisioned that high energy photons from the incoherent light source and the use of a mixture of N 2 O and O 2 as the oxygen source, assist chemical reactions and lower overall thermal budget for processing of these films

  9. Influence of the growth parameters on TiO2 thin films deposited using the MOCVD method

    Directory of Open Access Journals (Sweden)

    Bernardi M. I. B.

    2002-01-01

    Full Text Available In this work we report the synthesis of TiO2 thin films by the Organometallic Chemical Vapor Deposition (MOCVD method. The influence of deposition parameters used during the growth in the obtained structural characteristics was studied. Different temperatures of the organometallic bath, deposition time, temperature and type of the substrate were combined. Using Scanning Electron Microscopy associated to Electron Dispersive X-Ray Spectroscopy, Atomic Force Microscopy and X-ray Diffraction, the strong influence of these parameters in the thin films final microstructure was verified.

  10. Preparation of YBCO on YSZ layers deposited on silicon and sapphire by MOCVD: influence of the intermediate layer on the quality of the superconducting film

    International Nuclear Information System (INIS)

    Garcia, G.; Casado, J.; Llibre, J.; Doudkowski, M.; Santiso, J.; Figueras, A.; Schamm, S.; Dorignac, D.; Grigis, C.; Aguilo, M.

    1995-01-01

    YSZ buffer layers were deposited on silicon and sapphire by MOCVD. The layers deposited on silicon were highly oriented along [100] direction without in-plane orientation, probably because the existence of the SiO 2 amorphous interlayer. In contrast, epitaxial YSZ was obtained on (1-102) sapphire showing an in-plane texture defined by the following relationships: (100) YSZ // (1-102) sapphire and (110) YSZ // (01-12) sapphire. Subsequently, YBCO films were deposited on YSZ by MOCVD. Structural, morphological and electrical characterization of the superconducting layers were correlated with the in-plane texture of the buffer layers. (orig.)

  11. Characterization of long-length, MOCVD-derived REBCO coated conductors.

    Energy Technology Data Exchange (ETDEWEB)

    Miller, D. J.; Maroni, V. A.; Hiller, J. M.; Koritala, R. E.; Chen, Y.; Reeves Black, J. L.; Selvamanickam, V.; SuperPower, Inc.; Development Dimensions International, Inc.

    2009-06-01

    A leading approach to the fabrication of long-length, high-performance REBa{sub 2}Cu{sub 3}O{sub 7} (REBCO) coated conductor is by metal-organic chemical vapor deposition (MOCVD) of REBCO on buffered templates. Templates are produced by ion beam assisted deposition of textured MgO onto polished metal substrates. The overall performance of MOCVD coated conductors achieved to date is impressive, but further improvement is desired. We have used a coordinated set of characterization techniques to identify the underlying causes for critical current (Ic) performance variations in long-length MOCVD conductors. Using electron microscopy and Raman spectroscopy, we studied tape specimens from specially designed experiments performed in SuperPower's MOCVD manufacturing equipment with its six-track ldquohelixrdquo tape path. We find that in multi-pass depositions used to produce thicker REBCO films, the REBCO phase uniformity and texture quality in the first pass play key roles in pass-to-pass microstructure evolution, with nucleation of second phase particles in the first layer promoting misoriented grains that propagate through subsequent layers. These misoriented grains, many growing in close proximity with second phase particles, present current-blocking obstacles that limit Ic performance. Our results show that achieving more uniform deposition in the very first deposited layer plays a critical role that in turn leads to reduced misoriented grain content and REBCO lattice disorder in the second and subsequent layers of the REBCO film.

  12. Thermodynamic analysis of growth of iron oxide films by MOCVD ...

    Indian Academy of Sciences (India)

    Abstract. Thermodynamic calculations, using the criterion of minimization of total Gibbs free energy of the system, have been carried out for the metalorganic chemical vapour deposition (MOCVD) process involving the -ketoesterate complex of iron [tris(-butyl-3-oxo-butanoato)iron(III) or Fe(tbob)3] and molecular oxygen.

  13. Contribution towards ALD and MOCVD of rare earth oxides and hafnium oxide. From precursor evaluation to process development and thin film characterization

    International Nuclear Information System (INIS)

    Xu, Ke

    2013-01-01

    This PhD thesis is consisted of two major parts: precursor development for ALD and MOCVD applications as well as thin film deposition using ALD and MOCVD with self developed precursors. The first part of this work presents the synthesis, characterization and detailed thermal property investigations of different novel group IV and rare earth precursor classes (guandinate, guanidine and ketoiminate). The second part of this work presents the ALD and MOCVD depositions using various guanidinate precursors for forming corresponding metal oxide thin films. The overall motivation of this work is to fulfill the lack of precursors of rare earth and group IV elements for ALD and MOCVD applications that satisfy the stringent requirements for the modern microelectronic and optoelectronic technologies. The aspect of the precursor engineering part is focusing on influence of ligand sphere on precursors' chemical and thermal properties. In this way, we successfully introduced guanidine and ketoiminate as potential ligands for the precursor design. The thin film deposition part of this work is ALD of rare earth oxides and group IV oxides employing literature known compounds which were previously developed in our research group. The main focus was dedicated to the process optimization, the characterization of the structural, morphological, compositional and functional properties of the deposited thin films. Certain film properties were discussed comparatively with the corresponding thin films deposited with literature known precursors. It was already shortly demonstrated in Chapter 6 that the guanidine ligand showed potential interest as suitable ligand for precursor engineering. This titan guanidine precursor [Ti(NC(NMe 2 ) 2 ) 4 ] (GD1) possesses higher thermal stability compared to its parent amide, [Ti(NMe 2 ) 4 ], while reactivity against water is not significantly affected. It could be very interesting to transfer this ligand for the precursor development of rare earth

  14. Structural characterization of one-dimensional ZnO-based nanostructures grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Sallet, Vincent; Falyouni, Farid; Marzouki, Ali; Haneche, Nadia; Sartel, Corinne; Lusson, Alain; Galtier, Pierre [Groupe d' Etude de la Matiere Condensee (GEMAC), CNRS-Universite de Versailles St-Quentin, Meudon (France); Agouram, Said [SCSIE, Universitat de Valencia, Burjassot (Spain); Enouz-Vedrenne, Shaima [Thales Research and Technology France, Palaiseau (France); Munoz-Sanjose, Vicente [Departamento de Fisica Aplicada y Electromagnetismo, Universitat de Valencia, Burjassot (Spain)

    2010-07-15

    Various one-dimensional (1D) ZnO-based nanostructures, including ZnO nano-wires (NWs) grown using vapour-liquid-solid (VLS) process, ZnO/ZnSe core/shell, nitrogen-doped ZnO and ZnMgO NWs were grown by metalorganic chemical vapour deposition (MOCVD). Transmission electron microscopy (TEM) analysis is presented. For all the samples, a high crystalline quality is observed. Some features are emphasized such as the gold contamination of ZnO wires grown under the metal droplets in the VLS process. It is concluded that MOCVD is a suitable technique for the realization of original ZnO nanodevices. (Abstract Copyright [2010], Wiley Periodicals, Inc.)

  15. Recent developments in the MOCVD and ALD of rare earth oxides and silicates

    International Nuclear Information System (INIS)

    Jones, Anthony C.; Aspinall, Helen C.; Chalker, Paul R.; Potter, Richard J.; Kukli, Kaupo; Rahtu, Antti; Ritala, Mikko; Leskelae, Markku

    2005-01-01

    Lanthanide, or rare-earth oxides are currently being investigated as alternatives to SiO 2 as the dielectric insulating layer in sub-0.1 μm CMOS technology. Metalorganic chemical vapour deposition (MOCVD) and atomic layer deposition (ALD) are promising techniques for the deposition of these high-κ dielectric oxides and in this paper some of our recent research into the MOCVD and ALD of PrO x , La 2 O 3 , Gd 2 O 3 , Nd 2 O 3 and their related silicates are reviewed

  16. YBa2Cu3O7 films prepared by aerosol MOCVD

    International Nuclear Information System (INIS)

    Weiss, F.; Froehlich, K.; Haase, R.; Labeau, M.; Selbmann, D.; Senateur, J.P.; Thomas, O.

    1993-01-01

    In the present study we report on properties of YBa 2 Cu 3 O 7 films prepared by aerosol MOCVD. We give a short description of the process and we focus on the superconducting and related properties of the films deposited on SrTiO 3 , LaAlO 3 and NdGaO 3 single crystalline substrates. (orig.)

  17. New principle of feeding for flash evaporation MOCVD devices

    International Nuclear Information System (INIS)

    Kaul, A.R.; Seleznev, B.V.

    1993-01-01

    A novel scheme of flash evaporation feeding for MOCVD processes of multi-component oxide films deposition is proposed. The scheme comprises 1) microdozage of organic solution of solid volatile precursors on the glass fiber belt, 2) evaporation of the solvent and 3) flash evaporation of MOC microdoses from the belt. The functioning of the designed feeder is described and the features of proposed scheme in comparison to existing feeding principles are discussed. (orig.)

  18. Reduced thermal budget processing of Y--Ba--Cu--O high temperature superconducting thin films by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Singh, R.; Sinha, S.; Hsu, N.J.; Ng, J.T.C.; Chou, P.; Thakur, R.P.S.; Narayan, J.

    1991-01-01

    Metalorganic chemical vapor deposition (MOCVD) has the potential of emerging as a viable technique to fabricate ribbons, tapes, coated wires, and the deposition of films of high temperature superconductors, and related materials. As a reduced thermal budget processing technique, rapid isothermal processing (RIP) based on incoherent radiation as the source of energy can be usefully coupled to conventional MOCVD. In this paper we report on the deposition and characterization of high quality superconducting thin films of Y--Ba--Cu--O (YBCO) on MgO and SrTiO 3 substrates by RIP assisted MOCVD. By using a mixture of N 2 O and O 2 as the oxygen source films deposited initially at 600 degree C for 1 min and then at 740 degree C for 30 min are primarily c-axis oriented and with zero resistance being observed at 84 and 89 K for MgO and SrTiO 3 substrates, respectively. The zero magnetic field current densities at 77 K for MgO and SrTiO 3 substrates are 1.2x10 6 and 1.5x10 6 A/cm 2 , respectively. It is envisaged that high energy photons from the incoherent light source and the use of a mixture of N 2 O and O 2 as the oxygen source, assist chemical reactions and lower overall thermal budget for processing of these films

  19. Nucleation and growth of copper oxide films in MOCVD processes using the β-ketoiminate precursor 4,4'-(1,2-ethanediyldinitrilo)bis(2-pentanonate) copper(II)

    International Nuclear Information System (INIS)

    Condorelli, G.G.; Malandrino, G.; Fragala, I.L.

    1999-01-01

    The MOCVD of CuO has attracted much attention because of its application in high-T c superconducting films and gas sensors. This work focuses on the potential of a β-ketoiminate copper complex as an alternative MOCVD source to β-diketonate complexes. Particular attention has been given to factors such as texturing, roughness, and grain size of the deposit. (orig.)

  20. The effects of H sub 2 addition on the enhanced deposition rate and high quality Cu films by MOCVD

    CERN Document Server

    Lee, J H; Park, S J; Choi, S Y

    1998-01-01

    High-quality Cu thin films were deposited on the TiN/Si substrate from the hexafluoroacetylacetonate Copper thrmethylvinylsilane [Cu (hfac) (tmvs)] source using a metal organic chemical vapor deposition (MOCVD) technique. The optimum deposition condition is with a substrate temperature of 200 .deg. C and the hydrogen flow rate of 80 sccm. The deposition rate, electrical resistivity, surface morphology, grain size, and optical properties of the deposited Cu films were investigated by the AES, four-point probe, SEM, XRD, and the visible spectrophotometer as a function of hydrogen gas flow rate, The results indicated that additional hydrogen gas affects the CVD hydrogen reduction reaction improving the purity, deposition rate, and electrical resistivity of Cu thin films. A prospective idea will be discussed for the preparation of Cu thin films showing a more enhanced electromigration resistance applicable to the next-generation interconnection.

  1. Plasmas for the low-temperature growth of high-quality GaN films by molecular beam epitaxy and remote plasma MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Capezzuto, P.; Bruno, G. [Plasmachemistry Research Center, CNR, Bari (Italy); Namkoong, G.; Doolittle, W.A.; Brown, A.S. [Georgia Inst. of Tech., Atlanta (United States). School of Electrical and Computer Engineering, Microelectronic Research Center

    2002-03-16

    GaN heteroepitaxial growth on sapphire (0001) substrates was carried out by both radio-frequency (rf) remote plasma metalorganic chemical vapor deposition (RP-MOCVD) and molecular beam epitaxy (MBE). A multistep growth process including substrate plasma cleaning and nitridation, buffer growth, its subsequent annealing and epilayer growth was used. In order to achieve a better understanding of the GaN growth, in-situ real time investigation of the surface chemistry is performed for all the steps using the conventional reflection high-energy electron spectroscopy (RHEED) during the MBE process, while laser reflectance interferometry (LRI) and spectroscopic ellipsometry (SE), which do not require UHV conditions, are used for the monitoring of the RP-MOCVD process. The chemistry of the rf N{sub 2} plasma sapphire nitridation and its effect on the epilayer growth and quality are discussed in both MBE and RP-MOCVD. (orig.)

  2. Multilayer porous structures of HVPE and MOCVD grown GaN for photonic applications

    Science.gov (United States)

    Braniste, T.; Ciers, Joachim; Monaico, Ed.; Martin, D.; Carlin, J.-F.; Ursaki, V. V.; Sergentu, V. V.; Tiginyanu, I. M.; Grandjean, N.

    2017-02-01

    In this paper we report on a comparative study of electrochemical processes for the preparation of multilayer porous structures in hydride vapor phase epitaxy (HVPE) and metal organic chemical vapor phase deposition (MOCVD) grown GaN. It was found that in HVPE-grown GaN, multilayer porous structures are obtained due to self-organization processes leading to a fine modulation of doping during the crystal growth. However, these processes are not totally under control. Multilayer porous structures with a controlled design have been produced by optimizing the technological process of electrochemical etching in MOCVD-grown samples, consisting of five pairs of thin layers with alternating-doping profiles. The samples have been characterized by SEM imaging, photoluminescence spectroscopy, and micro-reflectivity measurements, accompanied by transfer matrix analysis and simulations by a method developed for the calculation of optical reflection spectra. We demonstrate the applicability of the produced structures for the design of Bragg reflectors.

  3. Study on the optimization of the deposition rate of planetary GaN-MOCVD films based on CFD simulation and the corresponding surface model

    Science.gov (United States)

    Li, Jian; Fei, Ze-yuan; Xu, Yi-feng; Wang, Jie; Fan, Bing-feng; Ma, Xue-jin; Wang, Gang

    2018-02-01

    Metal-organic chemical vapour deposition (MOCVD) is a key technique for fabricating GaN thin film structures for light-emitting and semiconductor laser diodes. Film uniformity is an important index to measure equipment performance and chip processes. This paper introduces a method to improve the quality of thin films by optimizing the rotation speed of different substrates of a model consisting of a planetary with seven 6-inch wafers for the planetary GaN-MOCVD. A numerical solution to the transient state at low pressure is obtained using computational fluid dynamics. To evaluate the role of the different zone speeds on the growth uniformity, single factor analysis is introduced. The results show that the growth rate and uniformity are strongly related to the rotational speed. Next, a response surface model was constructed by using the variables and the corresponding simulation results. The optimized combination of the matching of different speeds is also proposed as a useful reference for applications in industry, obtained by a response surface model and genetic algorithm with a balance between the growth rate and the growth uniformity. This method can save time, and the optimization can obtain the most uniform and highest thin film quality.

  4. The effect of thermal history on microstructure of Er_2O_3 coating layer prepared by MOCVD process

    International Nuclear Information System (INIS)

    Tanaka, Masaki; Takezawa, Makoto; Hishinuma, Yoshimitsu; Tanaka, Teruya; Muroga, Takeo; Ikeno, Susumu; Lee, Seungwon; Matsuda, Kenji

    2016-01-01

    Er_2O_3 is a high potential candidate material for tritium permeation barrier and electrical insulator coating for advanced breeding blanket systems with liquid metal or molten-salt types. Recently, Hishinuma et al. reported to form homogeneous Er_2O_3 coating layer on the inner surface of metal pipe using Metal Organic Chemical Vapor Deposition (MOCVD) process. In this study, the influence of thermal history on microstructure of Er_2O_3 coating layer on stainless steel 316 (SUS 316) substrate by MOCVD process was investigated using SEM, TEM and XRD. The ring and net shape selected-area electron diffraction (SAED) patterns of Er_2O_3 coating were obtained each SUS substrates, revealed that homogeneous Er_2O_3 coating had been formed on SUS substrate diffraction patterns. Close inspection of SEM images of the surface on the Er_2O_3 coating before and after thermal cycling up to 700degC in argon atmosphere, it is confirmed that the Er_2O_3 particles were refined by thermal history. The column-like Er_2O_3 grains were promoted to change to granular structure by thermal history. >From the cross-sectional plane of TEM observations, the formation of interlayer between Er_2O_3 coating and SUS substrate was also confirmed. (author)

  5. Growth and characterization of InP/GaAs on SOI by MOCVD

    International Nuclear Information System (INIS)

    Karam, N.H.; Haven, V.; Vernon, S.M.; Namavar, F.; El-Masry, N.; Haegel, N.; Al-Jassin, M.M.

    1990-01-01

    This paper reports that epitaxial InP films have been successfully deposited on GaAs coated silicon wafers with a buried oxide for the first time by MOCVD. The SOI wafers were prepared using the Separation by Implantation of Oxygen (SIMOX) process. The quality of InP on SIMOX is comparable to the best of InP on Si deposited in the same reactor. Preliminary results on defect reduction techniques such as Thermal Cycle Growth (TCG) show an order of magnitude increase in the photoluminescence intensity and a factor of five reduction in the defect density. TCG has been found more effective than Thermal Cycle Annealing (TCA) in improving the crystalline perfection and optical properties of the deposited films

  6. Deposition of Y-Sm Oxide on Metallic Substrates for the YBCO Coated Conductor by MOCVD Method

    International Nuclear Information System (INIS)

    Choi, Jun Kyu; Kim, Min Woo; Jun, Byung Hyuk; Kim, Chan Joong; Lee, Hee Gyoun; Hong, Gye Won

    2005-01-01

    Complex single buffer composed of yttrium and samarium oxide was deposited on the metallic substrates by MOCVD (metal organic chemical vapor deposition) method using single liquid source. Two different types of the substrates with in-plane textures of about 8 - 10 degree of Ni and 3at.%W-Ni alloy were used. Y(tmhd: 2,2,6,6-tetramethyl-3,5-heptane dionate) 3 :Sm(tmhd) 3 of liquid source was adjusted to 0.4:0.6 to minimize the lattice mismatch between the complex single buffer and the YBCO. The epitaxial growth of (Y x Sm 1-x ) 2 O 3 was achieved at the temperature higher than 500 degree C in O 2 atmosphere. However, it was found that the formation of NiO accelerated with increasing deposition temperature. By supplying H 2 O vapor, this oxidation of the substrate could be suppressed throughout the deposition temperatures. We could get the epitaxial growth on pure Ni substrate without the formation of NiO. The competitive (222) and (400) growths were observed at the deposition temperatures of 650 - 750 degree C, but the (400) growth became dominant above 800 degree. The (Y x Sm 1-x ) 2 O 3 -buffered metallic substrates can be used as the buffer for YBCO coated conductor.

  7. Half-sandwich cobalt complexes in the metal-organic chemical vapor deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Georgi, Colin [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Hapke, Marko; Thiel, Indre [Leibniz-Institut für Katalyse e.V. an der Universität Rostock (LIKAT), Albert-Einstein-Straße 29a, Rostock 18059 (Germany); Hildebrandt, Alexander [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Waechtler, Thomas; Schulz, Stefan E. [Fraunhofer Institute of Electronic Nano Systems (ENAS), Technologie-Campus 3, Chemnitz 09126 (Germany); Technische Universität Chemnitz, Center for Microtechnologies (ZfM), Chemnitz 09107 (Germany); Lang, Heinrich, E-mail: heinrich.lang@chemie.tu-chemnitz.de [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany)

    2015-03-02

    A series of cobalt half-sandwich complexes of type [Co(η{sup 5}-C{sub 5}H{sub 5})(L)(L′)] (1: L, L′ = 1,5-hexadiene; 2: L = P(OEt){sub 3}, L′ = H{sub 2}C=CHSiMe{sub 3}; 3: L = L′ = P(OEt){sub 3}) has been studied regarding their physical properties such as the vapor pressure, decomposition temperature and applicability within the metal-organic chemical vapor deposition (MOCVD) process, with a focus of the influence of the phosphite ligands. It could be shown that an increasing number of P(OEt){sub 3} ligands increases the vapor pressure and thermal stability of the respective organometallic compound. Complex 3 appeared to be a promising MOCVD precursor with a high vapor pressure and hence was deposited onto Si/SiO{sub 2} (100 nm) substrates. The resulting reflective layer is closed, dense and homogeneous, with a slightly granulated surface morphology. X-ray photoelectron spectroscopy (XPS) studies demonstrated the formation of metallic cobalt, cobalt phosphate, cobalt oxide and cobalt carbide. - Highlights: • Thermal studies and vapor pressure measurements of cobalt half-sandwich complexes was carried out. • Chemical vapor deposition with cobalt half-sandwich complexes is reported. • The use of Co-phosphites results in significant phosphorous-doped metallic layers.

  8. Thermodynamic investigation of the MOCVD of copper films from bis ...

    Indian Academy of Sciences (India)

    Equilibrium concentrations of various condensed and gaseous phases have been thermodynamically calculated, using the free energy minimization criterion, for the metalorganic chemical vapour deposition (MOCVD) of copper films using bis(2,2,6,6-tetramethyl-3,5-heptadionato)copper(II) as the precursor material.

  9. Growth and characterization of germanium epitaxial film on silicon (001 with germane precursor in metal organic chemical vapour deposition (MOCVD chamber

    Directory of Open Access Journals (Sweden)

    Kwang Hong Lee

    2013-09-01

    Full Text Available The quality of germanium (Ge epitaxial film grown directly on a silicon (Si (001 substrate with 6° off-cut using conventional germane precursor in a metal organic chemical vapour deposition (MOCVD system is studied. The growth sequence consists of several steps at low temperature (LT at 400 °C, intermediate temperature ramp (LT-HT of ∼10 °C/min and high temperature (HT at 600 °C. This is followed by post-growth annealing in hydrogen at temperature ranging from 650 to 825 °C. The Ge epitaxial film of thickness ∼ 1 μm experiences thermally induced tensile strain of 0.11 % with a treading dislocation density (TDD of ∼107/cm2 and the root-mean-square (RMS roughness of ∼ 0.75 nm. The benefit of growing Ge epitaxial film using MOCVD is that the subsequent III-V materials can be grown in-situ without the need of breaking the vacuum hence it is manufacturing worthy.

  10. YBa2Cu3O7-δ thin films deposited by MOCVD vertical reactor with a flow guide

    International Nuclear Information System (INIS)

    Sujiono, E.H.; Negeri Makassar; Sani, R.A.; Saragi, T.; Arifin, P.; Barmawi, M.

    2001-01-01

    The effect of a flow guide in a vertical MOCVD reactor on the deposition uniformity and growth rate of thin YBCO films has been studied. Without the flow guide the growth rates are low, have a poor uniformity and the film composition is not stoichiometric. The growth rate of the films grown using a reactor with the flow guide was approximately twice that without the flow guide. Using this flow guide the growth rates were 0.4-0.7 μm/h for growth temperatures varying between 600 and 750 C, and the crystalline quality as well as the surface morphology of YBCO films on MgO substrates is improved. For films grown at temperatures above 650 C the composition of Y:Ba:Cu is 1:2:3, as confirmed by EDAX spectra. Films deposited without and with the flow guide at 700 C have critical temperatures around 85 and 88 K, respectively. The reduction in ΔT c (T c,zero -T c,onset ) also shows an improvement of the superconducting properties of YBCO thin films deposited with a flow guide. (orig.)

  11. Preparation of SmBCO layer for the surface optimization of GdYBCO film by MOCVD process based on a simple self-heating technology

    Science.gov (United States)

    Zhao, Ruipeng; Zhang, Fei; Liu, Qing; Xia, Yudong; Lu, Yuming; Cai, Chuanbing; Tao, Bowan; Li, Yanrong

    2018-07-01

    The MOCVD process was adopted to grow the REBa2Cu3O7-δ ((REBCO), RE = rare earth elements) films on the LaMnO3 (LMO) templates. Meanwhile, the LMO-template tapes are heated by the joule effect after applying a heating current through the Hastelloy metal substrates. The surface of GdYBCO films prepared by MOCVD method is prone to form outgrowths. So the surface morphology of GdYBCO film is optimized by depositing the SmBCO layer, which is an important process method for the preparation of high-quality multilayer REBCO films. At last, the GdYBCO/SmBCO/GdYBCO multilayer films were successfully prepared on the LMO templates based on the simple self-heating method. It is demonstrated that the GdYBCO surface was well improved by the characterization analysis of scanning electron microscope. And the Δω of REBCO (005) and Δφ of REBCO (103), which were performed by an X-ray diffraction system, are respectively 1.3° and 3.3° What's more, the critical current density (Jc) has been more than 3 MA/cm2 (77 K, 0 T) and the critical current (Ic) basically shows a trend of good linear increase with the increase of the number of REBCO layers.

  12. Valorization of GaN based metal-organic chemical vapor deposition dust a semiconductor power device industry waste through mechanochemical oxidation and leaching: A sustainable green process

    Energy Technology Data Exchange (ETDEWEB)

    Swain, Basudev, E-mail: Swain@iae.re.kr [Institute for Advanced Engineering (IAE), Advanced Materials & Processing Center, Yongin-Si 449-863 (Korea, Republic of); Mishra, Chinmayee; Lee, Chan Gi; Park, Kyung-Soo [Institute for Advanced Engineering (IAE), Advanced Materials & Processing Center, Yongin-Si 449-863 (Korea, Republic of); Lee, Kun-Jae [Department of Energy Engineering, Dankook University, Cheonan 330-714 (Korea, Republic of)

    2015-07-15

    Dust generated during metal organic vapor deposition (MOCVD) process of GaN based semiconductor power device industry contains significant amounts of gallium and indium. These semiconductor power device industry wastes contain gallium as GaN and Ga{sub 0.97}N{sub 0.9}O{sub 0.09} is a concern for the environment which can add value through recycling. In the present study, this waste is recycled through mechanochemical oxidation and leaching. For quantitative recovery of gallium, two different mechanochemical oxidation leaching process flow sheets are proposed. In one process, first the Ga{sub 0.97}N{sub 0.9}O{sub 0.09} of the MOCVD dust is leached at the optimum condition. Subsequently, the leach residue is mechanochemically treated, followed by oxidative annealing and finally re-leached. In the second process, the MOCVD waste dust is mechanochemically treated, followed by oxidative annealing and finally leached. Both of these treatment processes are competitive with each other, appropriate for gallium leaching and treatment of the waste MOCVD dust. Without mechanochemical oxidation, 40.11 and 1.86 w/w% of gallium and Indium are leached using 4 M HCl, 100 °C and pulp density of 100 kg/m{sup 3,} respectively. After mechanochemical oxidation, both these processes achieved 90 w/w% of gallium and 1.86 w/w% of indium leaching at their optimum condition. - Highlights: • Waste MOCVD dust is treated through mechanochemical leaching. • GaN is hardly leached, and converted to NaGaO{sub 2} through ball milling and annealing. • Process for gallium recovery from waste MOCVD dust has been developed. • Thermal analysis and phase properties of GaN to Ga{sub 2}O{sub 3} and GaN to NaGaO{sub 2} is revealed. • Solid-state chemistry involved in this process is reported.

  13. Transparent conductive Ga-doped ZnO films fabricated by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Behrends, Arne; Wagner, Alexander; Al-Suleiman, Mohamed Aid Mansur; Waag, Andreas; Bakin, Andrey [Institute of Semiconductor Technology, University of Technology Braunschweig, Hans-Sommer-Str. 66, 38106 Braunschweig (Germany); Lugauer, Hans-Juergen; Strassburg, Martin; Walter, Robert; Weimar, Andreas [OSRAM Opto Semiconductors GmbH, Leibnizstr. 4, 93055 Regensburg (Germany)

    2012-04-15

    Transparent conductive oxides (TCOs) are used for a variety of different applications, e.g., in solar cells and light emitting diodes (LEDs). Mostly, sputtering is used, which often results in a degradation of the underlying semiconductor material. In this work we report on a ''soft'' method for the fabrication of ZnO films as TCO layers by using metal organic chemical vapor deposition (MOCVD) at particularly low temperatures. The MOCVD approach has been studied focusing on the TCO key issues: fabrication temperature, morphology, optical, and electrical properties. Very smooth ZnO films with rms values down to 0.8 nm were fabricated at a substrate temperature of only 300 C. Ga-doping is well controllable even for high carrier concentrations up to 2 x 10{sup 20} cm{sup -3}, which is above the Mott-density leading to metallic-like behavior of the films. Furthermore all films show excellent optical transparency in the visible spectral range. As a consequence, our MOCVD approach is well suited for the soft fabrication of ZnO-based TCO layers. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  14. Optimization of Strontium Titanate (SrTiO3) Thin Films Fabricated by Metal Organic Chemical Vapor Deposition (MOCVD) for Microwave-Tunable Devices

    Science.gov (United States)

    2015-12-01

    characteristics . Our work demonstrated a significant increase in the quality of the optimized STO thin films with respect to STO films grown prior to the MOCVD...deposition, the reactor and precursor supply lines were baked at 250 °C for at least 4 h with a total Ar carrier gas flow of 5,000 sccm to remove...S. Thermal leakage characteristics of Pt/SrTiO3/Pt structures. Journal of Vacuum Science & Technology A. 2008;26:555–557. 31. Ryen L, Olsson E

  15. Final report on LDRD project : outstanding challenges for AlGaInN MOCVD.

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, Christine Charlotte; Follstaedt, David Martin; Russell, Michael J.; Cross, Karen Charlene; Wang, George T.; Creighton, James Randall; Allerman, Andrew Alan; Koleske, Daniel David; Lee, Stephen Roger; Coltrin, Michael Elliott

    2005-03-01

    The AlGaInN material system is used for virtually all advanced solid state lighting and short wavelength optoelectronic devices. Although metal-organic chemical vapor deposition (MOCVD) has proven to be the workhorse deposition technique, several outstanding scientific and technical challenges remain, which hinder progress and keep RD&A costs high. The three most significant MOCVD challenges are: (1) Accurate temperature measurement; (2) Reliable and reproducible p-doping (Mg); and (3) Low dislocation density GaN material. To address challenge (1) we designed and tested (on reactor mockup) a multiwafer, dual wavelength, emissivity-correcting pyrometer (ECP) for AlGaInN MOCVD. This system simultaneously measures the reflectance (at 405 and 550 nm) and emissivity-corrected temperature for each individual wafer, with the platen signal entirely rejected. To address challenge (2) we measured the MgCp{sub 2} + NH{sub 3} adduct condensation phase diagram from 65-115 C, at typical MOCVD concentrations. Results indicate that it requires temperatures of 80-100 C in order to prevent MgCp{sub 2} + NH{sub 3} adduct condensation. Modification and testing of our research reactor will not be complete until FY2005. A new commercial Veeco reactor was installed in early FY2004, and after qualification growth experiments were conducted to improve the GaN quality using a delayed recovery technique, which addresses challenge (3). Using a delayed recovery technique, the dislocation densities determined from x-ray diffraction were reduced from 2 x 10{sup 9} cm{sup -2} to 4 x 10{sup 8} cm{sup -2}. We have also developed a model to simulate reflectance waveforms for GaN growth on sapphire.

  16. Fabrication of 100 A class, 1 m long coated conductor tapes by metal organic chemical vapor deposition and pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Selvamanickam, V.; Lee, H.G.; Li, Y.; Xiong, X.; Qiao, Y.; Reeves, J.; Xie, Y.; Knoll, A.; Lenseth, K

    2003-10-15

    SuperPower has been scaling up YBa{sub 2}Cu{sub 3}O{sub x}-based second-generation superconducting tapes by techniques such as pulsed laser deposition (PLD) using industrial laser and metal organic chemical vapor deposition (MOCVD). Both techniques offer advantage of high deposition rates, which is important for high throughput. Using highly-polished substrates produced in a reel-to-reel polishing facility and buffer layers deposited in a pilot ion beam assisted deposition facility, meter-long second-generation high temperature superconductor tapes have been produced. 100 A class, meter-long coated conductor tapes have been reproducibly demonstrated in this work by both MOCVD and PLD. The best results to date are 148 A over 1.06 m by MOCVD and 135 A over 1.1 m by PLD using industrial laser.

  17. Model Research On Deposition Of Pure Aluminium Oxide Layers By MOCVD Method

    Directory of Open Access Journals (Sweden)

    Sawka A.

    2015-06-01

    Full Text Available The purpose of this research is to develop an optimal method for synthesizing of nanocrystalline Al2O3 monolayers at high growth rates on cemented carbides coated with an intermediate layer of pre-Al2O3-C (composite layers Al2O3-C/Al2O3. The use of quartz glass substrate allows for obtaining information about the quality of the layers such the thickness and density, because of its high transparency. The Al2O3 layers that do not containing carbon were synthesized on quartz glass by MOCVD using aluminum acetylacetonate and air as the reactants at temperatures of 700-1000°C. Argon was also a carrier gas. The resulting layers were transparent, as homogeneous nucleation did not occur during the synthesis process. The layers synthesized at lower temperatures were subjected to a crystallization process at temperatures above 900°C. The crystallization process was studied as a function of time and temperature. The obtained layers were characterized by their nanocrystalline microstructure.

  18. MOCVD growth of transparent conducting Cd2SnO4 thin films

    International Nuclear Information System (INIS)

    Metz, A.W.; Poeppelmeier, K.R.; Marks, T.J.; Lane, M.A.; Kannewurt, C.R.

    2004-01-01

    The first preparation of transparent conducting Cd 2 SnO 4 thin films by a simple MOCVD process is described. As-deposited films using Cd(hfa) 2 (TMEDA) (Figure), at 365 C are found to be highly crystalline with a relatively wide range of grain size of 100-300 nm. XRD indicates a cubic spinel Cd 2 SnO 4 crystal structure and the possible presence of a small amount of CdO. The films exhibit conductivities of 2170 S/cm and a bandgap of 3.3 eV, rivaling those of commercial tin-doped indium oxide. (Abstract Copyright [2004], Wiley Periodicals, Inc.)

  19. Valorization of GaN based metal-organic chemical vapor deposition dust a semiconductor power device industry waste through mechanochemical oxidation and leaching: A sustainable green process.

    Science.gov (United States)

    Swain, Basudev; Mishra, Chinmayee; Lee, Chan Gi; Park, Kyung-Soo; Lee, Kun-Jae

    2015-07-01

    Dust generated during metal organic vapor deposition (MOCVD) process of GaN based semiconductor power device industry contains significant amounts of gallium and indium. These semiconductor power device industry wastes contain gallium as GaN and Ga0.97N0.9O0.09 is a concern for the environment which can add value through recycling. In the present study, this waste is recycled through mechanochemical oxidation and leaching. For quantitative recovery of gallium, two different mechanochemical oxidation leaching process flow sheets are proposed. In one process, first the Ga0.97N0.9O0.09 of the MOCVD dust is leached at the optimum condition. Subsequently, the leach residue is mechanochemically treated, followed by oxidative annealing and finally re-leached. In the second process, the MOCVD waste dust is mechanochemically treated, followed by oxidative annealing and finally leached. Both of these treatment processes are competitive with each other, appropriate for gallium leaching and treatment of the waste MOCVD dust. Without mechanochemical oxidation, 40.11 and 1.86 w/w% of gallium and Indium are leached using 4M HCl, 100°C and pulp density of 100 kg/m(3,) respectively. After mechanochemical oxidation, both these processes achieved 90 w/w% of gallium and 1.86 w/w% of indium leaching at their optimum condition. Copyright © 2015 Elsevier Inc. All rights reserved.

  20. Development and construction of a novel MOCVD facility for the growth of ferroelectric thin layers

    International Nuclear Information System (INIS)

    Schaefer, P.R.

    2002-02-01

    This thesis deals with the production of ferroelectric thin films using the MOCVD technology. The main focus is put on the design and construction of a complete MOCVD research system that is equipped with a novel non-contact vaporizer system. The precursors are nebulized in an ultrasonic atomizer and injected into a hot gas stream, so they can vaporize without getting into contact with a hot surface. Hence, one of the biggest disadvantages of conventional vaporizer concepts, the contamination of the vaporizing element with decomposing chemicals, could be avoided completely, resulting in a nearly maintenance-free system. In a direct comparison with the well-established Direct Liquid Injection Subsystem DLI-25C from MKS Instruments, the advantages of non-contact evaporation were clearly demonstrated. Additionally, the scope of this work included the development of standard deposition processes for the ternary oxides SrTiO 3 , BaTiO 3 und PbTiO 3 and growth studies were performed. Electrical measurements performed on MIM structures with Pt electrodes and SrTiO 3 as dielectric indicate a high film quality comparable with results presented in the literature. Furthermore, for the first time the solid solution (Pb x Ba 1-x )TiO 3 has been deposited by MOCVD. This material system is widely unknown in thin film form and it is well suited as a model system to investigate the influence of mechanical stresses on the film properties, because it represents a transition between the (as a thin film) superparaelectric barium titanate and the ferroelectric lead titanate. Through variation of the lead/barium ratio the tetragonal distortion of the lattice cell could be adjusted in a wide range. (orig.)

  1. Suppression of Mg propagation into subsequent layers grown by MOCVD

    Science.gov (United States)

    Agarwal, Anchal; Tahhan, Maher; Mates, Tom; Keller, Stacia; Mishra, Umesh

    2017-01-01

    Low temperature (LT) flow modulation epitaxy (FME) or "pulsed" growth was successfully used to prevent magnesium from Metalorganic Chemical Vapor Deposition (MOCVD) grown p-GaN:Mg layers riding into subsequently deposited n-type layers. Mg concentration in the subsequent layers was lowered from ˜1 × 1018 cm-3 for a medium temperature growth at 950 °C to ˜1 × 1016 cm-3 for a low temperature growth at 700 °C via FME. The slope of the Mg concentration drop in the 700 °C FME sample was 20 nm/dec—the lowest ever demonstrated by MOCVD. For growth on Mg implanted GaN layers, the drop for a medium temperature regrowth at 950 °C was ˜10 nm/dec compared to >120 nm/dec for a high temperature regrowth at 1150 °C. This drop-rate obtained at 950 °C or lower was maintained even when the growth temperature in the following layers was raised to 1150 °C. A controlled silicon doping series using LT FME was also demonstrated with the lowest and highest achieved doping levels being 5 × 1016 cm-3 and 6 × 1019 cm-3, respectively.

  2. MOCVD ZnO/Screen Printed Ag Back Reflector for Flexible Thin Film Silicon Solar Cell Application

    Directory of Open Access Journals (Sweden)

    Amornrat Limmanee

    2014-01-01

    Full Text Available We have prepared Ag back electrode by screen printing technique and developed MOCVD ZnO/screen printed Ag back reflector for flexible thin film silicon solar cell application. A discontinuity and poor contact interface between the MOCVD ZnO and screen printed Ag layers caused poor open circuit voltage (Voc and low fill factor (FF; however, an insertion of a thin sputtered ZnO layer at the interface could solve this problem. The n type hydrogenated amorphous silicon (a-Si:H film is preferable for the deposition on the surface of MOCVD ZnO film rather than the microcrystalline film due to its less sensitivity to textured surface, and this allowed an improvement in the FF. The n-i-p flexible amorphous silicon solar cell using the MOCVD ZnO/screen printed Ag back reflector showed an initial efficiency of 6.2% with Voc=0.86 V, Jsc=12.4 mA/cm2, and FF = 0.58 (1 cm2. The identical quantum efficiency and comparable performance to the cells using conventional sputtered Ag back electrode have verified the potential of the MOCVD ZnO/screen printed Ag back reflector and possible opportunity to use the screen printed Ag thick film for flexible thin film silicon solar cells.

  3. Microstructural and compositional analysis of YBa2Cu3O7-δ films grown by MOCVD before and after GCIB smoothing

    International Nuclear Information System (INIS)

    Hatzistergos, M.S.; Efstathiadis, H.; Reeves, J.L.; Selvamanickam, V.; Allen, L.P.; Lifshin, E.; Haldar, P.

    2004-01-01

    The microstructural and compositional evolution of thick (>1 μm) high temperature superconducting YBa 2 Cu 3 O 7-x (YBCO) films grown on single crystal SrTiO 3 substrates by the metal organic chemical vapor deposition (MOCVD) process was investigated by focused ion beam microscopy, scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction, and atomic force microscopy. This study showed that as the MOCVD YBCO film thickness increased above 0.5 μm, defects such as second phase particles, pores, and misaligned grains preferentially nucleated and grew at the YBCO surface. A portion of this defective top layer was eliminated from all the samples using a gas cluster ion beam (GCIB) process that first removed material with a focused argon cluster beam. Next, an oxygen cluster beam was used to smooth the surface and re-oxygenate the YBCO. Comparing the critical current (I c ) measured before and after GCIB processing showed that the I c remained the same, and even improved, when part of the defective top layer was removed. This microstructural and electromagnetic 'dead layer' is believed to be responsible for the overall I c decrease of MOCVD YBCO films thicker than 0.5 μm

  4. Processing of CuInSe{sub 2}-based solar cells: Characterization of deposition processes in terms of chemical reaction analyses. Phase 2 Annual Report, 6 May 1996--5 May 1997

    Energy Technology Data Exchange (ETDEWEB)

    Anderson, T.

    1999-10-20

    This report describes research performed by the University of Florida during Phase 2 of this subcontract. First, to study CIGS, researchers adapted a contactless, nondestructive technique previously developed for measuring photogenerated excess carrier lifetimes in SOI wafers. This dual-beam optical modulation (DBOM) technique was used to investigate the differences between three alternative methods of depositing CdS (conventional chemical-bath deposition [CBD], metal-organic chemical vapor deposition [MOCVD], and sputtering). Second, a critical assessment of the Cu-In-Se thermochemical and phase diagram data using standard CALPHAD procedures is being performed. The outcome of this research will produce useful information on equilibrium vapor compositions (required annealing ambients, Sex fluxes from effusion cells), phase diagrams (conditions for melt-assisted growth), chemical potentials (driving forces for diffusion and chemical reactions), and consistent solution models (extents of solid solutions and extending phase diagrams). Third, an integrated facility to fabricate CIS PV devices was established that includes migration-enhanced epitaxy (MEE) for deposition of CIS, a rapid thermal processing furnace for absorber film formation, sputtering of ZnO, CBD or MOCVD of CdS, metallization, and pattern definition.

  5. TiO2 thin film growth using the MOCVD method

    Directory of Open Access Journals (Sweden)

    Bernardi M.I.B.

    2001-01-01

    Full Text Available Titanium oxide (TiO2 thin films were obtained using the MOCVD method. In this report we discuss the properties of a film, produced using a ordinary deposition apparatus, as a function of the deposition time, with constant deposition temperature (90 °C, oxygen flow (7,0 L/min and substrate temperature (400 °C. The films were characterized by X-ray diffraction (XRD, scanning electron microscopy (SEM, atomic force microscopy (AFM and visible and ultra-violet region spectroscopy (UV-Vis. The films deposited on Si (100 substrates showed the anatase polycrystalline phase, while the films grown on glass substrates showed no crystallinity. Film thickness increased with deposition time as expected, while the transmittance varied from 72 to 91% and the refractive index remained close to 2.6.

  6. MOCVD process technology for affordable, high-yield, high-performance MESFET structures. Phase 3: MIMIC

    Science.gov (United States)

    1993-01-01

    Under the MIMIC Program, Spire has pursued improvements in the manufacturing of low cost, high quality gallium arsenide MOCVD wafers for advanced MIMIC FET applications. As a demonstration of such improvements, Spire was tasked to supply MOCVD wafers for comparison to MBE wafers in the fabrication of millimeter and microwave integrated circuits. In this, the final technical report for Spire's two-year MIMIC contract, we report the results of our work. The main objectives of Spire's MIMIC Phase 3 Program, as outlined in the Statement of Work, were as follows: Optimize the MOCVD growth conditions for the best possible electrical and morphological gallium arsenide. Optimization should include substrate and source qualification as well as determination of the optimum reactor growth conditions; Perform all work on 75 millimeter diameter wafers, using a reactor capable of at least three wafers per run; and Evaluate epitaxial layers using electrical, optical, and morphological tests to obtain thickness, carrier concentration, and mobility data across wafers.

  7. An RBS study of thin PLD and MOCVD strontium copper oxide layers

    Energy Technology Data Exchange (ETDEWEB)

    Kantor, Z. [Institute of Physics, University of Pannonia, H-8200 Veszprem (Hungary); Papadopoulou, E.L.; Aperathitis, E. [Inst. Electronic Struture and Laser, Foundation for Research and Technology - Hellas, P.O. Box 1527, Heraklion 71110 (Greece); Deschanvres, J.-L. [LMPG INP Grenoble-Minatec, BP 257, 38016 Grenoble Cedex 1 (France); Somogyi, K. [MicroVacuum Ltd., Kerekgyarto u.: 10, H-1147 Budapest (Hungary)], E-mail: karoly.somogyi@microvacuum.com; Szendro, I. [MicroVacuum Ltd., Kerekgyarto u.: 10, H-1147 Budapest (Hungary)

    2008-09-30

    Strontium copper oxide (SCO) has been studied as p-type transparent (VIS) conductive oxide material. Also theoretical studies suggested p-type conductivity of the SrCu{sub 2}O{sub 2} composition. SCO thin layers, with thicknesses of 30-2000 nm, were deposited on glass and silicon substrates both by pulsed laser deposition (PLD) and by MOCVD method. The as-grown layers showed high electrical resistance. Due to an annealing process, the resistivity significantly decreased and the layers showed p-type conductivity. Optical transparency measured on samples grown on glass substrates was found about or above 80%, including also thickness dependence. RBS measurements were applied for the determination of the chemical composition profile of the layers. A comparison revealed some specific differences between as-grown and annealed PLD samples. Due to the annealing, the ratio of oxide phases was changed and a vertical inhomogeneity in chemical composition was observed. Our measurements revealed also the influence of the deposition technique and of the substrate.

  8. Friction and wear behavior of nitrogen-doped ZnO thin films deposited via MOCVD under dry contact

    Directory of Open Access Journals (Sweden)

    U.S. Mbamara

    2016-06-01

    Full Text Available Most researches on doped ZnO thin films are tilted toward their applications in optoelectronics and semiconductor devices. Research on their tribological properties is still unfolding. In this work, nitrogen-doped ZnO thin films were deposited on 304 L stainless steel substrate from a combination of zinc acetate and ammonium acetate precursor by MOCVD technique. Compositional and structural studies of the films were done using Rutherford Backscattering Spectroscopy (RBS and X-ray Diffraction (XRD. The frictional behavior of the thin film coatings was evaluated using a ball-on-flat configuration in reciprocating sliding under dry contact condition. After friction test, the flat and ball counter-face surfaces were examined to assess the wear dimension and failure mechanism. Both friction behavior and wear (in the ball counter-face were observed to be dependent on the crystallinity and thickness of the thin film coatings.

  9. Driving Down HB-LED Costs. Implementation of Process Simulation Tools and Temperature Control Methods of High Yield MOCVD Growth

    Energy Technology Data Exchange (ETDEWEB)

    Quinn, William [Veeco Process Equipment, Inc., Plainview, NY (United States)

    2012-04-30

    . Programmatically, improvements made in Phase I are applied to developments of Phase II when applicable. Phase three is the culmination of the individual tasks from both phases one and two applied to proposed production platforms. We selectively combine previously demonstrated tasks and other options to develop a high-volume production-worthy MOCVD system demonstrating >3x throughput, 1.3x capital efficiency, and 0.7x cost of ownership. In a parallel demonstration we validate the concept of an improved, larger deposition system which utilizes the predictive modeling of chemistry-based flow analysis and extensions of the improvements demonstrated on the current platforms. This validation includes the build and testing of a prototype version of the hardware and demonstration of 69% reduction in the cost of ownership. Also, in this phase we present a stand-alone project to develop a high-temperature system which improves source efficiency by 30% while concurrently increasing growth rate by 1.3x. The material quality is held to the same material quality specifications of our existing baseline processes. The merits of other line item tasks in phase three are discussed for inclusion on next-generation platforms.

  10. Study of TiO{sub 2} nanomembranes obtained by an induction heated MOCVD reactor

    Energy Technology Data Exchange (ETDEWEB)

    Crisbasan, A., E-mail: andreea.crisbasan@yahoo.com [NANOFORM Group, ICB, Université de Bourgogne, BP 47 870, 21078 Dijon (France); Chaumont, D. [NANOFORM Group, ICB, Université de Bourgogne, BP 47 870, 21078 Dijon (France); Sacilotti, M. [NANOFORM Group, ICB, Université de Bourgogne, BP 47 870, 21078 Dijon (France); Departamento de Fisica – Universidade Federal de Pernambuco, Recife (Brazil); Crisan, A.; Lazar, A.M.; Ciobanu, I. [Science and Materials Engineering Faculty, University of Transilvania, Brasov (Romania); Lacroute, Y.; Chassagnon, R. [Université de Bourgogne, BP 47 870, 21078 Dijon (France)

    2015-12-15

    Highlights: • The TiO{sub 2} structures have been obtained by the MOCVD technique using ferrocene, cobalt layer (annealed at 350 °C) and Ti(OC{sub 3}H{sub 7}){sub 4}. • The TiO{sub 2} growth at 550 °C, during 20 min on the cobalt layer (obtained by electron beam evaporation method) on soda-lime glass has as result TiO{sub 2} nanomembranes. • The TiO{sub 2} nanomembranes grow on the cobalt nuclei. • The TiO{sub 2} nanomembranes are polycrystalline, built from TiO{sub 2} anatase and rutile crystals. - Abstract: Nanostructures of TiO{sub 2} were grown using the metal oxide chemical vapor deposition (MOCVD) technique. The procedure used induction heating on a graphite susceptor. This specific feature and the use of cobalt and ferrocene catalysts resulted in nanomembranes never obtained by common MOCVD reactors. The present study discusses the preparation of TiO{sub 2} nanomembranes and the dependence of nanomembrane structure and morphology on growth parameters.

  11. Microstructural and compositional analysis of YBa{sub 2}Cu{sub 3}O{sub 7-{delta}} films grown by MOCVD before and after GCIB smoothing

    Energy Technology Data Exchange (ETDEWEB)

    Hatzistergos, M.S.; Efstathiadis, H.; Reeves, J.L.; Selvamanickam, V.; Allen, L.P.; Lifshin, E.; Haldar, P

    2004-06-15

    The microstructural and compositional evolution of thick (>1 {mu}m) high temperature superconducting YBa{sub 2}Cu{sub 3}O{sub 7-x} (YBCO) films grown on single crystal SrTiO{sub 3} substrates by the metal organic chemical vapor deposition (MOCVD) process was investigated by focused ion beam microscopy, scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction, and atomic force microscopy. This study showed that as the MOCVD YBCO film thickness increased above 0.5 {mu}m, defects such as second phase particles, pores, and misaligned grains preferentially nucleated and grew at the YBCO surface. A portion of this defective top layer was eliminated from all the samples using a gas cluster ion beam (GCIB) process that first removed material with a focused argon cluster beam. Next, an oxygen cluster beam was used to smooth the surface and re-oxygenate the YBCO. Comparing the critical current (I{sub c}) measured before and after GCIB processing showed that the I{sub c} remained the same, and even improved, when part of the defective top layer was removed. This microstructural and electromagnetic 'dead layer' is believed to be responsible for the overall I{sub c} decrease of MOCVD YBCO films thicker than 0.5 {mu}m.

  12. Composition and microstructure of beryllium carbide films prepared by thermal MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    He, Yu-dan; Luo, Jiang-shan; Li, Jia; Meng, Ling-biao; Luo, Bing-chi; Zhang, Ji-qiang; Zeng, Yong; Wu, Wei-dong, E-mail: wuweidongding@163.com

    2016-02-15

    Highlights: • Non-columnar-crystal Be{sub 2}C films were firstly prepared by thermal MOCVD. • Beryllium carbide was always the dominant phase in the films. • α-Be and carbon existed in films deposited below and beyond 400 °C, respectively. • Morphology evolved with temperatures and no columnar grains were characterized. • The preferred substrate temperature for depositing high quality Be{sub 2}C films was 400 °C. - Abstract: Beryllium carbide films without columnar-crystal microstructures were prepared on the Si (1 0 0) substrate by thermal metal organic chemical vapor deposition using diethylberyllium as precursor. The influence of the substrate temperature on composition and microstructure of beryllium carbide films was systematically studied. Crystalline beryllium carbide is always the dominant phase according to XRD analysis. Meanwhile, a small amount of α-Be phase exists in films when the substrate temperature is below 400 °C, and hydrocarbon or amorphous carbon exists when the temperature is beyond 400 °C. Surfaces morphology shows transition from domes to cylinders, to humps, and to tetraquetrous crystalline needles with the increase of substrate temperature. No columnar grains are characterized throughout the thickness as revealed from the cross-section views. The average densities of these films are determined to be 2.04–2.17 g/cm{sup 3}. The findings indicate the substrate temperature has great influences on the composition and microstructure of the Be{sub 2}C films grown by thermal MOCVD.

  13. Metallorganic chemical vapor deposition and atomic layer deposition approaches for the growth of hafnium-based thin films from dialkylamide precursors for advanced CMOS gate stack applications

    Science.gov (United States)

    Consiglio, Steven P.

    To continue the rapid progress of the semiconductor industry as described by Moore's Law, the feasibility of new material systems for front end of the line (FEOL) process technologies needs to be investigated, since the currently employed polysilicon/SiO2-based transistor system is reaching its fundamental scaling limits. Revolutionary breakthroughs in complementary-metal-oxide-semiconductor (CMOS) technology were recently announced by Intel Corporation and International Business Machines Corporation (IBM), with both organizations revealing significant progress in the implementation of hafnium-based high-k dielectrics along with metal gates. This announcement was heralded by Gordon Moore as "...the biggest change in transistor technology since the introduction of polysilicon gate MOS transistors in the late 1960s." Accordingly, the study described herein focuses on the growth of Hf-based dielectrics and Hf-based metal gates using chemical vapor-based deposition methods, specifically metallorganic chemical vapor deposition (MOCVD) and atomic layer deposition (ALD). A family of Hf source complexes that has received much attention recently due to their desirable properties for implementation in wafer scale manufacturing is the Hf dialkylamide precursors. These precursors are room temperature liquids and possess sufficient volatility and desirable decomposition characteristics for both MOCVD and ALD processing. Another benefit of using these sources is the existence of chemically compatible Si dialkylamide sources as co-precursors for use in Hf silicate growth. The first part of this study investigates properties of MOCVD-deposited HfO2 and HfSixOy using dimethylamido Hf and Si precursor sources using a customized MOCVD reactor. The second part of this study involves a study of wet and dry surface pre-treatments for ALD growth of HfO2 using tetrakis(ethylmethylamido)hafnium in a wafer scale manufacturing environment. The third part of this study is an investigation of

  14. Effects of process parameters on sheet resistance uniformity of fluorine-doped tin oxide thin films

    Science.gov (United States)

    Hudaya, Chairul; Park, Ji Hun; Lee, Joong Kee

    2012-01-01

    An alternative indium-free material for transparent conducting oxides of fluorine-doped tin oxide [FTO] thin films deposited on polyethylene terephthalate [PET] was prepared by electron cyclotron resonance - metal organic chemical vapor deposition [ECR-MOCVD]. One of the essential issues regarding metal oxide film deposition is the sheet resistance uniformity of the film. Variations in process parameters, in this case, working and bubbler pressures of ECR-MOCVD, can lead to a change in resistance uniformity. Both the optical transmittance and electrical resistance uniformity of FTO film-coated PET were investigated. The result shows that sheet resistance uniformity and the transmittance of the film are affected significantly by the changes in bubbler pressure but are less influenced by the working pressure of the ECR-MOCVD system.

  15. Development of Y-BA-CU-O Coated Conductor Using Metal Organic Chemical Vapor Deposition

    National Research Council Canada - National Science Library

    Selvamanickam, V

    2003-01-01

    .... The program includes a study of the a) influence of MOCVD processing conditions such as the flow rate of precursor vapors, precursor vaporization temperatures, oxygen partial pressure, reactor pressure, and the deposition temperature...

  16. Effect of deposition conditions on the growth rate and electrical properties of ZnO thin films grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Roro, K.T.; Botha, J.R.; Leitch, A.W.R. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa)

    2008-07-01

    ZnO thin films have been grown on glass substrates by MOCVD. The effect of deposition conditions such as VI/II molar ratio, DEZn flow rate and total reactor pressure on the growth rate and electrical properties of the films was studied. It is found that the growth rate decreases with an increase in the VI/II molar ratio. This behaviour is ascribed to the competitive adsorption of reactant species on the growth surface. The growth rate increases with an increase in DEZn flow rate, as expected. It is shown that the carrier concentration is independent of the DEZn flow rate. An increase in the total reactor pressure yields a decrease in growth rate. This phenomenon is attributed to the depletion of the gas phase due to parasitic prereactions between zinc and oxygen species at high pressure. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. MOCVD of zirconium oxide from the zirconium guanidinate complex |ZrCp′{2-(iPrN)2CNMe2}2Cl

    NARCIS (Netherlands)

    Blackman, C.S.; Carmalt, C.J.; Moniz, S.J.A.; Potts, S.E.; Davies, H.O.; Pugh, D.C.

    2009-01-01

    Parallel to successful studies into use of [ZrCp'{¿ 2-(iPrN)2CNMe2} 2Cl] as a precursor to the deposition of zirconium carbonitride via CVD the same precursor was utilised for the MOCVD of thin films of ZrO 2 using borosilicate glass substrates. The deposited films were of mixed phase; films

  18. Epitaxial Ge Solar Cells Directly Grown on Si (001) by MOCVD Using Isobutylgermane

    Science.gov (United States)

    Kim, Youngjo; Kim, Kangho; Lee, Jaejin; Kim, Chang Zoo; Kang, Ho Kwan; Park, Won-Kyu

    2018-03-01

    Epitaxial Ge layers have been grown on Si (001) substrates by metalorganic chemical vapor deposition (MOCVD) using an isobutylgermane (IBuGe) metalorganic source. Low and high temperature two-step growth and post annealing techniques are employed to overcome the lattice mismatch problem between Ge and Si. It is demonstrated that high quality Ge epitaxial layers can be grown on Si (001) by using IBuGe with surface RMS roughness of 2 nm and an estimated threading dislocation density of 4.9 × 107 cm -2. Furthermore, single-junction Ge solar cells have been directly grown on Si substrates with an in situ MOCVD growth. The epitaxial Ge p- n junction structures are investigated with transmission electron microscopy and electrochemical C- V measurements. As a result, a power conversion efficiency of 1.69% was achieved for the Ge solar cell directly grown on Si substrate under AM1.5G condition.

  19. Preparation of molybdenum oxide thin films by MOCVD

    International Nuclear Information System (INIS)

    Guerrero, R. Martinez; Garcia, J.R. Vargas; Santes, V.; Gomez, E.

    2007-01-01

    In this study, molybdenum oxide films were prepared in a horizontal hot-wall MOCVD apparatus using molybdenum dioxide acetylacetonate as precursor. The molybdenum precursor was synthesized from acetylacetone and molybdenum oxide powder. Thermal gravimetric (TG) and differential thermal analyses (DTA) of the precursor suggested the formation of molybdenum oxides around 430 o C (703 K). Thus, a range of deposition temperatures varying from 350 to 630 o C (623-903 K) was explored to investigate the effects on the nature of the molybdenum oxide films. X-ray diffraction (XRD) results showed that the films consisted of α-MoO 3 phase at deposition temperatures ranging from 400 to 560 o C (673-833 K). Crystalline α-MoO 3 films can be obtained from molybdenum dioxide acetylacetonate precursor, without need of a post-annealing treatment. The best crystalline quality was found in films having needle-like crystallites grown at deposition temperature of about 560 o C (833 K), which exhibit a strong (0 1 0) preferred orientation and a transparent visual appearance

  20. Preparation of molybdenum oxide thin films by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Guerrero, R. Martinez [Depto. de Ingenieria Metalurgica, ESIQIE-IPN, Mexico 07300, D.F. (Mexico); Garcia, J.R. Vargas [Depto. de Ingenieria Metalurgica, ESIQIE-IPN, Mexico 07300, D.F. (Mexico)]. E-mail: rvargasga@ipn.mx; Santes, V. [CIIEMAD-IPN, Miguel Othon de Mendizabal 485, Mexico 07700, D.F. (Mexico); Gomez, E. [Instituto de Quimica-UNAM, Circuito Exterior-Ciudad Universitaria, Mexico 04510, D.F. (Mexico)

    2007-05-31

    In this study, molybdenum oxide films were prepared in a horizontal hot-wall MOCVD apparatus using molybdenum dioxide acetylacetonate as precursor. The molybdenum precursor was synthesized from acetylacetone and molybdenum oxide powder. Thermal gravimetric (TG) and differential thermal analyses (DTA) of the precursor suggested the formation of molybdenum oxides around 430 {sup o}C (703 K). Thus, a range of deposition temperatures varying from 350 to 630 {sup o}C (623-903 K) was explored to investigate the effects on the nature of the molybdenum oxide films. X-ray diffraction (XRD) results showed that the films consisted of {alpha}-MoO{sub 3} phase at deposition temperatures ranging from 400 to 560 {sup o}C (673-833 K). Crystalline {alpha}-MoO{sub 3} films can be obtained from molybdenum dioxide acetylacetonate precursor, without need of a post-annealing treatment. The best crystalline quality was found in films having needle-like crystallites grown at deposition temperature of about 560 {sup o}C (833 K), which exhibit a strong (0 1 0) preferred orientation and a transparent visual appearance.

  1. Effects of LP-MOCVD prepared TiO2 thin films on the in vitro behavior of gingival fibroblasts

    International Nuclear Information System (INIS)

    Cimpean, Anisoara; Popescu, Simona; Ciofrangeanu, Cristina M.; Gleizes, Alain N.

    2011-01-01

    We report on the in vitro response of human gingival fibroblasts (HGF-1 cell line) to various thin films of titanium dioxide (TiO 2 ) deposited on titanium (Ti) substrates by low pressure metal-organic chemical vapor deposition (LP-MOCVD). The aim was to study the influence of film structural parameters on the cell behavior comparatively with a native-oxide covered titanium specimen, this objective being topical and interesting for materials applications in implantology. HGF-1 cells were cultured on three LP-MOCVD prepared thin films of TiO 2 differentiated by their thickness, roughness, transversal morphology, allotropic composition and wettability, and on a native-oxide covered Ti substrate. Besides traditional tests of cell viability and morphology, the biocompatibility of these materials was evaluated by fibronectin immunostaining, assessment of cell proliferation status and the zymographic evaluation of gelatinolytic activities specific to matrix metalloproteinases secreted by cells grown in contact with studied specimens. The analyzed surfaces proved to influence fibronectin fibril assembly, cell proliferation and capacity to degrade extracellular matrix without considerably affecting cell viability and morphology. The MOCVD of TiO 2 proved effective in positively modifying titanium surface for medical applications. Surface properties playing a crucial role for cell behavior were the wettability and, secondarily, the roughness, HGF-1 cells preferring a moderately rough and wettable TiO 2 coating.

  2. Electrically conducting oxide buffer layers on biaxially textured nickel alloy tapes by reel-to-reel MOCVD process

    International Nuclear Information System (INIS)

    Stadel, O; Samoilenkov, S V; Muydinov, R Yu; Schmidt, J; Keune, H; Wahl, G; Gorbenko, O Yu; Korsakov, I E; Melnikov, O V; Kaul, A R

    2006-01-01

    Reel-to-reel MOCVD process for continuous growth of electrically conducting buffer layers on biaxially textured Ni5W tapes has been developed. The new buffer layer architechture is presented: 200 nm (La, Ba) 2 CuO 4 /40 nm (La, Ba)MnO 3 /Ni5W. Constituting layers with high structural quality have been grown on moving tapes (in plane FWHM ≤ 6 0 and out of plane FWHM ≤ 3 0 )

  3. Preparation of YBa2Cu3O7 films by low pressure MOCVD using liquid solution sources

    International Nuclear Information System (INIS)

    Weiss, F.; Froehlich, K.; Haase, R.; Labeau, M.; Selbmann, D.; Senateur, J.P.; Thomas, O.

    1993-01-01

    A hybrid low pressure MOCVD process is described for reproducible preparation of superconducting thin films of YBa 2 Cu 3 O 7 . The process uses a single solution source of Y, Ba, and Cu β-diketonates dissolved in suitable organic solvents. This liquid precursor is atomized using an ultrasonic aerosol generator and transported as small droplets (∼1μm) into a CVD reactor where solvent and precursor are first evaporated before deposition takes place at low pressure on heated substrates in a cold wall geometry. This process allows, with stable evaporation rates for all three precursors, to grow in-situ superconducting films with constant composition from film to film. Thin and thick films with high critical temperatures and critical currents have been obtained (Tc>80K, Jc>10 4 A/cm 2 at 77K in self field) which are highly c-axis oriented. Experimental details of this new process are described and the effects of different process parameters are studied in order to improve the quality of the deposited layers. (orig.)

  4. MOCVD waste gas treatment

    International Nuclear Information System (INIS)

    Geelen, A. van; Bink, P.H.M.; Giling, L.J.

    1993-01-01

    A large scale production of GaAs based solar cells with MOCVD will give rise to a considerable use of arsine. Therefore a gas treatment system is needed to convert the waste gases into less toxic compounds. In this study seven different gas treatment systems for MOCVD are compared by quantifying the environmental aspects. The systems are divided in wet systems, adsorption systems and thermal systems. The smallest amount of waste is produced by adsorption and thermal systems. Adsorption systems use the smallest amount of energy. The amount of primary materials used for the equipment varies per system. All systems are safe, but adsorption systems are simplest. At the moment, adsorption systems are probably the best choice from an environmental point of view. Nevertheless thermal systems have some potential advantages which make them interesting for the future

  5. Surface Science in an MOCVD Environment: Arsenic on Vicinal Ge(100)

    International Nuclear Information System (INIS)

    Olson, J.M.; McMahon, W.E.

    1998-01-01

    Scanning tunneling microscope (STM) images of arsine-exposed vicinal Ge(100) surfaces show that most As/Ge steps are reconstructed, and that a variety of different step structures exist. The entire family of reconstructed As/Ge steps can be divided into two types, which we have chosen to call ''single-row'' steps and ''double-row'' steps. In this paper we propose a model for a double-row step created by annealing a vicinal Ge(100) substrate under an arsine flux in a metal-organic chemical vapor deposition (MOCVD) chamber

  6. Photodegradative properties of TiO{sub 2} films prepared by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Justicia, I.; Ayllon, J.A.; Figueras, A. [Consejo Superior de Investigaciones Cientificas, Barcelona (Spain). Inst. de Ciencia de Materiales; Battiston, G.A.; Gerbasi, R. [Consejo Superior de Investigaciones Cientificas, Barcelona (Spain). Inst. de Ciencia de Materiales; Ist. di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati del CNR, Padova (Italy)

    2001-08-01

    TiO{sub 2} is a well-known photocatalyst for the air-oxydation of organic compounds. This paper deals with the preparation of TiO{sub 2} layers by MOCVD. The photodegradation rate has been studied in the presence of aqueous suspensions (methylene blue) as a function of the film thickness, roughness and crystallite preferred orientation. These results are compared with aqueous suspensions of Degussa P-25 powders. Deposits obtained on fused quartz showed a higher photodegradation rate than those prepared on glass, while Degussa powders exhibited an intermediate value. (orig.)

  7. MOCVD coating deposition of yttrium stabilized zirconia as backing for high-temperature superconductors on flexible substrates

    International Nuclear Information System (INIS)

    Jakschik, F.; Berger, W.; Seifert, L.; Nowick, W.; Leonhardt, G.

    1993-01-01

    The coating of carbon fibers with YSZ by means of the presented MOCVD process showed that in the bundle at temperatures between 500 - 600 C the coating thickness drops toward the center of the bundle. Sufficient homogeneity can be achieved only when the precipitation rate is selected slow enough to prevent the bundle edge from closing, or when the bundle is spread sufficiently open. The layers are on one hand ZrO 2 with incorporated carbon and on the other hand yttrium stabilized ZrO 2 with incorporated carbon. In both cases exclusively the cubic phase of the oxide was detected. The morphology of layers revealed only slight roughness with incorporation of relatively large nodules consisting of YSZ, caused by homogeneous gas phase reactions which are to be prevented. (orig.) [de

  8. Electrical properties of GaAs metal–oxide–semiconductor structure comprising Al2O3 gate oxide and AlN passivation layer fabricated in situ using a metal–organic vapor deposition/atomic layer deposition hybrid system

    Directory of Open Access Journals (Sweden)

    Takeshi Aoki

    2015-08-01

    Full Text Available This paper presents a compressive study on the fabrication and optimization of GaAs metal–oxide–semiconductor (MOS structures comprising a Al2O3 gate oxide, deposited via atomic layer deposition (ALD, with an AlN interfacial passivation layer prepared in situ via metal–organic chemical vapor deposition (MOCVD. The established protocol afforded self-limiting growth of Al2O3 in the atmospheric MOCVD reactor. Consequently, this enabled successive growth of MOCVD-formed AlN and ALD-formed Al2O3 layers on the GaAs substrate. The effects of AlN thickness, post-deposition anneal (PDA conditions, and crystal orientation of the GaAs substrate on the electrical properties of the resulting MOS capacitors were investigated. Thin AlN passivation layers afforded incorporation of optimum amounts of nitrogen, leading to good capacitance–voltage (C–V characteristics with reduced frequency dispersion. In contrast, excessively thick AlN passivation layers degraded the interface, thereby increasing the interfacial density of states (Dit near the midgap and reducing the conduction band offset. To further improve the interface with the thin AlN passivation layers, the PDA conditions were optimized. Using wet nitrogen at 600 °C was effective to reduce Dit to below 2 × 1012 cm−2 eV−1. Using a (111A substrate was also effective in reducing the frequency dispersion of accumulation capacitance, thus suggesting the suppression of traps in GaAs located near the dielectric/GaAs interface. The current findings suggest that using an atmosphere ALD process with in situ AlN passivation using the current MOCVD system could be an efficient solution to improving GaAs MOS interfaces.

  9. All-MOCVD-grown BH laser on P-InP substrates

    Science.gov (United States)

    Nishimura, Tadashi; Ishimura, E.; Nakajima, Yasuo; Tada, Hitoshi; Kimura, T.; Ohkura, Y.; Goto, Katsuhiko; Omura, Etsuji; Aiga, Masao

    1993-07-01

    A very low cw threshold current of 2.5 mA ( 25 degree(s)C) and 8.0 mA ( 80 degree(s)C) with high reliability has been realized in the all-MOCVD grown BH lasers on p-InP substrates. A strained MQW active layer of 1.3 micrometers wavelength and the precise carrier confinement buried structure by MOCVD is employed for the BH lasers. The excellent potential of long lifetime of the all-MOCVD grown laser has also been confirmed. After the high temperature and the high current (100 degree(s)C, 200 mA) aging test, no significant degradation is observed which is comparable with the well-established LPE grown lasers. The BH laser is also operating stably over 3700 hrs under the APC condition of 50 degree(s)C, 10 mW. Finally, an extremely uniform 10-element all-MOCVD grown LD array is demonstrated, which has the threshold current uniformity of 2.4 +/- 0.1 mA ( 25 degree(s)C) and 9.2 +/- 0.2 mA ( 80 degree(s)C). The growth mechanism in the MOCVD is also described.

  10. Deuterium permeation of amorphous alumina coating on 316L prepared by MOCVD

    International Nuclear Information System (INIS)

    Li Shuai; He Di; Liu Xiaopeng; Wang Shumao; Jiang Lijun

    2012-01-01

    Highlights: ► Deuterium permeation behavior of alumina coating by MOCVD is investigated. ► The as-prepared alumina is amorphous. ► The alumina coating is dense and well adherent to substrate. ► Deuterium permeation rate of alumina coating is 2–3 orders of magnitude lower than martensitic steels. - Abstract: The deuterium permeation behavior of the alumina coating on 316L stainless steel prepared by metal organic chemical vapor deposition (MOCVD) was investigated. The alumina coating was also characterized by X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD) and scanning electron microscope (SEM). It was found that the as-prepared coating consisted of amorphous alumina. This alumina coating had a dense, crack-free and homogeneous morphology. Although the alumina coating was amorphous, effective suppression of deuterium permeation was demonstrated. The deuterium permeability of the alumina coating was 51–60 times less than that of the 316L stainless steel and 153–335 times less than that of the referred low activation martensitic steels at 860–960 K.

  11. An evaluation of absorption spectroscopy to monitor YBa2Cu3O7-x precursors for metal organics chemical vapor deposition processing

    International Nuclear Information System (INIS)

    Matthew Edward Thomas

    1999-01-01

    Absorption spectroscopy was evaluated as a technique to monitor the metal organics chemical vapor deposition (MOCVD) process for forming YBa 2 Cu 3 O 7-x superconducting coated conductors. Specifically, this study analyzed the feasibility of using absorption spectroscopy to monitor the MOCVD supply vapor concentrations of the organic ligand 2,2,6,6-tetramethyl-3,5-heptanedionate (TMHD) metal chelates of barium, copper, and yttrium. Ba(TMHD) 2 , Cu(TMHD) 2 , and Y(TMHD) 3 compounds have successfully been vaporized in the MOCVD processing technique to form high temperature superconducting ''coated conductors,'' a promising technology for wire fabrication. The absorption study of the barium, copper, and yttrium (TMHD) precursors was conducted in the ultraviolet wavelength region from 200nm to 400nm. To simulate the MOCVD precursor flows the Ba(TMHD) 2 , Cu(TMHD) 2 , and Y(TMHD) 3 complexes were vaporized at vacuum pressures of (0.03--10)Torr. Spectral absorption scans of each precursor were conducted to examine potential measurement wavelengths for determining vapor concentrations of each precursor via Beer's law. The experimental results show that under vacuum conditions the barium, copper, and yttrium (TMHD) precursors begin to vaporize between 90 C and 135 C, which are considerably lower vaporization temperatures than atmospheric thermal gravimetric analyses indicate. Additionally, complete vaporization of the copper and yttrium (TMHD) precursors occurred during rapid heating at temperatures between 145 C and 195 C and after heating at constant temperatures between 90 C and 125 C for approximately one hour, whereas the Ba(TMHD) 2 precursor did not completely vaporize. At constant temperatures, near constant vaporization levels for each precursor were observed for extended periods of time. Detailed spectroscopic scans at stable vaporization conditions were conducted

  12. Preparation and microstructural properties of erbium doped alumina–yttria oxide thin films deposited by aerosol MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Salhi, Rached, E-mail: salhi_rached@yahoo.fr [Laboratoire de Science et Ingénierie des MAtériaux et Procédés 1130 rue de la PiscineBP 75-F-38402 Saint Martin D’Hères Cedex 1 (France); Laboratoire des Matériaux et du Génie Physique, CNRS UMR 5628, INP Grenoble-Minatec, 3 parvis Louis Néel BP 257, 38 016 Grenoble Cedex 1 (France); Jimenez, Carmen; Deschanvres, Jean-Luc [Laboratoire des Matériaux et du Génie Physique, CNRS UMR 5628, INP Grenoble-Minatec, 3 parvis Louis Néel BP 257, 38 016 Grenoble Cedex 1 (France); Guyot, Yannick [LPCML-UMR 5620 CNRS/UCBL Universite´ Claude Bernard Lyon 110 Rue Ada Byron 69622 Villeurbanne Cedex (France); Chaix-Pluchery, Odette; Rapenne, Laetitia [Laboratoire des Matériaux et du Génie Physique, CNRS UMR 5628, INP Grenoble-Minatec, 3 parvis Louis Néel BP 257, 38 016 Grenoble Cedex 1 (France); Maâlej, Ramzi [LPCML-UMR 5620 CNRS/UCBL Universite´ Claude Bernard Lyon 110 Rue Ada Byron 69622 Villeurbanne Cedex (France); Fourati, Mohieddine [Laboratoire de Chimie Industrielle, Ecole Nationale d’Ingénieur de Sfax, University of Sfax BP W 3038 Sfax (Tunisia); Laboratoire de Physique Appliquée, Groupe de Physique Théorique, Département de Physique, Faculté des Sciences de Sfax, University of Sfax 3018 Sfax (Tunisia)

    2013-10-15

    Erbium-doped aluminum–yttrium oxide films (Er: Al{sub 2}O{sub 3}–Y{sub 2}O{sub 3}) were prepared by aerosol-UV assisted Metalorganic Chemical Vapor Deposition (MOCVD) at 410 °C and annealed at 1000 °C. The effects of humidity of carrier gas and UV-assistance on their structure and optical properties were investigated using scanning electron microscope, X-ray diffraction and Transmission electron microscopy. It was found that under low air humidity and without UV-assistance the films present a low mol% Al{sub 2}O{sub 3} (10 mol%) two different structural phases are observed corresponding to the cubic and the monoclinic structures of Y{sub 2}O{sub 3}. When the deposition takes place under high air humidity and with UV assistance the Er:Al{sub 2}O{sub 3}–Y{sub 2}O{sub 3} films present a very high mol% Al{sub 2}O{sub 3} (88 mol%) and crystallize in the Y{sub 3}Al{sub 5}O{sub 12} (YAG) compound mixed with an amorphous phase. The Er{sup 3+} luminescence analyzed in the visible and IR regions, shows the classical green transitions. The best optical properties were obtained with the Er:Al{sub 2}O{sub 3}–Y{sub 2}O{sub 3} films grown under high air humidity with UV-assistance. Under such deposition conditions, {sup 4}I{sub 13/2} lifetimes was found to be 1.1 ms. This indicates that the deposition conditions, in particular air humidity, play an important role in the luminescent properties even after annealing. -- Highlights: • We investigate the effects of humidity and UV on the properties of Er:Al{sub 2}O{sub 3}–Y{sub 2}O{sub 3}. • Under low air humidity and without UV-assistance the films present a low mol% Al{sub 2}O{sub 3}. • Under high air humidity and with UV the Er:Al{sub 2}O{sub 3}–Y{sub 2}O{sub 3} present high mol% Al{sub 2}O{sub 3}. • The film crystallize in the YAG phase mixed with an amorphous phase. • The best optical properties were obtained under high air humidity with UV-assistance.

  13. Properties of alumina films prepared by metal-organic chemical vapour deposition at atmospheric pressure in hte presence of small amounts of water

    NARCIS (Netherlands)

    Haanappel, V.A.C.; Haanappel, V.A.C.; van Corbach, H.D.; Rem, J.B.; Fransen, T.; Gellings, P.J.

    1995-01-01

    Thin alumina films were deposited on stainless steel, type AISI 304. The deposition process was carried out in nitrogen with low partial pressures of water (0–2.6 × 10−2 kPa (0−0.20 mmHg)) by metal-organic chemical vapour deposition (MOCVD) with aluminium-tri-sec-butoxide (ATSB) as the precursor.

  14. Enhanced flux pinning in MOCVD-YBCO films through Zr additions: systematic feasibility studies

    International Nuclear Information System (INIS)

    Aytug, T; Paranthaman, M; Specht, E D; Zhang, Y; Kim, K; Zuev, Y L; Cantoni, C; Goyal, A; Christen, D K; Maroni, V A; Chen, Y; Selvamanickam, V

    2010-01-01

    Systematic effects of Zr additions on the structural and flux pinning properties of YBa 2 Cu 3 O 7-δ (YBCO) films deposited by metal-organic chemical vapor deposition (MOCVD) have been investigated. Detailed characterization, conducted by coordinated transport, x-ray diffraction, scanning and transmission electron microscopy analyses, and imaging Raman microscopy have revealed trends in the resulting property/performance correlations of these films with respect to varying mole percentages (mol%) of added Zr. For compositions ≤7.5 mol%, Zr additions lead to improved in-field critical current density, as well as extra correlated pinning along the c-axis direction of the YBCO films via the formation of columnar, self-assembled stacks of BaZrO 3 nanodots.

  15. Industrial metalorganic chemical vapor deposition technology for the growth of YBa2Cu3O7-∂

    International Nuclear Information System (INIS)

    Schulte, B.; Richards, B.C.; Cook, S.L.

    1997-01-01

    MOCVD is the established technology for the mass production of compound semiconductors for e.g. opto-electronic devices. To transfer the MOCVD technology for HTS films to the standard MOCVD technology used in semiconductor production two major challenges have to be solved: 1. the Ba-precursor instability and 2. the demonstration of uniform deposition of HTS films onto large area substrates. This paper presents an industrial MOCVD process solving these challenges using a new stable fluorinated Ba-precursor and a gas foil rotation trademark susceptor. On a 2 inch diameter substrate area state-of-the-art YBCO thin films were fabricated having a thickness uniformity of 1% and compositional uniformity of 2% and 5% for Y/Ba and Cu/Ba, respectively. The films show a surface morphology with low defect density ( 2 ) and excellent superconducting properties (T c (50%) > 90 K, j c (T=77 K, B=0T) > 5 x 10 6 A cm -2 ). The residual contamination by fluorine was determined by SIMS to be less than 250 ppm. This gives the strong evidence that this industrial process can be transferred to the multiwafer planetary reactors trademark for mass production. (orig.)

  16. Metalorganic atomic layer deposition of TiN thin films using TDMAT and NH3

    International Nuclear Information System (INIS)

    Kim, Hyo Kyeom; Kim, Ju Youn; Park, Jin Yong; Kim, Yang Do; Kim, Young Do; Jeon, Hyeong Tag; Kim, Won Mok

    2002-01-01

    TiN films were deposited by using the metalorganic atomic layer deposition (MOALD) method using tetrakis-dimethyl-amino-titanium (TDMAT) as the titanium precursor and ammonia (NH 3 ) as the reactant gas. Two saturated TiN film growth regions were observed in the temperature ranges from 175 and 190 .deg. C and from 200 and 210 .deg. C. TiN films deposited by the MOALD technique showed relatively lower carbon content than films deposited by metalorganic chemical vapor deposition (MOCVD) method. TiN films deposited at around 200 .deg. C under standard conditions showed the resistivity values as low as 500 μΩ-cm, which is about one order lower than the values for TiN films deposited by MOCVD using TDMAT or TDMAT with NH 3 . Also, the carbon incorporation and the resistivity were further decreased with increasing Ar purge time and flow rate. TiN films deposited at temperature below 300 .deg. C showed amorphous characteristics. TiN film deposited on contact holes, about 0.4-μm wide and 0.8-μm deep, by using the MOALD method showed excellent conformal deposition with almost 100% step coverage. This study demonstrates that the processing parameters need to be carefully controlled to optimize the film properties that the processing parameters need to be carefully controlled to optimize the film properties when using the ALD technique and that TiN films deposited by using the MOALD method exhibited excellent film properties compared to those of films deposited by using other CVD methods

  17. GaN epitaxial layers grown on multilayer graphene by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  18. Optical properties of hybrid quantum-well–dots nanostructures grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Mintairov, S. A., E-mail: mintairov@scell.ioffe.ru; Kalyuzhnyy, N. A.; Nadtochiy, A. M.; Maximov, M. V. [St. Petersburg Academic University (Russian Federation); Rouvimov, S. S. [University of Notre Dame (United States); Zhukov, A. E. [St. Petersburg Academic University (Russian Federation)

    2017-03-15

    The deposition of In{sub x}Ga{sub 1–x}As with an indium content of 0.3–0.5 and an average thickness of 3–27 single layers on a GaAs wafer by metalorganic chemical vapor deposition (MOCVD) at low temperatures results in the appearance of thickness and composition modulations in the layers being formed. Such structures can be considered to be intermediate nanostructures between ideal quantum wells and quantum dots. Depending on the average thickness and composition of the layers, the wavelength of the photoluminescence peak for the hybrid InGaAs quantum well–dots nanostructures varies from 950 to 1100 nm. The optimal average In{sub x}Ga{sub 1–x}As thicknesses and compositions at which the emission wavelength is the longest with a high quantum efficiency retained are determined.

  19. Enhanced flux pinning in MOCVD-YBCO films through Zr additions : systematic feasibility studies.

    Energy Technology Data Exchange (ETDEWEB)

    Aytug, T.; Paranthaman, M.; Specht, E. D.; Zhang, Y.; Kim, K.; Zuev, Y. L.; Cantoni, C.; Goyal, A.; Christen, D. K.; Maroni, V. A.; Chen, Y.; Selvamanickam, V.; ORNL; SuperPower, Inc.

    2010-01-01

    Systematic effects of Zr additions on the structural and flux pinning properties of YBa{sub 2}Cu{sub 3}O{sub 7-{delta}} (YBCO) films deposited by metal-organic chemical vapor deposition (MOCVD) have been investigated. Detailed characterization, conducted by coordinated transport, x-ray diffraction, scanning and transmission electron microscopy analyses, and imaging Raman microscopy have revealed trends in the resulting property/performance correlations of these films with respect to varying mole percentages (mol%) of added Zr. For compositions {le} 7.5 mol%, Zr additions lead to improved in-field critical current density, as well as extra correlated pinning along the c-axis direction of the YBCO films via the formation of columnar, self-assembled stacks of BaZrO{sub 3} nanodots.

  20. Enhanced flux pinning in MOCVD-YBCO films through Zr additions: systematic feasibility studies

    Energy Technology Data Exchange (ETDEWEB)

    Aytug, T; Paranthaman, M; Specht, E D; Zhang, Y; Kim, K; Zuev, Y L; Cantoni, C; Goyal, A; Christen, D K [Oak Ridge National Laboratory, Oak Ridge, TN 37831 (United States); Maroni, V A [Argonne National Laboratory, Argonne, IL 60439 (United States); Chen, Y; Selvamanickam, V, E-mail: aytugt@ornl.go [SuperPower, Incorporated, 450 Duane Avenue, Schenectady, NY 12304 (United States)

    2010-01-15

    Systematic effects of Zr additions on the structural and flux pinning properties of YBa{sub 2}Cu{sub 3}O{sub 7-{delta}} (YBCO) films deposited by metal-organic chemical vapor deposition (MOCVD) have been investigated. Detailed characterization, conducted by coordinated transport, x-ray diffraction, scanning and transmission electron microscopy analyses, and imaging Raman microscopy have revealed trends in the resulting property/performance correlations of these films with respect to varying mole percentages (mol%) of added Zr. For compositions {<=}7.5 mol%, Zr additions lead to improved in-field critical current density, as well as extra correlated pinning along the c-axis direction of the YBCO films via the formation of columnar, self-assembled stacks of BaZrO{sub 3} nanodots.

  1. Stoichiometry-, phase- and orientation-controlled growth of polycrystalline pyrite (FeS 2) thin films by MOCVD

    Science.gov (United States)

    Höpfner, C.; Ellmer, K.; Ennaoui, A.; Pettenkofer, C.; Fiechter, S.; Tributsch, H.

    1995-06-01

    The growth process of polycrystalline pyrite thin films employing low pressure metalorganic chemical vapor deposition (LP-MOCVD) in a vertical cold wall reactor has been investigated. Iron pentacarbonyl (IPC) and t-butyldisulfide (TBDS) were utilized as precursors. Study of the growth rate as a function of temperature reveals a kinetically controlled growth process with an activation energy of 73 kJ / mol over the temperature range from 250 to 400°C. From 500 to 630°C, the growth rate is mainly mass transport limited. Decomposition of the films into pyrrhotite (Fe 1 - xS) occurs at higher growth temperatures. The {S}/{Fe} ratio in the films has been controlled from 1.23 up to 2.03 by changing the TBDS partial pressure. With increasing deposition temperature, the crystallites in the films show the tendency to grow [100]-oriented on amorphous substrates at a growth rate of 2.5 Å / s. The grains show a preferential orientation in the [111] direction upon lowering the growth rate down to 0.3 Å / s. Temperatures above 550°C are beneficial in enhancing the grain size in the columnar structured films up to 1.0 μm.

  2. Surface characterization of III-V MOCVD films from heterocyclic single-source precursors; Oberflaechencharakterisierung von III-V MOCVD-Filmen aus heterozyklischen Single Source Precursoren

    Energy Technology Data Exchange (ETDEWEB)

    Seemayer, Andreas

    2009-07-13

    In the present thesis the sublimation and evaporation properties of heterocyclic gallium and antimony containing single-source precursors as well as the chemical composition and morphology of the films fabricated from this were studied. The single-source precursors available by a new synthesis route were characterized concerning their evaporation properties and the obtained films studied surface-physically. By this way the process parameters were optimized and the applicability of the single-source precursors in HV-MOCVD processes studied. By evaporation experiments in the UHV it could be shown that thereby lighter ligands like ethyl- and methyl-groups lead to a lower contamination of the reaction space with carbon containing molecules. Furthermore it was expected that the 6-rings synthetized with short ligands exhibit a high stability. This however could not be confirmed. By unwanted parasitary reactions in the gaseous phase respectively dissociative sublimation in the gaseous phase a deposition of GaSb with these precursors was not possible. The 4-ring stabilized with tertiary-butyl and ethyl-groups caused in the evaporation the largest contamination of the gaseous phase, becauselonger-chain hydrocarbons exhibil only a bad pump cross section. By parasitary reactions originating elementary antimony is detectable in the gaseous phase. The films were studied concerning their chemical composition and their transport- respectively storage-conditioned surface contamination. Furthermore it has become clear that not only a purely synthetized precursor substance but also the reactor design is deciding for a successful deposition and a high film quality. First by successive optimization of the evaporation geometry it was possible to reduce the roughness of the produced GaSb films down to about 10 nm-30 nm.

  3. Structural and superconducting properties of (Y,Gd)Ba2Cu3O7-δ grown by MOCVD on samarium zirconate buffered IBAD-MgO

    International Nuclear Information System (INIS)

    Stan, L; Holesinger, T G; Maiorov, B; Feldmann, D M; Usov, I O; DePaula, R F; Civale, L; Foltyn, S R; Jia, Q X; Chen, Y; Selvamanickam, V

    2008-01-01

    Textured samarium zirconate (SZO) films have been grown by reactive cosputtering directly on an ion beam assisted deposited (IBAD) MgO template, without an intermediate homoepitaxial MgO layer. The subsequent growth of 0.9 μm thick (Y,Gd)Ba 2 Cu 3 O 7-δ ((Y, Gd)BCO) films by metal organic chemical vapor deposition (MOCVD) yielded well textured films with a full width at half maximum of 1.9 0 and 3.4 0 for the out-of-plane and in-plane texture, respectively. Microstructural characterizations of the SZO buffered samples revealed clean interfaces. This indicates that the SZO not only provides a diffusion barrier, but also functions as a buffer for (Y, Gd)BCO grown by MOCVD. The achievement of self-field critical current densities (J c ) of over 2 MA cm -2 at 75.5 K is another proof of the effectiveness of SZO as a buffer on the IBAD-MgO template. The in-field measurements revealed an asymmetric angular dependence of J c and a shift of the ab-plane maxima due to the tilted nature of the template and (Y,Gd) 2 O 3 particles existing in the (Y, Gd)BCO matrix. The present results are especially important because they demonstrate that high temperature superconducting coated conductors with simpler architecture can be fabricated using commercially viable processes

  4. Monolithic Integration of Sampled Grating DBR with Electroabsorption Modulator by Combining Selective-Area-Growth MOCVD and Quantum-Well Intermixing

    International Nuclear Information System (INIS)

    Hong-Bo, Liu; Ling-Juan, Zhao; Jiao-Qing, Pan; Hong-Liang, Zhu; Fan, Zhou; Bao-Jun, Wang; Wei, Wang

    2008-01-01

    We present the monolithic integration of a sampled-grating distributed Bragg reflector (SG-DBR) laser with a quantum-well electroabsorption modulator (QW-EAM) by combining ultra-low-pressure (55mbar) selective-area-growth (SAG) metal-organic chemical vapour deposition (MOCVD) and quantum-well intermixing (QWI) for the first time. The QW-EAM and the gain section can be grown simultaneously by using SAG MOCVD technology. Meanwhile, the QWI technology offers an abrupt band-gap change between two functional sections, which reduces internal absorption loss. The experimental results show that the threshold current Ith = 62 mA, and output power reaches 3.6mW. The wavelength tuning range covers 30nm, and all the corresponding side mode suppression ratios are over 30 dB. The extinction ratios at available wavelength channels can reach more than 14 dB with bias of -5 V

  5. InGaN quantum well epilayers morphological evolution under a wide range of MOCVD growth parameter sets

    International Nuclear Information System (INIS)

    Florescu, D.I.; Ting, S.M.; Merai, V.N.; Parekh, A.; Lee, D.S.; Armour, E.A.; Quinn, W.E.

    2006-01-01

    This study exemplifies the use of TappingMode trademark atomic force microscopy (AFM) surface morphology imaging to investigate and optimise the metalorganic chemical vapour deposition (MOCVD) growth conditions and post-growth stability of thin ( '' GaN templates grown on (0001) c-plane sapphire substrates. The morphological evolution of the InGaN material was studied utilising a DI3100 AFM tool. Surface morphology and its correlation with photoluminescence and X-ray diffraction results are discussed for every set of conditions employed. More specifically, the post-growth ambient exposure and thermal stability of the uncapped InGaN epilayers were investigated. In addition, the initial stage of subsequent GaN growth, which is an essential step towards the manufacture of LED active regions, was examined. Based on the above findings, a flexible MOCVD growth parameter space and improved LED constituent layer sequencing techniques have been established leading to more efficient and stable LED devices. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    Science.gov (United States)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  7. Stoichiometry-, phase- and orientation-controlled growth of polycrystalline pyrite (FeS{sub 2}) thin films by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Hoepfner, C.; Ellmer, K.; Ennaoui, A.; Pettenkofer, C.; Fiechter, S.; Tributsch, H. [Hahn-Meitner-Institut Berlin, Abteilung Solare Energetik, Berlin (Germany)

    1995-06-01

    The growth process of polycrystalline pyrite thin films employing low pressure metalorganic chemical vapor deposition (LP-MOCVD) in a vertical cold wall reactor has been investigated. Iron pentacarbonyl (IPC) and t-butyldisulfide (TBDS) were utilized as precursors. Study of the growth rate as a function of temperature reveals a kinetically controlled growth process with an activation energy of 73 kJ/mol over the temperature range from 250 to 400C. From 500 to 630C, the growth rate is mainly mass transport limited. Decomposition of the films into pyrrhotite (Fe{sub 1-x}S) occurs at higher growth temperatures. The S/Fe ratio in the films has been controlled from 1.23 up to 2.03 by changing the TBDS partial pressure. With increasing deposition temperature, the crystallites in the films show the tendency to grow [100]-oriented on amorphous substrates at a growth rate of 2.5 A/s. The grains show a preferential orientation in the [111] direction upon lowering the growth rate down to 0.3 A/s. Temperatures above 550C are beneficial in enhancing the grain size in the columnar structured films up to 1.0 {mu}m

  8. 10 GHz surface impedance measurements of (Y9Er)BaCuO films produced by MOCVD, laser ablation, and sputtering

    International Nuclear Information System (INIS)

    Luine, J.; Daly, K.; Hu, R.; Kain, A.; Lee, A.; Manasevit, H.; Pettiette-Hall, C.; Simon, R.; St John, D.; Wagner, M.

    1991-01-01

    This paper reports on a parallel-plate resonator technique previously used to measure microwave surface resistance R s (T) extended to also measure absolute penetration depth λ(T). Measurements of both quantities near 10 GHz from 4.2 K to Tc are reported for ErBaCuO thin films produced by metal-organic chemical vapor deposition (MOCVD) and YBaCuO think films produced by laser ablation and single-target off-axis sputtering. All the films were made at TRW. Each production method gives rise to films whose surface resistance is below 1 milliohm at temperatures below 40K. The low temperature penetration depths range from 250 nm for the laser ablation and sputtered films to 800 nm for the MOCVD films. The penetration depths in all cases increase with temperature according to the Gorter-Casimir temperature dependence

  9. Status of HgCdTe Barrier Infrared Detectors Grown by MOCVD in Military University of Technology

    Science.gov (United States)

    Kopytko, M.; Jóźwikowski, K.; Martyniuk, P.; Gawron, W.; Madejczyk, P.; Kowalewski, A.; Markowska, O.; Rogalski, A.; Rutkowski, J.

    2016-09-01

    In this paper we present the status of HgCdTe barrier detectors with an emphasis on technological progress in metalorganic chemical vapor deposition (MOCVD) growth achieved recently at the Institute of Applied Physics, Military University of Technology. It is shown that MOCVD technology is an excellent tool for HgCdTe barrier architecture growth with a wide range of composition, donor /acceptor doping, and without post-grown annealing. The device concept of a specific barrier bandgap architecture integrated with Auger-suppression is as a good solution for high-operating temperature infrared detectors. Analyzed devices show a high performance comparable with the state-of-the-art of HgCdTe photodiodes. Dark current densities are close to the values given by "Rule 07" and detectivities of non-immersed detectors are close to the value marked for HgCdTe photodiodes. Experimental data of long-wavelength infrared detector structures were confirmed by numerical simulations obtained by a commercially available software APSYS platform. A detailed analysis applied to explain dark current plots was made, taking into account Shockley-Read-Hall, Auger, and tunneling currents.

  10. Thermoelectric properties of ZnSb films grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Venkatasubramanian, R; Watko, E; Colpitts, T

    1997-07-01

    The thermoelectric properties of ZnSb films grown by metallorganic chemical vapor deposition (MOCVD) are reported. The growth conditions necessary to obtain stoichiometric ZnSb films and the effects of various growth parameters on the electrical conductivity and Seebeck coefficients of the films are described. The as-grown ZnSb films are p-type. It was observed that the thicker ZnSb films offer improved carrier mobilities and lower free-carrier concentration levels. The Seebeck coefficient of ZnSb films was found to rise rapidly at approximately 160 C. The thicker films, due to the lower doping levels, indicate higher Seebeck coefficients between 25 to 200 C. A short annealing of the ZnSb film at temperatures of {approximately}200 C results in reduced free-carrier level. Thermal conductivity measurements of ZnSb films using the 3-{omega} method are also presented.

  11. Synthesis, characterization, and thermal properties of homoleptic rare-earth guanidinates: promising precursors for MOCVD and ALD of rare-earth oxide thin films.

    Science.gov (United States)

    Milanov, Andrian P; Fischer, Roland A; Devi, Anjana

    2008-12-01

    Eight novel homoleptic tris-guanidinato complexes M[(N(i)Pr)(2)CNR(2)](3) [M = Y (a), Gd (b), Dy (c) and R = Me (1), Et (2), (i)Pr (3)] have been synthesized and characterized by NMR, CHN-analysis, mass spectrometry and infrared spectroscopy. Single crystal structure analysis revealed that all the compounds are monomers with the rare-earth metal center coordinated to six nitrogen atoms of the three chelating guanidinato ligands in a distorted trigonal prism geometry. With the use of TGA/DTA and isothermal TGA analysis, the thermal characteristics of all the complexes were studied in detail to evaluate their suitability as precursors for thin film deposition by MOCVD and ALD. The (i)Pr-Me(2)N-guanidinates of Y, Gd and Dy (1a-c) showed excellent thermal characteristics in terms of thermal stability and volatility. Additionally, the thermal stability of the (i)Pr-Me(2)N-guanidinates of Y and Dy (1a, c) in solution was investigated by carrying out NMR decomposition experiments and both the compounds were found to be remarkably stable. All these studies indicate that (i)Pr-Me(2)N-guanidinates of Y, Gd and Dy (1a-c) have the prerequisites for MOCVD and ALD applications which were confirmed by the successful deposition of Gd(2)O(3) and Dy(2)O(3) thin films on Si(100) substrates. The MOCVD grown films of Gd(2)O(3) and Dy(2)O(3) were highly oriented in the cubic phase, while the ALD grown films were amorphous.

  12. Epitaxial growth of InP on SI by MOCVD

    International Nuclear Information System (INIS)

    Konushi, F.; Seki, A.; Kudo, J.; Sato, H.; Kakimoto, S.; Fukushima, T.; Kubota, Y.; Koba, M.

    1988-01-01

    The authors have studied the heteroepitaxial growth of InP on large diameter Si substrates using MOCVD. A new MOCVD system with four inch wafer size capability was utilized in the growth. Single domain InP films have been successfully grown on four inch Si substrates by using a new heterostructure with a thin GaAs intermediate layer. In this paper, the authors describe the crystalline quality and residual stress of InP epilayers, estimated by etch pit density and x-ray diffraction, respectively. The authors also reports on the reduction of EPD by post-growth annealing

  13. Deuterium permeation of amorphous alumina coating on 316L prepared by MOCVD

    Science.gov (United States)

    Li, Shuai; He, Di; Liu, Xiaopeng; Wang, Shumao; Jiang, Lijun

    2012-01-01

    The deuterium permeation behavior of the alumina coating on 316L stainless steel prepared by metal organic chemical vapor deposition (MOCVD) was investigated. The alumina coating was also characterized by X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD) and scanning electron microscope (SEM). It was found that the as-prepared coating consisted of amorphous alumina. This alumina coating had a dense, crack-free and homogeneous morphology. Although the alumina coating was amorphous, effective suppression of deuterium permeation was demonstrated. The deuterium permeability of the alumina coating was 51-60 times less than that of the 316L stainless steel and 153-335 times less than that of the referred low activation martensitic steels at 860-960 K.

  14. Various types of GaN/InGaN nanostructures grown by MOCVD on Si(111) substrate

    Energy Technology Data Exchange (ETDEWEB)

    Rozhavskaya, Mariya M.; Lundin, Wsevolod V.; Zavarin, Evgeniy E.; Lundina, Elena Yu.; Troshkov, Sergey I.; Davydov, Valery Yu.; Yagovkina, Mariya A.; Brunkov, Pavel N.; Tsatsulnikov, Andrey F. [Ioffe Physico-Technical Institute of the RAS, Politekhnicheskaya 26, 194021 St. Petersburg (Russian Federation)

    2013-03-15

    In this paper we obtained GaN and InGaN nanowires on AlN/Si (111) templates via pulsed Metal Organic Chemical Vapour Deposition (MOCVD). The growth modes were investigated, in which selective growth is possible. The impact of NH{sub 3} flow and TMG flow and exposure time were investigated. Also the possibility of using indium catalyst was studied. It was shown that In can be used in Au-In melt and as self-sufficient catalyst. (copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Characterization of photoluminescent europium doped yttrium oxide thin-films prepared by metallorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    McKittrick, J.; Bacalski, C.F.; Hirata, G.A.; Hubbard, K.M.; Pattillo, S.G.; Salazar, K.V.; Trkula, M.

    1998-01-01

    Europium doped yttrium oxide, (Y 1-x Eu x ) 2 O 3 , thin-films were deposited on silicon and sapphire substrates by metallorganic chemical vapor deposition (MOCVD). The films were grown in a MOCVD chamber reacting yttrium and europium tris(2,2,6,6-tetramethyl-3,5,-heptanedionates) precursors in an oxygen atmosphere at low pressures (5 Torr) and low substrate temperatures (500--700 C). The films deposited at 500 C were flat and composed of nanocrystalline regions of cubic Y 2 O 3 , grown in a textured [100] or [110] orientation to the substrate surface. Films deposited at 600 C developed from the flat, nanocrystalline morphology into a plate-like growth morphology oriented in the [111] with increasing deposition time. Monoclinic Y 2 O 3 :Eu 3+ was observed in x-ray diffraction for deposition temperatures ≥600 C on both (111) Si and (001) sapphire substrates. This was also confirmed by the photoluminescent emission spectra

  16. Cauliflower hillock formation through crystallite migration of SnO2 thin films prepared on alumina substrates by using MOCVD

    International Nuclear Information System (INIS)

    Choi, Gwangpyo; Ryu, Hyunwook; Lee, Woosun; Hong, Kwangjun; Shin, Dongcharn; Park, Jinseong; Seo, Yongjin; Akbar, Sheikh A.

    2003-01-01

    Tin-oxide thin films were deposited at 375 .deg. C on α-alumina substrates by using metalorganic chemical vapor deposition (MOCVD) process. A number of hillocks were formed on the film after annealing in air at 500 .deg. C for 30 min, but fewer hillocks were formed for annealing in N 2 . The hillocks on the film and the grains on the alumina substrate were composed of crystallites. The oxygen content and the binding energy after annealing in air came to close to values for the stoichiometric SnO 2 . There was no relationship between the film thickness and the binding energy shift, but the binding energy did change with the annealing atmosphere and the oxygen content. The cauliflower hillocks on the film seem to be formed by the continuous migration of crystallites from cauliflower grains on the substrate to release the stress due to the increased oxygen content and volume. A cauliflower hillock can be grown by continuous migration of crystallites from nearby grains to the hillock.

  17. The MOCVD challenge a survey of GaInAsp-InP and GaInAsp-GaAs for photonic and electronic device applications

    CERN Document Server

    Razeghi, Manijeh

    2010-01-01

    Introduction to Semiconductor Compounds III-V semiconductor alloys III-V semiconductor devices Technology of multilayer growth Growth Technology Metalorganic chemical vapor deposition New non-equilibrium growth techniques In situ Characterization during MOCVD Reflectance anisotropy and ellipsometry Optimization of the growth of III-V binaries by RDS RDS investigation of III-V lattice-matched heterojunctions RDS investigation of III-V lattice-mismatched structures Insights on the growt

  18. Structural and superconducting properties of (Y,Gd)Ba{sub 2}Cu{sub 3}O{sub 7-{delta}} grown by MOCVD on samarium zirconate buffered IBAD-MgO

    Energy Technology Data Exchange (ETDEWEB)

    Stan, L; Holesinger, T G; Maiorov, B; Feldmann, D M; Usov, I O; DePaula, R F; Civale, L; Foltyn, S R; Jia, Q X [Los Alamos National Laboratory, PO Box 1663, Los Alamos, NM 87545 (United States); Chen, Y; Selvamanickam, V [SuperPower, Incorporated, 450 Duane Avenue, Schenectady, NY 12304 (United States)

    2008-10-01

    Textured samarium zirconate (SZO) films have been grown by reactive cosputtering directly on an ion beam assisted deposited (IBAD) MgO template, without an intermediate homoepitaxial MgO layer. The subsequent growth of 0.9 {mu}m thick (Y,Gd)Ba{sub 2}Cu{sub 3}O{sub 7-{delta}} ((Y, Gd)BCO) films by metal organic chemical vapor deposition (MOCVD) yielded well textured films with a full width at half maximum of 1.9{sup 0} and 3.4{sup 0} for the out-of-plane and in-plane texture, respectively. Microstructural characterizations of the SZO buffered samples revealed clean interfaces. This indicates that the SZO not only provides a diffusion barrier, but also functions as a buffer for (Y, Gd)BCO grown by MOCVD. The achievement of self-field critical current densities (J{sub c}) of over 2 MA cm{sup -2} at 75.5 K is another proof of the effectiveness of SZO as a buffer on the IBAD-MgO template. The in-field measurements revealed an asymmetric angular dependence of J{sub c} and a shift of the ab-plane maxima due to the tilted nature of the template and (Y,Gd){sub 2}O{sub 3} particles existing in the (Y, Gd)BCO matrix. The present results are especially important because they demonstrate that high temperature superconducting coated conductors with simpler architecture can be fabricated using commercially viable processes.

  19. Modelling of MOCVD Reactor: New 3D Approach

    Science.gov (United States)

    Raj, E.; Lisik, Z.; Niedzielski, P.; Ruta, L.; Turczynski, M.; Wang, X.; Waag, A.

    2014-04-01

    The paper presents comparison of two different 3D models of vertical, rotating disc MOCVD reactor used for 3D GaN structure growth. The first one is based on the reactor symmetry, while the second, novel one incorporates only single line of showerhead nozzles. It is shown that both of them can be applied interchangeably regarding the phenomena taking place within the processing area. Moreover, the importance of boundary conditions regarding proper modelling of showerhead cooling and the significance of thermal radiation on temperature field within the modelled structure are presented and analysed. The last phenomenon is erroneously neglected in most of the hitherto studies.

  20. Modelling of MOCVD reactor: new 3D approach

    International Nuclear Information System (INIS)

    Raj, E; Lisik, Z; Niedzielski, P; Ruta, L; Turczynski, M; Wang, X; Waag, A

    2014-01-01

    The paper presents comparison of two different 3D models of vertical, rotating disc MOCVD reactor used for 3D GaN structure growth. The first one is based on the reactor symmetry, while the second, novel one incorporates only single line of showerhead nozzles. It is shown that both of them can be applied interchangeably regarding the phenomena taking place within the processing area. Moreover, the importance of boundary conditions regarding proper modelling of showerhead cooling and the significance of thermal radiation on temperature field within the modelled structure are presented and analysed. The last phenomenon is erroneously neglected in most of the hitherto studies.

  1. Impact of oxygen precursor flow on the forward bias behavior of MOCVD-Al2O3 dielectrics grown on GaN

    Science.gov (United States)

    Chan, Silvia H.; Bisi, Davide; Liu, Xiang; Yeluri, Ramya; Tahhan, Maher; Keller, Stacia; DenBaars, Steven P.; Meneghini, Matteo; Mishra, Umesh K.

    2017-11-01

    This paper investigates the effects of the oxygen precursor flow supplied during metalorganic chemical vapor deposition (MOCVD) of Al2O3 films on the forward bias behavior of Al2O3/GaN metal-oxide-semiconductor capacitors. The low oxygen flow (100 sccm) delivered during the in situ growth of Al2O3 on GaN resulted in films that exhibited a stable capacitance under forward stress, a lower density of stress-generated negative fixed charges, and a higher dielectric breakdown strength compared to Al2O3 films grown under high oxygen flow (480 sccm). The low oxygen grown Al2O3 dielectrics exhibited lower gate current transients in stress/recovery measurements, providing evidence of a reduced density of trap states near the GaN conduction band and an enhanced robustness under accumulated gate stress. This work reveals oxygen flow variance in MOCVD to be a strategy for controlling the dielectric properties and performance.

  2. Advanced high temperature superconductor film-based process using RABiTS

    International Nuclear Information System (INIS)

    Goyal, A.; Hawsey, R.A.; Hack, J.; Moon, D.

    2000-01-01

    The purpose of this Cooperative Research and Development Agreement (CRADA) between Lockheed Martin Energy Research Corporation (Contractor), Managing contractor for Oak Ridge National Laboratory (ORNL) and Midwest Superconductivity, Inc. (MSI) and Westinghouse Science and Electric Company (WEC) was to develop the basis for a commercial process for the manufacturing of superconducting tape based on the RABiTS technology developed at ORNL. The chosen method for deposition of YBCO films on RABiTS was Metal Organic chemical Vapor Deposition (MOCVD)

  3. Metalorganic chemical vapor deposition of Er{sub 2}O{sub 3} thin films: Correlation between growth process and film properties

    Energy Technology Data Exchange (ETDEWEB)

    Giangregorio, Maria M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)], E-mail: michelaria.giangregorio@ba.imip.cnr.it; Losurdo, Maria; Sacchetti, Alberto; Capezzuto, Pio; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)

    2009-02-27

    Er{sub 2}O{sub 3} thin films have been grown by metalorganic chemical vapor deposition (MOCVD) at 600 deg. C on different substrates, including glass, Si (100) and sapphire (0001) using tris(isopropylcyclopentadienyl)erbium and O{sub 2}. The effects of growth parameters such as the substrate, the O{sub 2} plasma activation and the temperature of organometallic precursor injection, on the nucleation/growth kinetics and, consequently, on film properties have been investigated. Specifically, very smooth (111)-oriented Er{sub 2}O{sub 3} thin films (the root mean square roughness is 0.3 nm) are achieved on Si (100), {alpha}-Al{sub 2}O{sub 3} (0001) and amorphous glass by MOCVD. Growth under O{sub 2} remote plasma activation results in an increase in growth rate and in (100)-oriented Er{sub 2}O{sub 3} films with high refractive index and transparency in the visible photon energy range.

  4. Cu-Al alloy formation by thermal annealing of Cu/Al multilayer films deposited by cyclic metal organic chemical vapor deposition

    Science.gov (United States)

    Moon, Hock Key; Yoon, Jaehong; Kim, Hyungjun; Lee, Nae-Eung

    2013-05-01

    One of the most important issues in future Cu-based interconnects is to suppress the resistivity increase in the Cu interconnect line while decreasing the line width below 30 nm. For the purpose of mitigating the resistivity increase in the nanoscale Cu line, alloying Cu with traces of other elements is investigated. The formation of a Cu alloy layer using chemical vapor deposition or electroplating has been rarely studied because of the difficulty in forming Cu alloys with elements such as Al. In this work, Cu-Al alloy films were successfully formed after thermal annealing of Cu/Al multilayers deposited by cyclic metal-organic chemical vapor deposition (C-MOCVD). After the C-MOCVD of Cu/Al multilayers without gas phase reaction between the Cu and Al precursors in the reactor, thermal annealing was used to form Cu-Al alloy films with a small Al content fraction. The resistivity of the alloy films was dependent on the Al precursor delivery time and was lower than that of the aluminum-free Cu film. No presence of intermetallic compounds were detected in the alloy films by X-ray diffraction measurements and transmission electron spectroscopy.

  5. Microstructural and superconducting properties of high current metal-organic chemical vapor deposition YBa2Cu3O7-δ coated conductor wires

    International Nuclear Information System (INIS)

    Holesinger, T G; Maiorov, B; Ugurlu, O; Civale, L; Chen, Y; Xiong, X; Xie, Y; Selvamanickam, V

    2009-01-01

    Metal-organic chemical vapor deposition (MOCVD) on flexible, ion beam assisted deposition MgO templates has been used to produce high critical current density (J c ) (Y,Sm) 1 Ba 2 Cu 3 O y (REBCO) films suitable for use in producing practical high temperature superconducting (HTS) coated conductor wires. Thick films on tape were produced with sequential additions of 0.7 μm of REBCO via a reel-to-reel progression through a custom-designed MOCVD reactor. Multi-pass processing for thick film deposition is critically dependent upon minimizing surface secondary phase formation. Critical currents (I c s) of up to 600 A/cm width (t = 2.8 μm, J c = 2.6 MA cm -2 , 77 K, self-field) were obtained in short lengths of HTS wires. These high performance MOCVD films are characterized by closely spaced (Y,Sm) 2 O 3 nanoparticle layers that may be tilted relative to the film normal and REBCO orientation. Small shifts in the angular dependence of J c in low and intermediate applied magnetic fields can be associated with the tilted nanoparticle layers. Also present in these films were YCuO 2 nanoplates aligned with the YBCO matrix (short dimension perpendicular to the film normal), threading dislocations, and oriented composite defects (OCDs). The latter structures consist of single or multiple a-axis oriented grains coated on each side with insulating (Y,Sm) 2 O 3 or CuO. The OCDs formed a connected network of insulating phases by the end of the fourth pass. Subsequent attempts at adding additional layers did not increase I c . There is an inconsistency between the measured J c and the observed microstructural degradation that occurs with each additional layer, suggesting that previously deposited layers are improving with each repeated reactor pass. These dynamic changes suggest a role for post-processing to optimize superconducting properties of as-deposited films, addressing issues associated with reproducibility and manufacturing yield.

  6. Buffer free MOCVD growth of GaN on 4H-SiC: Effect of substrate treatments and UV-photoirradiation

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, Maria; Giangregorio, Maria M.; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Kim, Tong-Ho; Choi, Soojeong; Brown, April [Department of Electrical and Computer Engineering, Duke University, Durham, NC 27709 (United States)

    2006-05-15

    GaN has been grown directly on the Si-face 4H-SiC(0001) substrates using remote plasma-assisted metalorganic chemical vapour deposition (RP-MOCVD) with UV-light irradiation. The effects of substrate pre-treatments and UV-photoirradiation of the growth surface on GaN nucleation and film morphology are investigated. Optical data from spectroscopic ellipsometry measurements and morphological data show an improvement in nucleation and material quality with UV-light irradiation. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. P-type Ge epitaxy on GaAs (100) substrate grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Y.J.; Chia, C.K.; Liu, H.F.; Wong, L.M.; Chai, J.W.; Chi, D.Z.; Wang, S.J., E-mail: sj-wang@imre.a-star.edu.sg

    2016-07-15

    Highlights: • The heterogeneous integration of p-Ge/GaAs by MOCVD indicates significance for the application in optoelectronic devices such as p-MOSFET, dual band photodetector, etc. • Many undesired pillar-structures were observed on the p-Ge epilayers and we found that the cause of the pillar-like structures was related to the Ge-Ga dimers formed during the growth. • We found that a GaAs substrate with fewer Ga or Ge danglings was helpful in suppressing the formation of the unwanted pillar-like structures and thus obtaining high quality p-Ge epilayers. - Abstract: In this work, Ga-doped Geranium (Ge) films have been grown on GaAs (100) substrates by metal-organic chemical vapor deposition (MOCVD). Undesired pillar structures have been observed on the epilayers prepared at relatively lower temperatures. Energy dispersive X-ray spectroscopy (EDX) indicated that the pillars are mainly consisted of Ga atoms, which is totally different from that of the Ge film. It was demonstrated that the pillar structures could be reduced by simply raising the growth temperature while keeping the other growth conditions unchanged. In this regard, the growth mechanism of the pillars was related to the Ge-Ga dimers formed during the growth of p-Ge films. By further studying the influence of a GaAs or Ge buffer layer on the growth of p-Ge layers, we found that the GaAs substrate with lower density of Ga or Ge dangling bonds was helpful in suppressing the formation of the undesired pillar structures.

  8. Hardware Modifications to the US Army Research Laboratory’s Metalorganic Chemical Vapor Deposition (MOCVD) System for Optimization of Complex Oxide Thin Film Fabrication

    Science.gov (United States)

    2015-04-01

    the total absorbance, or the fraction of radiation absorbed at the measured wavelength; is the calculated molar extinction coefficient for the...of PZT thin films by liquid delivery MOCVD. Integrated Ferroelectrics. 2002;46:125–131. 14. Hiskes R, Dicarolis SA, Jacowitz RD, Lu Z, Feigelson RS

  9. Structural and morphological characterizations of ZnO films grown on GaAs substrates by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Agouram, S.; Zuniga Perez, J.; Munoz-Sanjose, V. [Universitat de Valencia, Departamento de Fisica Aplicada y Electromagnetismo, Burjassot (Spain)

    2007-07-15

    ZnO films were grown on GaAs(100), GaAs(111)A and GaAs(111)B substrates by metal organic chemical vapour deposition (MOCVD). Diethylzinc (DEZn) and tertiarybutanol (t-butanol) were used as Zn and O precursors, respectively. The influence of the growth temperature and GaAs substrate orientation on the crystalline orientation and morphology of the ZnO grown films has been analysed. Crystallinity of grown films was studied by X-ray diffraction (XRD); thickness and morphology of ZnO films were investigated by scanning electron microscopy (SEM). SEM results reveal significant differences between morphologies depending on growth temperature but not significant differences were detected on the texture of grown films. (orig.)

  10. Vertically p-n-junctioned GaN nano-wire array diode fabricated on Si(111) using MOCVD.

    Science.gov (United States)

    Park, Ji-Hyeon; Kim, Min-Hee; Kissinger, Suthan; Lee, Cheul-Ro

    2013-04-07

    We demonstrate the fabrication of n-GaN:Si/p-GaN:Mg nanowire arrays on (111) silicon substrate by metal organic chemical vapor deposition (MOCVD) method .The nanowires were grown by a newly developed two-step growth process. The diameter of as-grown nanowires ranges from 300-400 nm with a density of 6-7 × 10(7) cm(-2). The p- and n-type doping of the nanowires is achieved with Mg and Si dopant species. Structural characterization by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (HRTEM) indicates that the nanowires are relatively defect-free. The room-temperature photoluminescence emission with a strong peak at 370 nm indicates that the n-GaN:Si/p-GaN:Mg nanowire arrays have potential application in light-emitting nanodevices. The cathodoluminscence (CL) spectrum clearly shows a distinct optical transition of GaN nanodiodes. The nano-n-GaN:Si/p-GaN:Mg diodes were further completed using a sputter coating approach to deposit Au/Ni metal contacts. The polysilazane filler has been etched by a wet chemical etching process. The n-GaN:Si/p-GaN:Mg nanowire diode was fabricated for different Mg source flow rates. The current-voltage (I-V) measurements reveal excellent rectifying properties with an obvious turn-on voltage at 1.6 V for a Mg flow rate of 5 sccm (standard cubic centimeters per minute).

  11. Thermoelectric properties of ZnSb films grown by MOCVD

    International Nuclear Information System (INIS)

    Venkatasubramanian, R.; Watko, E.; Colpitts, T.

    1997-04-01

    The thermoelectric properties of metallorganic chemical vapor deposited (MOCVD) ZnSb films are reported. The growth conditions necessary to obtain stoichiometric ZnSb films and the effects of various growth parameters on the electrical conductivity and Seebeck coefficients of the films are described. The as-grown ZnSb films are p-type. It was observed that the growth of thicker ZnSb films lead to improved carrier mobilities and lower free-carrier concentrations. The Seebeck coefficient of ZnSb films was found to rise rapidly at approximately 160 to 170 C, with peak Seebeck coefficients as high as 470 microV/K at 220 C. The various growth conditions, including the use of intentional dopants, to improve the Seebeck coefficients at room temperature and above, are discussed. A short annealing of the ZnSb films at temperatures of ∼ 200 C resulted in reduced free-carrier levels and higher Seebeck coefficients at 300 K. Finally, ZT values based on preliminary thermal conductivity measurements using the 3-ω method are reported

  12. Thermogravimetric evaluation of the suitability of precursors for MOCVD

    International Nuclear Information System (INIS)

    Kunte, G V; Shivashankar, S A; Umarji, A M

    2008-01-01

    A method based on the Langmuir equation for the estimation of vapour pressure and enthalpy of sublimation of subliming compounds is described. The variable temperature thermogravimetric/differential thermogravimetric (TG/DTG) curve of benzoic acid is used to arrive at the instrument parameters. Employing these parameters, the vapour pressure–temperature curves are derived for salicylic acid and camphor from their TG/DTG curves. The values match well with vapour pressure data in the literature, obtained by effusion methods. By employing the Clausius–Clapeyron equation, the enthalpy of sublimation could be calculated. Extending the method further, two precursors for metal-organic chemical vapour deposition (MOCVD) of titanium oxide bis-isopropyl bis tert-butyl 2-oxobutanoato titanium, Ti(O i Pr) 2 (tbob) 2 , and bis-oxo-bis-tertbutyl 2-oxobutanoato titanium, [TiO(tbob) 2 ] 2 , have been evaluated. The complex Ti(O i Pr) 2 (tbob) 2 is found to be a more suitable precursor. This approach can be helpful in quickly screening for the suitability of a compound as a CVD precursor

  13. In situ synchrotron X-ray studies during metal-organic chemical vapor deposition of semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Thompson, Carol [Northern Illinois Univ., DeKalb, IL (United States); Argonne National Lab., Argonne, IL (United States); Highland, Matthew J.; Perret, Edith; Fuoss, Paul H.; Streiffer, Stephen K.; Stephenson, G. Brian [Argonne National Lab., Argonne, IL (United States); Richard, Marie-Ingrid [Universite Paul Cezanne Aix-Marseille, Marseille (France)

    2012-07-01

    In-situ, time-resolved techniques provide valuable insight into the complex interplay of surface structural and chemical evolution occurring during materials synthesis and processing of semiconductors. Our approach is to observe the evolution of surface structure and morphology at the atomic scale in real-time during metal organic vapor phase deposition (MOCVD) by using grazing incidence x-ray scattering and X-ray fluorescence, coupled with visible light scattering. Our vertical-flow MOCVD chamber is mounted on a 'z-axis' surface diffractometer designed specifically for these studies of the film growth, surface evolution and the interactions within a controlled growth environment. These techniques combine the ability of X-rays to penetrate a complex environment for measurements during growth and processing, with the sensitivity of surface scattering techniques to atomic and nanoscale structure. In this talk, we outline our program and discuss examples from our in-situ and real-time X-ray diffraction and fluorescence studies of InN, GaN, and InGaN growth on GaN(0001).

  14. Microstructural and superconducting properties of high current metal-organic chemical vapor deposition YBa{sub 2}Cu{sub 3}O{sub 7-{delta}} coated conductor wires

    Energy Technology Data Exchange (ETDEWEB)

    Holesinger, T G; Maiorov, B; Ugurlu, O; Civale, L [Los Alamos National Laboratory, Los Alamos, NM 87545 (United States); Chen, Y; Xiong, X; Xie, Y; Selvamanickam, V [SuperPower, Inc., Schenectady, NY 12304 (United States)

    2009-04-15

    Metal-organic chemical vapor deposition (MOCVD) on flexible, ion beam assisted deposition MgO templates has been used to produce high critical current density (J{sub c}) (Y,Sm){sub 1}Ba{sub 2}Cu{sub 3}O{sub y} (REBCO) films suitable for use in producing practical high temperature superconducting (HTS) coated conductor wires. Thick films on tape were produced with sequential additions of 0.7 {mu}m of REBCO via a reel-to-reel progression through a custom-designed MOCVD reactor. Multi-pass processing for thick film deposition is critically dependent upon minimizing surface secondary phase formation. Critical currents (I{sub c}s) of up to 600 A/cm width (t = 2.8 {mu}m, J{sub c} = 2.6 MA cm{sup -2}, 77 K, self-field) were obtained in short lengths of HTS wires. These high performance MOCVD films are characterized by closely spaced (Y,Sm){sub 2}O{sub 3} nanoparticle layers that may be tilted relative to the film normal and REBCO orientation. Small shifts in the angular dependence of J{sub c} in low and intermediate applied magnetic fields can be associated with the tilted nanoparticle layers. Also present in these films were YCuO{sub 2} nanoplates aligned with the YBCO matrix (short dimension perpendicular to the film normal), threading dislocations, and oriented composite defects (OCDs). The latter structures consist of single or multiple a-axis oriented grains coated on each side with insulating (Y,Sm){sub 2}O{sub 3} or CuO. The OCDs formed a connected network of insulating phases by the end of the fourth pass. Subsequent attempts at adding additional layers did not increase I{sub c}. There is an inconsistency between the measured J{sub c} and the observed microstructural degradation that occurs with each additional layer, suggesting that previously deposited layers are improving with each repeated reactor pass. These dynamic changes suggest a role for post-processing to optimize superconducting properties of as-deposited films, addressing issues associated with

  15. Photocatalysis in the visible range of sub-stoichiometric anatase films prepared by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Justicia, I. [ICMAB/CSIC, Campus UAB, 08193 Bellaterra (Spain); Garcia, G. [ICMAB/CSIC, Campus UAB, 08193 Bellaterra (Spain)]. E-mail: gemma@icmab.es; Battiston, G.A. [ICIS/CNR, Corso Stati Uniti 4, 35127 Padova (Italy); Gerbasi, R. [ICIS/CNR, Corso Stati Uniti 4, 35127 Padova (Italy); Ager, F. [CNA/CSIC Parque Tecnologico Cartuja 93, Avda Thomas A, Edison, 41092 Sevilla (Spain); Guerra, M. [IIQAB/CSIC Jordi Girona, 18 08034 Barcelona (Spain); Caixach, J. [IIQAB/CSIC Jordi Girona, 18 08034 Barcelona (Spain); Pardo, J.A. [ICMAB/CSIC, Campus UAB, 08193 Bellaterra (Spain); Rivera, J. [IIQAB/CSIC Jordi Girona, 18 08034 Barcelona (Spain); Figueras, A. [ICMAB/CSIC, Campus UAB, 08193 Bellaterra (Spain); Instituto de Fisica, UNAM, Campus UNAM Juriquilla, 76230 Queretaro (Mexico)

    2005-08-25

    Anatase phase of titanium oxide is the most promising photocatalyst material for organic pollutant degradation. However, due to its large band gap energy (3.2 eV) it is not viable to use sunlight as an energy source for the photocatalysis activation, and so, ultraviolet (UV) radiation below the wavelength of 380 nm is required. This paper focuses on the experimental demonstration of the reduction of this large band gap energy by inducing defects in the anatase structure under the form of oxygen sub-stoichiometry. TiO{sub 2} thin films were prepared in a metal organic chemical vapour deposition (MOCVD) reactor. The samples stoichiometry was measured by the Rutherford backscattering spectrometry (RBS) technique. Optical characterisation was also performed and the photodegradation activity in the visible range was tested using nonylphenol, which is one of the most harmful pollutants present in waste waters.

  16. Characterization of photoluminescent (Y{sub 1{minus}x}Eu{sub x}){sub 2}O{sub 3} thin-films prepared by metallorganic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    McKittrick, J.; Bacalski, C.F.; Hirata, G.A. [Univ. of California, San Diego, La Jolla, CA (United States); Hubbard, K.M.; Pattillo, S.G.; Salazar, K.V.; Trkula, M. [Los Alamos National Lab., NM (United States). Materials Science and Technology Div.

    1998-12-01

    Europium doped yttrium oxide, (Y{sub 1{minus}x}Eu{sub x}){sub 2}O{sub 3}, thin-films were deposited on silicon and sapphire substrates by metallorganic chemical vapor deposition (MOCVD). The films were grown in a MOCVD chamber reacting yttrium and europium tris(2,2,6,6-tetramethyl-3,5,-heptanedionates) precursors in an oxygen atmosphere at low pressures (5 Torr) and low substrate temperatures (500--700 C). The films deposited at 500 C were flat and composed of nanocrystalline regions of cubic Y{sub 2}O{sub 3}, grown in a textured [100] or [110] orientation to the substrate surface. Films deposited at 600 C developed from the flat, nanocrystalline morphology into a plate-like growth morphology oriented in the [111] with increasing deposition time. Monoclinic Y{sub 2}O{sub 3}:Eu{sup 3+} was observed in x-ray diffraction for deposition temperatures {ge}600 C on both (111) Si and (001) sapphire substrates. This was also confirmed by the photoluminescent emission spectra.

  17. InGaN quantum well epilayers morphological evolution under a wide range of MOCVD growth parameter sets

    Energy Technology Data Exchange (ETDEWEB)

    Florescu, D.I.; Ting, S.M.; Merai, V.N.; Parekh, A.; Lee, D.S.; Armour, E.A.; Quinn, W.E. [Veeco TurboDisc Operations, 394 Elizabeth Avenue, Somerset, NJ 08873 (United States)

    2006-06-15

    This study exemplifies the use of TappingMode trademark atomic force microscopy (AFM) surface morphology imaging to investigate and optimise the metalorganic chemical vapour deposition (MOCVD) growth conditions and post-growth stability of thin (<40 Aa) InGaN layers with direct implications to the structural and optical properties of blue (460 nm) and green (520 nm) LEDs. InGaN epilayers less than 40 Aa thick of {proportional_to}20% solid phase indium were produced on thick (3-4 {mu}m) 2{sup ''} GaN templates grown on (0001) c-plane sapphire substrates. The morphological evolution of the InGaN material was studied utilising a DI3100 AFM tool. Surface morphology and its correlation with photoluminescence and X-ray diffraction results are discussed for every set of conditions employed. More specifically, the post-growth ambient exposure and thermal stability of the uncapped InGaN epilayers were investigated. In addition, the initial stage of subsequent GaN growth, which is an essential step towards the manufacture of LED active regions, was examined. Based on the above findings, a flexible MOCVD growth parameter space and improved LED constituent layer sequencing techniques have been established leading to more efficient and stable LED devices. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. Chemical vapor deposited fiber coatings and chemical vapor infiltrated ceramic matrix composites

    Energy Technology Data Exchange (ETDEWEB)

    Kmetz, M.A.

    1992-01-01

    Conventional Chemical Vapor Deposition (CVD) and Organometallic Chemical Vapor Deposition (MOCVD) were employed to deposit a series of interfacial coatings on SiC and carbon yarn. Molybdenum, tungsten and chromium hexacarbonyls were utilized as precursors in a low temperature (350[degrees]C) MOCVD process to coat SiC yarn with Mo, W and Cr oxycarbides. Annealing studies performed on the MoOC and WOC coated SiC yarns in N[sub 2] to 1,000[degrees]C establish that further decomposition of the oxycarbides occurred, culminating in the formation of the metals. These metals were then found to react with Si to form Mo and W disilicide coatings. In the Cr system, heating in N[sub 2] above 800[degrees]C resulted in the formation of a mixture of carbides and oxides. Convention CVD was also employed to coat SiC and carbon yarn with C, Bn and a new interface designated BC (a carbon-boron alloy). The coated tows were then infiltrated with SiC, TiO[sub 2], SiO[sub 2] and B[sub 4]C by a chemical vapor infiltration process. The B-C coatings were found to provide advantageous interfacial properties over carbon and BN coatings in several different composite systems. The effectiveness of these different coatings to act as a chemically inert barrier layer and their relationship to the degree of interfacial debonding on the mechanical properties of the composites were examined. The effects of thermal stability and strength of the coated fibers and composites were also determined for several difference atmospheres. In addition, a new method for determining the tensile strength of the as-received and coated yarns was also developed. The coated fibers and composites were further characterized by AES, SEM, XPS, IR and X-ray diffraction analysis.

  19. High-quality uniaxial In(x)Ga(1-x)N/GaN multiple quantum well (MQW) nanowires (NWs) on Si(111) grown by metal-organic chemical vapor deposition (MOCVD) and light-emitting diode (LED) fabrication.

    Science.gov (United States)

    Ra, Yong-Ho; Navamathavan, R; Park, Ji-Hyeon; Lee, Cheul-Ro

    2013-03-01

    This article describes the growth and device characteristics of vertically aligned high-quality uniaxial p-GaN/InxGa1-xN/GaN multiple quantum wells (MQW)/n-GaN nanowires (NWs) on Si(111) substrates grown by metal-organic chemical vapor deposition (MOCVD) technique. The resultant nanowires (NWs), with a diameter of 200-250 nm, have an average length of 2 μm. The feasibility of growing high-quality NWs with well-controlled indium composition MQW structure is demonstrated. These resultant NWs grown on Si(111) substrates were utilized for fabricating vertical-type light-emitting diodes (LEDs). The steep and intense photoluminescence (PL) and cathodoluminescence (CL) spectra are observed, based on the strain-free NWs on Si(111) substrates. High-resolution transmission electron microscopy (HR-TEM) analysis revealed that the MQW NWs are grown along the c-plane with uniform thickness. The current-voltage (I-V) characteristics of these NWs exhibited typical p-n junction LEDs and showed a sharp onset voltage at 2.75 V in the forward bias. The output power is linearly increased with increasing current. The result indicates that the pulsed MOCVD technique is an effective method to grow uniaxial p-GaN/InxGa1-xN/GaN MQW/n-GaN NWs on Si(111), which is more advantageous than other growth techniques, such as molecular beam epitaxy. These results suggest the uniaxial NWs are promising to allow flat-band quantum structures, which can enhance the efficiency of LEDs.

  20. Investigation of (Y,Gd)Ba2Cu3O7-x grown by MOCVD on a simplified IBAD MgO template

    International Nuclear Information System (INIS)

    Stan, L; Holesinger, T G; Maiorov, B; Civale, L; DePaula, R F; Jia, Q X; Chen, Y; Xiong, X; Selvamanickam, V

    2010-01-01

    We have used an ion beam sputtered Y 2 O 3 -Al 2 O 3 (YALO) composite to simplify the architecture of high temperature superconducting (HTS) coated conductors (CCs) based on a IBAD MgO template. By implementing YALO, we have reduced the total non-superconducting layers between the polycrystalline metal substrate and the superconducting film from five (the standard architecture) to four. Well textured (Y,Gd)Ba 2 Cu 3 O 7-x ((Y, Gd)BCO) films have been successfully grown by MOCVD on this simplified template. The microstructural characterization revealed that all layers are continuous and uniform with sharp and clean interfaces. Additionally, the YALO maintained its amorphous nature after the deposition of the superconductive layer, which is a plus in terms of its efficiency as a diffusion barrier. The achievement of a self-field critical current of 230 A cm -1 at 75.5 K is another proof of the effectiveness of YALO as a diffusion barrier and nucleation seed for the MgO. The transport properties under an applied magnetic field of MOCVD grown (Y, Gd)BCO on LMO buffered MgO/YALO/Ni-alloy are comparable with those of (Y, Gd)BCO on a standard architecture, thus demonstrating good compatibility between the simplified template with the MOCVD grown (Y, Gd)BCO. The use of a single composite YALO layer instead of individual layers of Y 2 O 3 and Al 2 O 3 for the large scale fabrication of HTS CCs based on IBAD MgO provides advantages such as potentially reduced cost due to the reduced number of fabrication steps.

  1. Thin RuO2 conducting films grown by MOCVD for microelectronic applications

    International Nuclear Information System (INIS)

    Froehlich, K.; Cambel, V.; Machajdik, D.; Pignard, S.; Baumann, P. K.; Lindner, J.; Schumacher, M.

    2002-01-01

    We have prepared thin RuO 2 films by MOCVD using thermal evaporation of Ru(thd) 2 (cod) solid precursor. The films were prepared at deposition temperatures between 250 and 500 grad C on silicon and sapphire substrates. Different structure was observed for the RuO 2 films on these substrates; the films on Si substrate were polycrystalline, while X-ray diffraction analysis revealed epitaxial growth of RuO 2 on sapphire substrates. Polycrystalline RuO 2 films prepared at temperatures below 300 grad C on Si substrate exhibit smooth surface and excellent step coverage. Highly conformal growth of the RuO 2 films at low temperature and low pressure results in nearly 100% step coverage for sub-mm features with 1:1 aspect ratio. Resistivity of the polycrystalline RuO 2 at room temperature ranged between 100 and 200 μ x Ω x cm. These films are suitable for CMOS and RAM applications. (Authors)

  2. The controlled growth of GaN microrods on Si(111) substrates by MOCVD

    Science.gov (United States)

    Foltynski, Bartosz; Garro, Nuria; Vallo, Martin; Finken, Matthias; Giesen, Christoph; Kalisch, Holger; Vescan, Andrei; Cantarero, Andrés; Heuken, Michael

    2015-03-01

    In this paper, a selective area growth (SAG) approach for growing GaN microrods on patterned SiNx/Si(111) substrates by metal-organic chemical vapor deposition (MOCVD) is studied. The surface morphology, optical and structural properties of vertical GaN microrods terminated by pyramidal shaped facets (six { 10 1 bar 1} planes) were characterized using scanning electron microscopy (SEM), room temperature photoluminescence (PL) and Raman spectroscopy, respectively. Measurements revealed high-quality GaN microcolumns grown with silane support. Characterized structures were grown nearly strain-free (central frequency of Raman peak of 567±1 cm-1) with crystal quality comparable to bulk crystals (FWHM=4.2±1 cm-1). Such GaN microrods might be used as a next-generation device concept for solid-state lighting (SSL) applications by realizing core-shell InGaN/GaN multi-quantum wells (MQWs) on the n-GaN rod base.

  3. Crystalline, Optical and Electrical Properties of NiZnO Thin Films Fabricated by MOCVD

    International Nuclear Information System (INIS)

    Wang Jin; Wang Hui; Zhao Wang; Ma Yan; Li Wan-Cheng; Shi Zhi-Feng; Zhao Long; Zhang Bao-Lin; Dong Xin; Du Guo-Tong; Xia Xiao-Chuan

    2011-01-01

    NiZnO thin films are grown on c-plane sapphire substrates by using a photo-assisted metal organic chemical vapor deposition (MOCVD) system. The effect of the Ni content on the crystalline, optical and electrical properties of the films are researched in detail. The NiZnO films could retain a basic wurtzite structure when the Ni content is less than 0.18. As Ni content increases, crystal quality degradation could be observed in the x-ray diffraction patterns and a clear red shift of the absorption edge can be observed in the transmittance spectrum. Furthermore, the donor defects in the NiZnO film can be compensated for effectively by increasing the Ni content. The change of Ni content has an important effect on the properties of NiZnO films. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  4. Optical monitoring of surface processes relevant to thin film growth by chemical vapour deposition

    International Nuclear Information System (INIS)

    Simcock, Michael Neil

    2002-01-01

    This thesis reports on the investigation of the use of reflectance anisotropy spectroscopy (RAS) as an in-situ monitor for the preparation and oxidation of GaAs(100) c(4x4) surfaces using a CVD 2000 MOCVD reactor. These surfaces were oxidised using air. It was found that it was possible to follow surface degradation using RA transients at 2.6eV and 4eV. From this data it was possible to speculate on the nature of the surface oxidation process. A study was performed into the rate of surface degradation under different concentrations of air, it was found that the relation between the air concentration and the surface degradation was complicated but that the behaviour of the first third of the degradation approximated a first order behaviour. An estimation of the activation energy of the process was then made, and an assessment of the potential use of the glove-box for STM studies which is an integral part of the MOCVD equipment was also made. Following this, a description is given of the construction of an interferometer for monitoring thin film growth. An investigation is also described into two techniques designed to evaluate the changes in reflected intensity as measured by an interferometer. The first technique uses an iteration procedure to determine the film thickness from the reflection data. This is done using a Taylor series expansion of the thin film reflection function to iterate for the thickness. Problems were found with the iteration when applied to noisy data, these were solved by using a least squares fit to smooth the data. Problems were also found with the iteration at the turning points these were solved using the derivative of the function and by anticipating the position of the turning points. The second procedure uses the virtual interface method to determine the optical constants of the topmost deposited material, the virtual substrate, and the growth rate. This method is applied by using a Taylor series expansion of the thin film reflection

  5. Metalorganic chemical vapor deposition of gallium nitride on sacrificial substrates

    Science.gov (United States)

    Fenwick, William Edward

    GaN-based light emitting diodes (LEDs) face several challenges if the technology is to continue to make a significant impact in general illumination, and on technology that has become known as solid state lighting (SSL). Two of the most pressing challenges for the continued penetration of SSL into traditional lighting applications are efficacy and total lumens from the device, and their related cost. The development of alternative substrate technologies is a promising avenue toward addressing both of these challenges, as both GaN-based device technology and the associated metalorganic chemical vapor deposition (MOCVD) technology are already relatively mature technologies with a well-understood cost base. Zinc oxide (ZnO) and silicon (Si) are among the most promising alternative substrates for GaN epitaxy. These substrates offer the ability to access both higher efficacy and lumen devices (ZnO) at a much reduced cost. This work focuses on the development of MOCVD growth processes to yield high quality GaN-based materials and devices on both ZnO and Si. ZnO is a promising substrate for growth of low defect-density GaN because of its similar lattice constant and thermal expansion coefficient. The major hurdles for GaN growth on ZnO are the instability of the substrate in a hydrogen atmosphere, which is typical of nitride growth conditions, and the inter-diffusion of zinc and oxygen from the substrate into the GaN-based epitaxial layer. A process was developed for the MOCVD growth of GaN and InxGa 1-xN on ZnO that attempted to address these issues. The structural and optical properties of these films were studied using various techniques. X-ray diffraction (XRD) showed the growth of wurtzite GaN on ZnO, and room-temperature photoluminescence (RT-PL) showed near band-edge luminescence from the GaN and InxGa1-xN layers. However, high zinc and oxygen concentrations due to interdiffusion near the ZnO substrate remained an issue; therefore, the diffusion of zinc and oxygen

  6. Synthesis and properties of barium diketonates as precursors for MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Drozdov, A.A. (Dept. of Chemistry, Moscow State Univ. (Russian Federation)); Troyanov, S.I. (Dept. of Chemistry, Moscow State Univ. (Russian Federation)); Kuzmina, N.P. (Dept. of Chemistry, Moscow State Univ. (Russian Federation)); Martynenko, L.I. (Dept. of Chemistry, Moscow State Univ. (Russian Federation)); Alikhanyan, A.S. (Dept. of Chemistry, Moscow State Univ. (Russian Federation)); Malkerova, I.P. (Dept. of Chemistry, Moscow State Univ. (Russian Federation))

    1993-08-01

    The structures of barium diketonates depend on the way in which they are synthesized. It is shown that there is a correlation between the volatility of these compounds and their crystal structures. A new volatile mononuclear adduct of barium dipivaloylmethanate suitable for MOCVD is suggested. (orig.).

  7. Synthesis and properties of barium diketonates as precursors for MOCVD

    International Nuclear Information System (INIS)

    Drozdov, A.A.; Troyanov, S.I.; Kuzmina, N.P.; Martynenko, L.I.; Alikhanyan, A.S.; Malkerova, I.P.

    1993-01-01

    The structures of barium diketonates depend on the way in which they are synthesized. It is shown that there is a correlation between the volatility of these compounds and their crystal structures. A new volatile mononuclear adduct of barium dipivaloylmethanate suitable for MOCVD is suggested. (orig.)

  8. Microstructure Characteristics of High Lift Factor MOCVD REBCO Coated Conductors With High Zr Content

    Energy Technology Data Exchange (ETDEWEB)

    Galstyan, E; Gharahcheshmeh, MH; Delgado, L; Xu, AX; Majkic, G; Selvamanickam, V

    2015-06-01

    We report the microstructural characteristics of high levels of Zr-added REBa2Cu3O7-x (RE = Gd, Y rare earth) coated conductors fabricated by Metal Organic Chemical Vapor Deposition (MOCVD). The enhancements of the lift factor defined as a ratio of the in-field (3 T, B parallel to c-axis) critical current density (J(c)) at 30 K and self-field J(c) at 77 K have been achieved for Zr addition levels of 20 and 25 mol% via optimization of deposition parameters. The presence of strong flux pinning is attributed to the aligned nanocolumns of BaZrO3 and nanoprecipitates embedded in REBa2Cu3O7-x matrix with good crystal quality. A high density of BZO nanorods with a typical size 6-8 nm and spacing of 20 nm has been observed. Moreover, the high Zr content was found to induce a high density of intrinsic defects, including stacking faults and dislocations. The correlation between in-field performance along the c-axis and microstructure of (Gd, Y) BCO film with a high level of Zr addition is discussed.

  9. MOCVD growth of CdTe and HgTe on GaAs in a vertical, high-speed, rotating-disc reactor

    International Nuclear Information System (INIS)

    Tompa, G.S.; Nelson, C.R.; Reinert, P.D.; Saracino, M.A.; Terrill, L.A.; Colter, P.C.

    1989-01-01

    The metalorganic chemical vapor deposition (MOCVD) growth of CdTe and HgTe on GaAs (111) and (100) substrates in a vertical, high-speed, rotating-disc reactor was investigated. A range of total reactor pressure, carrier gas flow rate, chemical concentrations, deposition temperature, and rotation rate have been investigated in an attempt to optimize growth conditions. Diisopropyltelluride (DIPTe) and Dimethylcadmium (DMCd) were used as growth precursors. Thickness uniformity varies less than +/- 1.5% over 50 mm diameter wafers. Films having FWHM X-ray rocking curves less than 90 arcsec were obtained on GaAs (111) substrates. The films have excellent surface morphology, exhibiting less than 5 x 10 4 cm - 2 orange peel dents which are much-lt 1 μm in size. An elemental mercury source was added to the growth system. Initial results for the growth of HgTe and HgCdTe are discussed

  10. Control of a metalorganic chemical vapor deposition process for improved composition and thickness precision in compound semiconductors

    Science.gov (United States)

    Gaffney, Monique Suzanne

    1998-11-01

    Metalorganic chemical vapor deposition (MOCVD) is a process used to manufacture electronic and optoelectronic devices that has traditionally lacked real-time growth monitoring and control. Controlling the growth rate and composition using the existing sensors, as well as advanced monitoring systems developed in-house, is shown to improve device quality. Specific MOCVD growth objectives are transformed into controller performance goals. Group III bubbler concentration variations, which perturb both growth rate and composition precision, are identified to be the primary disturbances. First a feed forward control system was investigated, which used an ultrasonic concentration monitor, located upstream in the process. This control strategy resulted in improved regulation of the gallium delivery rate by cancelling the sensed gallium bubbler concentration disturbances via the injection mass flow controller. The controller performance is investigated by growing GaInAs/InP superlattices. Results of growths performed under normal operating conditions and also under large perturbations include X-ray diffraction from the samples as well as real-time sensor signal data. High quality superlattices that display up to eight orders of satellite peaks are obtained under the feed forward compensation scheme, demonstrating improved layer-to-layer reproducibility of thickness and composition. The success of the feed forward control demonstration led to the development of a more complex downstream feedback control system. An ultraviolet absorption monitor was fabricated and retrofitted as a feedback control signal. A control-oriented model of the downstream process was developed for the feedback controller synthesis. Although challenged with both the photolysis and multi-gas detection issues common to UV absorption monitors, closed loop control with the UV sensor was performed and proved to be an effective method of disturbance rejection. An InP/GaInAs test structure was grown under

  11. Influence of in-situ deposited SiNx interlayer on crystal quality of GaN epitaxial films

    Science.gov (United States)

    Fan, Teng; Jia, Wei; Tong, Guangyun; Zhai, Guangmei; Li, Tianbao; Dong, Hailiang; Xu, Bingshe

    2018-05-01

    GaN epitaxial films with SiNx interlayers were prepared by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The influences of deposition times and locations of SiNx interlayers on crystal quality of GaN epitaxial films were studied. Under the optimal growth time of 120 s for the SiNx interlayer, the dislocation density of GaN film is reduced to 4.05 × 108 cm-2 proved by high resolution X-ray diffraction results. It is found that when the SiNx interlayer deposits on the GaN nucleation islands, the subsequent GaN film has the lowest dislocation density of only 2.89 × 108 cm-2. Moreover, a model is proposed to illustrate the morphological evolution and associated propagation processes of TDs in GaN epi-layers with SiNx interlayers for different deposition times and locations.

  12. Epitaxial Oxide Thin Films Grown by Solid Source Metal-Organic Chemical Vapor Deposition.

    Science.gov (United States)

    Lu, Zihong

    1995-01-01

    The conventional liquid source metal-organic chemical vapor deposition (MOCVD) technique is capable of producing large area, high quality, single crystal semiconductor films. However, the growth of complex oxide films by this method has been hampered by a lack of suitable source materials. While chemists have been actively searching for new source materials, the research work reported here has demonstrated the successful application of solid metal-organic sources (based on tetramethylheptanedionate) to the growth of high quality thin films of binary compound cerium dioxide (CeO_2), and two more complex materials, the ternary compound lithium niobate (LiNbO_3), with two cations, and the quaternary compound strontium barium niobate (SBN), with three cations. The growth of CeO_2 thin films on (1012)Al_2O_3 substrates has been used as a model to study the general growth behavior of oxides. Factors affecting deposition rate, surface morphology, out-of-plane mosaic structure, and film orientation have been carefully investigated. A kinetic model based on gas phase prereaction is proposed to account for the substrate temperature dependence of film orientation found in this system. Atomically smooth, single crystal quality cerium dioxide thin films have been obtained. Superconducting YBCO films sputtered on top of solid source MOCVD grown thin cerium dioxide buffer layers on sapphire have been shown to have physical properties as good as those of YBCO films grown on single crystal MgO substrates. The thin film growth of LiNbO_3 and Sr_{1-x}Ba _{x}Nb_2 O_6 (SBN) was more complex and challenging. Phase purity, transparency, in-plane orientation, and the ferroelectric polarity of LiNbO _3 films grown on sapphire substrates was investigated. The first optical quality, MOCVD grown LiNbO _3 films, having waveguiding losses of less than 2 dB/cm, were prepared. An important aspect of the SBN film growth studies involved finding a suitable single crystal substrate material. Mg

  13. Enhanced Light Scattering by Preferred Orientation Control of Ga Doped ZnO Films Prepared through MOCVD

    Directory of Open Access Journals (Sweden)

    Long Giang Bach

    2016-01-01

    Full Text Available We have explored the effective approach to fabricate GZO/ZnO films that can make the pyramidal surface structures of GZO films for effective light scattering by employing a low temperature ZnO buffer layer prior to high temperature GZO film growth. The GZO thin films exhibit the typical preferred growth orientations along the (002 crystallographic direction at deposition temperature of 400°C and SEM showed that column-like granule structure with planar surface was formed. In contrast, GZO films with a pyramidal texture surface were successfully developed by the control of (110 preferred orientation. We found that the light diffuse transmittance of the film with a GZO (800 nm/ZnO (766 nm exhibited 13% increase at 420 nm wavelength due to the formed large grain size of the pyramidal texture surface. Thus, the obtained GZO films deposited over ZnO buffer layer have high potential for use as front TCO layers in Si-based thin film solar cells. These results could develop the potential way to fabricate TCO based ZnO thin film using MOCVD or sputtering techniques by depositing a low temperature ZnO layer to serve as a template for high temperature GZO film growth. The GZO films exhibited satisfactory optoelectric properties.

  14. Synthesis of In0.1Ga0.9N/GaN structures grown by MOCVD and MBE for high speed optoelectronics

    KAUST Repository

    Alshehri, Bandar; Dogheche, Karim; Belahsene, Sofiane; Janjua, Bilal; Ramdane, Abderrahim; Patriarche, Gilles; Ng, Tien Khee; S-Ooi, Boon; Decoster, Didier; Dogheche, Elhadj

    2016-01-01

    In this work, we report a comparative investigation of InxGa1-xN (SL) and InxGa1-xN/GaN (MQW) structures with an indium content equivalent to x=10%. Both structures are grown on (0001) sapphire substrates using MOCVD and MBE growth techniques. Optical properties are evaluated for samples using PL characteristics. Critical differences between the resulting epitaxy are observed. Microstructures have been assessed in terms of crystalline quality, density of dislocations and surface morphology. We have focused our study towards the fabrication of vertical PIN photodiodes. The technological process has been optimized as a function of the material structure. From the optical and electrical characteristics, this study demonstrates the benefit of InGaN/GaN MQW grown by MOCVD in comparison with MBE for high speed optoelectronic applications.

  15. Synthesis of In0.1Ga0.9N/GaN structures grown by MOCVD and MBE for high speed optoelectronics

    KAUST Repository

    Alshehri, Bandar

    2016-06-07

    In this work, we report a comparative investigation of InxGa1-xN (SL) and InxGa1-xN/GaN (MQW) structures with an indium content equivalent to x=10%. Both structures are grown on (0001) sapphire substrates using MOCVD and MBE growth techniques. Optical properties are evaluated for samples using PL characteristics. Critical differences between the resulting epitaxy are observed. Microstructures have been assessed in terms of crystalline quality, density of dislocations and surface morphology. We have focused our study towards the fabrication of vertical PIN photodiodes. The technological process has been optimized as a function of the material structure. From the optical and electrical characteristics, this study demonstrates the benefit of InGaN/GaN MQW grown by MOCVD in comparison with MBE for high speed optoelectronic applications.

  16. Characterization of Al{sub x}Ga{sub 1-x}As/GaAs heterostructures for single quantum wells grown by a solid arsenic MOCVD system

    Energy Technology Data Exchange (ETDEWEB)

    Castillo-Ojeda, R. [Universidad Politecnica de Pachuca, Km. 20, Rancho Luna, Ex-Hacienda de Santa Barbara, Municipio de Zempoala, Hidalgo 43830 (Mexico); Diaz-Reyes, J., E-mail: jdiazr2001@yahoo.co [Instituto Politecnico Nacional, Centro de Investigacion en Biotecnologia Aplicada, CIBA-IPN, Ex Hacienda de San Juan Molino, Km. 1.5. Tepetitla, Tlaxcala 90700 (Mexico); Galvan-Arellano, M.; Pena-Sierra, R. [CINVESTAV-IPN, Depto. de Ing. Electrica, SEES. Apdo. 14-740, Mexico, D.F. 07000 (Mexico)

    2011-06-15

    This work presents the results of the growth and characterization of Al{sub x}Ga{sub 1-x}As/GaAs multilayer structures obtained in a metallic-arsenic-based-MOCVD system. The main goal is to explore the ability of the growth system to grow high quality multilayer structures like quantum wells. The use of metallic arsenic could introduce important differences in the growth process due to the absence of the hydride group V precursor (AsH{sub 3}), which manifests in the electrical and optical characteristics of both GaAs and Al{sub x}Ga{sub 1-x}As layers. The characterization of these epilayers and structures was performed using low-temperature photoluminescence, Hall effect measurements, X-ray diffraction, Raman spectroscopy, secondary ion mass spectroscopy (SIMS) and Atomic Force Microscopy (AFM). - Research highlights: {yields} This work is reported the growth of AlxGa1-xAs/GaAs/AlxGa1-xAs heterostructures by a solid arsenic based MOCVD system. {yields} The results obtained with this system are comparable with those obtained with the traditional arsine based growth system. {yields} The main limitation of the alternative MOCVD system is related to the lack of monoatomic hydrogen on the growth surface that acts modifying the surface kinetics and enhancing the carbon incorporation. {yields} The experimental results indicate that it can be grown AlxGa1-xAs using elemental arsenic by MOCVD, which can be used to optoelectronic devices.

  17. Investigation of (Y,Gd)Ba{sub 2}Cu{sub 3}O{sub 7-x} grown by MOCVD on a simplified IBAD MgO template

    Energy Technology Data Exchange (ETDEWEB)

    Stan, L; Holesinger, T G; Maiorov, B; Civale, L; DePaula, R F; Jia, Q X [Los Alamos National Laboratory, PO Box 1663, Los Alamos, NM 87545 (United States); Chen, Y [SuperPower, Incorporated, 450 Duane Avenue, Schenectady, NY 12304 (United States); Xiong, X; Selvamanickam, V [Mechanical Engineering Department, University of Houston, Houston, TX 77204-4006 (United States)

    2010-01-15

    We have used an ion beam sputtered Y{sub 2}O{sub 3}-Al{sub 2}O{sub 3} (YALO) composite to simplify the architecture of high temperature superconducting (HTS) coated conductors (CCs) based on a IBAD MgO template. By implementing YALO, we have reduced the total non-superconducting layers between the polycrystalline metal substrate and the superconducting film from five (the standard architecture) to four. Well textured (Y,Gd)Ba{sub 2}Cu{sub 3}O{sub 7-x} ((Y, Gd)BCO) films have been successfully grown by MOCVD on this simplified template. The microstructural characterization revealed that all layers are continuous and uniform with sharp and clean interfaces. Additionally, the YALO maintained its amorphous nature after the deposition of the superconductive layer, which is a plus in terms of its efficiency as a diffusion barrier. The achievement of a self-field critical current of 230 A cm{sup -1} at 75.5 K is another proof of the effectiveness of YALO as a diffusion barrier and nucleation seed for the MgO. The transport properties under an applied magnetic field of MOCVD grown (Y, Gd)BCO on LMO buffered MgO/YALO/Ni-alloy are comparable with those of (Y, Gd)BCO on a standard architecture, thus demonstrating good compatibility between the simplified template with the MOCVD grown (Y, Gd)BCO. The use of a single composite YALO layer instead of individual layers of Y{sub 2}O{sub 3} and Al{sub 2}O{sub 3} for the large scale fabrication of HTS CCs based on IBAD MgO provides advantages such as potentially reduced cost due to the reduced number of fabrication steps.

  18. High quality aluminide and thermal barrier coatings deposition for new and service exposed parts by CVD techniques

    Energy Technology Data Exchange (ETDEWEB)

    Pedraza, F.; Tuohy, C.; Whelan, L.; Kennedy, A.D. [SIFCO Turbine Components, Carrigtwohill, Cork (Ireland)

    2004-07-01

    In this work, the performance of CVD aluminide coatings is compared to that of coatings deposited by the classical pack cementation technique using standard SIFCO procedures. The CVD coatings always seem to behave better upon exposure to isothermal and cyclic oxidation conditions. This is explained by a longer term stability of CVD coatings, with higher Al amounts in the diffusion zone and less refractory element precipitation in the additive layer. The qualities of Pt/Al coatings by out-of-pack and CVD are also compared as a previous step for further thermal barrier coating deposition. As an example, YSZ thermal barrier coatings are deposited by MO-CVD on Pt/Al CVD bond coats rendering adherent and thick coatings around the surface of turbine blades. This process under development does not require complex manipulation of the component to be coated. (orig.)

  19. Alloying, co-doping, and annealing effects on the magnetic and optical properties of MOCVD-grown Ga1-xMn xN

    International Nuclear Information System (INIS)

    Kane, Matthew H.; Strassburg, Martin; Asghar, Ali; Fenwick, William E.; Senawiratne, Jayantha; Song, Qing; Summers, Christopher J.; Zhang, Z. John; Dietz, Nikolaus; Ferguson, Ian T.

    2006-01-01

    Recent theoretical work for Ga 1-x Mn x N predicts ferromagnetism in this materials system with Curie temperatures above room temperature. Ferromagnetic behavior observed in Ga 1-x Mn x N is still controversial, as there are conflicting experimental reports owing to the disparity in crystalline quality and phase purity of Ga 1-x Mn x N produced by different methods. In this work, metal-organic chemical vapor deposition (MOCVD) has been used to grow high-quality epitaxial films of Ga 1-x Mn x N of varying thickness and manganese doping levels using Cp 2 Mn as the Mn source. Crystalline quality and phase purity were determined by high-resolution X-ray diffraction, indicating that no macroscopic second phases are formed. Atomic force microscopy revealed MOCVD-like step flow growth patterns and a mean surface roughness of 0.378 nm in optimally grown films, which is close to that from the as-grown template layer of 0.330 nm. No change in the growth mechanism and morphology with Mn incorporation is observed. A uniform Mn concentration in the epitaxial layers is confirmed by secondary ion mass spectroscopy. SQUID measurements showed an apparent room temperature ferromagnetic hysteresis with saturation magnetizations of over 2 μ B /Mn at x = 0.008, which decreases with increasing Mn incorporation. Upon high-temperature annealing, numerous changes are observed in these properties, including an increase in surface roughness due to surface decomposition and a large decrease in the magnetic signature. A similar decrease in the magnetic signature is observed upon co-doping with the shallow donor silicon during the growth process. These results demonstrate the critical importance of controlling the Fermi level relative to the Mn 2+/3+ acceptor level in Ga 1-x Mn x N in order to achieve strong ferromagnetism

  20. Adhesion strength study of IBAD-MOCVD-based 2G HTS wire using a peel test

    International Nuclear Information System (INIS)

    Zhang, Y.; Hazelton, D.W.; Knoll, A.R.; Duval, J.M.; Brownsey, P.; Repnoy, S.; Soloveichik, S.; Sundaram, A.; McClure, R.B.; Majkic, G.; Selvamanickam, V.

    2012-01-01

    A peel test was used to study the adhesion strength of a commercial grade 2G HTS wire which features a characteristic multilayer structure with the rare earth-based MOCVD superconducting film deposited on an IBAD-MgO template. The peel test could be carried out at various peeling angles (from 90° to 180°) and the peel strength of a wire was defined as the steady-state peeling load determined from a load-displacement curve. The test results had good reproducibility and accuracy, making the test a reliable and useful method for studying the adhesion strength of the wire. By characterizing the peeled surfaces the weakest interface in a wire could be identified. The peel strength data of the wire was analyzed together with the performance of the experimental magnet coils fabricated using the wire. The effect of the silver contact layer annealing on the peel strength is discussed.

  1. HfO2 as gate dielectric on Ge: Interfaces and deposition techniques

    International Nuclear Information System (INIS)

    Caymax, M.; Van Elshocht, S.; Houssa, M.; Delabie, A.; Conard, T.; Meuris, M.; Heyns, M.M.; Dimoulas, A.; Spiga, S.; Fanciulli, M.; Seo, J.W.; Goncharova, L.V.

    2006-01-01

    To fabricate MOS gate stacks on Ge, one can choose from a multitude of metal oxides as dielectric material which can be deposited by many chemical or physical vapor deposition techniques. As a few typical examples, we will discuss here the results from atomic layer deposition (ALD), metal organic CVD (MOCVD) and molecular beam deposition (MBD) using HfO 2 /Ge as materials model system. It appears that a completely interface layer free HfO 2 /Ge combination can be made in MBD, but this results in very bad capacitors. The same bad result we find if HfGe y (Hf germanides) are formed like in the case of MOCVD on HF-dipped Ge. A GeO x interfacial layer appears to be indispensable (if no other passivating materials are applied), but the composition of this interfacial layer (as determined by XPS, TOFSIMS and MEIS) is determining for the C/V quality. On the other hand, the presence of Ge in the HfO 2 layer is not the most important factor that can be responsible for poor C/V, although it can still induce bumps in C/V curves, especially in the form of germanates (Hf-O-Ge). We find that most of these interfacial GeO x layers are in fact sub-oxides, and that this could be (part of) the explanation for the high interfacial state densities. In conclusion, we find that the Ge surface preparation is determining for the gate stack quality, but it needs to be adapted to the specific deposition technique

  2. Fast growth rate of epitaxial β-Ga2O3 by close coupled showerhead MOCVD

    Science.gov (United States)

    Alema, Fikadu; Hertog, Brian; Osinsky, Andrei; Mukhopadhyay, Partha; Toporkov, Mykyta; Schoenfeld, Winston V.

    2017-10-01

    We report on the growth of epitaxial β-Ga2O3 thin films on c-plane sapphire substrates using a close coupled showerhead MOCVD reactor. Ga(DPM)3 (DPM = dipivaloylmethanate), triethylgallium (TEGa) and trimethylgallium (TMGa) metal organic (MO) precursors were used as Ga sources and molecular oxygen was used for oxidation. Films grown from each of the Ga sources had high growth rates, with up to 10 μm/hr achieved using a TMGa precursor at a substrate temperature of 900 °C. As confirmed by X-ray diffraction, the films grown from each of the Ga sources were the monoclinic (2 bar 0 1) oriented β-Ga2O3 phase. The optical bandgap of the films was also estimated to be ∼4.9 eV. The fast growth rate of β-Ga2O3 thin films obtained using various Ga-precursors has been achieved due to the close couple showerhead design of the MOCVD reactor as well as the separate injection of oxygen and MO precursors, preventing the premature oxidation of the MO sources. These results suggest a pathway to overcoming the long-standing challenge of realizing fast growth rates for Ga2O3 using the MOCVD method.

  3. Growth of a New Ternary BON Crystal on Si(100) by Plasma-Assisted MOCVD and Study on the Effects of Fed Gas and Growth Temperature

    Science.gov (United States)

    Chen, G. C.; Lee, S.-B.; Boo, J.-H.

    A new ternary BOxNy crystal was grown on Si(100) substrate at 500°C by low-frequency (100 kHz) radio-frequency (rf) derived plasma-assisted MOCVD with an organoborate precursor. The as-grown deposits were characterized by SEM, TED, XPS, XRD, AFM and FT-IR. The experimental results showed that BOxNy crystal was apt to be formed at N-rich atmosphere and high temperature. The decrease of hydrogen flux in fed gases was of benefit to form BON crystal structure. The crystal structure of BOxNy was as similar to that of H3BO3 in this study.

  4. Adhesion strength study of IBAD-MOCVD-based 2G HTS wire using a peel test

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Y., E-mail: yzhang@superpower-inc.com [SuperPower Inc., 450 Duane Avenue, Schenectady, NY 12304 (United States); Hazelton, D.W.; Knoll, A.R.; Duval, J.M.; Brownsey, P.; Repnoy, S.; Soloveichik, S.; Sundaram, A.; McClure, R.B. [SuperPower Inc., 450 Duane Avenue, Schenectady, NY 12304 (United States); Majkic, G.; Selvamanickam, V. [University of Houston, 4800 Calhoun Road, Houston, TX 77204 (United States)

    2012-02-15

    A peel test was used to study the adhesion strength of a commercial grade 2G HTS wire which features a characteristic multilayer structure with the rare earth-based MOCVD superconducting film deposited on an IBAD-MgO template. The peel test could be carried out at various peeling angles (from 90 Degree-Sign to 180 Degree-Sign) and the peel strength of a wire was defined as the steady-state peeling load determined from a load-displacement curve. The test results had good reproducibility and accuracy, making the test a reliable and useful method for studying the adhesion strength of the wire. By characterizing the peeled surfaces the weakest interface in a wire could be identified. The peel strength data of the wire was analyzed together with the performance of the experimental magnet coils fabricated using the wire. The effect of the silver contact layer annealing on the peel strength is discussed.

  5. On the use of the plasma in III-V semiconductor processing

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, G.; Capezzuto, P.; Losurdo, M. [C.N.R.-Centro di Studio per la Chimica dei Plasmi Dipartimento di Chimica-Universita di Bari via Orabona, 4-70126 Bari (Italy)

    1996-03-01

    The manufacture of usable devices based on III-V semiconductor materials is a complex process requiring epilayer growth, anisotropic etching, defect passivation, surface oxidation and substrate preparation processes. The combination of plasma based methods with metalorganic chemical vapor deposition (MOCVD) offers some real advantages: {ital in} {ital situ} production and preactivation of PH{sub 3} and sample preparation using H-atom. The detailed understanding and use of the plasma (using mass spectrometry, optical emission spectroscopy, laser reflectance interferometry and spectroscopic ellipsometry) as applied to InP material is discussed. {copyright} {ital 1996 American Institute of Physics.}

  6. Co3O4 protective coatings prepared by Pulsed Injection Metal Organic Chemical Vapour Deposition

    DEFF Research Database (Denmark)

    Burriel, M.; Garcia, G.; Santiso, J.

    2005-01-01

    of deposition temperature. Pure Co3O4 spinel structure was found for deposition temperatures ranging from 360 to 540 degreesC. The optimum experimental parameters to prepare dense layers with a high growth rate were determined and used to prepare corrosion protective coatings for Fe-22Cr metallic interconnects......Cobalt oxide films were grown by Pulsed Injection Metal Organic Chemical Vapour Deposition (PI-MOCVD) using Co(acac)(3) (acac=acetylacetonate) precursor dissolved in toluene. The structure, morphology and growth rate of the layers deposited on silicon substrates were studied as a function......, to be used in Intermediate Temperature Solid Oxide Fuel Cells. (C) 2004 Elsevier B.V. All rights reserved....

  7. XPS-nanocharacterization of organic layers electrochemically grafted on the surface of SnO{sub 2} thin films to produce a new hybrid material coating

    Energy Technology Data Exchange (ETDEWEB)

    Drevet, R., E-mail: richarddrevet@yahoo.fr [Univ. Paris Sud, SP2M-ICMMO, CNRS UMR 8182, Bât. 410, 91405 Orsay Cedex (France); Université d’Evry Val d’Essonne, LAMBE, CNRS-CEA UMR 8587, Boulevard François Mitterrand, 91025 Evry Cedex (France); Dragoé, D.; Barthés-Labrousse, M.G. [Univ. Paris Sud, SP2M-ICMMO, CNRS UMR 8182, Bât. 410, 91405 Orsay Cedex (France); Chaussé, A. [Université d’Evry Val d’Essonne, LAMBE, CNRS-CEA UMR 8587, Boulevard François Mitterrand, 91025 Evry Cedex (France); Andrieux, M. [Univ. Paris Sud, SP2M-ICMMO, CNRS UMR 8182, Bât. 410, 91405 Orsay Cedex (France)

    2016-10-30

    Graphical abstract: An innovative hybrid material layer is synthesized by combining two processes. SnO{sub 2} thin films are deposited by MOCVD on Si substrates and an organic layer made of carboxyphenyl moieties is electrochemically grafted by the reduction of a diazonium salt. XPS characterizations are carried out to assess the efficiency of the electrochemical grafting. Display Omitted - Highlights: • An innovative hybrid material layer is synthesized by combining two processes. • SnO{sub 2} thin films are deposited by MOCVD on Si substrates. • An organic layer is electrochemically grafted by the reduction of a diazonium salt. • The efficiency of the grafting is accurately assessed by XPS. • Three electrochemical grafting models are proposed. - Abstract: This work presents the synthesis and the characterization of hybrid material thin films obtained by the combination of two processes. The electrochemical grafting of organic layers made of carboxyphenyl moieties is carried out from the reduction of a diazonium salt on tin dioxide (SnO{sub 2}) thin films previously deposited on Si substrates by metal organic chemical vapor deposition (MOCVD). Since the MOCVD experimental parameters impact the crystal growth of the SnO{sub 2} layer (i.e. its morphology and its texturation), various electrochemical grafting models can occur, producing different hybrid materials. In order to evidence the efficiency of the electrochemical grafting of the carboxyphenyl moieties, X-ray Photoelectron Spectroscopy (XPS) is used to characterize the first nanometers in depth of the synthesized hybrid material layer. Then three electrochemical grafting models are proposed.

  8. XPS-nanocharacterization of organic layers electrochemically grafted on the surface of SnO_2 thin films to produce a new hybrid material coating

    International Nuclear Information System (INIS)

    Drevet, R.; Dragoé, D.; Barthés-Labrousse, M.G.; Chaussé, A.; Andrieux, M.

    2016-01-01

    Graphical abstract: An innovative hybrid material layer is synthesized by combining two processes. SnO_2 thin films are deposited by MOCVD on Si substrates and an organic layer made of carboxyphenyl moieties is electrochemically grafted by the reduction of a diazonium salt. XPS characterizations are carried out to assess the efficiency of the electrochemical grafting. Display Omitted - Highlights: • An innovative hybrid material layer is synthesized by combining two processes. • SnO_2 thin films are deposited by MOCVD on Si substrates. • An organic layer is electrochemically grafted by the reduction of a diazonium salt. • The efficiency of the grafting is accurately assessed by XPS. • Three electrochemical grafting models are proposed. - Abstract: This work presents the synthesis and the characterization of hybrid material thin films obtained by the combination of two processes. The electrochemical grafting of organic layers made of carboxyphenyl moieties is carried out from the reduction of a diazonium salt on tin dioxide (SnO_2) thin films previously deposited on Si substrates by metal organic chemical vapor deposition (MOCVD). Since the MOCVD experimental parameters impact the crystal growth of the SnO_2 layer (i.e. its morphology and its texturation), various electrochemical grafting models can occur, producing different hybrid materials. In order to evidence the efficiency of the electrochemical grafting of the carboxyphenyl moieties, X-ray Photoelectron Spectroscopy (XPS) is used to characterize the first nanometers in depth of the synthesized hybrid material layer. Then three electrochemical grafting models are proposed.

  9. Fabrication of InP-pentacene inorganic-organic hybrid heterojunction using MOCVD grown InP for photodetector application

    Science.gov (United States)

    Sarkar, Kalyan Jyoti; Pal, B.; Banerji, P.

    2018-04-01

    We fabricated inorganic-organic hybrid heterojunction between indium phosphide (InP) and pentacene for photodetector application. InP layer was grown on n-Si substrate by atmospheric pressure metal organic chemical vapour deposition (MOCVD) technique. Morphological properties of InP and pentacene thin film were characterized by atomic force microscopy (AFM). Current-voltage characteristics were investigated in dark and under illumination condition at room temperature. During illumination, different wavelengths of visible and infrared light source were employed to perform the electrical measurement. Enhancement of photocurrent was observed with decreasing in wavelength of incident photo radiation. Ideality factor was found to be 1.92. High rectification ratio of 225 was found at ± 3 V in presence of infrared light source. This study provides new insights of inorganic-organic hybrid heterojunction for broadband photoresponse in visible to near infrared (IR) region under low reverse bias condition.

  10. Advanced characterization techniques of nonuniform indium distribution within InGaN/GaN heterostructures grown by MOCVD

    International Nuclear Information System (INIS)

    Lu, D.; Florescu, D.I.; Lee, D.S.; Ramer, J.C.; Parekh, A.; Merai, V.; Li, S.; Begarney, M.J.; Armour, E.A.; Gardner, J.J.

    2005-01-01

    Nonuniform indium distribution within InGaN/GaN single quantum well (SQW) structures with nanoscale islands grown by metalorganic chemical vapor deposition (MOCVD) have been characterized by advanced characterization techniques. Robinson backscattered electron (BSE) measurements show cluster-like BSE contrast of high brightness regions, which are not centered at small dark pits in a SQW structure of spiral growth mode. By comparing with the secondary electron (SE) images, the bright cluster areas from the BSE images were found to have higher indium content compared to the surrounding dark areas. Temperature dependant photoluminescence (PL) measurement shows typical ''S-shape'' curve, which shows good correlation with nonuniform indium distribution from BSE measurement. Optical evaluation of the samples show increased PL slope efficiency of the spiral mode SQW, which can be attributed to the presence of Indium inhomogeneities. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. Characterization of RuO sub 2 electrodes for ferroelectric thin films prepared by metal-organic chemical-vapor deposition using Ru(C sub 1 sub 1 H sub 1 sub 9 O sub 2) sub 3

    CERN Document Server

    Lee, J M; Shin, J C; Hwang, C S; Kim, H J; Suk, C G

    1999-01-01

    Pure and conducting RuO sub 2 thin films were deposited on Si substrates at 250 approx 450 .deg. C using Ru(C sub 1 sub 1 H sub 1 sub 9 O sub 2) sub 3 as a precursor by low-pressure metal-organic chemical-vapor deposition (LP-MOCVD). At a lower deposition temperature,smoother and denser RuO sub 2 thin films were deposited. The RuO sub 2 thin films, which were crack free, adhered well onto the substrates and showed very low resistivities around 45 approx 60 mu OMEGA cm. RuO sub 2 thin films on (Ba, Sr)/TiO sub 3 /Pt/SiO sub 2 /Si showed good properties, indicating that MOCVD RuO sub 2 thin films from Ru(C sub 1 sub 1 H sub 1 sub 9 O sub 2) sub 3 can be applied as electrodes of high-dielectric thin films for capacitors in ultra-large-scale DRAMs.

  12. Microcrystalline silicon deposition: Process stability and process control

    International Nuclear Information System (INIS)

    Donker, M.N. van den; Kilper, T.; Grunsky, D.; Rech, B.; Houben, L.; Kessels, W.M.M.; Sanden, M.C.M. van de

    2007-01-01

    Applying in situ process diagnostics, we identified several process drifts occurring in the parallel plate plasma deposition of microcrystalline silicon (μc-Si:H). These process drifts are powder formation (visible from diminishing dc-bias and changing spatial emission profile on a time scale of 10 0 s), transient SiH 4 depletion (visible from a decreasing SiH emission intensity on a time scale of 10 2 s), plasma heating (visible from an increasing substrate temperature on a time scale of 10 3 s) and a still puzzling long-term drift (visible from a decreasing SiH emission intensity on a time scale of 10 4 s). The effect of these drifts on the crystalline volume fraction in the deposited films is investigated by selected area electron diffraction and depth-profiled Raman spectroscopy. An example shows how the transient depletion and long-term drift can be prevented by suitable process control. Solar cells deposited using this process control show enhanced performance. Options for process control of plasma heating and powder formation are discussed

  13. Carbon analysis in MOCVD grown HgCdMnTe by charged particle activation

    International Nuclear Information System (INIS)

    Stannard, W.B.; Walker, S.R.; Johnston, P.N.; Bubb, I.F.

    1994-01-01

    Charged Particle Activation Analysis (CPAA) has been used for the determination of the concentration of carbon in HgCdMnTe grown by Metal Organic Chemical Vapour Deposition (MOCVD). The samples were irradiated with a beam of 3.0 MeV 3 He ions. 11 C is produced by the reaction 12 C( 3 He, α) 11 C and is a positron (β + ) emitting radionuclide with a half-life of 20.38 min. At the same time the reaction 16 O( 3 He, p) 18 F produces 18 F which is also a β + emitter and has a half-life of 109.72 min. A post-irradiation etching technique has been developed to enable removal of surface contaminants. The radioactivity is determined by a β + spectrometer consisting of two NaI γ-ray detectors (3x3 in.) oriented at 180 . The two coincident 511 keV γ-rays emitted at 180 during the positron annihilation are detected. The initial 11 C and 18 F activities, and hence the oxygen and carbon contributions, can be separated by analysis of the count rate versus time. Analysis shows significant carbon levels in the HgCdMnTe samples. ((orig.))

  14. In2Ga2ZnO7 oxide semiconductor based charge trap device for NAND flash memory

    Science.gov (United States)

    Hwang, Eun Suk; Kim, Jun Shik; Jeon, Seok Min; Lee, Seung Jun; Jang, Younjin; Cho, Deok-Yong; Hwang, Cheol Seong

    2018-04-01

    The programming characteristics of charge trap flash memory device adopting amorphous In2Ga2ZnO7 (a-IGZO) oxide semiconductors as channel layer were evaluated. Metal-organic chemical vapor deposition (MOCVD) and RF-sputtering processes were used to grow a 45 nm thick a-IGZO layer on a 20 nm thick SiO2 (blocking oxide)/p++-Si (control gate) substrate, where 3 nm thick atomic layer deposited Al2O3 (tunneling oxide) and 5 nm thick low-pressure CVD Si3N4 (charge trap) layers were intervened between the a-IGZO and substrate. Despite the identical stoichiometry and other physicochemical properties of the MOCVD and sputtered a-IGZO, a much faster programming speed of MOCVD a-IGZO was observed. A comparable amount of oxygen vacancies was found in both MOCVD and sputtered a-IGZO, confirmed by x-ray photoelectron spectroscopy and bias-illumination-instability test measurements. Ultraviolet photoelectron spectroscopy analysis revealed a higher Fermi level (E F) of the MOCVD a-IGZO (∼0.3 eV) film than that of the sputtered a-IGZO, which could be ascribed to the higher hydrogen concentration in the MOCVD a-IGZO film. Since the programming in a flash memory device is governed by the tunneling of electrons from the channel to charge trapping layer, the faster programming performance could be the result of a higher E F of MOCVD a-IGZO.

  15. Electrical properties of ZnO thin films grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Pagni, O. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Somhlahlo, N.N. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Weichsel, C. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Leitch, A.W.R. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa)]. E-mail: andrew.leitch@nmmu.ac.za

    2006-04-01

    We report on the electrical characterization of ZnO films grown by MOCVD on glass and sapphire substrates. After correcting our temperature variable Hall measurements by applying the standard two-layer model, which takes into account an interfacial layer, scattering mechanisms in the ZnO films were studied as well as donor activation energies determined. ZnO films grown at different oxygen partial pressures indicated the importance of growth conditions on the defect structure by means of their conductivities and conductivity activation energies.

  16. Electrical properties of ZnO thin films grown by MOCVD

    International Nuclear Information System (INIS)

    Pagni, O.; Somhlahlo, N.N.; Weichsel, C.; Leitch, A.W.R.

    2006-01-01

    We report on the electrical characterization of ZnO films grown by MOCVD on glass and sapphire substrates. After correcting our temperature variable Hall measurements by applying the standard two-layer model, which takes into account an interfacial layer, scattering mechanisms in the ZnO films were studied as well as donor activation energies determined. ZnO films grown at different oxygen partial pressures indicated the importance of growth conditions on the defect structure by means of their conductivities and conductivity activation energies

  17. Broad Temperature Pinning Study of 15 mol.% Zr-Added (Gd, Y)-Ba-Cu-O MOCVD Coated Conductors

    Energy Technology Data Exchange (ETDEWEB)

    Xu, AX; Khatri, N; Liu, YH; Majkic, G; Galstyan, E; Selvamanickam, V; Chen, YM; Lei, CH; Abraimov, D; Hu, XB; Jaroszynski, J; Larbalestier, D

    2015-06-01

    BaZrO3 (BZO) nanocolumns have long been shown to be very effective for raising the pinning force F-p of REBa2Cu3Ox (REBCO, where RE = rare earth) films at high temperatures and recently at low temperatures too. We have successfully incorporated a high density of BZO nanorods into metal organic chemical vapor deposited (MOCVD) REBCO coated conductors via Zr addition. We found that, compared to the 7.5% Zr-added coated conductor, dense BZO nanorod arrays in the 15% Zr-added conductor are effective over the whole temperature range from 77 K down to 4.2 K. We attribute the substantially enhanced J(c) at 30 K to the weak uncorrelated pinning as well as the strong correlated pinning. Meanwhile, by tripling the REBCO layer thickness to similar to 2.8 mu m, the engineering critical current density J(e) at 30 K exceeds J(e) of optimized Nb-Ti wires at 4.2 K.

  18. Uranium ore deposits: geology and processing implications

    International Nuclear Information System (INIS)

    Belyk, C.L.

    2010-01-01

    There are fifteen accepted types of uranium ore deposits and at least forty subtypes readily identified around the world. Each deposit type has a unique set of geological characteristics which may also result in unique processing implications. Primary uranium production in the past decade has predominantly come from only a few of these deposit types including: unconformity, sandstone, calcrete, intrusive, breccia complex and volcanic ones. Processing implications can vary widely between and within the different geological models. Some key characteristics of uranium deposits that may have processing implications include: ore grade, uranium and gangue mineralogy, ore hardness, porosity, uranium mineral morphology and carbon content. Processing difficulties may occur as a result of one or more of these characteristics. In order to meet future uranium demand, it is imperative that innovative processing approaches and new technological advances be developed in order that many of the marginally economic traditional and uneconomic non-traditional uranium ore deposits can be exploited. (author)

  19. Earth Surface Processes, Landforms and Sediment Deposits

    Science.gov (United States)

    Bridge, John; Demicco, Robert

    Earth surface processes, landforms and sediment deposits are intimately related - involving erosion of rocks, generation of sediment, and transport and deposition of sediment through various Earth surface environments. These processes, and the landforms and deposits that they generate, have a fundamental bearing on engineering, environmental and public safety issues; on recovery of economic resources; and on our understanding of Earth history. This unique textbook brings together the traditional disciplines of sedimentology and geomorphology to explain Earth surface processes, landforms and sediment deposits in a comprehensive and integrated way. It is the ideal resource for a two-semester course in sedimentology, stratigraphy, geomorphology, and Earth surface processes from the intermediate undergraduate to beginning graduate level. The book is also accompanied by a website hosting illustrations and material on field and laboratory methods for measuring, describing and analyzing Earth surface processes, landforms and sediments.

  20. Dislocation confinement in the growth of Na flux GaN on metalorganic chemical vapor deposition-GaN

    International Nuclear Information System (INIS)

    Takeuchi, S.; Asazu, H.; Nakamura, Y.; Sakai, A.; Imanishi, M.; Imade, M.; Mori, Y.

    2015-01-01

    We have demonstrated a GaN growth technique in the Na flux method to confine c-, (a+c)-, and a-type dislocations around the interface between a Na flux GaN crystal and a GaN layer grown by metalorganic chemical vapor deposition (MOCVD) on a (0001) sapphire substrate. Transmission electron microscopy (TEM) clearly revealed detailed interface structures and dislocation behaviors that reduced the density of vertically aligned dislocations threading to the Na flux GaN surface. Submicron-scale voids were formed at the interface above the dislocations with a c component in MOCVD-GaN, while no such voids were formed above the a-type dislocations. The penetration of the dislocations with a c component into Na flux GaN was, in most cases, effectively blocked by the presence of the voids. Although some dislocations with a c component in the MOCVD-GaN penetrated into the Na flux GaN, their propagation direction changed laterally through the voids. On the other hand, the a-type dislocations propagated laterally and collectively near the interface, when these dislocations in the MOCVD-GaN penetrated into the Na flux GaN. These results indicated that the dislocation propagation behavior was highly sensitive to the type of dislocation, but all types of dislocations were confined to within several micrometers region of the Na flux GaN from the interface. The cause of void formation, the role of voids in controlling the dislocation behavior, and the mechanism of lateral and collective dislocation propagation are discussed on the basis of TEM results

  1. Progress in scale-up of second-generation HTS conductor

    International Nuclear Information System (INIS)

    Selvamanickam, V.; Chen, Y.; Xiong, X.; Xie, Y.; Zhang, X.; Qiao, Y.; Reeves, J.; Rar, A.; Schmidt, R.; Lenseth, K.

    2007-01-01

    Tremendous progress has been recently made in the achievement of high-performance, high-speed, long-length second-generation (2G) HTS conductors. Using ion beam assisted deposition (IBAD) MgO and metal organic chemical vapor deposition (MOCVD), SuperPower has scaled up tape lengths to 427 m with a minimum critical current value of 191 A/cm corresponding to a critical current x length performance of 81,550 m. Tape speeds up to 120 m/h have been reached with IBAD MgO, up to 80 m/h with buffer deposition and up to 45 m/h with MOCVD, all in single pass processing of 12 mm wide tape. Critical current value of 227 A/cm has been achieved in a 203 m long tape produced in an all-high-speed fabrication process. Critical current values have been raised to 721 A/cm, 592 A/cm and 486 A/cm in short, reel-to-reel processed tape, over 1 m length and over 11.1 m, respectively, using thicker MOCVD HTS films. Finally, over 10,000 m of copper-stabilized, 4 mm wide conductor has been produced and tested for delivery to the Albany Cable project. The average critical current of the 10,000 m lot was 81 A

  2. Progress in scale-up of second-generation HTS conductor

    Energy Technology Data Exchange (ETDEWEB)

    Selvamanickam, V. [SuperPower Inc., 450 Duane Avenue, Schenectady, NY 12304 (United States)], E-mail: vselva@igc.com; Chen, Y.; Xiong, X.; Xie, Y.; Zhang, X.; Qiao, Y.; Reeves, J.; Rar, A.; Schmidt, R.; Lenseth, K. [SuperPower Inc., 450 Duane Avenue, Schenectady, NY 12304 (United States)

    2007-10-01

    Tremendous progress has been recently made in the achievement of high-performance, high-speed, long-length second-generation (2G) HTS conductors. Using ion beam assisted deposition (IBAD) MgO and metal organic chemical vapor deposition (MOCVD), SuperPower has scaled up tape lengths to 427 m with a minimum critical current value of 191 A/cm corresponding to a critical current x length performance of 81,550 m. Tape speeds up to 120 m/h have been reached with IBAD MgO, up to 80 m/h with buffer deposition and up to 45 m/h with MOCVD, all in single pass processing of 12 mm wide tape. Critical current value of 227 A/cm has been achieved in a 203 m long tape produced in an all-high-speed fabrication process. Critical current values have been raised to 721 A/cm, 592 A/cm and 486 A/cm in short, reel-to-reel processed tape, over 1 m length and over 11.1 m, respectively, using thicker MOCVD HTS films. Finally, over 10,000 m of copper-stabilized, 4 mm wide conductor has been produced and tested for delivery to the Albany Cable project. The average critical current of the 10,000 m lot was 81 A.

  3. High index of refraction films for dielectric mirrors prepared by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Brusasco, R.M.

    1989-01-01

    A wide variety of metal oxides with high index of refraction can be prepared by Metal-Organic Chemical Vapor Deposition. We present some recent optical and laser damage results on oxide films prepared by MOCVD which could be used in a multilayer structure for highly reflecting (HR) dielectric mirror applications. The method of preparation affects both optical properties and laser damage threshold. 10 refs., 8 figs., 4 tabs

  4. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    International Nuclear Information System (INIS)

    Dangbegnon, J.K.; Talla, K.; Roro, K.T.; Botha, J.R.

    2009-01-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  5. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    Energy Technology Data Exchange (ETDEWEB)

    Dangbegnon, J.K., E-mail: JulienKouadio.Dangbegnon@nmmu.ac.z [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Talla, K.; Roro, K.T.; Botha, J.R. [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa)

    2009-12-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  6. MOCVD with gas phase composition control for the growth of high quality YBa2Cu3O7-x thin films for microwave applications

    International Nuclear Information System (INIS)

    Musolf, J.

    1997-01-01

    The MOCVD growth technique has demonstrated YBa 2 Cu 3 O 7-x thin films with adequate transport properties (T c >90 K, J c > x 10 6 A cm -2 , R s p /C v ) and the species concentrations. After determining the correlation between gas phase and solid phase composition this technique enables the reproducible growth of YBa 2 Cu 3 O 7-x thin films by MOCVD with composition very close to 123. Further refinement of growth temperature, total pressure, oxygen partial pressure and total flow rates has produced films with excellent properties. Smooth surface morphology with a low density of outgrowths ( 4 cm -2 ), narrow XRD rocking curve peaks FWHM c =92 K), low surface resistance (device R s <350 μΩ at 77 K, 10 GHz) have been demonstrated using this growth concept. Special focus was placed on optimization of the performance of a microwave test device which serves as a process control monitor of the suitability of these films for passive microwave applications. (orig.)

  7. Induction-heating MOCVD reactor with significantly improved heating efficiency and reduced harmful magnetic coupling

    KAUST Repository

    Li, Kuang-Hui; Alotaibi, Hamad S.; Sun, Haiding; Lin, Ronghui; Guo, Wenzhe; Torres-Castanedo, Carlos G.; Liu, Kaikai; Galan, Sergio V.; Li, Xiaohang

    2018-01-01

    In a conventional induction-heating III-nitride metalorganic chemical vapor deposition (MOCVD) reactor, the induction coil is outside the chamber. Therefore, the magnetic field does not couple with the susceptor well, leading to compromised heating efficiency and harmful coupling with the gas inlet and thus possible overheating. Hence, the gas inlet has to be at a minimum distance away from the susceptor. Because of the elongated flow path, premature reactions can be more severe, particularly between Al- and B-containing precursors and NH3. Here, we propose a structure that can significantly improve the heating efficiency and allow the gas inlet to be closer to the susceptor. Specifically, the induction coil is designed to surround the vertical cylinder of a T-shaped susceptor comprising the cylinder and a top horizontal plate holding the wafer substrate within the reactor. Therefore, the cylinder coupled most magnetic field to serve as the thermal source for the plate. Furthermore, the plate can block and thus significantly reduce the uncoupled magnetic field above the susceptor, thereby allowing the gas inlet to be closer. The results show approximately 140% and 2.6 times increase in the heating and susceptor coupling efficiencies, respectively, as well as a 90% reduction in the harmful magnetic flux on the gas inlet.

  8. Induction-heating MOCVD reactor with significantly improved heating efficiency and reduced harmful magnetic coupling

    KAUST Repository

    Li, Kuang-Hui

    2018-02-23

    In a conventional induction-heating III-nitride metalorganic chemical vapor deposition (MOCVD) reactor, the induction coil is outside the chamber. Therefore, the magnetic field does not couple with the susceptor well, leading to compromised heating efficiency and harmful coupling with the gas inlet and thus possible overheating. Hence, the gas inlet has to be at a minimum distance away from the susceptor. Because of the elongated flow path, premature reactions can be more severe, particularly between Al- and B-containing precursors and NH3. Here, we propose a structure that can significantly improve the heating efficiency and allow the gas inlet to be closer to the susceptor. Specifically, the induction coil is designed to surround the vertical cylinder of a T-shaped susceptor comprising the cylinder and a top horizontal plate holding the wafer substrate within the reactor. Therefore, the cylinder coupled most magnetic field to serve as the thermal source for the plate. Furthermore, the plate can block and thus significantly reduce the uncoupled magnetic field above the susceptor, thereby allowing the gas inlet to be closer. The results show approximately 140% and 2.6 times increase in the heating and susceptor coupling efficiencies, respectively, as well as a 90% reduction in the harmful magnetic flux on the gas inlet.

  9. Methods and systems for fabricating high quality superconducting tapes

    Science.gov (United States)

    Majkic, Goran; Selvamanickam, Venkat

    2018-02-13

    An MOCVD system fabricates high quality superconductor tapes with variable thicknesses. The MOCVD system can include a gas flow chamber between two parallel channels in a housing. A substrate tape is heated and then passed through the MOCVD housing such that the gas flow is perpendicular to the tape's surface. Precursors are injected into the gas flow for deposition on the substrate tape. In this way, superconductor tapes can be fabricated with variable thicknesses, uniform precursor deposition, and high critical current densities.

  10. Advanced Epi Tools for Gallium Nitride Light Emitting Diode Devices

    Energy Technology Data Exchange (ETDEWEB)

    Patibandla, Nag; Agrawal, Vivek

    2012-12-01

    Over the course of this program, Applied Materials, Inc., with generous support from the United States Department of Energy, developed a world-class three chamber III-Nitride epi cluster tool for low-cost, high volume GaN growth for the solid state lighting industry. One of the major achievements of the program was to design, build, and demonstrate the world’s largest wafer capacity HVPE chamber suitable for repeatable high volume III-Nitride template and device manufacturing. Applied Materials’ experience in developing deposition chambers for the silicon chip industry over many decades resulted in many orders of magnitude reductions in the price of transistors. That experience and understanding was used in developing this GaN epi deposition tool. The multi-chamber approach, which continues to be unique in the ability of the each chamber to deposit a section of the full device structure, unlike other cluster tools, allows for extreme flexibility in the manufacturing process. This robust architecture is suitable for not just the LED industry, but GaN power devices as well, both horizontal and vertical designs. The new HVPE technology developed allows GaN to be grown at a rate unheard of with MOCVD, up to 20x the typical MOCVD rates of 3{micro}m per hour, with bulk crystal quality better than the highest-quality commercial GaN films grown by MOCVD at a much cheaper overall cost. This is a unique development as the HVPE process has been known for decades, but never successfully commercially developed for high volume manufacturing. This research shows the potential of the first commercial-grade HVPE chamber, an elusive goal for III-V researchers and those wanting to capitalize on the promise of HVPE. Additionally, in the course of this program, Applied Materials built two MOCVD chambers, in addition to the HVPE chamber, and a robot that moves wafers between them. The MOCVD chambers demonstrated industry-leading wavelength yield for GaN based LED wafers and industry

  11. High Critical Current Coated Conductors

    Energy Technology Data Exchange (ETDEWEB)

    Paranthaman, M. P.; Selvamanickam, V. (SuperPower, Inc.)

    2011-12-27

    One of the important critical needs that came out of the DOE’s coated conductor workshop was to develop a high throughput and economic deposition process for YBCO. Metal-organic chemical vapor deposition (MOCVD) technique, the most critical steps in high technical micro fabrications, has been widely employed in semiconductor industry for various thin film growth. SuperPower has demonstrated that (Y,Gd)BCO films can be deposited rapid with world record performance. In addition to high critical current density with increased film thickness, flux pinning properties of REBCO films needs to be improved to meet the DOE requirements for various electric-power equipments. We have shown that doping with Zr can result in BZO nanocolumns, but at substantially reduced deposition rate. The primary purpose of this subtask is to develop high current density MOCVD-REBCO coated conductors based on the ion-beam assisted (IBAD)-MgO deposition process. Another purpose of this subtask is to investigate HTS conductor design optimization (maximize Je) with emphasis on stability and protection issues, and ac loss for REBCO coated conductors.

  12. Optical properties of m-plane GaN grown on patterned Si(112) substrates by MOCVD using a two-step approach

    Science.gov (United States)

    Izyumskaya, N.; Okur, S.; Zhang, F.; Monavarian, M.; Avrutin, V.; Özgür, Ü.; Metzner, S.; Karbaum, C.; Bertram, F.; Christen, J.; Morkoç, H.

    2014-03-01

    Nonpolar m-plane GaN layers were grown on patterned Si (112) substrates by metal-organic chemical vapor deposition (MOCVD). A two-step growth procedure involving a low-pressure (30 Torr) first step to ensure formation of the m-plane facet and a high-pressure step (200 Torr) for improvement of optical quality was employed. The layers grown in two steps show improvement of the optical quality: the near-bandedge photoluminescence (PL) intensity is about 3 times higher than that for the layers grown at low pressure, and deep emission is considerably weaker. However, emission intensity from m-GaN is still lower than that of polar and semipolar (1 100 ) reference samples grown under the same conditions. To shed light on this problem, spatial distribution of optical emission over the c+ and c- wings of the nonpolar GaN/Si was studied by spatially resolved cathodoluminescence and near-field scanning optical microscopy.

  13. Characterization of Cr2O3 thin films obtained by chemical vapor deposition

    International Nuclear Information System (INIS)

    Pillis, M.F.; Galego, E.; Serna, M.M.; Correa, O.V.; Ramanathan, L.V.; Franco, A.C.

    2010-01-01

    The goal of this work was the synthesis and characterization of Cr 2 O 3 thin films, obtained by chemical vapor deposition, using chromium acetylacetonate as chromium precursor. The growth of the films was carried out in a conventional horizontal MOCVD equipment, under pressures varying from 2 to 10 mbar, and temperature of 600 deg C. It was observed that the growth of the films only occurs when oxygen is present in the atmosphere. Under growth pressures of 2 and 5 mbar the growth takes place but under 10 mbar of pressure the precursor is dragged and the growth does not occur. The characterization of the films was performed by using scanning electron microscopy and X-ray diffraction. The films presented a columnar structure, and thickness varying from 40 to 250 nm. The influence of some process parameters is discussed. (author)

  14. Metalorganic chemical vapor deposition and characterization of ZnO materials

    Science.gov (United States)

    Sun, Shangzu; Tompa, Gary S.; Hoerman, Brent; Look, David C.; Claflin, Bruce B.; Rice, Catherine E.; Masaun, Puneet

    2006-04-01

    Zinc oxide is attracting growing interest for potential applications in electronics, optoelectronics, photonics, and chemical and biochemical sensing, among other applications. We report herein our efforts in the growth and characterization of p- and n-type ZnO materials by metalorganic chemical vapor deposition (MOCVD), focusing on recent nitrogen-doped films grown using diethyl zinc as the zinc precursor and nitric oxide (NO) as the dopant. Characterization results, including resistivity, Hall measurements, photoluminescence, and SIMS, are reported and discussed. Electrical behavior was observed to be dependent on illumination, atmosphere, and heat treatment, especially for p-type material.

  15. HCl Flow-Induced Phase Change of α-, β-, and ε-Ga2O3 Films Grown by MOCVD

    KAUST Repository

    Sun, Haiding

    2018-03-06

    Precise control of the heteroepitaxy on a low-cost foreign substrate is often the key to drive the success of fabricating semiconductor devices in scale when a large low-cost native substrate is not available. Here, we successfully synthesized three different phases of Ga2O3 (α, β, and ε) films on c-plane sapphire by only tuning the flow rate of HCl along with other precursors in an MOCVD reactor. A 3-fold increase in the growth rate of pure β-Ga2O3 was achieved by introducing only 5 sccm of HCl flow. With continuously increased HCl flow, a mixture of β- and ε-Ga2O3 was observed, until the Ga2O3 film transformed completely to a pure ε-Ga2O3 with a smooth surface and the highest growth rate (∼1 μm/h) at a flow rate of 30 sccm. At 60 sccm, we found that the film tended to have a mixture of α- and ε-Ga2O3 with a dominant α-Ga2O3, while the growth rate dropped significantly (∼0.4 μm/h). The film became rough as a result of the mixture phases since the growth rate of ε-Ga2O3 is much higher than that of α-Ga2O3. In this HCl-enhanced MOCVD mode, the Cl impurity concentration was almost identical among the investigated samples. On the basis of our density functional theory calculation, we found that the relative energy between β-, ε-, and α-Ga2O3 became smaller, thus inducing the phase change by increasing the HCl flow in the reactor. Thus, it is plausible that the HCl acted as a catalyst during the phase transformation process. Furthermore, we revealed the microstructure and the epitaxial relationship between Ga2O3 with different phases and the c-plane sapphire substrates. Our HCl-enhanced MOCVD approach paves the way to achieving highly controllable heteroepitaxy of Ga2O3 films with different phases for device applications.

  16. Methods and systems for fabricating high quality superconducting tapes

    Energy Technology Data Exchange (ETDEWEB)

    Majkic, Goran; Selvamanickam, Venkat

    2018-02-13

    An MOCVD system fabricates high quality superconductor tapes with variable thicknesses. The MOCVD system can include a gas flow chamber between two parallel channels in a housing. A substrate tape is heated and then passed through the MOCVD housing such that the gas flow is perpendicular to the tape's surface. Precursors are injected into the gas flow for deposition on the substrate tape. In this way, superconductor tapes can be fabricated with variable thicknesses, uniform precursor deposition, and high critical current densities.

  17. Alkaline-doped manganese perovskite thin films grown by MOCVD

    International Nuclear Information System (INIS)

    Bibes, M.; Gorbenko, O.; Martinez, B.; Kaul, A.; Fontcuberta, J.

    2000-01-01

    We report on the preparation and characterization of La 1-x Na x MnO 3 thin films grown by MOCVD on various single-crystalline substrates. Under appropriate conditions epitaxial thin films have been obtained. The Curie temperatures of the films, which are very similar to those of bulk samples of similar composition, reflect the residual strain caused by the substrate. The anisotropic magnetoresistance AMR of the films has been analyzed in some detail, and it has been found that it has a two-fold symmetry at any temperature. Its temperature dependence mimics that of the electrical resistivity and magnetoresistance measured at similar fields, thus suggesting that the real structure of the material contributes to the measured AMR besides the intrinsic component

  18. Study of electrical properties of single GaN nanowires grown by MOCVD with a Ti mask

    International Nuclear Information System (INIS)

    Vasiliev, A A; Mozharov, A M; Mukhin, I S; Rozhavskaya, M M; Lundin, V V

    2016-01-01

    We researched electrical characteristics of GaN nanowires (NWs) grown by MOCVD through solid titanium film. The technology of creating the ohmic contacts and MESFET structure on single NWs has been developed. The optimal annealing temperature of contacts has been found and conductivity structure, the free carrier concentration and mobility has been evaluated. (paper)

  19. Photoluminescence of Mg-doped m-plane GaN grown by MOCVD on bulk GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Monemar, Bo [Department of Physics, Chemistry and Biology, Linkoeping University, 581 83 Linkoeping (Sweden); Solid State Physics-The Nanometer Structure Consortium, Lund University, Box 118, 221 00 Lund (Sweden); Paskov, Plamen; Pozina, Galia; Hemmingsson, Carl; Bergman, Peder [Department of Physics, Chemistry and Biology, Linkoeping University, 581 83 Linkoeping (Sweden); Lindgren, David; Samuelson, Lars [Solid State Physics-The Nanometer Structure Consortium, Lund University, Box 118, 221 00 Lund (Sweden); Ni, Xianfeng; Morkoc, Hadis [Department of Electrical and Computer Engineering, Virginia Commonwealth University, Richmond, Virginia 23284-3072 (United States); Paskova, Tanya [Kyma Technologies Inc., Raleigh, North Carolina 27617 (United States); Bi, Zhaoxia; Ohlsson, Jonas [Glo AB, Ideon Science Park, Scheelevaegen 17, 223 70 Lund (Sweden)

    2011-07-15

    Photoluminescence (PL) properties are reported for a set of m-plane GaN films with Mg doping varied from mid 10{sup 18} cm{sup -3} to above 10{sup 20} cm{sup -3}. The samples were grown with MOCVD at reduced pressure on low defect density bulk GaN templates. The sharp line near bandgap bound exciton (BE) spectra observed below 50 K, as well as the broader donor-acceptor pair (DAP) PL bands at 2.9-3.3 eV give evidence of several Mg related acceptors, similar to the case of c-plane GaN. The dependence of the BE spectra on excitation intensity as well as the transient decay behaviour demonstrate acoustic phonon assisted transfer between the acceptor BE states. The lower energy donor-acceptor pair spectra suggest the presence of deep acceptors, in addition to the two main shallower ones at about 0.23 eV. Similar spectra from Mg-doped GaN nanowires (NWs) grown by MOCVD are also briefly discussed. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  20. Integrated MSM-FET photoreceiver fabricated on MOCVD grown Hg2-xCdxTe

    International Nuclear Information System (INIS)

    Leech, P.W.; Gwynn, P.J.; Pain, G.N.; Petkovic, N.R.; Thompson, J.; Jamieson, D.N.

    1991-01-01

    This paper reports on progress in the monolithic integration of a metal-semiconductor-metal (MSM) detector and transimpedance amplifier and of a photoconductive detector (PCD) with a metal-semiconductor field effect transistor (MESFET) in Hg 1-x Cd x Te. The layers of CdTe/n-type Hg 1-x Cd x Te were grown by MOCVD on semi-insulating GaAs substrates (2 0 misoriented 100). Fabrication of the devices was by an FET planar process; with a standard lift-off used to form Schottky metallization on both the interdigitated electrodes of the MSM detector (2 μm width, 2 μm spacing) and the gate of the MESFETs (5μm length, 100μm width). The MSM photodetectors exhibited breakdown voltages in the range 60 to 80V, a dark current of 10na at 5V bias, and responsivities of >1.0 A/W measured at 40V using CW 1.3 μm illumination

  1. Low-leakage-current AlGaN/GaN HEMTs on Si substrates with partially Mg-doped GaN buffer layer by metal organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Ming; Wang Yong; Wong Kai-Ming; Lau Kei-May

    2014-01-01

    High-performance low-leakage-current AlGaN/GaN high electron mobility transistors (HEMTs) on silicon (111) substrates grown by metal organic chemical vapor deposition (MOCVD) with a novel partially Magnesium (Mg)-doped GaN buffer scheme have been fabricated successfully. The growth and DC results were compared between Mg-doped GaN buffer layer and a unintentionally one. A 1-μm gate-length transistor with Mg-doped buffer layer exhibited an OFF-state drain leakage current of 8.3 × 10 −8 A/mm, to our best knowledge, which is the lowest value reported for MOCVD-grown AlGaN/GaN HEMTs on Si featuring the same dimension and structure. The RF characteristics of 0.25-μm gate length T-shaped gate HEMTs were also investigated

  2. A high critical current density MOCVD coated conductor with strong vortex pinning centers suitable for very high field use

    International Nuclear Information System (INIS)

    Chen, Z; Kametani, F; Larbalestier, D C; Chen, Y; Xie, Y; Selvamanickam, V

    2009-01-01

    We have made extensive low temperature and high field evaluations of a recent 2.1 μm thick coated conductor (CC) grown by metal-organic chemical vapor deposition (MOCVD) with a view to its use for high field magnet applications, for which its very strong Hastelloy substrate makes it very suitable. This conductor contains dense three-dimensional (Y,Sm) 2 O 3 nanoprecipitates, which are self-aligned in planes tilted ∼7 deg. from the tape plane. Very strong vortex pinning is evidenced by high critical current density J c values of ∼3.1 MA cm -2 at 77 K and ∼43 MA cm -2 at 4.2 K, and by a strongly enhanced irreversibility field H irr , which reaches that of Nb 3 Sn (∼28 T at 1.5 K) at 60 K, even in the inferior direction of H parallel c axis. At 4.2 K, J c values are ∼15% of the depairing current density J d , much the highest of any superconductor suitable for magnet construction.

  3. A high critical current density MOCVD coated conductor with strong vortex pinning centers suitable for very high field use

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Z; Kametani, F; Larbalestier, D C [National High Magnetic Field Laboratory, Florida State University, Tallahassee, FL 32310 (United States); Chen, Y; Xie, Y; Selvamanickam, V [SuperPower Incorporated, Schenectady, NY 12304 (United States)], E-mail: zhijun@asc.magnet.fsu.edu

    2009-05-15

    We have made extensive low temperature and high field evaluations of a recent 2.1 {mu}m thick coated conductor (CC) grown by metal-organic chemical vapor deposition (MOCVD) with a view to its use for high field magnet applications, for which its very strong Hastelloy substrate makes it very suitable. This conductor contains dense three-dimensional (Y,Sm){sub 2}O{sub 3} nanoprecipitates, which are self-aligned in planes tilted {approx}7 deg. from the tape plane. Very strong vortex pinning is evidenced by high critical current density J{sub c} values of {approx}3.1 MA cm{sup -2} at 77 K and {approx}43 MA cm{sup -2} at 4.2 K, and by a strongly enhanced irreversibility field H{sub irr}, which reaches that of Nb{sub 3}Sn ({approx}28 T at 1.5 K) at 60 K, even in the inferior direction of H parallel c axis. At 4.2 K, J{sub c} values are {approx}15% of the depairing current density J{sub d}, much the highest of any superconductor suitable for magnet construction.

  4. Temperature Uniformity of Wafer on a Large-Sized Susceptor for a Nitride Vertical MOCVD Reactor

    International Nuclear Information System (INIS)

    Li Zhi-Ming; Jiang Hai-Ying; Han Yan-Bin; Li Jin-Ping; Yin Jian-Qin; Zhang Jin-Cheng

    2012-01-01

    The effect of coil location on wafer temperature is analyzed in a vertical MOCVD reactor by induction heating. It is observed that the temperature distribution in the wafer with the coils under the graphite susceptor is more uniform than that with the coils around the outside wall of the reactor. For the case of coils under the susceptor, we find that the thickness of the susceptor, the distance from the coils to the susceptor bottom and the coil turns significantly affect the temperature uniformity of the wafer. An optimization process is executed for a 3-inch susceptor with this kind of structure, resulting in a large improvement in the temperature uniformity. A further optimization demonstrates that the new susceptor structure is also suitable for either multiple wafers or large-sized wafers approaching 6 and 8 inches

  5. Pulsed injection metal organic chemical vapour deposition and characterisation of thin CaO films

    International Nuclear Information System (INIS)

    Borges, R.P.; Ferreira, P.; Saraiva, A.; Goncalves, R.; Rosa, M.A.; Goncalves, A.P.; Silva, R.C. da; Magalhaes, S.; Lourenco, M.J.V.; Santos, F.J.V.; Godinho, M.

    2009-01-01

    Thin films of CaO were grown on silicon (Si) and lanthanum aluminate (LaAlO 3 ) substrates by pulsed injection metal-organic chemical vapour deposition in a vertical injection MOCVD system. Growth parameters were systematically varied to study their effect on film growth and quality and to determine the optimal growth conditions for this material. Film quality and growth rate were evaluated by atomic force microscopy, X-ray diffraction and Rutherford Backscattering Spectroscopy measurements. Optimised conditions allowed growing transparent, single phase films textured along the (0 0 l) direction.

  6. Effect of heat treatment on the characteristics of tool steel deposited by the directed energy deposition process

    Science.gov (United States)

    Park, Jun Seok; Lee, Min-Gyu; Cho, Yong-Jae; Sung, Ji Hyun; Jeong, Myeong-Sik; Lee, Sang-Kon; Choi, Yong-Jin; Kim, Da Hye

    2016-01-01

    The directed energy deposition process has been mainly applied to re-work and the restoration of damaged steel. Differences in material properties between the base and the newly deposited materials are unavoidable, which may affect the mechanical properties and durability of the part. We investigated the effect of heat treatment on the characteristics of tool steel deposited by the DED process. We prepared general tool steel materials of H13 and D2 that were deposited onto heat-treated substrates of H13 and D2, respectively, using a direct metal tooling process. The hardness and microstructure of the deposited steel before and after heat treatment were investigated. The hardness of the deposited H13 steel was higher than that of wrought H13 steel substrate, while that of the deposited D2 was lower than that of wrought D2. The evolution of the microstructures by deposition and heat treatment varied depending on the materials. In particular, the microstructure of the deposited D2 steel after heat treatment consisted of fine carbides in tempered martensite and it is expected that the deposited D2 steel will have isotropic properties and high hardness after heat treatment.

  7. Indium arsenide-on-SOI MOSFETs with extreme lattice mismatch

    Science.gov (United States)

    Wu, Bin

    Both molecular beam epitaxy (MBE) and metal organic chemical vapor deposition (MOCVD) have been used to explore the growth of InAs on Si. Despite 11.6% lattice mismatch, planar InAs structures have been observed by scanning electron microscopy (SEM) when nucleating using MBE on patterned submicron Si-on-insulator (SOI) islands. Planar structures of size as large as 500 x 500 nm 2 and lines of width 200 nm and length a few microns have been observed. MOCVD growth of InAs also generates single grain structures on Si islands when the size is reduced to 100 x 100 nm2. By choosing SOI as the growth template, selective growth is enabled by MOCVD. Post-growth pattern-then-anneal process, in which MOCVD InAs is deposited onto unpatterned SOI followed with patterning and annealing of InAs-on-Si structure, is found to change the relative lattice parameters of encapsulated 17/5 nm InAs/Si island. Observed from transmission electron diffraction (TED) patterns, the lattice mismatch of 17/5 nm InAs/Si island reduces from 11.2 to 4.2% after being annealed at 800°C for 30 minutes. High-k Al2O3 dielectrics have been deposited by both electron-beam-enabled physical vapor deposition (PVD) and atomic layer deposition (ALD). Films from both techniques show leakage currents on the order of 10-9A/cm2, at ˜1 MV/cm electric field, breakdown field > ˜6 MV/cm, and dielectric constant > 6, comparable to those of reported ALD prior arts by Groner. The first MOSFETs with extreme lattice mismatch InAs-on-SOI channels using PVD Al2O3 as the gate dielectric are characterized. Channel recess was used to improve the gate control of the drain current.

  8. Optimization of structural and growth parameters of metamorphic InGaAs photovoltaic converters grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Rybalchenko, D. V.; Mintairov, S. A.; Salii, R. A.; Shvarts, M. Z.; Timoshina, N. Kh.; Kalyuzhnyy, N. A., E-mail: nickk@mail.ioffe.ru [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation)

    2017-01-15

    Metamorphic Ga{sub 0.76}In{sub 0.24}As heterostructures for photovoltaic converters are grown by the MOCVD (metal–organic chemical vapor deposition) technique. It is found that, due to the valence-band offset at the p-In{sub 0.24}Al{sub 0.76}As/p-In{sub 0.24}Ga{sub 0.76}As (wide-gap window/emitter) heterointerface, a potential barrier for holes arises as a result of a low carrier concentration in the wide-gap material. The use of an InAlGaAs solid solution with an Al content lower than 40% makes it possible to raise the hole concentration in the widegap window up ~9 × 10{sup 18} cm{sup –3} and completely remove the potential barrier, thereby reducing the series resistance of the device. The parameters of an GaInAs metamorphic buffer layer with a stepwise In content profile are calculated and its epitaxial growth conditions are optimized, which improves carrier collection from the n-GaInAs base region and provides a quantum efficiency of 83% at a wavelength of 1064 nm. Optimization of the metamorphic heterostructure of the photovoltaic converter results in that its conversion efficiency for laser light with a wavelength of 1064 nm is 38.5%.

  9. Selective epitaxial growth of Ge1-xSnx on Si by using metal-organic chemical vapor deposition

    Science.gov (United States)

    Washizu, Tomoya; Ike, Shinichi; Inuzuka, Yuki; Takeuchi, Wakana; Nakatsuka, Osamu; Zaima, Shigeaki

    2017-06-01

    Selective epitaxial growth of Ge and Ge1-xSnx layers on Si substrates was performed by using metal-organic chemical vapor deposition (MOCVD) with precursors of tertiary-butyl-germane (t-BGe) and tri-butyl-vinyl-tin (TBVSn). We investigated the effects of growth temperature and total pressure during growth on the selectivity and the crystallinity of the Ge and Ge1-xSnx epitaxial layers. Under low total pressure growth conditions, the dominant mechanism of the selective growth of Ge epitaxial layers is the desorption of the Ge precursors. At a high total pressure case, it is needed to control the surface migration of precursors to realize the selectivity because the desorption of Ge precursors was suppressed. The selectivity of Ge growth was improved by diffusion of the Ge precursors on the SiO2 surfaces when patterned substrates were used at a high total pressure. The selective epitaxial growth of Ge1-xSnx layer was also realized using MOCVD. We found that the Sn precursors less likely to desorb from the SiO2 surfaces than the Ge precursors.

  10. MOCVD growth of GaN layer on InN interlayer and relaxation of residual strain

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Keon-Hun; Park, Sung Hyun; Kim, Jong Hack; Kim, Nam Hyuk; Kim, Min Hwa [Department of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of); Na, Hyunseok [Department of Advanced Materials Science and Engineering, Daejin University, Pocheon, 487-711 (Korea, Republic of); Yoon, Euijoon, E-mail: eyoon@snu.ac.k [Department of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of); Department of Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Suwon 433-270 (Korea, Republic of)

    2010-09-01

    100 nm InN layer was grown on sapphire c-plane using a metal-organic chemical vapor deposition (MOCVD) system. Low temperature (LT) GaN layer was grown on InN layer to protect InN layer from direct exposure to hydrogen flow during high temperature (HT) GaN growth and/or abrupt decomposition. Subsequently, thick HT GaN layer (2.5 {mu}m thick) was grown at 1000 {sup o}C on LT GaN/InN/sapphire template. Microstructure of epilayer-substrate interface was investigated by transmission electron microscopy (TEM). From the high angle annular dark field TEM image, the growth of columnar structured LT GaN and HT GaN with good crystallinity was observed. Though thickness of InN interlayer is assumed to be about 100 nm based on growth rate, it was not clearly shown in TEM image due to the InN decomposition. The lattice parameters of GaN layers were measured by XRD measurement, which shows that InN interlayer reduces the compressive strain in GaN layer. The relaxation of compressive strain in GaN layer was also confirmed by photoluminescence (PL) measurement. As shown in the PL spectra, red shift of GaN band edge peak was observed, which indicates the reduction of compressive strain in GaN epilayer.

  11. Characterization of crystallinity of Ge{sub 1−x}Sn{sub x} epitaxial layers grown using metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Inuzuka, Yuki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Ike, Shinichi; Asano, Takanori [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Japan Society for the Promotion of Science, Chiyoda-ku, Tokyo 102-8472 (Japan); Takeuchi, Wakana [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Nakatsuka, Osamu, E-mail: nakatuka@alice.xtal.nagoya-u.ac.jp [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Zaima, Shigeaki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); EcoTopia Science Institute, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan)

    2016-03-01

    The epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer was examined using metal-organic chemical vapor deposition (MOCVD) with two types of Ge precursors; tetra-ethyl-germane (TEGe) and tertiary-butyl-germane (TBGe); and the Sn precursor tri-butyl-vinyl-tin (TBVSn). Though the growth of a Ge{sub 1−x}Sn{sub x} layer on a Ge(001) substrate by MOCVD has been reported, a high-Sn-content Ge{sub 1−x}Sn{sub x} layer and the exploration of MO material combinations for Ge{sub 1−x}Sn{sub x} growth have not been reported. Therefore, the epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer on Ge(001) and Si(001) substrates was examined using these precursors. The Ge{sub 1−x}Sn{sub x} layers were pseudomorphically grown on a Ge(001) substrate, while the Ge{sub 1−x}Sn{sub x} layer with a high degree of strain relaxation was obtained on a Si(001) substrate. Additionally, it was found that the two Ge precursors have different growth temperature ranges, where the TBGe could realize a higher growth rate at a lower growth temperature than the TEGe. The Ge{sub 1−x}Sn{sub x} layers grown using a combination of TBGe and TBVSn exhibited a higher crystalline quality and a smoother surface compared with the Ge{sub 1−x}Sn{sub x} layer prepared by low-temperature molecular beam epitaxy. In this study, a Ge{sub 1−x}Sn{sub x} epitaxial layer with a Sn content as high as 5.1% on a Ge(001) substrate was achieved by MOCVD at 300 °C. - Highlights: • Tertiary-butyl-germane and tri-butyl-vinyl-tin are suitable for Ge{sub 1−x}Sn{sub x} MOCVD growth. • We achieved a Sn content of 5.1% in Ge{sub 1−x}Sn{sub x} epitaxial layer on Ge(001). • The Ge{sub 1−x}Sn{sub x} layers grown on Ge and Si by MOCVD have high crystalline quality.

  12. Building of nested components by a double-nozzle droplet deposition process

    Science.gov (United States)

    Li, SuLi; Wei, ZhengYing; Du, Jun; Zhao, Guangxi; Wang, Xin; Lu, BingHeng

    2016-07-01

    According to the nested components jointed with multiple parts,a double-nozzle droplet deposition process was put forward in this paper, and the experimental system was developed. Through the research on the properties of support materials and the process of double-nozzle droplet deposition, the linkage control of the metal droplet deposition and the support material extrusion was realized, and a nested component with complex construction was fabricated directly. Compared with the traditional forming processes, this double-nozzle deposition process has the advantages of short cycle, low cost and so on. It can provide an approach way to build the nested parts.

  13. Metal-organic chemical vapor deposition of ultra-thin photovoltaic devices using a pyrite based p-i-n structure

    Energy Technology Data Exchange (ETDEWEB)

    Clayton, A.J., E-mail: andy.clayton@optictechnium.com [CSER, Glyndwr University, OpTIC Technium, St Asaph, LL17 0JD (United Kingdom); Irvine, S.J.C.; Barrioz, V.; Brooks, W.S.M. [CSER, Glyndwr University, OpTIC Technium, St Asaph, LL17 0JD (United Kingdom); Zoppi, G.; Forbes, I. [NPAC, Northumbria University, Newcastle upon Tyne, NE1 8ST (United Kingdom); Rogers, K.D.; Lane, D.W.; Hutchings, K.; Roncallo, S. [Centre for Material Science and Engineering, Cranfield University, Swindon, SN6 8LA (United Kingdom)

    2011-08-31

    Ultra-thin photovoltaic (PV) devices were produced by atmospheric pressure metal organic chemical vapour deposition (AP-MOCVD) incorporating a highly absorbing intermediate sulphurised FeS{sub x} layer into a CdS/CdTe structure. X-ray diffraction (XRD) confirmed a transitional phase change to pyrite FeS{sub 2} after post growth sulphur (S) annealing of the FeS{sub x} layer between 400 deg. C and 500 deg. C. Devices using a superstrate configuration incorporating a sulphurised or non-sulphurised FeS{sub x} layer were compared to p-n devices with only a CdS/CdTe structure. Devices with sulphurised FeS{sub x} layers performed least efficiently, even though pyrite fractions were present. Rutherford back scattering (RBS) confirmed deterioration of the CdS/FeS{sub x} interface due to S inter-diffusion during the annealing process.

  14. Non-radiative recombination process in BGaAs/GaAs alloys: Two layer photothermal deflection model

    Energy Technology Data Exchange (ETDEWEB)

    Ilahi, S., E-mail: ilehi_soufiene@yahoo.fr [Université de Carthage, Unité de Recherche de caractérisation photothermique et modélisation, Institut Préparatoire aux Etudes d’Ingénieurs de Nabeul (IPEIN), 8000 Merazka, Nabeul (Tunisia); Baira, M.; Saidi, F. [Université de Monastir, Laboratoire de Micro-Optoélectronique et Nanostructures, Faculté des Sciences de Monastir. Avenue de l’Environnement, Monastir 5019 (Tunisia); Yacoubi, N. [Université de Carthage, Unité de Recherche de caractérisation photothermique et modélisation, Institut Préparatoire aux Etudes d’Ingénieurs de Nabeul (IPEIN), 8000 Merazka, Nabeul (Tunisia); Auvray, L. [Laboratoire Multimateriaux et Interfaces, Université Claude Bernard Lyon I, 43, Boulevard du 11 Novembre 1918, 69622 Villeurbanne Cedex (France); Maaref, H. [Université de Monastir, Laboratoire de Micro-Optoélectronique et Nanostructures, Faculté des Sciences de Monastir. Avenue de l’Environnement, Monastir 5019 (Tunisia)

    2013-12-25

    Highlights: •We have developed a two layer photothermal deflection model. •We have determined the electronic properties of BGaAs/GaAs alloys. •We have studied the boron effect in the electronic parameters. -- Abstract: Photo-thermal deflection technique PTD is used to study the nonradiative recombination process in BGaAs/GaAs alloy with boron composition of 3% and 8% grown by metal organic chemical vapor deposition (MOCVD). A two layer theoretical model has been developed taking into account both thermal and electronic contribution in the photothermal signal allowing to extract the electronic parameters namely electronic diffusivity, surface and interface recombination. It is found that the increase of boron composition alters the BGaAs epilayers transport properties.

  15. Advances in the electro-spark deposition coating process

    International Nuclear Information System (INIS)

    Johnson, R.N.; Sheldon, G.L.

    1986-04-01

    Electro-spark deposition (ESD) is a pulsed-arc micro-welding process using short-duration, high-current electrical pulses to deposit an electrode material on a metallic substrate. It is one of the few methods available by which a fused, metallurgically bonded coating can be applied with such a low total heat input that the bulk substrate material remains at or near ambient temperatures. The short duration of the electrical pulse allows an extremely rapid solidification of the deposited material and results in an exceptionally fine-grained, homogenous coating that approaches (and with some materials, actually is) an amorphous structure. This structure is believed to contribute to the good tribological and corrosion performance observed for hardsurfacing materials used in the demanding environments of high temperatures, liquid metals, and neutron irradiation. A brief historical review of the process is provided, followed by descriptions of the present state-of-the-art and of the performance and applications of electro-spark deposition coatings in liquid-metal-cooled nuclear reactors

  16. Lg = 100 nm T-shaped gate AlGaN/GaN HEMTs on Si substrates with non-planar source/drain regrowth of highly-doped n+-GaN layer by MOCVD

    International Nuclear Information System (INIS)

    Huang Jie; Li Ming; Tang Chak-Wah; Lau Kei-May

    2014-01-01

    High-performance AlGaN/GaN high electron mobility transistors (HEMTs) grown on silicon substrates by metal—organic chemical-vapor deposition (MOCVD) with a selective non-planar n-type GaN source/drain (S/D) regrowth are reported. A device exhibited a non-alloyed Ohmic contact resistance of 0.209 Ω·mm and a comprehensive transconductance (g m ) of 247 mS/mm. The current gain cutoff frequency f T and maximum oscillation frequency f MAX of 100-nm HEMT with S/D regrowth were measured to be 65 GHz and 69 GHz. Compared with those of the standard GaN HEMT on silicon substrate, the f T and f MAX is 50% and 52% higher, respectively. (interdisciplinary physics and related areas of science and technology)

  17. Modeling of gas flow and deposition profile in HWCVD processes

    Energy Technology Data Exchange (ETDEWEB)

    Pflug, Andreas; Höfer, Markus; Harig, Tino; Armgardt, Markus; Britze, Chris; Siemers, Michael; Melzig, Thomas; Schäfer, Lothar

    2015-11-30

    Hot wire chemical vapor deposition (HWCVD) is a powerful technology for deposition of high quality films on large area, where drawbacks of plasma based technology such as defect generation by ion bombardment and high equipment costs are omitted. While processes for diamond coatings using H{sub 2} and CH{sub 4} as precursor have been investigated in detail since 1990 and have been transferred to industry, research also focuses on silicon based coatings with H{sub 2}, SiH{sub 4} and NH{sub 3} as process gases. HWCVD of silicon based coatings is a promising alternative for state-of-the-art radiofrequency-plasma enhanced chemical vapor deposition reactors. The film formation in HWCVD results from an interaction of several concurrent chemical reactions such as gas phase chemistry, film deposition, abstraction of surplus hydrogen bonds and etching by atomic hydrogen. Since there is no easy relation between process parameters and resulting deposition profiles, substantial experimental effort is required to optimize the process for a given film specification and the desired film uniformity. In order to obtain a deeper understanding of the underlying mechanisms and to enable an efficient way of process optimization, simulation methods come into play. While diamond deposition occurs at pressures in the range of several kPa HWCVD deposition of Si based coatings operates at pressures in the 0.1–30 Pa range. In this pressure regime, particle based simulation methods focused on solving the Boltzmann equation are computationally feasible. In comparison to computational fluid dynamics this yields improved accuracy even near small gaps or orifices, where characteristic geometric dimensions approach the order of the mean free path of gas molecules. At Fraunhofer IST, a parallel implementation of the Direct Simulation Monte Carlo (DSMC) method extended by a reactive wall chemistry model is developed. To demonstrate the feasibility of three-dimensional simulation of HWCVD processes

  18. Effects of the annealing duration of the ZnO buffer layer on structural and optical properties of ZnO rods grown by a hydrothermal process

    Energy Technology Data Exchange (ETDEWEB)

    Shin, C.M.; Lee, J.Y.; Heo, J.H.; Park, J.H.; Kim, C.R. [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Obang-dong, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Ryu, H., E-mail: hhryu@inje.ac.kr [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Obang-dong, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Chang, J.H. [Major of Nano Semiconductor, Korea Maritime University, 1 Dongsam-dong, Yeongdo-Ku, Busan 606-791 (Korea, Republic of); Son, C.S. [Department of Electronic Materials Engineering, Silla University, Gwaebeop-dong, Sasang-gu, Busan 617-736 (Korea, Republic of); Lee, W.J. [Department of Nano Engineering, Dong-Eui University, 995 Eomgwangno, Busanjin-gu, Busan 614-714 (Korea, Republic of); Tan, S.T. [Institute of Microelectronics, 11 Science Park Road, Science Park II, Singapore 117685 (Singapore); Zhao, J.L. [School of Electrical and Electronic Engineering, Nanyang Technological University, Nanyang Avenue, Singapore 639798 (Singapore); Sun, X.W. [Institute of Microelectronics, 11 Science Park Road, Science Park II, Singapore 117685 (Singapore); School of Electrical and Electronic Engineering, Nanyang Technological University, Nanyang Avenue, Singapore 639798 (Singapore)

    2009-07-30

    In this study, the effects of the annealing duration of a zinc oxide (ZnO) buffer layer on structural and optical properties of ZnO rods grown by a hydrothermal process are discussed. A ZnO buffer layer was deposited on p-type Si (1 1 1) substrates by the metal organic chemical vapor deposition (MOCVD) method. After that, ZnO rods were grown on the ZnO-buffer/Si (1 1 1) substrate by a hydrothermal process. In order to determine the optimum annealing duration of the buffer layer for the growth of ZnO rods, durations ranging from 0.5 to 30 min were tried. The morphology and crystal structure of the ZnO/ZnO-buffer/Si (1 1 1) were measured by field emission scanning electron microscopy (FE-SEM) and x-ray diffraction (XRD). The optical properties were investigated by photoluminescence (PL) measurement.

  19. Wafer-level MOCVD growth of AlGaN/GaN-on-Si HEMT structures with ultra-high room temperature 2DEG mobility

    Directory of Open Access Journals (Sweden)

    Xiaoqing Xu

    2016-11-01

    Full Text Available In this work, we investigate the influence of growth temperature, impurity concentration, and metal contact structure on the uniformity and two-dimensional electron gas (2DEG properties of AlGaN/GaN high electron mobility transistor (HEMT structure grown by metal-organic chemical vapor deposition (MOCVD on 4-inch Si substrate. High uniformity of 2DEG mobility (standard deviation down to 0.72% across the radius of the 4-inch wafer has been achieved, and 2DEG mobility up to 1740.3 cm2/V⋅s at room temperature has been realized at low C and O impurity concentrations due to reduced ionized impurity scattering. The 2DEG mobility is further enhanced to 2161.4 cm2/V⋅s which is comparable to the highest value reported to date when the contact structure is switched from a square to a cross pattern due to reduced piezoelectric scattering at lower residual strain. This work provides constructive insights and promising results to the field of wafer-scale fabrication of AlGaN/GaN HEMT on Si.

  20. Optimization of the low-temperature MOCVD process for PZT thin films

    CERN Document Server

    Wang, C H; Choi, D J

    2000-01-01

    Pb(Zr sub X Ti sub 1 sub - sub X)O sub 3 (PZT) thin films of about 0.34 nm were successfully grown at a low temperature of 500 .deg. C by metalorganic chemical vapor deposition with a beta-diketonate complex of Pb(tmhd) sub 2 , zirconium t-butoxide, and titanium isopropoxide as source precursors. Ferroelectric capacitors of a Pt/PZT/Pt configuration were fabricated, and their structural and electrical properties were investigated as a function of the input Pb/(Zr+Ti) and Zr/(Zr+Ti) source ratios. The structure of the as-grown films at 500 .deg. C changed from tetragonal to pseudocubic with increasing the Zr/(Zr+Ti) ratio above an input Pb/(Zr+Ti) source ratio of 5.0 while a 2nd phase of ZrO sub 2 was only observed below Pb/(Zr+Ti) ratio of 5.0, regardless of the Zr/(Zr+Ti) ratio. The dielectric constant and loss of the PZT films were 150-1200 and 0.01-0.04 at 100 kHz, respectively, Leakage current densities decreased with increasing the Zr/(Zr+Ti) ratio. The process window for growing a single phase PZT is ve...

  1. Development of a new process for deposition of metallic vapours and ions

    International Nuclear Information System (INIS)

    Gabrielli, O. de.

    1989-01-01

    Surface treatment processes by deposition, enabling surface properties to be altered without altering the volume, are making rapid progress in industry. The description of these processes has led us to consider the role and the importance of methods using plasmas. The new plasma source we have developed is the subject of this experimental research: it is the basis of the deposition process (metallic ion and vapour deposition). The specifications and preliminary results enable us to compare this process with others in use. Fast deposition rates and excellent adhesion are the two main characteristics of this process [fr

  2. Effect of Ag doping on the structural, electrical and optical properties of ZnO grown by MOCVD at different substrate temperatures

    Science.gov (United States)

    Ievtushenko, A.; Karpyna, V.; Eriksson, J.; Tsiaoussis, I.; Shtepliuk, I.; Lashkarev, G.; Yakimova, R.; Khranovskyy, V.

    2018-05-01

    ZnO films and nanostructures were deposited on Si substrates by MOCVD using single source solid state zinc acetylacetonate (Zn(AA)) precursor. Doping by silver was realized in-situ via adding 1 and 10 wt. % of Ag acetylacetonate (Ag(AA)) to zinc precursor. Influence of Ag on the microstructure, electrical and optical properties of ZnO at temperature range 220-550 °C was studied by scanning, transmission electron and Kelvin probe force microscopy, photoluminescence and four-point probe electrical measurements. Ag doping affects the ZnO microstructure via changing the nucleation mode into heterogeneous and thus transforming the polycrystalline films into a matrix of highly c-axis textured hexagonally faceted nanorods. Increase of the work function value from 4.45 to 4.75 eV was observed with Ag content increase, which is attributed to Ag behaviour as a donor impurity. It was observed, that near-band edge emission of ZnO NS was enhanced with Ag doping as a result of quenching deep-level emission. Upon high doping of ZnO by Ag it tends to promote the formation of basal plane stacking faults defect, as it was observed by HR TEM and PL study in the case of 10 wt.% of Ag. Based on the results obtained, it is suggested that NS deposition at lower temperatures (220-300 °C) is more favorable for p-type doping of ZnO.

  3. Biochemical processes of oligotrophic peat deposits of Vasyugan Mire

    Science.gov (United States)

    Inisheva, L. I.; Sergeeva, M. A.

    2009-04-01

    The problem of peat and mire ecosystems functioning and their rational use is the main problem of biosphere study. This problem also refers to forecasting of biosphere changes results which are global and anthropogenic. According to many scientists' research the portion of mires in earth carbon balance is about 15% of world's stock. The aim of this study is to investigate biochemical processes in oligotrophic deposits in North-eastern part of Vasyugan Mire. The investigations were made on the territory of scientific-research ground (56˚ 03´ and 56˚ 57´ NL, 82˚ 22´ and 82˚ 42´ EL). It is situated between two rivers Bakchar and Iksa (in outskirts of the village Polynyanka, Bakchar region, Tomsk oblast). Evolution of investigated mire massif began with the domination of eutrophic phytocenosis - Filicinae, then sedge. Later transfer into oligotrophic phase was accompanied by formation of meter high-moor peat deposit. The age of three-meter peat deposit reaches four thousand years. Biochemical processes of carbon cycle cover the whole peat deposit, but the process activity and its direction in different layers are defined by genesis and duration of peat formation. So, the number of cellulose-fermenting aerobes in researched peat deposits ranges from 16.8 to 75.5 million CFU/g, and anaerobic bacteria from 9.6 to 48.6 million CFU/g. The high number of aerobes is characteristic for high water levels, organizing by raised bog peats. Their number decreases along the profile in 1.7 - 2 times. The number of microflora in peat deposit is defined by the position in the landscape profile (different geneses), by the depth, by hydrothermic conditions of years and individual months. But microflora activity shows along all depth of peat deposit. We found the same in the process of studying of micromycete complex structure. There was revealed either active component micromycete complex - mycelium, or inert one - spores in a meter layer of peat deposit. If mushrooms

  4. Control of residual carbon concentration in GaN high electron mobility transistor and realization of high-resistance GaN grown by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    He, X.G. [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, P.O. Box 912, Beijing 100083 (China); Zhao, D.G., E-mail: dgzhao@red.semi.ac.cn [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, P.O. Box 912, Beijing 100083 (China); Jiang, D.S.; Liu, Z.S.; Chen, P.; Le, L.C.; Yang, J.; Li, X.J. [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, P.O. Box 912, Beijing 100083 (China); Zhang, S.M.; Zhu, J.J.; Wang, H.; Yang, H. [Suzhou Institute of Nano-tech and Nano-bionics, Chinese Academy of Sciences, Suzhou 215125 (China)

    2014-08-01

    GaN films were grown by metal-organic chemical vapor deposition (MOCVD) under various growth conditions. The influences of MOCVD growth parameters, i.e., growth pressure, ammonia (NH{sub 3}) flux, growth temperature, trimethyl-gallium flux and H{sub 2} flux, on residual carbon concentration ([C]) were systematically investigated. Secondary ion mass spectroscopy measurements show that [C] can be effectively modulated by growth conditions. Especially, it can increase by reducing growth pressure up to two orders of magnitude. High-resistance (HR) GaN epilayer with a resistivity over 1.0 × 10{sup 9} Ω·cm is achieved by reducing growth pressure. The mechanism of the formation of HR GaN epilayer is discussed. An Al{sub x}Ga{sub 1−x}N/GaN high electron mobility transistor structure with a HR GaN buffer layer and an additional low-carbon GaN channel layer is presented, exhibiting a high two dimensional electron gas mobility of 1815 cm{sup 2}/Vs. - Highlights: • Influence of MOCVD parameters on residual carbon concentration in GaN is studied. • GaN layer with a resistivity over 1 × 10{sup 9} Ω·cm is achieved by reducing growth pressure. • High electron mobility transistor (HEMT) structures were prepared. • Control of residual carbon content results in HEMT with high 2-D electron gas mobility.

  5. Design of a safe facility for the metalorganic chemical vapor deposition of high-purity GaAs and AlGaAs

    Science.gov (United States)

    Messham, R. L.; Tucker, W. K.

    1986-09-01

    A metalorganic chemical vapor deposition (MOCVD) facility designed to safely handle highly toxic and pyrophoric growth materials is described. The system concept is based on remote operation, passive flow restriction, and forced air dilution to maintain safe gas concentrations under normal running and catastrophic system failure conditions. MOCVD is a key materials technology for advanced high-frequency optical and microwave devices. At this time, the use of highly toxic arsine as an arsenic source is dictated by critical device purity, reproducibility, and doping control requirements. The handling and use of this gas is a primary feature in the design of any safe facility for MOCVD growth of high-quality GaAs/AlGaAs. After a critical review of presently available effluent treatment techniques, it was concluded that a combination of flow restriction and dilution presented the most reliable treatment. Measured flow rates through orifices from 0.002 to 0.005 inch in diameter were compared to calculated values. A 0.002 inch orifice located in the cylinder valve or CGA fitting, combined with a cylinder of pure liquid arsine (205 psi), limits the maximum gas flow to ≪1 lpm. Such a flow can then be vented through a dedicated exhaust system where an additional forced injection of diluting air reduces the gas concentration to acceptable levels. In the final Westinghouse R&D Center design, the use of low-pressure pure arsine, flow restriction, and stack air injection has reduced the maximum stack exist gas concentration to below 25% of the IDLH level for arsine under total and catastrophic MOCVD facility equipment failure conditions. The elimination of potential problems with purging behind such orifices using carefully designed purging procedures and a microprocessor-controlled purging system are described. The IDLH level is defined by the OSHA and NIOSH standards completion program and represents the maximum level from which one could escape within 30 min without any

  6. Final report: High current capacity high temperature superconducting film based tape for high field magnets

    International Nuclear Information System (INIS)

    Ying Xin

    2000-01-01

    The primary goal of the program was to establish the process parameters for the continuous deposition of high quality, superconducting YBCO films on one meter lengths of buffered RABiTS tape using MOCVD and to characterize the potential utility of the resulting tapes in high field magnet applications

  7. Low-pressure c-BN deposition - is a CVD process possible?

    International Nuclear Information System (INIS)

    Haubner, R.; Tang, X.

    2001-01-01

    Since the low-pressure diamond deposition was discovered in 1982 there is a high interest to find a similar process for the c-BN synthesis. A review about the c-BN deposition process as well as its characterization is given. Experiments with a simple chemical vapor deposition(CVD) reactor using tris(dimethylamino)borane as precursor were carried out. In a cold-wall reactor substrates were heated up by high-frequency. Argon was used as protecting and carrying the precursor, it was saturated with tris(dimethylamino)borane (precursor) according to its vapor pressure and transports the pressure to the hot substrate, where deposition occurs. WC-Co hardmetal plates containing 6 wt. % Co, Mo and Si were used as substrates. Various BN layers were deposited and characterized. X-ray diffraction, IR-spectroscopy and SIMS indicate that BN-coatings containing c-BN were deposited. However a final verification of c-BN crystallites by TEM investigations was not possible till now. (nevyjel)

  8. Final Report: Vapor Transport Deposition for Thin Film III-V Photovoltaics

    Energy Technology Data Exchange (ETDEWEB)

    Boettcher, Shannon [Univ. of Oregon, Eugene, OR (United States); Greenaway, Ann [Univ. of Oregon, Eugene, OR (United States); Boucher, Jason [Univ. of Oregon, Eugene, OR (United States); Aloni, Shaul [Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States)

    2016-02-10

    Silicon, the dominant photovoltaic (PV) technology, is reaching its fundamental performance limits as a single absorber/junction technology. Higher efficiency devices are needed to reduce cost further because the balance of systems account for about two-thirds of the overall cost of the solar electricity. III-V semiconductors such as GaAs are used to make the highest-efficiency photovoltaic devices, but the costs of manufacture are much too high for non-concentrated terrestrial applications. The cost of III-V’s is driven by two factors: (1) metal-organic chemical vapor deposition (MOCVD), the dominant growth technology, employs expensive, toxic and pyrophoric gas-phase precursors, and (2) the growth substrates conventionally required for high-performance devices are monocrystalline III-V wafers. The primary goal of this project was to show that close-spaced vapor transport (CSVT), using water vapor as a transport agent, is a scalable deposition technology for growing low-cost epitaxial III-V photovoltaic devices. The secondary goal was to integrate those devices on Si substrates for high-efficiency tandem applications using interface nanopatterning to address the lattice mismatch. In the first task, we developed a CSVT process that used only safe solid-source powder precursors to grow epitaxial GaAs with controlled n and p doping and mobilities/lifetimes similar to that obtainable via MOCVD. Using photoelectrochemical characterization, we showed that the best material had near unity internal quantum efficiency for carrier collection and minority carrier diffusions lengths in of ~ 8 μm, suitable for PV devices with >25% efficiency. In the second task we developed the first pn junction photovoltaics using CSVT and showed unpassivated structures with open circuit photovoltages > 915 mV and internal quantum efficiencies >0.9. We also characterized morphological and electrical defects and identified routes to reduce those defects. In task three we grew epitaxial

  9. A review: deposition and resuspension processes

    International Nuclear Information System (INIS)

    Sehmel, G.A.

    1979-01-01

    A review chapter was written on deposition and resuspension processes for the forthcoming Department of Energy publication, Atmospheric Sciences and Power Production, edited by D. Randerson. The chapter includes eleven tables and thirteen figures summarizing data from 241 references. The conclusions of that review chapter are given

  10. YSZ thin films deposited on NiO-CSZ anodes by pulsed injection MOCVD for intermediate temperature-SOFC applications

    International Nuclear Information System (INIS)

    Garcia, G.; Pardo, J.A.; Santiso, J.; Merino, R.I.; Orera, V.M.; Larrea, A.; Pena, J.I.; Laguna-Bercero, M.A.; Figueras, A.

    2004-01-01

    Yttria-stabilized zirconia (YSZ) films are prepared on NiO-CaSZ by PIMOCVD (pulsed injection metal organic chemical vapor deposition). High quality, 5 to 10 μm thick, totally dense YSZ layers are prepared by controlling the oxygen partial pressure during the deposition. YSZ solid electrolyte deposition onto Ni-YSZ eutectic substrate is found to be a promising combination with regard to intermediate-temperature solid-oxide fuel cell applications. (Abstract Copyright [2004], Wiley Periodicals, Inc.)

  11. Effects of acid deposition on microbial processes in natural waters

    International Nuclear Information System (INIS)

    Gilmour, C.C.

    1992-01-01

    Biogeochemical processes mediated by microorganisms are not adversely affected by the acidification of natural waters to the same extent as are the life cycles of higher organisms. Basic processes, e.g., primary production and organic matter decomposition, are not slowed in moderately acidified systems and do not generally decline above a pH of 5. More specifically, the individual components of the carbon, nitrogen, and sulfur cycles are, with few exceptions, also acid resistant. The influence of acid deposition on microbial processes is more often stimulation of nitrogen and sulfur cycling, often leading to alkalinity production, which mitigates the effect of strong acid deposition. Bacterial sulfate reduction and denitrification in sediments are two of the major processes that can be stimulated by sulfate and nitrate deposition, respectively, and result in ANC (acid-neutralizing capacity) generation. One of the negative effects of acid deposition is increased mobilization and bioaccumulation of some metals. Bacteria appear to play an important role, especially in mercury cycling, with acidification leading to increased bacterial methylation of mercury and subsequent bioaccumulation in higher organisms

  12. PVD processes of thin films deposition using Hall-current discharge

    International Nuclear Information System (INIS)

    Svadkovskij, I.V.

    2007-01-01

    Results of research and developments in the field of PVD processes of thin films deposition using Hall-current discharge have been summarized. Effects of interaction of ions with surface during deposition have been considered. Also features of application and prospects of devices based on ion beam and magnetron sputtering systems in thin films technologies have been analyzed. The aspects in the field plasma physics, technology and equipment plasma PVD processes of thin films deposition have been systematized, on the base of investigations made by author and other scientists. (authors)

  13. Heteroepitaxial growth of Ba1 - xSrxTiO3/YBa2Cu3O7 - x by plasma-enhanced metalorganic chemical vapor deposition

    Science.gov (United States)

    Chern, C. S.; Liang, S.; Shi, Z. Q.; Yoon, S.; Safari, A.; Lu, P.; Kear, B. H.; Goodreau, B. H.; Marks, T. J.; Hou, S. Y.

    1994-06-01

    Epitaxial Ba1-xSrxTiO3(BST)/YBa2Cu3O7-x heterostructures with superior electrical and dielectric properties have been fabricated by plasma-enhanced metalorganic chemical vapor deposition (PE-MOCVD). Data of x-ray diffraction and high resolution transmission electron microscopy showed that oriented Ba1-xSrxTiO3 layers were epitaxially deposited on epitaxial (001) YBa2Cu3O7-x layers. The leakage current density through the Ba1-xSrxTiO3 films was about 10-7 A/cm2 at 2 V (about 2×105 V/cm) operation. Moreover, the results of capacitance-temperature measurements showed that the PE-MOCVD Ba1-xSrxTiO3 films had Curie temperatures of about 30 °C and a peak dielectric constant of 600 at zero bias voltage. The Rutherford backscattering spectrometry and x-ray diffraction results showed that the BST film composition was controlled between Ba0.75Sr0.25TiO3 and Ba0.8Sr0.2TiO3. The structural and electrical properties of the Ba1-xSrxTiO3/YBa2Cu3O7-x heterostructure indicated that conductive oxide materials with close lattice to Ba1-xSrxTiO3 can be good candidates for the bottom electrode.

  14. Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition

    Science.gov (United States)

    Lackey, Jr., Walter J.; Caputo, Anthony J.

    1986-01-01

    A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.

  15. Use of process indices for simplification of the description of vapor deposition systems

    International Nuclear Information System (INIS)

    Kajikawa, Yuya; Noda, Suguru; Komiyama, Hiroshi

    2004-01-01

    Vapor deposition is a complex process, including gas-phase, surface, and solid-phase phenomena. Because of the complexity of chemical and physical processes occurring in vapor deposition processes, it is difficult to form a comprehensive, fundamental understanding of vapor deposition and to control such systems for obtaining desirable structures and performance. To overcome this difficulty, we present a method for simplifying the complex description of such systems. One simplification method is to separate complex systems into multiple elements, and determine which of these are important elements. We call this method abridgement. The abridgement method retains only the dominant processes in a description of the system, and discards the others. Abridgement can be achieved by using process indices to evaluate the relative importance of the elementary processes. We describe the formulation and use of these process indices through examples of the growth of continuous films, initial deposition processes, and the formation of the preferred orientation of polycrystalline films. In this paper, we propose a method for representing complex vapor deposition processes as a set of simpler processes

  16. Influence of substrate geometry on ion-plasma coating deposition process

    International Nuclear Information System (INIS)

    Khoroshikh, V.M.; Leonov, S.A.; Belous, V.A.

    2008-01-01

    Influence of substrate geometry on the feature of Ti vacuum arc plasma streams condensation process in presence of N 2 or Ar in a discharge ambient were investigated. Character of gas pressure and substrate potential influence on deposition rate is conditioned the competitive processes of condensation and sputtering, and also presence of double electric layer on a border plasma-substrate. Influence of potential on deposition rate especially strongly shows up for cylindrical substrates of small size. For such substrates it was found substantial (approximately in 4 times) growth of deposition rate at the increasing of negative potential from 100 to 700 V when nitrogen pressure is ∼0,3...2,5 Pa. Possibility of droplet-free coating deposition the substrate backs and in discharge ambient, being outside area of cathode direct visibility is shown

  17. Photoluminescence and photoluminescence excitation studies in 80 MeV Ni ion irradiated MOCVD grown GaN

    Energy Technology Data Exchange (ETDEWEB)

    Devaraju, G. [School of Physics, University of Hyderabad, Central University P.O., Hyderabad 500 046 (India); Pathak, A.P., E-mail: appsp@uohyd.ernet.in [School of Physics, University of Hyderabad, Central University P.O., Hyderabad 500 046 (India); Srinivasa Rao, N.; Saikiran, V. [School of Physics, University of Hyderabad, Central University P.O., Hyderabad 500 046 (India); Enrichi, Francesco [Coordinamento Interuniversitario Veneto per le Nanotecnologie (CIVEN), via delle Industrie 5, Marghera, I-30175Venice (Italy); Trave, Enrico [Dipartimento di Chimica Fisica, Universita Ca' Foscari Venezia, Dorsoduro 2137, I-30123 Venice (Italy)

    2011-09-01

    Highlights: {yields} MOCVD grown GaN samples are irradiated with 80 MeV Ni ions at room temperature. {yields} PL and PLE studies have been carried out for band to band, BL and YL emissions. {yields} Ni ions irradiated GaN shows BL band at 450 nm besides YL band. {yields} Radiation annealed Ga vacancies have quenching effect on YL intensity. {yields} We speculated that BL and YL are associated with N and Ga vacancies, respectively. - Abstract: We report damage creation and annihilation under energetic ion bombardment at a fixed fluence. MOCVD grown GaN thin films were irradiated with 80 MeV Ni ions at a fluence of 1 x 10{sup 13} ions/cm{sup 2}. Irradiated GaN thin films were subjected to rapid thermal annealing for 60 s in nitrogen atmosphere to anneal out the defects. The effects of defects on luminescence were explored with photoluminescence measurements. Room temperature photoluminescence spectra from pristine sample revealed presence of band to band transition besides unwanted yellow luminescence. Irradiated GaN does not show any band to band transition but there is a strong peak at 450 nm which is attributed to ion induced defect blue luminescence. However, irradiated and subsequently annealed samples show improved band to band transitions and a significant decrease in yellow luminescence intensity due to annihilation of defects which were created during irradiation. Irradiation induced effects on yellow and blue emissions are discussed.

  18. Properties of recent IBAD-MOCVD Coated Conductors relevant to their high field, low temperature magnet use

    OpenAIRE

    Braccini, V; Xu, A; Jaroszynski, J; Xin, Y; Larbalestier, D C; Chen, Y; Carota, G; Dackow, J; Kesgin, I; Yao, Y; Guevara, A; Shi, T; Selvamanickam, V

    2010-01-01

    BaZrO3 (BZO) nanorods are now incorporated into production IBAD-MOCVD coated conductors. Here we compare several examples of both BZO-free and BZO-containing coated conductors using critical current (Ic) characterizations at 4.2 K over their full angular range up to fields of 31 T. We find that BZO nanorods do not produce any c-axis distortion of the critical current density Jc(theta) curve at 4.2 K at any field, but also that pinning is nevertheless strongly enhanced compared to the non-BZO ...

  19. Morphological Evolution of a-GaN on r-Sapphire by Metalorganic Chemical Vapor Deposition

    International Nuclear Information System (INIS)

    Sang Ling; Liu Jian-Ming; Xu Xiao-Qing; Wang Jun; Zhao Gui-Juan; Liu Chang-Bo; Gu Cheng-Yan; Liu Gui-Peng; Wei Hong-Yuan; Liu Xiang-Lin; Yang Shao-Yan; Zhu Qin-Sheng; Wang Zhan-Guo

    2012-01-01

    The morphological evolution of a-GaN deposited by metalorganic chemical vapor deposition (MOCVD) on r-sapphire is studied. The influences of V/III ratio and growth temperature on surface morphology are investigated. V-pits and stripes are observed on the surface of a-GaN grown at 1050°C and 1100°C, respectively. The overall orientation and geometry of V-pits are uniform and independent on the V/III molar ratio in the samples grown at 1050°C, while in the samples grown at 1100°C, the areas of stripes decrease with the adding of V/III ratio. We deduce the origin of V-pits and stripes by annealing the buffer layers at different temperatures. Because of the existence of inclined (101-bar1) facets, V-pits are formed at 1050°C. The (101-bar1) plane is an N terminated surface, which is metastable at higher temperature, so stripes instead of V-pits are observed at 1100°C. Raman spectra suggest that the growth temperature of the first layer in the two-step process greatly affects the strain of the films. Hence, to improve the growth temperature of the first layer in the two-step method may be an effective way to obtain high quality a-GaN film on r-sapphire. (condensed matter: structure, mechanical and thermal properties)

  20. Interface studies on the tunneling contact of a MOCVD-prepared tandem solar cell; Grenzflaechenuntersuchungen am Tunnelkontakt einer MOCVD-praeparierten Tandemsolarzelle

    Energy Technology Data Exchange (ETDEWEB)

    Seidel, U.

    2007-07-10

    In this thesis a tandem solar cell with a novel tunneling contact was developed. For the development of the monolithic preparation especailly critical hetero-interfaces were studied in the region of the tunneling contact with surface-sensitive measuring method. The tandem solar cell consisted of single solar cells with absorber layers of In{sub 0.53}Ga{sub 0.47}As (E{sub g}=0.73 eV) and In{sub 0.78}Ga{sub 0.22}As{sub 0.491}P{sub 0.51} (E{sub g}=1.03 eV), the serial switching of which was pursued with a tunneling contact (ESAKI diode, which consisted of a very thin n-doped InGaAs and a p-doped GaAsSb layer. The III-V semiconductor layers were prepared by metalorganic gas phase epitaxy (MOCVD) monocrystallinely on an InP(100) substrate lattice-matchedly. Especially the influence of the preparation of InGaAs surfaces on the sharpness of the InGaAs/GaAsSb interface was in-situ studied by reflection-anisotropy spectroscopy and after a contamination-free transfer into the ultrahigh vacuum with photoelectron spectroscopy and with low-energetic electron diffraction (LEED). Thereby for the first time three different reconstructions of the MOCVD-prepared InGaAs surfaces could be observed, which were dependent on the heating temperature under pure hydrogen. The arsenic-rich InGaAs surface was observed for temperatures less than 300 C and showed in the LEED picture a (4 x 3) reconstruction. In the temperature range from 300 C until about 500 C a (2 x 4) reconstruction was observed, above 500 C the InGaAs surface 94 x 2)/c(8 x 2) was reconstructed. Subsequently the study of the growth of thin GaAsSb layers on these three InGaAs surface reconstructions followed. XPS measurements showed that the Sb/As ratio in GaAsSb at the growth on the As-rich (4 x 3) reconstructed surface in the first monolayers was too low. The preparation of the GaAsSb on the two other InGaAs surfaces yielded however in both cases a distinctly higher Sb/As ratio. Finally tandem solar cells with differently

  1. Salt separation of uranium deposits generated from electrorefining in pyro process

    International Nuclear Information System (INIS)

    Kwon, S. W.; Park, K. M.; Jeong, J. H.; Lee, H. S.; Kim, J. G.

    2012-01-01

    Electrorefining is a key step in a pyro processing. Electrorefining process is generally composed of two recovery steps- deposit of uranium onto a solid cathode(electrorefining) and then the recovery of the remaining uranium and TRU(TransUranic) elements simultaneously by a liquid cadmium cathode(electrowinning). The uranium ingot is prepared from the deposits after the salt separation. In this study, the sequential operation of the liquid salt separation? distillation of the residual salt was attempted for the achievement of high throughput performance in the salt separation. The effects of deposit size and packing density were also investigated with steel chips, steel chips, and uranium dendrites. The apparent evaporation rate decreased with the increasing packing density or the increasing size of deposits due to the hindrance of the vapor transport by the deposits. It was found that the packing density and the geometry of deposit crucible are important design parameters for the salt separation system. Base on the results of the study, an engineering scale salt distiller was developed and installed in the argon cell. The salt distiller is a batch-type, and the process capacity to about 50 kg U-deposits/day. The design of the salt distiller is based on the remote operation by Master Slave Manipulator (MSM) and a hoist. The salt distiller is composed of two large blocks of the distillation tower and the crucible loading system for the transportation to maintenance room via the Large Transfer Lock (LTL)

  2. Salt separation of uranium deposits generated from electrorefining in pyro process

    Energy Technology Data Exchange (ETDEWEB)

    Kwon, S. W.; Park, K. M.; Jeong, J. H.; Lee, H. S.; Kim, J. G. [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of)

    2012-03-15

    Electrorefining is a key step in a pyro processing. Electrorefining process is generally composed of two recovery steps- deposit of uranium onto a solid cathode(electrorefining) and then the recovery of the remaining uranium and TRU(TransUranic) elements simultaneously by a liquid cadmium cathode(electrowinning). The uranium ingot is prepared from the deposits after the salt separation. In this study, the sequential operation of the liquid salt separation? distillation of the residual salt was attempted for the achievement of high throughput performance in the salt separation. The effects of deposit size and packing density were also investigated with steel chips, steel chips, and uranium dendrites. The apparent evaporation rate decreased with the increasing packing density or the increasing size of deposits due to the hindrance of the vapor transport by the deposits. It was found that the packing density and the geometry of deposit crucible are important design parameters for the salt separation system. Base on the results of the study, an engineering scale salt distiller was developed and installed in the argon cell. The salt distiller is a batch-type, and the process capacity to about 50 kg U-deposits/day. The design of the salt distiller is based on the remote operation by Master Slave Manipulator (MSM) and a hoist. The salt distiller is composed of two large blocks of the distillation tower and the crucible loading system for the transportation to maintenance room via the Large Transfer Lock (LTL)

  3. Anatase thin film with diverse epitaxial relationship grown on yttrium stabilized zirconia substrate by chemical vapor deposition

    International Nuclear Information System (INIS)

    Miyagi, Takahira; Ogawa, Tomoyuki; Kamei, Masayuki; Wada, Yoshiki; Mitsuhashi, Takefumi; Yamazaki, Atsushi

    2003-01-01

    An anatase epitaxial thin film with diverse epitaxial relationship, YSZ (001) // anatase (001), YSZ (010) // anatase (110), was grown on a single crystalline yttrium stabilized zirconia (YSZ) (001) substrate by metal organic chemical vapor deposition (MOCVD). The full width at half maximum (FWHM) of the (004) reflection of this anatase epitaxial film was 0.4deg, and the photoluminescence of this anatase epitaxial film showed visible emission with broad spectral width and large Stokes shift at room temperature. These results indicate that this anatase epitaxial film possessed almost equal crystalline quality compared with that grown under identical growth conditions on single crystalline SrTiO 3 substrate. (author)

  4. Powder Flux Regulation in the Laser Material Deposition Process

    Science.gov (United States)

    Arrizubieta, Jon Iñaki; Wegener, Maximiliam; Arntz, Kristian; Lamikiz, Aitzol; Ruiz, Jose Exequiel

    In the present research work a powder flux regulation system has been designed, developed and validated with the aim of improving the Laser Material Deposition (LMD) process. In this process, the amount of deposited material per substrate surface unit area depends on the real feed rate of the nozzle. Therefore, a regulation system based on a solenoid valve has been installed at the nozzle entrance in order to control the powder flux. The powder flux control has been performed based on the machine real feed rate, which is compared with the programmed feed rate. An instantaneous velocity error is calculated and the powder flow is controlled as a function of this variation using Pulse Width Modulation (PWM) signals. Thereby, in zones where the Laser Material Deposition machine reduces the feed rate due to a trajectory change, powder accumulation can be avoided and the generated clads would present a homogeneous shape.

  5. Different defect levels configurations between double layers of nanorods and film in ZnO grown on c-Al2O3 by MOCVD

    International Nuclear Information System (INIS)

    Wu, Bin; Zhang, Yuantao; Shi, Zhifeng; Li, Xiang; Cui, Xijun; Zhuang, Shiwei; Zhang, Baolin; Du, Guotong

    2014-01-01

    Epitaxial ZnO structures with inherent two layers of nanorods layer on film layer were fabricated on c-Al 2 O 3 by metal-organic chemical vapor deposition (MOCVD) and studied by photoluminescence. Specially, photoluminescence spectra for the film layer were obtained by rendering the excitation from the substrate side. Different defect levels configurations between nanorods and film were revealed. Zinc vacancies tend to form in top nanorods layer, whereas abundant zinc–oxygen divacancies accumulate in bottom film layer. An acceptor state with activation energy of ∼200 meV is exclusive to the film layer. The stacking fault related acceptor and Al introduced donor are present in both layers. Besides, two other defect related donors contained in the nanorods layer perhaps also exist within the film layer. - Highlights: • Inherent double layer ZnO of nanorods on film layer were studied by PL. • V Zn tend to form in the nanorods layer, and V ZnO accumulate in the film layer. • An acceptor with activation energy of ∼200 meV is exclusive to the film layer. • Pure NBE emission without DLE in RT PL spectrum does not mean good crystallinity

  6. Effect of Energy Input on the Characteristic of AISI H13 and D2 Tool Steels Deposited by a Directed Energy Deposition Process

    Science.gov (United States)

    Park, Jun Seok; Park, Joo Hyun; Lee, Min-Gyu; Sung, Ji Hyun; Cha, Kyoung Je; Kim, Da Hye

    2016-05-01

    Among the many additive manufacturing technologies, the directed energy deposition (DED) process has attracted significant attention because of the application of metal products. Metal deposited by the DED process has different properties than wrought metal because of the rapid solidification rate, the high thermal gradient between the deposited metal and substrate, etc. Additionally, many operating parameters, such as laser power, beam diameter, traverse speed, and powder mass flow rate, must be considered since the characteristics of the deposited metal are affected by the operating parameters. In the present study, the effect of energy input on the characteristics of H13 and D2 steels deposited by a direct metal tooling process based on the DED process was investigated. In particular, we report that the hardness of the deposited H13 and D2 steels decreased with increasing energy input, which we discuss by considering microstructural observations and thermodynamics.

  7. Monometallic Pd and Pt and Bimetallic Pd-Pt/Al2O3-TiO2 for the HDS of DBT: Effect of the Pd and Pt Incorporation Method

    Directory of Open Access Journals (Sweden)

    Reynaldo Martínez Guerrero

    2014-01-01

    Full Text Available The effect of the preparation method of monometallic Pd and Pt and bimetallic Pd-Pt/Al2O3-TiO2 catalysts on the hydrodesulfurization (HDS of dibenzothiophene (DBT was investigated in this study. The synthesis was accomplished using three methods: (A impregnation, (B metal organic chemical vapor deposition (MOCVD, and (C impregnation-MOCVD. The bimetallic Pd-Pt catalyst prepared by the impregnation-MOCVD method was most active for the HDS of DBT compared to those prepared by the single impregnation or MOCVD method due to the synergetic effect between both noble metals. The greater selectivity toward biphenyl indicated that this bimetallic Pd-Pt catalyst preferentially removes sulfur via the direct desulfurization mechanism. However, the bimetallic Pd-Pt catalyst prepared using the single MOCVD method did not produce any cyclohexylbenzene, which is most likely associated with the hydrogenation/dehydrogenation sites.

  8. Photoluminescence of Mg-doped m-plane GaN grown by MOCVD on bulk GaN substrates

    OpenAIRE

    Monemar, Bo; Paskov, Plamen; Pozina, Galia; Hemmingsson, Carl; Bergman, Peder; Lindgren, David; Samuelson, Lars; Ni, Xianfeng; Morkoç, Hadis; Paskova, Tanya; Bi, Zhaoxia; Ohlsson, Jonas

    2011-01-01

    Photoluminescence (PL) properties are reported for a set of m-plane GaN films with Mg doping varied from mid 1018cm-3 to well above 1019 cm-3. The samples were grown with MOCVD at reduced pressure on low defect density m-plane bulk GaN templates. The sharp line near bandgap bound exciton (BE) spectra observed below 50 K, as well as the broader donor-acceptor pair (DAP) PL bands at 2.9 eV to 3.3 eV give evidence of several Mg related acceptors, similar to the case of c-plane GaN. The dependenc...

  9. A new thin film deposition process by cathodic plasma electrolysis

    International Nuclear Information System (INIS)

    Paulmier, T.; Kiriakos, E.; Bell, J.; Fredericks, P.

    2004-01-01

    Full text: A new technique, called atmospheric pressure plasma deposition (APPD), has been developed since a few years for the deposition of carbon and DLC, Titanium or Silicon films on metal and metal alloys substrates. A high voltage (2kV) is applied in a liquid electrolytic solution between an anode and a cathode, both electrodes being cylindrical: a glow discharge is then produced and confined at the vicinity of the cathode. The physic of the plasma in the electrolytic solution near the cathode is very different form the other techniques of plasma deposition since the pressure is here close to the atmospheric pressure. We describe here the different physico-chemical processes occurring during the process. In this cathodic process, the anodic area is significantly larger than the cathode area. In a first step, the electrolytic solution is heated by Joule effect induced by the high voltage between the electrodes. Due to the high current density, the vaporization of the solution occurs near the cathode: a large amount of bubbles are produced which are stabilized at the electrode by hydrodynamic and electromagnetic forces, forming a vapour sheath. The electric field and voltage drop are then concentrated in this gas envelope, inducing the ionization of the gas and the ignition of a glow discharge at the surface of the material. This plasma induces the formation of ionized and reactive species which diffuse and are accelerated toward the cathode. These excited species are the precursors for the formation of the deposition material. At the same time, the glow discharge interacts with the electrolyte solution inducing also ionization, convection and polymerization processes in the liquid: the solution is therefore a second source of the deposition material. A wide range of films have been deposited with a thickness up to 10 micrometers. These films have been analyzed by SEM and Raman spectroscopy. The electrolytic solution has been characterized by GC-MS and the

  10. Micromorphology of modern tills in southwestern Spitsbergen – insights into depositional and post-depositional processes

    Directory of Open Access Journals (Sweden)

    Skolasińska Katarzyna

    2016-12-01

    Full Text Available Textural properties and microstructures are commonly used properties in the analysis of Pleistocene and older glacial deposits. However, contemporary glacial deposits are seldom studied, particularly in the context of post-depositional changes. This paper presents the results of a micromorphological study of recently deposited tills in the marginal zones of Hansbreen and Torellbreen, glaciers in southwestern Spitsbergen. The main objectives of this study were to compare modern tills deposited in subglacial and supraglacial conditions, as well as tills that were freshly released from ice with those laid down several decades ago. The investigated tills are primarily composed of large clasts of metamorphic rocks and represent coarse-grained, matrix-supported diamictons. The tills reveal several characteristic features for ductile (e.g. turbate structures and brittle (e.g. lineations, microshears deformations, which have been considered to be indicative of subglacial conditions. In supraglacial tills, the same structures are common as in the subglacial deposits, which points to the preservation of the primary features, though the sediment was transferred up to the glacier surface due to basal ice layer deformation and redeposited as slumps, or to formation of similar structures due to short-distance sediment re-deposition by mass flows. This study revealed that it might not be possible to distinguish subglacial and supraglacial tills on the basis of micromorphology if the latter are derived from a subglacial position. The only noted difference was the presence of iron oxide cementation zones and carbonate dissolution features in supraglacial tills. These features were found in tills that were deposited at least a few years ago and are interpreted to be induced by early post-depositional processes involving porewater/sediment interactions.

  11. MOCVD of zirconium oxide thin films: Synthesis and characterization

    International Nuclear Information System (INIS)

    Torres-Huerta, A.M.; Dominguez-Crespo, M.A.; Ramirez-Meneses, E.; Vargas-Garcia, J.R.

    2009-01-01

    The synthesis of thin films of zirconia often produces tetragonal or cubic phases, which are stable at high temperatures, but that can be transformed into the monoclinic form by cooling. In the present study, we report the deposition of thin zirconium dioxide films by metalorganic chemical vapor deposition using zirconium (IV)-acetylacetonate as precursor. Colorless, porous, homogeneous and well adherent ZrO 2 thin films in the cubic phase were obtained within the temperature range going from 873 to 973 K. The deposits presented a preferential orientation towards the (1 1 1) and (2 2 0) planes as the substrate temperature was increased, and a crystal size ranging between 20 and 25 nm. The kinetics is believed to result from film growth involving the deposition and aggregation of nanosized primary particles produced during the CVD process. A mismatch between the experimental results obtained here and the thermodynamic prediction was found, which can be associated with the intrinsic nature of the nanostructured materials, which present a high density of interfaces.

  12. MOCVD of zirconium oxide thin films: Synthesis and characterization

    Energy Technology Data Exchange (ETDEWEB)

    Torres-Huerta, A.M., E-mail: atohuer@hotmail.com [Centro de Investigacion en Ciencia Aplicada y Tecnologia Avanzada, Unidad Altamira, Instituto Politecnico Nacional, Km. 14.5 Carr. Tampico-Puerto Industrial, C.P. 89600, Altamira, Tamaulipas (Mexico); Dominguez-Crespo, M.A.; Ramirez-Meneses, E. [Centro de Investigacion en Ciencia Aplicada y Tecnologia Avanzada, Unidad Altamira, Instituto Politecnico Nacional, Km. 14.5 Carr. Tampico-Puerto Industrial, C.P. 89600, Altamira, Tamaulipas (Mexico); Vargas-Garcia, J.R. [ESIQIE, Departamento de Metalurgia y Materiales, Instituto Politecnico Nacional. A.P. 75-876, 07300 Mexico, D.F. (Mexico)

    2009-02-15

    The synthesis of thin films of zirconia often produces tetragonal or cubic phases, which are stable at high temperatures, but that can be transformed into the monoclinic form by cooling. In the present study, we report the deposition of thin zirconium dioxide films by metalorganic chemical vapor deposition using zirconium (IV)-acetylacetonate as precursor. Colorless, porous, homogeneous and well adherent ZrO{sub 2} thin films in the cubic phase were obtained within the temperature range going from 873 to 973 K. The deposits presented a preferential orientation towards the (1 1 1) and (2 2 0) planes as the substrate temperature was increased, and a crystal size ranging between 20 and 25 nm. The kinetics is believed to result from film growth involving the deposition and aggregation of nanosized primary particles produced during the CVD process. A mismatch between the experimental results obtained here and the thermodynamic prediction was found, which can be associated with the intrinsic nature of the nanostructured materials, which present a high density of interfaces.

  13. Thin films for emerging applications v.16

    CERN Document Server

    Francombe, Maurice H

    1992-01-01

    Following in the long-standing tradition of excellence established by this serial, this volume provides a focused look at contemporary applications. High Tc superconducting thin films are discussed in terms of ion beam and sputtering deposition, vacuum evaporation, laser ablation, MOCVD, and other deposition processes in addition to their ultimate applications. Detailed treatment is also given to permanent magnet thin films, lateral diffusion and electromigration in metallic thin films, and fracture and cracking phenomena in thin films adhering to high-elongation substrates.

  14. 49 CFR 594.9 - Fee for reimbursement of bond processing costs and costs for processing offers of cash deposits...

    Science.gov (United States)

    2010-10-01

    ... 49 Transportation 7 2010-10-01 2010-10-01 false Fee for reimbursement of bond processing costs and costs for processing offers of cash deposits or obligations of the United States in lieu of sureties on... indirect costs the agency incurs for receipt, processing, handling, and disbursement of cash deposits or...

  15. Effects of Mg pre-flow, memory, and diffusion on the growth of p-GaN with MOCVD (Conference Presentation)

    Science.gov (United States)

    Tu, Charng-Gan; Chen, Hao-Tsung; Chen, Sheng-Hung; Chao, Chen-Yao; Kiang, Yean-Woei; Yang, Chih-Chung

    2017-02-01

    In MOCVD growth, two key factors for growing a p-type structure, when the modulation growth or delta-doping technique is used, include Mg memory and diffusion. With high-temperature growth (>900 degree C), doped Mg can diffuse into the under-layer. Also, due to the high-pressure growth and growth chamber coating in MOCVD, plenty Mg atoms exist in the growth chamber for a duration after Mg supply is ended. In this situation, Mg doping continues in the following designated un-doped layers. In this paper, we demonstrate the study results of Mg preflow, memory, and diffusion. The results show that pre-flow of Mg into the growth chamber can lead to a significantly higher Mg doping concentration in growing a p-GaN layer. In other words, a duration for Mg buildup is required for high Mg incorporation. Based on SIMS study, we find that with the pre-flow growth, a high- and a low-doping p-GaN layer are formed. The doping concentration difference between the two layers is about 10 times. The thickness of the high- (low-) doping layer is about 40 (65) nm. The growth of the high-doping layer starts 10-15 min after Mg supply starts (Mg buildup time). The diffusion length of Mg into the AlGaN layer beneath (Mg content reduced to doping concentration is reduced to <1%.

  16. Rapid deposition process for zinc oxide film applications in pyroelectric devices

    International Nuclear Information System (INIS)

    Hsiao, Chun-Ching; Yu, Shih-Yuan

    2012-01-01

    Aerosol deposition (AD) is a rapid process for the deposition of films. Zinc oxide is a low toxicity and environmentally friendly material, and it possesses properties such as semiconductivity, pyroelectricity and piezoelectricity without the poling process. Therefore, AD is used to accelerate the manufacturing process for applications of ZnO films in pyroelectric devices. Increasing the temperature variation rate in pyroelectric films is a useful method for enhancing the responsivity of pyroelectric devices. In the present study, a porous ZnO film possessing the properties of large heat absorption and high temperature variation rate is successfully produced by the AD rapid process and laser annealing for application in pyroelectric devices. (paper)

  17. Environmental safety issues for semiconductors (research on scarce materials recycling)

    International Nuclear Information System (INIS)

    Izumi, Shigekazu

    2004-01-01

    In the 21st century, in the fabrication of various industrial parts, particularly, current and future electronics devices in the semiconductor industry, environmental safety issues should be carefully considered. We coined a new term, environmental safety issues for semiconductors, considering our semiconductor research and technology which include environmental and ecological factors. The main object of this analysis is to address the present situation of environmental safety problems in the semiconductor industry; some of which are: (1) the generation and use of hazardous toxic gases in the crystal growth procedure such as molecular beam epitaxy (MBE) and metalorganic chemical vapor deposition (MOCVD), (2) the generation of industrial toxic wastes in the semiconductor process and (3) scarce materials recycling from wastes in the MBE and MOCVD growth procedure

  18. Deposition of polymeric perfluored thin films in proton ionic membranes by plasma processes

    International Nuclear Information System (INIS)

    Polak, Peter Lubomir; Mousinho, Ana Paula; Ordonez, Nelson; Silva Zambom, Luis da; Mansano, Ronaldo Domingues

    2007-01-01

    In this work the surfaces of polymeric membranes based on Nafion (proton conducting material), used in proton exchange membranes fuel cells (PEMFC) had been modified by plasma deposition of perfluored polymers, in order to improve its functioning in systems of energy generation (fuel cells). The deposition increases the chemical resistance of the proton ionic polymers without losing the electrical properties. The processing of the membranes also reduces the permeability of the membranes to the alcohols (methanol and ethanol), thus preventing poisoning of the fuel cell. The processing of the membranes of Nafion was carried through in a system of plasma deposition using a mixture of CF 4 and H 2 gases. The plasma processing was made mainly to increase the chemical resistance and result in hydrophobic surfaces. The Fourier transformed infrared (FTIR) technique supplies a spectrum with information about the CF n bond formation. Through the Rutherford back scattering (RBS) technique it was possible to verify the deposition rate of the polymeric layer. The plasma process with composition of 60% of CF 4 and 40% of H 2 presented the best deposition rate. By the spectrum analysis for the optimized configuration, it was possible to verify that the film deposition occurred with a thickness of 90 nm, and fluorine concentration was nearly 30%. Voltammetry made possible to verify that the fluorination increases the membranes chemical resistance, improving the stability of Nafion, becoming an attractive process for construction of fuel cells

  19. Optimization of Nano-Process Deposition Parameters Based on Gravitational Search Algorithm

    Directory of Open Access Journals (Sweden)

    Norlina Mohd Sabri

    2016-06-01

    Full Text Available This research is focusing on the radio frequency (RF magnetron sputtering process, a physical vapor deposition technique which is widely used in thin film production. This process requires the optimized combination of deposition parameters in order to obtain the desirable thin film. The conventional method in the optimization of the deposition parameters had been reported to be costly and time consuming due to its trial and error nature. Thus, gravitational search algorithm (GSA technique had been proposed to solve this nano-process parameters optimization problem. In this research, the optimized parameter combination was expected to produce the desirable electrical and optical properties of the thin film. The performance of GSA in this research was compared with that of Particle Swarm Optimization (PSO, Genetic Algorithm (GA, Artificial Immune System (AIS and Ant Colony Optimization (ACO. Based on the overall results, the GSA optimized parameter combination had generated the best electrical and an acceptable optical properties of thin film compared to the others. This computational experiment is expected to overcome the problem of having to conduct repetitive laboratory experiments in obtaining the most optimized parameter combination. Based on this initial experiment, the adaptation of GSA into this problem could offer a more efficient and productive way of depositing quality thin film in the fabrication process.

  20. Preparation of Anatase TiO2 Thin Films with (OiPr)2Ti(CH3COCHCONEt2)2 Precursor by MOCVD

    International Nuclear Information System (INIS)

    Bae, Byoung Jae; Seo, Won Seok; Miah, Arzu; Park, Joon T.; Lee, Kwang Yeol; Kim, Keun Chong

    2004-01-01

    The reaction of titanium tetraisopropoxide with 2 equiv of N,N-diethyl acetoacetamide affords Ti(O i Pr) 2 (CH 3 COCHCONEt 2 ) 2 (1) as colorless crystals in 80% yield. Compound 1 is characterized by spectroscopic (Mass and 1 H/ 13 C NMR) and microanalytical data. Molecular structure of 1 has been determined by a single crystal X-ray diffraction study, which reveals that it is a monomeric, cis-diisopropoxide and contains a six coordinate Ti(IV) atom with a cis(CONEt 2 ), trans(COCH 3 ) configuration (1a) in a distorted octahedral environment. Variable-temperature 1 H NMR spectra of 1 indicate that it exists as an equilibrium mixture of cis, trans (1a) and cis, cis (1b) isomers in a 0.57 : 0.43 ratio at -20 .deg. C in toluene-d 8 solution. Thermal properties of 1 as a MOCVD precursor for titanium dioxide films have been evaluated by thermal gravimetric analysis and vapor pressure measurement. Thin films of pure anatase titanium dioxide (after annealing above 500 .deg. C under oxygen) have been grown on Si(100) with precursor 1 in the substrate temperature range of 350- 500 .deg. C using a bubbler-based MOCVD method

  1. Mocvd Growth of Group-III Nitrides on Silicon Carbide: From Thin Films to Atomically Thin Layers

    Science.gov (United States)

    Al Balushi, Zakaria Y.

    Group-III nitride semiconductors (AlN, GaN, InN and their alloys) are considered one of the most important class of materials for electronic and optoelectronic devices. This is not limited to the blue light-emitting diode (LED) used for efficient solid-state lighting, but other applications as well, such as solar cells, radar and a variety of high frequency power electronics, which are all prime examples of the technological importance of nitride based wide bandgap semiconductors in our daily lives. The goal of this dissertation work was to explore and establish new growth schemes to improve the structural and optical properties of thick to atomically thin films of group-III nitrides grown by metalorganic chemical vapor deposition (MOCVD) on SiC substrates for future novel devices. The first research focus of this dissertation was on the growth of indium gallium nitride (InGaN). This wide bandgap semiconductor has attracted much research attention as an active layer in LEDs and recently as an absorber material for solar cells. InGaN has superior material properties for solar cells due to its wavelength absorption tunability that nearly covers the entire solar spectrum. This can be achieved by controlling the indium content in thick grown material. Thick InGaN films are also of interest as strain reducing based layers for deep-green and red light emitters. The growth of thick films of InGaN is, however, hindered by several combined problems. This includes poor incorporation of indium in alloys, high density of structural and morphological defects, as well as challenges associated with the segregation of indium in thick films. Overcoming some of these material challenges is essential in order integrate thick InGaN films into future optoelectronics. Therefore, this dissertation research investigated the growth mechanism of InGaN layers grown in the N-polar direction by MOCVD as a route to improve the structural and optical properties of thick InGaN films. The growth

  2. Two different mechanisms of fatigue damage due to cyclic stress loading at 77 K for MOCVD-YBCO-coated conductors

    International Nuclear Information System (INIS)

    Sugano, M; Yoshida, Y; Hojo, M; Shikimachi, K; Hirano, N; Nagaya, S

    2008-01-01

    Tensile fatigue tests were carried out at 77 K for YBCO-coated conductors fabricated by metal-organic chemical vapor deposition (MOCVD). The S-N relationship, variation of critical current (I c ) during cyclic loading and microscopic fatigue damage were investigated. Fatigue strength at 10 6 cycles was evaluated to be σ max = 1300 MPa and 890 MPa under the stress ratios of 0.5 and 0.1. Two different mechanisms of fatigue damage, depending on the number of stress cycles to failure, were observed. In one of the fracture mechanisms, fatigue behavior is characterized by overall fracture which occurs at 10 4 -10 5 cycles. For these specimens, I c after unloading does not degrade before overall fracture. Although only shallow slip bands were found at the Ag surface, fatigue cracks were found on the Hastelloy C-276 surface of the fractured specimen. These results suggest that overall fracture due to cyclic stress was caused by fatigue of the Hastelloy substrate. In the other fracture mechanism, even though overall fracture did not occur at 10 6 cycles, a slight decrease of I c was detected after 10 5 cycles. No fatigue crack was found on the Hastelloy surface, while deep slip bands corresponding to the initial stage of fatigue crack were observed on the Ag surface. From these results, we concluded that I c degradation at a high cycle number is attributed to the fatigue of the Ag stabilizing layer

  3. Electron beam induced deposition of silacyclohexane and dichlorosilacyclohexane: the role of dissociative ionization and dissociative electron attachment in the deposition process

    Directory of Open Access Journals (Sweden)

    Ragesh Kumar T P

    2017-11-01

    Full Text Available We present first experiments on electron beam induced deposition of silacyclohexane (SCH and dichlorosilacyclohexane (DCSCH under a focused high-energy electron beam (FEBID. We compare the deposition dynamics observed when growing pillars of high aspect ratio from these compounds and we compare the proximity effect observed for these compounds. The two precursors show similar behaviour with regards to fragmentation through dissociative ionization in the gas phase under single-collision conditions. However, while DCSCH shows appreciable cross sections with regards to dissociative electron attachment, SCH is inert with respect to this process. We discuss our deposition experiments in context of the efficiency of these different electron-induced fragmentation processes. With regards to the deposition dynamics, we observe a substantially faster growth from DCSCH and a higher saturation diameter when growing pillars with high aspect ratio. However, both compounds show similar behaviour with regards to the proximity effect. With regards to the composition of the deposits, we observe that the C/Si ratio is similar for both compounds and in both cases close to the initial molecular stoichiometry. The oxygen content in the DCSCH deposits is about double that of the SCH deposits. Only marginal chlorine is observed in the deposits of from DCSCH. We discuss these observations in context of potential approaches for Si deposition.

  4. High and Low Energy Proton Radiation Damage in p/n InP MOCVD Solar Cells

    Science.gov (United States)

    Rybicki, George; Weinberg, Irv; Scheiman, Dave; Vargas-Aburto, Carlos; Uribe, Roberto

    1995-01-01

    InP p(+)/n/n(+) solar cells, fabricated by metal organic chemical vapor deposition, (MOCVD) were irradiated with 0.2 MeV and 10 MeV protons to a fluence of 10(exp 13)/sq cm. The power output degradation, IV behavior, carrier concentration and defect concentration were observed at intermediate points throughout the irradiations. The 0.2 MeV proton-irradiated solar cells suffered much greater and more rapid degradation in power output than those irradiated with 10 MeV protons. The efficiency losses were accompanied by larger increases in the recombination currents in the 0.2 MeV proton-irradiated solar cells. The low energy proton irradiations also had a larger impact on the series resistance of the solar cells. Despite the radiation induced damage, the carrier concentration in the base of the solar cells showed no reduction after 10 MeV or 0.2 MeV proton irradiations and even increased during irradiation with 0.2 MeV protons. In a deep level transient spectroscopy (DLTS) study of the irradiated samples, the minority carrier defects H4 and H5 at E(sub v) + 0.33 and E(sub v) + 0.52 eV and the majority carrier defects E7 and El0 at E(sub c) - 0.39 and E(sub c) - 0.74 eV, were observed. The defect introduction rates for the 0.2 MeV proton irradiations were about 20 times higher than for the 10 MeV proton irradiations. The defect El0, observed here after irradiation, has been shown to act as a donor in irradiated n-type InP and may be responsible for obscuring carrier removal. The results of this study are consistent with the much greater damage produced by low energy protons whose limited range causes them to stop in the active region of the solar cell.

  5. Spectral Sensitization of TiO2 Substrates by Monolayers of Porphyrin Heterodimers

    NARCIS (Netherlands)

    Koehorst, R.B.M.; Boschloo, G.K.; Savenije, T.J.; Goossens, A.; Schaafsma, T.J.

    2000-01-01

    Photoelectrochemical cells have been constructed by depositing monolayers of oriented covalently linked zinc/free base porphyrin heterodimers onto ~30 nm nonporous layers of TiO2 on ITO, deposited by metal-organic chemical vapor deposition (MO-CVD), and onto ~100 nm porous, nanostructured TiO2

  6. Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition

    Science.gov (United States)

    Cao, Yan-Qiang; Wu, Bing; Wu, Di; Li, Ai-Dong

    2017-05-01

    In situ-formed SiO2 was introduced into HfO2 gate dielectrics on Ge substrate as interlayer by plasma-enhanced atomic layer deposition (PEALD). The interfacial, electrical, and band alignment characteristics of the HfO2/SiO2 high-k gate dielectric stacks on Ge have been well investigated. It has been demonstrated that Si-O-Ge interlayer is formed on Ge surface during the in situ PEALD SiO2 deposition process. This interlayer shows fantastic thermal stability during annealing without obvious Hf-silicates formation. In addition, it can also suppress the GeO2 degradation. The electrical measurements show that capacitance equivalent thickness of 1.53 nm and a leakage current density of 2.1 × 10-3 A/cm2 at gate bias of Vfb + 1 V was obtained for the annealed sample. The conduction (valence) band offsets at the HfO2/SiO2/Ge interface with and without PDA are found to be 2.24 (2.69) and 2.48 (2.45) eV, respectively. These results indicate that in situ PEALD SiO2 may be a promising interfacial control layer for the realization of high-quality Ge-based transistor devices. Moreover, it can be demonstrated that PEALD is a much more powerful technology for ultrathin interfacial control layer deposition than MOCVD.

  7. Theoretical modelling of carbon deposition processes

    International Nuclear Information System (INIS)

    Marsh, G.R.; Norfolk, D.J.; Skinner, R.F.

    1985-01-01

    Work based on capsule experiments in the BNL Gamma Facility, aimed at elucidating the chemistry involved in the formation of carbonaceous deposit on CAGR fuel pin surfaces is described. Using a data-base derived from capsule experiments together with literature values for the kinetics of the fundamental reactions, a chemical model of the gas-phase processes has been developed. This model successfully reproduces the capsule results, whilst preliminary application to the WAGR coolant circuit indicates the likely concentration profiles of various radical species within the fuel channels. (author)

  8. GaN-based vertical-cavity surface-emitting lasers with tunnel junction contacts grown by metal-organic chemical vapor deposition

    Science.gov (United States)

    Lee, SeungGeun; Forman, Charles A.; Lee, Changmin; Kearns, Jared; Young, Erin C.; Leonard, John T.; Cohen, Daniel A.; Speck, James S.; Nakamura, Shuji; DenBaars, Steven P.

    2018-06-01

    We report the first demonstration of III–nitride vertical-cavity surface-emitting lasers (VCSELs) with tunnel junction (TJ) intracavity contacts grown completely by metal–organic chemical vapor deposition (MOCVD). For the TJs, n++-GaN was grown on in-situ activated p++-GaN after buffered HF surface treatment. The electrical properties and epitaxial morphologies of the TJs were first investigated on TJ LED test samples. A VCSEL with a TJ intracavity contact showed a lasing wavelength of 408 nm, a threshold current of ∼15 mA (10 kA/cm2), a threshold voltage of 7.8 V, a maximum output power of 319 µW, and a differential efficiency of 0.28%.

  9. Analysis of heating effect on the process of high deposition rate microcrystalline silicon

    International Nuclear Information System (INIS)

    Xiao-Dan, Zhang; He, Zhang; Chang-Chun, Wei; Jian, Sun; Guo-Fu, Hou; Shao-Zhen, Xiong; Xin-Hua, Geng; Ying, Zhao

    2010-01-01

    A possible heating effect on the process of high deposition rate microcrystalline silicon has been studied. It includes the discharge time-accumulating heating effect, discharge power, inter-electrode distance, and total gas flow rate induced heating effect. It is found that the heating effects mentioned above are in some ways quite similar to and in other ways very different from each other. However, all of them will directly or indirectly cause the increase of the substrate surface temperature during the process of depositing microcrystalline silicon thin films, which will affect the properties of the materials with increasing time. This phenomenon is very serious for the high deposition rate of microcrystalline silicon thin films because of the high input power and the relatively small inter-electrode distance needed. Through analysis of the heating effects occurring in the process of depositing microcrystalline silicon, it is proposed that the discharge power and the heating temperature should be as low as possible, and the total gas flow rate and the inter-electrode distance should be suitable so that device-grade high quality deposition rate microcrystalline silicon thin films can be fabricated

  10. Temperature effect on the growth of Au-free InAs and InAs/GaSb heterostructure nanowires on Si substrate by MOCVD

    Science.gov (United States)

    Kakkerla, Ramesh Kumar; Anandan, Deepak; Hsiao, Chih-Jen; Yu, Hung Wei; Singh, Sankalp Kumar; Chang, Edward Yi

    2018-05-01

    We demonstrate the growth of vertically aligned Au-free InAs and InAs/GaSb heterostructure nanowires on Si (1 1 1) substrate by Metal Organic Chemical Vapor Deposition (MOCVD). The effect of growth temperature on the morphology and growth rate of the InAs and InAs/GaSb heterostructure nanowires (NWs) is investigated. Control over diameter and length of the InAs NWs and the GaSb shell thickness was achieved by using growth temperature. As the GaSb growth temperature increase, GaSb radial growth rate increases due to the increase in alkyl decomposition at the substrate surface. Diffusivity of the adatoms increases as the GaSb growth temperature increase which results in tapered GaSb shell growth. Scanning Electron Microscope (SEM) and Transmission Electron Microscope (TEM) measurements revealed that the morphology and shell thickness can be tuned by the growth temperature. Electron microscopy also shows the formation of GaSb both in radial and axial directions outside the InAs NW core can be controlled by the growth temperature. This study demonstrates the control over InAs NWs growth and the GaSb shell thickness can be achieved through proper growth temperature control, such technique is essential for the growth of nanowire for future nano electronic devices, such as Tunnel FET.

  11. Investigation of effect of process parameters on multilayer builds by direct metal deposition

    International Nuclear Information System (INIS)

    Amine, Tarak; Newkirk, Joseph W.; Liou, Frank

    2014-01-01

    Multilayer direct laser deposition (DLD) is a fabrication process through which parts are fabricated by creating a molten pool into which metal powder is injected as. During fabrication, complex thermal activity occurs in different regions of the build; for example, newly deposited layers will reheat previously deposited layers. The objective of this study was to provide insight into the thermal activity that occurs during the DLD process. This work focused on the effect of the deposition parameters of deposited layers on the microstructure and mechanical properties of the previously deposited layers. It is important to characterize these effects in order to provide information for proper parameter selection in future DLD fabrication. Varying the parameters was shown to produce different effects on the microstructure morphology and property values, presumably resulting from in-situ quench and tempering of the steels. In general, the microstructure was secondary dendrite arm spacing. Typically, both the travel speed and laser power significantly affect the microstructure and hardness. A commercial ABAQUS/CAE software was used to model this process by developing a thermo-mechanical 3D finite element model. This work presents a 3D heat transfer model that considers the continuous addition of mass in front of a moving laser beam using ABAQUS/CAE software. The model assumes the deposit geometry appropriate to each experimental condition and calculates the temperature distribution, cooling rates and re-melted layer depth, which can affect the final microstructure. Model simulations were qualitatively compared with experimental results acquired in situ using a K-type thermocouple. - Highlights: • Direct laser deposition DLD. • Microstructure of stainless steel 316L. • Thermocouples measurement. • 3D finite element modeling

  12. Process maps for plasma spray. Part II: Deposition and properties

    International Nuclear Information System (INIS)

    XIANGYANG, JIANG; MATEJICEK, JIRI; KULKARNI, ANAND; HERMAN, HERBERT; SAMPATH, SANJAY; GILMORE, DELWYN L.; NEISER A, RICHARD Jr.

    2000-01-01

    This is the second paper of a two part series based on an integrated study carried out at the State University of New York at Stony Brook and Sandia National Laboratories. The goal of the study is the fundamental understanding of the plasma-particle interaction, droplet/substrate interaction, deposit formation dynamics and microstructure development as well as the deposit property. The outcome is science-based relationships, which can be used to link processing to performance. Molybdenum splats and coatings produced at 3 plasma conditions and three substrate temperatures were characterized. It was found that there is a strong mechanical/thermal interaction between droplet and substrate, which builds up the coatings/substrate adhesion. Hardness, thermal conductivity, and modulus increase, while oxygen content and porosity decrease with increasing particle velocity. Increasing deposition temperature resulted in dramatic improvement in coating thermal conductivity and hardness as well as increase in coating oxygen content. Indentation reveals improved fracture resistance for the coatings prepared at higher deposition temperature. Residual stress was significantly affected by deposition temperature, although not significant by particle energy within the investigated parameter range. Coatings prepared at high deposition temperature with high-energy particles suffered considerably less damage in wear tests. Possible mechanisms behind these changes are discussed within the context of relational maps which are under development

  13. Electrical properties of MIS devices on CdZnTe/HgCdTe

    Science.gov (United States)

    Lee, Tae-Seok; Jeoung, Y. T.; Kim, Hyun Kyu; Kim, Jae Mook; Song, Jinhan; Ann, S. Y.; Lee, Ji Y.; Kim, Young Hun; Kim, Sun-Ung; Park, Mann-Jang; Lee, S. D.; Suh, Sang-Hee

    1998-10-01

    In this paper, we report the capacitance-voltage (C-V) properties of metal-insulator-semiconductor (MIS) devices on CdTe/HgCdTe by the metalorganic chemical vapor deposition (MOCVD) and CdZnTe/HgCdTe by thermal evaporation. In MOCVD, CdTe layers are directly grown on HgCdTe using the metal organic sources of DMCd and DiPTe. HgCdTe layers are converted to n-type and the carrier concentration, ND is low 1015 cm-3 after Hg-vacancy annealing at 260 degrees Celsius. In thermal evaporation, CdZnTe passivation layers were deposited on HgCdTe surfaces after the surfaces were etched with 0.5 - 2.0% bromine in methanol solution. To investigate the electrical properties of the MIS devices, the C-V measurement is conducted at 80 K and 1 MHz. C-V curve of MIS devices on CdTe/HgCdTe by MOCVD has shown nearly flat band condition and large hysteresis, which is inferred to result from many defects in CdTe layer induced during Hg-vacancy annealing process. A negative flat band voltage (VFB approximately equals -2 V) and a small hysteresis have been observed for MIS devices on CdZnTe/HgCdTe by thermal evaporation. It is inferred that the negative flat band voltage results from residual Te4+ on the surface after etching with bromine in methanol solution.

  14. Wurtzite InP nanowire arrays grown by selective area MOCVD

    International Nuclear Information System (INIS)

    Chu, Hyung-Joon; Stewart, Lawrence; Yeh, Ting-Wei; Dapkus, P.D.

    2010-01-01

    InP nanowires are a unique material phase because this normally zincblende material forms in the wurtzite crystal structure below a critical diameter owing to the contribution of sidewalls to the total formation energy. This may allow control of the carrier transport and optical properties of InP nanowires for applications such as nano scale transistors, lasers and detectors. In this work, we describe the fabrication of InP nanowire arrays by selective area growth using MOCVD in the diameter range where the wurtzite structure is formed. The spatial growth rate in selective area growth is modeled by a diffusion model for the precursors. The proposed model achieves an average error of 9%. Electron microscopy shows that the grown InP nanowires are in the wurtzite crystal phase with many stacking faults. The threshold diameter of the crystal phase transition of InP nanowires is larger than the thermodynamic estimation. In order to explain this tendency, we propose a surface kinetics model based on a 2 x 2 reconstruction. This model can explain the increased tendency for wurtzite nanowire formation on InP (111)A substrates and the preferred growth direction of binary III-V compound semiconductor nanowires. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Comparison of deposited surface area of airborne ultrafine particles generated from two welding processes.

    Science.gov (United States)

    Gomes, J F; Albuquerque, P C; Miranda, Rosa M; Santos, Telmo G; Vieira, M T

    2012-09-01

    This article describes work performed on the assessment of the levels of airborne ultrafine particles emitted in two welding processes metal-active gas (MAG) of carbon steel and friction-stir welding (FSW) of aluminium in terms of deposited area in alveolar tract of the lung using a nanoparticle surface area monitor analyser. The obtained results showed the dependence from process parameters on emitted ultrafine particles and clearly demonstrated the presence of ultrafine particles, when compared with background levels. The obtained results showed that the process that results on the lower levels of alveolar-deposited surface area is FSW, unlike MAG. Nevertheless, all the tested processes resulted in important doses of ultrafine particles that are to be deposited in the human lung of exposed workers.

  16. Pilot-scale electron cyclotron resonance-metal organic chemical vapor deposition system for the preparation of large-area fluorine-doped SnO{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jeon, Bup Ju [Department of Energy and Environmental Engineering, Shinhan University, 233-1, Sangpae-dong, Dongducheon, Gyeonggi-do 483-777 (Korea, Republic of); Hudaya, Chairul [Department of Electrical Engineering, Faculty of Engineering, Universitas Indonesia, Kampus Baru UI, Depok 16424 (Indonesia); Center for Energy Convergence, Green City Research Institute, Korea Institute of Science and Technology, Hwarangno 14 gil 5, Seoul 136-791 (Korea, Republic of); Department of Energy and Environmental Engineering, Korea University of Science and Technology, 176 Gajungro Yuseong-gu, Daejeon 305-350 (Korea, Republic of); Lee, Joong Kee, E-mail: leejk@kist.re.kr [Center for Energy Convergence, Green City Research Institute, Korea Institute of Science and Technology, Hwarangno 14 gil 5, Seoul 136-791 (Korea, Republic of); Department of Energy and Environmental Engineering, Korea University of Science and Technology, 176 Gajungro Yuseong-gu, Daejeon 305-350 (Korea, Republic of)

    2016-05-15

    The authors report the surface morphology, optical, electrical, thermal and humidity impacts, and electromagnetic interference properties of fluorine-doped tin oxide (SnO{sub 2}:F or “FTO”) thin films on a flexible polyethylene terephthalate (PET) substrate fabricated by a pilot-scale electron cyclotron resonance–metal organic chemical vapor deposition (PS ECR-MOCVD). The characteristics of large area FTO thin films were compared with a commercially available transparent conductive electrode made of tin-doped indium oxide (ITO), prepared with an identical film and PET thickness of 125 nm and 188 μm, respectively. The results revealed that the as-prepared FTO thin films exhibited comparable performances with the incumbent ITO films, including a high optical transmittance of 97% (substrate-subtracted), low electrical resistivity of about 5 × 10{sup −3} Ω cm, improved electrical and optical performances due to the external thermal and humidity impact, and an excellent shielding effectiveness of electromagnetic interference of nearly 2.3 dB. These excellent performances of the FTO thin films were strongly attributed to the design of the PS ECR-MOCVD, which enabled a uniform plasma environment resulting from a proper mixture of electromagnetic profiles and microwave power.

  17. Solidification in direct metal deposition by LENS processing

    Science.gov (United States)

    Hofmeister, William; Griffith, Michelle

    2001-09-01

    Thermal imaging and metallographic analysis were used to study Laser Engineered Net Shaping (LENS™) processing of 316 stainless steel and H13 tool steel. The cooling rates at the solid-liquid interface were measured over a range of conduction conditions. The length scale of the molten zone controls cooling rates during solidification in direct metal deposition. In LENS processing, the molten zone ranges from 0.5 mm in length to 1.5 mm, resulting in cooling rates at the solid-liquid interface ranging from 200 6,000 Ks-1.

  18. Process-structure-property relationships of micron thick gadolinium oxide films deposited by reactive electron beam-physical vapor deposition (EB-PVD)

    Science.gov (United States)

    Grave, Daniel A.

    Gadolinium oxide (Gd2O3) is an attractive material for solid state neutron detection due to gadolinium's high thermal neutron capture cross section. Development of neutron detectors based on Gd2 O3 requires sufficiently thick films to ensure neutron absorption. In this dissertation work, the process-structure-property relationships of micron thick Gd2O3 films deposited by reactive electron-beam physical vapor deposition (EB-PVD) were studied. Through a systematic design of experiments, fundamental studies were conducted to determine the effects of processing conditions such as deposition temperature, oxygen flow rate, deposition rate, and substrate material on Gd2O3 film crystallographic phase, texture, morphology, grain size, density, and surface roughness. Films deposited at high rates (> 5 A/s) were examined via x-ray diffraction (XRD) and Raman spectroscopy. Quantitative phase volume calculations were performed via a Rietveld refinement technique. All films deposited at high rates were found to be fully monoclinic or mixed cubic/monoclinic phase. Generally, increased deposition temperature and increased oxygen flow resulted in increased cubic phase volume. As film thickness increased, monoclinic phase volume increased. Grazing incidence x-ray diffraction (GIXRD) depth profiling analysis showed that cubic phase was only present under large incidence angle (large penetration depth) measurements, and after a certain point, only monoclinic phase was grown. This was confirmed by transmission electron microscopy (TEM) analysis with selected area diffraction (SAD). Based on this information, a large compressive stress was hypothesized to cause the formation of the monoclinic phase and this hypothesis was confirmed by demonstrating the existence of a stress induced phase transition. An experiment was designed to introduce compressive stress into the Gd2O 3 films via ion beam assisted deposition (IBAD). This allowed for systematic increase in compressive stress while

  19. Complex processing of antimony-mercury gold concentrates of Dzhizhikrut Deposit

    International Nuclear Information System (INIS)

    Abdusalyamova, M.N.; Gadoev, S.A.; Dreisinger, D.; Solozhenkin, P.M.

    2013-01-01

    Present article is devoted to complex processing of antimony-mercury gold concentrates of Dzhizhikrut Deposit. The purpose of research was obtaining the metallic mercury and antimony with further gold and thallium extraction.

  20. Progress in second-generation HTS wire development and manufacturing

    International Nuclear Information System (INIS)

    Selvamanickam, V.; Chen, Y.; Xiong, X.; Xie, Y.; Zhang, X.; Rar, A.; Martchevskii, M.; Schmidt, R.; Lenseth, K.; Herrin, J.

    2008-01-01

    2007 has marked yet another year of continued rapid progress in developing and manufacturing high-performance, long-length second-generation (2G) HTS wires at high speeds. Using ion beam assisted deposition (IBAD) MgO and associated buffer sputtering processes, SuperPower has now exceeded piece lengths of 1000 m of fully buffered tape reproducibly with excellent in-plane texture of 6-7 degrees and uniformity of about 2%. These kilometer lengths are produced at high speeds of about 350 m/h of 4 mm wide tape. In combination with metal organic chemical vapor deposition (MOCVD), 2G wires up to single piece lengths to 790 m with a minimum critical current value of 190 A/cm corresponding to a Critical current x Length performance of 150,100 Am have been achieved. Tape speeds up to 180 m/h have been reached MOCVD while maintaining critical currents above 200 A/cm in 100+ m lengths. Thick film MOCVD technology has been transitioned to Pilot manufacturing system where a minimum critical current of 320 A/cm has been demonstrated over a length of 155 m processed at a speed of 70 m/h in 4 mm width. Finally, nearly 10,000 m of 2G wire has been produced, exhaustively tested, and delivered to the Albany Cable project. The average minimum critical current of the wire delivered in 225 segments of 43-44 m is 70 A in 4 mm widths. A 30 m cable has been fabricated with this wire by Sumitomo Electric and has been installed in the power grid of National Grid in downtown Albany and is the world's first 2G device installed in the grid

  1. Nano sized bismuth oxy chloride by metal organic chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Jagdale, Pravin, E-mail: pravin.jagdale@polito.it [Department of Applied Science and Technology (DISAT), Politecnico di Torino, 10129 (Italy); Castellino, Micaela [Center for Space Human Robotics, Istituto Italiano di Tecnologia, Corso Trento 21, 10129 Torino (Italy); Marrec, Françoise [Laboratory of Condensed Matter Physics, University of Picardie Jules Verne (UPJV), Amiens 80039 (France); Rodil, Sandra E. [Instituto de Investigaciones en Materiales, Universidad Nacional Autonoma de Mexicom (UNAM), Mexico D.F. 04510 (Mexico); Tagliaferro, Alberto [Department of Applied Science and Technology (DISAT), Politecnico di Torino, 10129 (Italy)

    2014-06-01

    Metal organic chemical vapour deposition (MOCVD) method was used to prepare thin films of bismuth based nano particles starting from bismuth salts. Nano sized bismuth oxy chloride (BiOCl) crystals were synthesized from solution containing bismuth chloride (BiCl{sub 3}) in acetone (CH{sub 3}-CO-CH{sub 3}). Self-assembly of nano sized BiOCl crystals were observed on the surface of silicon, fused silica, copper, carbon nanotubes and aluminium substrates. Various synthesis parameters and their significant impact onto the formation of self-assembled nano-crystalline BiOCl were investigated. BiOCl nano particles were characterized by X-ray diffraction, X-ray photoelectron spectroscopy, field emission scanning electron microscopy, energy-dispersive X-ray spectroscopy and Micro-Raman spectroscopy. These analyses confirm that bismuth nanometer-sized crystal structures showing a single tetragonal phase were indeed bismuth oxy chloride (BiOCl) square platelets 18–250 nm thick and a few micrometres wide.

  2. Process and machinery description of equipment for deposition of canisters in medium-long deposition holes

    International Nuclear Information System (INIS)

    Kalbantner, P.

    2001-08-01

    In this report twelve methods are presented to deposit a canister with spent nuclear fuel in a horizontal hole, several canisters per hole (MLH). These methods are part of the KBS-3 system. They have been developed successively, after an analysis of weak points and strong points in previously described methods. In conformance with the guidelines for Project JADE, a choices of system has been considered during the development work. This is whether canister and bentonite buffer should be deposited 'in parts', i.e. at different occasions, but shortly after each other or 'in a package', i.e. together in a single package. The other choice in the guidelines for the JADE project, whether the canister should be placed in a radiation shield or not during transport in the secondary tunnels, was not relevant to MLR. The basic technical problem is depositing heavy objects, the canister and the buffer components, in an horizontal hole which is approximately 200 m deep. Two methods for depositing of the bentonite barrier and the canisters in separate processes have been studied. For depositing of the bentonite barrier and the canister 'in a package', four alternative techniques have been studied: a metallic sleeve around the package, a loading scoop that is rotated, a fork carriage and rails. The repeated transports in a hole, a consequence of depositing several canisters in the same hole, could lead to the rock being crushed. The mutual impact of machines, load and rock wall has therefore been particularly considered. In several methods, the use of a gangway has been proposed (steel plates or layer of ice). A failure mode and effect analysis has been performed for one of the twelve methods. When comparing with a method to deposit one canister per hole using the same technique, the need for equipment and resources is far larger for this MLH method if incidents should occur during depositing. The development work reported here has not yet yielded a definitive method for placing

  3. Hydraulic experimental investigation on spatial distribution and formation process of tsunami deposit on a slope

    Science.gov (United States)

    Harada, K.; Takahashi, T.; Yamamoto, A.; Sakuraba, M.; Nojima, K.

    2017-12-01

    An important aim of the study of tsunami deposits is to estimate the characteristics of past tsunamis from the tsunami deposits found locally. Based on the tsunami characteristics estimated from tsunami deposit, it is possible to examine tsunami risk assessment in coastal areas. It is considered that tsunami deposits are formed based on the dynamic correlation between tsunami's hydraulic values, sediment particle size, topography, etc. However, it is currently not enough to evaluate the characteristics of tsunamis from tsunami deposits. This is considered to be one of the reasons that the understanding of the formation process of tsunami deposits is not sufficiently understood. In this study, we analyze the measurement results of hydraulic experiment (Yamamoto et al., 2016) and focus on the formation process and distribution of tsunami deposits. Hydraulic experiment was conducted with two-dimensional water channel with a slope. Tsunami was inputted as a bore wave flow. The moving floor section was installed as a seabed slope connecting to shoreline and grain size distribution was set some cases. The water level was measured using ultrasonic displacement gauges, and the flow velocity was measured using propeller current meters and an electromagnetic current meter. The water level and flow velocity was measured at some points. The distribution of tsunami deposit was measured from shoreline to run-up limit on the slope. Yamamoto et al. (2016) reported the measurement results on the distribution of tsunami deposit with wave height and sand grain size. Therefore, in this study, hydraulic analysis of tsunami sediment formation process was examined based on the measurement data. Time series fluctuation of hydraulic parameters such as Froude number, Shields number, Rouse number etc. was calculated to understand on the formation process of tsunami deposit. In the front part of the tsunami, the flow velocity take strong flow from shoreline to around the middle of slope. From

  4. Modeling of the Effect of Path Planning on Thermokinetic Evolutions in Laser Powder Deposition Process

    Science.gov (United States)

    Foroozmehr, Ehsan; Kovacevic, Radovan

    2011-07-01

    A thermokinetic model coupling finite-element heat transfer with transformation kinetics is developed to determine the effect of deposition patterns on the phase-transformation kinetics of laser powder deposition (LPD) process of a hot-work tool steel. The finite-element model is used to define the temperature history of the process used in an empirical-based kinetic model to analyze the tempering effect of the heating and cooling cycles of the deposition process. An area is defined to be covered by AISI H13 on a substrate of AISI 1018 with three different deposition patterns: one section, two section, and three section. The two-section pattern divides the area of the one-section pattern into two sections, and the three-section pattern divides that area into three sections. The results show that dividing the area under deposition into smaller areas can influence the phase transformation kinetics of the process and, consequently, change the final hardness of the deposited material. The two-section pattern shows a higher average hardness than the one-section pattern, and the three-section pattern shows a fully hardened surface without significant tempered zones of low hardness. To verify the results, a microhardness test and scanning electron microscope were used.

  5. Indium oxide deposition on glass by aerosol pyrolysis (Pyrosol (R) process)

    International Nuclear Information System (INIS)

    Blandenet, G.; Lagarde, Y.; Spitz, J.

    1975-01-01

    The pyrosol (R) process involves the pyrolysis of an aerosol generated by ultrasonic nebulisation from a solution of organic or inorganic compounds. This technique was used to deposit transparent n-conducting indium oxide films on glass. The electrical and optical properties of these films were studied as a function of the deposition temperature and doping (using tin or fluorine). A deposition temperature of 480 deg C and a Sn/In ratio of about 5% gave the best results. In this case, the transmission in the visible range was 92%, the infrared reflection 84% and the electrical resistivity 1.7x10 -4 ohm.cm [fr

  6. Ti film deposition process of a plasma focus: Study by an experimental design

    Directory of Open Access Journals (Sweden)

    M. J. Inestrosa-Izurieta

    2017-10-01

    Full Text Available The plasma generated by plasma focus (PF devices have substantially different physical characteristics from another plasma, energetic ions and electrons, compared with conventional plasma devices used for plasma nanofabrication, offering new and unique opportunities in the processing and synthesis of Nanomaterials. This article presents the use of a plasma focus of tens of joules, PF-50J, for the deposition of materials sprayed from the anode by the plasma dynamics in the axial direction. This work focuses on the determination of the most significant effects of the technological parameters of the system on the obtained depositions through the use of a statistical experimental design. The results allow us to give a qualitative understanding of the Ti film deposition process in our PF device depending on four different events provoked by the plasma dynamics: i an electric erosion of the outer material of the anode; ii substrate ablation generating an interlayer; iii electron beam deposition of material from the center of the anode; iv heat load provoking clustering or even melting of the deposition surface.

  7. Effect of Source, Surfactant, and Deposition Process on Electronic Properties of Nanotube Arrays

    Directory of Open Access Journals (Sweden)

    Dheeraj Jain

    2011-01-01

    Full Text Available The electronic properties of arrays of carbon nanotubes from several different sources differing in the manufacturing process used with a variety of average properties such as length, diameter, and chirality are studied. We used several common surfactants to disperse each of these nanotubes and then deposited them on Si wafers from their aqueous solutions using dielectrophoresis. Transport measurements were performed to compare and determine the effect of different surfactants, deposition processes, and synthesis processes on nanotubes synthesized using CVD, CoMoCAT, laser ablation, and HiPCO.

  8. Process control of high rate microcrystalline silicon based solar cell deposition by optical emission spectroscopy

    International Nuclear Information System (INIS)

    Kilper, T.; Donker, M.N. van den; Carius, R.; Rech, B.; Braeuer, G.; Repmann, T.

    2008-01-01

    Silicon thin-film solar cells based on microcrystalline silicon (μc-Si:H) were prepared in a 30 x 30 cm 2 plasma-enhanced chemical vapor deposition reactor using 13.56 or 40.68 MHz plasma excitation frequency. Plasma emission was recorded by optical emission spectroscopy during μc-Si:H absorber layer deposition at deposition rates between 0.5 and 2.5 nm/s. The time course of SiH * and H β emission indicated strong drifts in the process conditions particularly at low total gas flows. By actively controlling the SiH 4 gas flow, the observed process drifts were successfully suppressed resulting in a more homogeneous i-layer crystallinity along the growth direction. In a deposition regime with efficient usage of the process gas, the μc-Si:H solar cell efficiency was enhanced from 7.9 % up to 8.8 % by applying process control

  9. Deposition of metallic nanoparticles on carbon nanotubes via a fast evaporation process

    International Nuclear Information System (INIS)

    Ren Guoqiang; Xing Yangchuan

    2006-01-01

    A new technique was developed for the deposition of colloidal metal nanoparticles on carbon nanotubes. It involves fast evaporation of a suspension containing sonochemically functionalized carbon nanotubes and colloidal nanoparticles. It was demonstrated that metallic nanoparticles with different sizes and concentrations can be deposited on the carbon nanotubes with only a few agglomerates. The technique does not seem to be limited by what the nanoparticles are, and therefore would be applicable to the deposition of other nanoparticles on carbon nanotubes. PtPd and CoPt 3 alloy nanoparticles were used to demonstrate the deposition process. It was found that the surfactants used to disperse the nanoparticles can hinder the nanoparticle deposition. When the nanoparticles were washed with ethanol, they could be well deposited on the carbon nanotubes. The obtained carbon nanotube supported metal nanoparticles were characterized by transmission electron microscopy, energy dispersive x-ray spectroscopy, x-ray photoelectron spectroscopy, and cyclic voltammetry

  10. Surface Passivation for 3-5 Semiconductor Processing: Stable Gallium Sulphide Films by MOCVD

    Science.gov (United States)

    Macinnes, Andrew N.; Jenkins, Phillip P.; Power, Michael B.; Kang, Soon; Barron, Andrew R.; Hepp, Aloysius F.; Tabib-Azar, Massood

    1994-01-01

    Gallium sulphide (GaS) has been deposited on GaAs to form stable, insulating, passivating layers. Spectrally resolved photoluminescence and surface recombination velocity measurements indicate that the GaS itself can contribute a significant fraction of the photoluminescence in GaS/GaAs structures. Determination of surface recombination velocity by photoluminescence is therefore difficult. By using C-V analysis of metal-insulator-semiconductor structures, passivation of the GaAs with GaS films is quantified.

  11. Pre-fabricated nanorods in RE–Ba–Cu–O superconductors

    International Nuclear Information System (INIS)

    Khatri, N D; Majkic, G; Shi, T; Selvamanickam, V; Chen, Y

    2013-01-01

    Pre-fabrication of metallic nanorods on biaxially textured templates has been explored in this study to introduce flux pinning centers in RE–Ba–Cu–O (REBCO, RE =rare earth) based superconductors. Pt nanorods were deposited by an electron beam assisted deposition method on LaMnO 3 -capped biaxially textured IBAD-(ion beam assisted deposition) substrates. Well-controlled nanorods with varying diameter (50–120 nm), length (up to 1 μm), orientation and unit cell size were grown over an area of 120–150 μm 2 . The nanorod-decorated samples were then deposited with Gd–Y–Ba–Cu–O ((Gd, Y)BCO) by metal organic chemical vapor deposition (MOCVD). The Pt nanorods remain in their positions during MOCVD and become embedded in the (Gd, Y)BCO matrix, although they suffer creep-induced shape deformation due to exposure to elevated temperature. Higher unit cell size, longer nanorods, and nanorods oriented at an angle to the substrate normal adversely affect the epitaxy of the (Gd, Y)BCO film due to formation of a-axis grains. The observed current-carrying capacity of the Pt nanorod sample is lower than its corresponding reference sample without any nanorods and processed under identical conditions, but it decreases at a slower rate with increasing magnetic field. Potential routes to improve the performance while retaining the desirable characteristics of controlled nanorod direction and density are discussed. (paper)

  12. Apparatus and process for deposition of hard carbon films

    Science.gov (United States)

    Nyaiesh, Ali R.; Garwin, Edward L.

    1989-01-03

    A process and an apparatus for depositing thin, amorphous carbon films having extreme hardness on a substrate is described. An enclosed chamber maintained at less than atmospheric pressure houses the substrate and plasma producing elements. A first electrode is comprised of a cavity enclosed within an RF coil which excites the plasma. A substrate located on a second electrode is excited by radio frequency power applied to the substrate. A magnetic field confines the plasma produced by the first electrode to the area away from the walls of the chamber and focuses the plasma onto the substrate thereby yielding film deposits having higher purity and having more rapid buildup than other methods of the prior art.

  13. Processing-structure-property relationships in electron beam physical vapor deposited yttria stabilized zirconia coatings

    International Nuclear Information System (INIS)

    Rao, D. Srinivasa; Valleti, Krishna; Joshi, S. V.; Janardhan, G. Ranga

    2011-01-01

    The physical and mechanical properties of yttria stabilized zirconia (YSZ) coatings deposited by the electron beam physical vapor deposition technique have been investigated by varying the key process variables such as vapor incidence angle and sample rotation speed. The tetragonal zirconia coatings formed under varying process conditions employed were found to have widely different surface and cross-sectional morphologies. The porosity, phase composition, planar orientation, hardness, adhesion, and surface residual stresses in the coated specimens were comprehensively evaluated to develop a correlation with the process variables. Under transverse scratch test conditions, the YSZ coatings exhibited two different crack formation modes, depending on the magnitude of residual stress. The influence of processing conditions on the coating deposition rate, column orientation angle, and adhesion strength has been established. Key relationships between porosity, hardness, and adhesion are also presented.

  14. Closed-Loop Process Control for Electron Beam Freeform Fabrication and Deposition Processes

    Science.gov (United States)

    Taminger, Karen M. (Inventor); Hafley, Robert A. (Inventor); Martin, Richard E. (Inventor); Hofmeister, William H. (Inventor)

    2013-01-01

    A closed-loop control method for an electron beam freeform fabrication (EBF(sup 3)) process includes detecting a feature of interest during the process using a sensor(s), continuously evaluating the feature of interest to determine, in real time, a change occurring therein, and automatically modifying control parameters to control the EBF(sup 3) process. An apparatus provides closed-loop control method of the process, and includes an electron gun for generating an electron beam, a wire feeder for feeding a wire toward a substrate, wherein the wire is melted and progressively deposited in layers onto the substrate, a sensor(s), and a host machine. The sensor(s) measure the feature of interest during the process, and the host machine continuously evaluates the feature of interest to determine, in real time, a change occurring therein. The host machine automatically modifies control parameters to the EBF(sup 3) apparatus to control the EBF(sup 3) process in a closed-loop manner.

  15. Feature scale modeling for etching and deposition processes in semiconductor manufacturing

    International Nuclear Information System (INIS)

    Pyka, W.

    2000-04-01

    Simulation of etching and deposition processes as well as three-dimensional geometry generation are important issues in state of the art TCAD applications. Three-dimensional effects are gaining importance for semiconductor devices and for their interconnects. Therefore a strictly physically based simulation of their topography is required. Accurate investigation of single etching and deposition processes has become equally important as process integration. Within this context several aspects of three-dimensional topography simulation have been covered by this thesis and new and interesting results have been achieved in various areas. The algorithmic core of the cell-based structuring element surface propagation method has been optimized and has been eliminated from its position as factor which predominantly determines the required CPU time. In parallel with investigated optimization techniques and required by various process models, the implementation of the surface normal calculation and the special handling of voids and unconnected parts of the geometry has been completed in three dimensions. A process-step-based solid modeling tool which incorporates layout data as well as aerial image simulation has been supplied. It can be coupled with the topography simulation and includes simple geometrically based models for CMP and oxidation. In the presented combination, the tool makes use of the design information stored in the layout file, combines it with the manufacturing recipe, and hence is extremely helpful for the automatic generation of three-dimensional structures. Its usefulness has been proven with several interconnect examples. Regarding topography models, resist development not only turned out to be very helpful for predicting exposed and etched resist profiles within a rigorous lithography simulation, but, by means of benchmark examples, also demonstrated the extraordinary stability of the proposed cellular surface movement algorithm. With respect to

  16. An Experimental Study on Slurry Erosion Resistance of Single and Multilayered Deposits of Ni-WC Produced by Laser-Based Powder Deposition Process

    Science.gov (United States)

    Balu, Prabu; Hamid, Syed; Kovacevic, Radovan

    2013-11-01

    Single and multilayered deposits containing different mass fractions of tungsten carbide (WC) in nickel (Ni)-matrix (NT-20, NT-60, NT-80) are deposited on a AISI 4140 steel substrate using a laser-based powder deposition process. The transverse cross section of the coupons reveals that the higher the mass fraction of WC in Ni-matrix leads to a more uniform distribution through Ni-matrix. The slurry erosion resistance of the fabricated coupons is tested at three different impingement angles using an abrasive water jet cutting machine, which is quantified based on the erosion rate. The top layer of a multilayered deposit (i.e., NT-60 in a two-layer NT-60 over NT-20 deposit) exhibits better erosion resistance at all three tested impingement angles when compared to a single-layer (NT-60) deposit. A definite increase in the erosion resistance is noted with an addition of nano-size WC particles. The relationship between the different mass fractions of reinforcement (WC) in the deposited composite material (Ni-WC) and their corresponding matrix (Ni) hardness on the erosion rate is studied. The eroded surface is analyzed in the light of a three-dimensional (3-D) profilometer and a scanning electron microscope (SEM). The results show that a volume fraction of approximately 62% of WC with a Ni-matrix hardness of 540 HV resulting in the gouging out of WC from the Ni-matrix by the action of slurry. It is concluded that the slurry erosion resistance of the AISI 4140 steel can be significantly enhanced by introducing single and multilayered deposits of Ni-WC composite material fabricated by the laser-based powder deposition process.

  17. Improvement of a microwave ECR plasma source for the plasma immersion ion implantation and deposition process

    International Nuclear Information System (INIS)

    Wu Hongchen; Zhang Huafang; Peng Liping; Jiang Yanli; Ma Guojia

    2004-01-01

    The Plasma Immersion Ion Implantation and Deposition (PIII and D) process has many advantages over the pure plasma immersion ion implantation or deposition. It can compensate for or eliminate the disadvantages of the shallow modification layer (for PIII) and increase the bond strength of the coating (of deposition). For this purpose, a new type of microwave plasma source used in the PIII and D process was developed, composed of a vacuum bend wave guide and a special magnetic circuit, so that the coupling window was protected from being deposited with a coating and bombarded by high-energy particles. So the life of the window is increased. To enhance the bonding between the coating and substrate a new biasing voltage is applied to the work piece so that the implantation and deposition (or hybrid process) can be completed in one vacuum cycle

  18. Application of laser assisted cold spraying process for metal deposition

    CSIR Research Space (South Africa)

    Tlotleng, Monnamme

    2014-02-01

    Full Text Available Laser assisted cold spraying (LACS) process is a hybrid technique that uses laser and cold spray to deposit solid powders on metal substrates. For bonding to occur, the particle velocities must be supersonic which are achieved by entraining...

  19. Processing and optimization of functional ceramic coatings and inorganic nanomaterials

    Science.gov (United States)

    Nyutu, Edward Kennedy G.

    Processing of functional inorganic materials including zero (0-D) dimensional (e.g. nanoparticles), 1-D (nanorods, nanofibers), and 2-D (films/coating) structures is of fundamental and technological interest. This research will have two major sections. The first part of section one focuses on the deposition of silicon dioxide onto a pre-deposited molybdenum disilicide coating on molybdenum substrates for both high (>1000 °C) and moderate (500-600 °C) temperature oxidation protection. Chemical vapor deposition (CVD/MOCVD) techniques will be utilized to deposit the metal suicide and oxide coatings. The focus of this study will be to establish optimum deposition conditions and evaluate the metal oxide coating as oxidation - thermal barriers for Mo substrates under both isothermal (static) and cyclic oxidation conditions. The second part of this section will involve a systematic evaluation of a boron nitride (BN) interface coating prepared by chemical vapor deposition. Ceramic matrix composites (CMCs) are prospective candidates for high (>1000 °C) temperature applications and fiber- matrix interfaces are the dominant design parameters in ceramic matrix composites (CMCs). An important goal of the study is to determine a set of process parameters, which would define a boron nitride (BN) interface coating by a chemical vapor deposition (CVD) process with respect to coating. In the first part of the second section, we will investigate a new approach to synthesize ultrafine metal oxides that combines microwave heating and an in-situ ultrasonic mixing of two or more liquid precursors with a tubular flow reactor. Different metal oxides such as nickel ferrite and zinc aluminate spinels will be studied. The synthesis of metal oxides were investigated in order to study the effects of the nozzle and microwave (INM process) on the purity, composition, and particle size of the resulting powders. The second part of this research section involves a study of microwave frequency

  20. Processes of preparation, deposition and analysis of thermionic emissive substances

    International Nuclear Information System (INIS)

    Romao, B.M. Verdelli; Muraro Junior, A.; Tessaroto, L.A.B.; Takahashi, J.

    1992-09-01

    This paper shows the results of the optimization of the process of preparation and deposition of thermionic emissive substances that are used in the oxide-cathodes which are utilized in the gun of the IEAv linear electron accelerator. (author). 5 refs., 5 figs

  1. Deposition behavior of colloid in filtration process through glass beads packed bed

    International Nuclear Information System (INIS)

    Chinju, Hirofumi; Nagasaki, Shinya; Tanaka, Satoru; Tanaka, Tadao; Takebe, Shinichi; Ogawa, Hiromichi

    1999-01-01

    We investigated the deposition behavior in colloid transport through porous media by conducting column experiments and batch experiments using polystyrene latex particles and spherical glass beads. The conclusion of this present work are summarized as follows: (1) The comparison between the results of the batch and the column experiments indicated that the deposition was enhanced in the column experiments compared with the batch experiments due to particles trapped by the effect of slow field. (2) Colloid BTCs showed three different stages of deposition which can be characterized by the different rate of the change in the C/C O . Three stages can be explained by the existence of large area of weak deposition sites and small area of strong deposition sites on the collector surfaces. (3) The amount of deposited particles until the beginning of the third stage was larger for lower flow velocity. (4) The results of the column experiments revealed that breakthrough behavior of colloidal particles of the second run after back wash process is affected by remaining particles on collector surfaces. (J.P.N.)

  2. Thermokinetic Modeling of Phase Transformation in the Laser Powder Deposition Process

    Science.gov (United States)

    Foroozmehr, Ehsan; Kovacevic, Radovan

    2009-08-01

    A finite element model coupled with a thermokinetic model is developed to predict the phase transformation of the laser deposition of AISI 4140 on a substrate with the same material. Four different deposition patterns, long-bead, short-bead, spiral-in, and spiral-out, are used to cover a similar area. Using a finite element model, the temperature history of the laser powder deposition (LPD) process is determined. The martensite transformation as well as martensite tempering is considered to calculate the final fraction of martensite, ferrite, cementite, ɛ-carbide, and retained austenite. Comparing the surface hardness topography of different patterns reveals that path planning is a critical parameter in laser surface modification. The predicted results are in a close agreement with the experimental results.

  3. A novel barium strontium titanate/nickel/titanium nitride/silicon structure for gigabit-scale DRAM capacitors

    Science.gov (United States)

    Ritums, Dwight Lenards

    A materials system has been developed for advanced oxide high permittivity capacitors for use in Dynamic Random Access Memory (DRAM) applications. A capacitor test structure has been fabricated, demonstrating the integration of this materials system onto Si. It is a 3-D stacked electrode structure which uses the high-K dielectric material Ba1- xSrxTiO 3 (BST) and a novel Ni/TiN bottom electrode system. The structure was grown using pulsed laser deposition (PLD), photo-assisted metal-organic chemical vapor deposition (PhA-MOCVD), and electron beam deposition, and resulted in thin film capacitors with dielectric constants over 500. Other advanced oxides, principally SrVO3, were also investigated for use as electrode materials. The fabricated test structure is 3 μgm wide and 1 μm thick. RIE was used to generate the 3-D structure, and an etch gas recipe was developed to pattern the 3-D electrode structure onto the TiN. The Ni was deposited by electron beam deposition, and the BST was grown by PLD and PhA-MOCVD. Conformal coating of the electrode by the BST was achieved. The film structure was analyzed with XRD, SEM, EDS, XPS, AES, and AFM, and the electronic properties of the devices were characterized. Permittivites of up to 500 were seen in the PLD-grown films, and values up to 700 were seen in the MOCVD- deposited films. The proof of concept of a high permittivity material directly integrated onto Si has been demonstrated for this capacitor materials system. With further lithographic developments, this system can be applied toward gigabit device fabrication.

  4. Progress in second-generation HTS wire development and manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Selvamanickam, V. [SuperPower, Inc., 450 Duane Avenue, Schenectady, NY 12304 (United States)], E-mail: vselva@superpower-inc.com; Chen, Y.; Xiong, X.; Xie, Y.; Zhang, X.; Rar, A.; Martchevskii, M.; Schmidt, R.; Lenseth, K.; Herrin, J. [SuperPower, Inc., 450 Duane Avenue, Schenectady, NY 12304 (United States)

    2008-09-15

    2007 has marked yet another year of continued rapid progress in developing and manufacturing high-performance, long-length second-generation (2G) HTS wires at high speeds. Using ion beam assisted deposition (IBAD) MgO and associated buffer sputtering processes, SuperPower has now exceeded piece lengths of 1000 m of fully buffered tape reproducibly with excellent in-plane texture of 6-7 degrees and uniformity of about 2%. These kilometer lengths are produced at high speeds of about 350 m/h of 4 mm wide tape. In combination with metal organic chemical vapor deposition (MOCVD), 2G wires up to single piece lengths to 790 m with a minimum critical current value of 190 A/cm corresponding to a Critical current x Length performance of 150,100 Am have been achieved. Tape speeds up to 180 m/h have been reached MOCVD while maintaining critical currents above 200 A/cm in 100+ m lengths. Thick film MOCVD technology has been transitioned to Pilot manufacturing system where a minimum critical current of 320 A/cm has been demonstrated over a length of 155 m processed at a speed of 70 m/h in 4 mm width. Finally, nearly 10,000 m of 2G wire has been produced, exhaustively tested, and delivered to the Albany Cable project. The average minimum critical current of the wire delivered in 225 segments of 43-44 m is 70 A in 4 mm widths. A 30 m cable has been fabricated with this wire by Sumitomo Electric and has been installed in the power grid of National Grid in downtown Albany and is the world's first 2G device installed in the grid.

  5. The erosion performance of cold spray deposited metal matrix composite coatings with subsequent friction stir processing

    Energy Technology Data Exchange (ETDEWEB)

    Peat, Tom, E-mail: tompeat12@gmail.com [Department of Mechanical & Aerospace Engineering, University of Strathclyde, James Weir Building, 75 Montrose Street, Glasgow G1 1XJ (United Kingdom); Galloway, Alexander; Toumpis, Athanasios [Department of Mechanical & Aerospace Engineering, University of Strathclyde, James Weir Building, 75 Montrose Street, Glasgow G1 1XJ (United Kingdom); McNutt, Philip [TWI Ltd., Granta Park, Cambridge CB21 6AL (United Kingdom); Iqbal, Naveed [TWI Technology Centre, Wallis Way, Catcliff, Rotherham, S60 5TZ (United Kingdom)

    2017-02-28

    Highlights: • WC-CoCr, Cr{sub 3}C{sub 2}-NiCr and Al{sub 2}O{sub 3} coatings were cold spray deposited on AA5083 and friction stir processed. • The SprayStirred WC-CoCr demonstrated a hardness increase of 100% over the cold sprayed coating. • As-deposited and SprayStirred coatings were examined under slurry erosion test conditions. • Mass and volume loss was measured following 20-min exposure to the slurry. • The WC-CoCr and Al2O3 demonstrated a reduction in volume loss of approx. 40% over the cold sprayed coating. - Abstract: This study forms an initial investigation into the development of SprayStir, an innovative processing technique for generating erosion resistant surface layers on a chosen substrate material. Tungsten carbide – cobalt chromium, chromium carbide – nickel chromium and aluminium oxide coatings were successfully cold spray deposited on AA5083 grade aluminium. In order to improve the deposition efficiency of the cold spray process, coatings were co-deposited with powdered AA5083 using a twin powder feed system that resulted in thick (>300 μm) composite coatings. The deposited coatings were subsequently friction stir processed to embed the particles in the substrate in order to generate a metal matrix composite (MMC) surface layer. The primary aim of this investigation was to examine the erosion performance of the SprayStirred surfaces and demonstrate the benefits of this novel process as a surface engineering technique. Volumetric analysis of the SprayStirred surfaces highlighted a drop of approx. 40% in the level of material loss when compared with the cold spray deposited coating prior to friction stir processing. Micro-hardness testing revealed that in the case of WC-CoCr reinforced coating, the hardness of the SprayStirred material exhibits an increase of approx. 540% over the unaltered substrate and 120% over the as-deposited composite coating. Microstructural examination demonstrated that the increase in the hardness of the

  6. PROCESS FOR THE RECOVERY AND PURIFICATION OF URANIUM DEPOSITS

    Science.gov (United States)

    Carter, J.M.; Kamen, M.D.

    1958-10-14

    A process is presented for recovering uranium values from UCl/sub 4/ deposits formed on calutrons. Such deposits are removed from the calutron parts by an aqueous wash solution which then contains the uranium values in addition to the following impurities: Ni, Cu, Fe, and Cr. This impurity bearing wash solution is treated with an oxidizing agent, and the oxidized solution is then treated with ammonia in order to precipitate the uranium as ammonium diuranate. The metal impurities of iron and chromium, which form insoluble hydroxides, are precipitated along with the uranium values. The precipitate is separated from the solution, dissolved in acid, and the solution again treated with ammonia and ammonium carbonate, which results in the precipitation of the metal impurities as hydroxides while the uranium values remain in solution.

  7. The study on microb and organic metallogenetic process of the interlayer oxidized zone uranium deposit. A case study of the Shihongtan uranium deposit in Turpan-Hami basin

    International Nuclear Information System (INIS)

    Qiao Haiming; Shang Gaofeng

    2010-01-01

    Microbial and organic process internationally leads the field in the study of metallogenetic process presently. Focusing on Shi Hongtan uranium deposit, a typical interlayer oxidized zone sandstone-type deposit, this paper analyzes the geochemical characteristics of microb and organic matter in the deposit, and explores the interaction of microb and organic matter. It considers that the anaerobic bacterium actively takes part in the formation of the interlayer oxidized zone, as well as the mobilization and migration of uranium. In the redox (oxidation-reduction) transition zone, sulphate-reducing bacteria reduced sulphate to stink damp, lowing Eh and acidifying pH in the groundwater, which leads to reducing and absorbing of uranium, by using light hydrocarbon which is the product of the biochemical process of organism and the soluble organic matter as the source of carbon. The interaction of microb and organic matter controls the metallogenetic process of uranium in the deposit. (authors)

  8. Corrosion processes of physical vapor deposition-coated metallic implants.

    Science.gov (United States)

    Antunes, Renato Altobelli; de Oliveira, Mara Cristina Lopes

    2009-01-01

    Protecting metallic implants from the harsh environment of physiological fluids is essential to guaranteeing successful long-term use in a patient's body. Chemical degradation may lead to the failure of an implant device in two different ways. First, metal ions may cause inflammatory reactions in the tissues surrounding the implant and, in extreme cases, these reactions may inflict acute pain on the patient and lead to loosening of the device. Therefore, increasing wear strength is beneficial to the performance of the metallic implant. Second, localized corrosion processes contribute to the nucleation of fatigue cracks, and corrosion fatigue is the main reason for the mechanical failure of metallic implants. Common biomedical alloys such as stainless steel, cobalt-chrome alloys, and titanium alloys are prone to at least one of these problems. Vapor-deposited hard coatings act directly to improve corrosion, wear, and fatigue resistances of metallic materials. The effectiveness of the corrosion protection is strongly related to the structure of the physical vapor deposition layer. The aim of this paper is to present a comprehensive review of the correlation between the structure of physical vapor deposition layers and the corrosion properties of metallic implants.

  9. A discrete element based simulation framework to investigate particulate spray deposition processes

    KAUST Repository

    Mukherjee, Debanjan

    2015-06-01

    © 2015 Elsevier Inc. This work presents a computer simulation framework based on discrete element method to analyze manufacturing processes that comprise a loosely flowing stream of particles in a carrier fluid being deposited on a target surface. The individual particulate dynamics under the combined action of particle collisions, fluid-particle interactions, particle-surface contact and adhesive interactions is simulated, and aggregated to obtain global system behavior. A model for deposition which incorporates the effect of surface energy, impact velocity and particle size, is developed. The fluid-particle interaction is modeled using appropriate spray nozzle gas velocity distributions and a one-way coupling between the phases. It is found that the particle response times and the release velocity distribution of particles have a combined effect on inter-particle collisions during the flow along the spray. It is also found that resolution of the particulate collisions close to the target surface plays an important role in characterizing the trends in the deposit pattern. Analysis of the deposit pattern using metrics defined from the particle distribution on the target surface is provided to characterize the deposition efficiency, deposit size, and scatter due to collisions.

  10. Rapid processing method for solution deposited YBa2Cu3O7-δ thin films

    International Nuclear Information System (INIS)

    Dawley, J.T.; Clem, P.G.; Boyle, T.J.; Ottley, L.M.; Overmyer, D.L.; Siegal, M.P.

    2004-01-01

    YBa 2 Cu 3 O 7-δ (YBCO) films, deposited on buffered metal substrates, are the primary candidate for second-generation superconducting (SC) wires, with applications including expanded power grid transmission capability, compact motors, and enhanced sensitivity magnetic resonance imaging. Feasibility of manufacturing such superconducting wires is dependent on high processing speed, often a limitation of vapor and solution-based YBCO deposition processes. In this work, YBCO films were fabricated via a new diethanolamine-modified trifluoroacetic film solution deposition method. Modifying the copper chemistry of the YBCO precursor solution with diethanolamine enables a hundredfold decrease in the organic pyrolysis time required for MA/cm 2 current density (J c ) YBCO films, from multiple hours to ∼20 s in atmospheric pressure air. High quality, ∼0.2 μm thick YBCO films with J c (77 K) values ≥2 MA/cm 2 at 77 K are routinely crystallized from these rapidly pyrolyzed films deposited on LaAlO 3 . This process has also enabled J c (77 K)=1.1 MA/cm 2 YBCO films via 90 m/h dip-coating on Oak Ridge National Laboratory RABiTS textured metal tape substrates. This new YBCO solution deposition method suggests a route toward inexpensive and commercializable ∼$10/kA m solution deposited YBCO coated conductor wires

  11. Photoconductive GaN UV Detectors

    National Research Council Canada - National Science Library

    Baranowski, Jacek

    1999-01-01

    This report results from a contract tasking University of Warsaw as follows: The contractor will investigate the growth of GaN material using atmospheric pressure metalorganic chemical vapor deposition method (MOCVD...

  12. Evaluation of Mineral Deposits Along the Little Wind River, Riverton, WY, Processing Site

    Energy Technology Data Exchange (ETDEWEB)

    Campbell, Sam [Navarro Research and Engineering, Oak Ridge, TN (United States); Dam, Wiliam [US Department of Energy, Washington, DC (United States). Office of Legacy Management

    2014-12-01

    In 2012, the U.S.Department of Energy (DOE) began reassessing the former Riverton, Wyoming, Processing Site area for potential contaminant sources impacting groundwater. A flood in 2010 along the Little Wind River resulted in increases in groundwater contamination (DOE 2013).This investigation is a small part of continued efforts by DOE and other stakeholders to update human health and ecological risk assessments, to make a comprehensive examination of all exposure pathways to ensure that the site remains protective through established institutional controls. During field inspections at the Riverton Site in 2013, a white evaporitic mineral deposit was identified along the bank of the Little Wind River within the discharge zone of the groundwater contamination plume. In December 2013, Savannah River National Laboratory (SRNL) personnel collected a sample for analysis by X-ray fluorescence (Figure 1 shows the type of material sampled). The sample had a uranium concentration of approximately 64 to 73 parts per million. Although the uranium in this mineral deposit is within the expected range for evaporatic minerals in the western United States (SRNL 2014), DOE determined that additional assessment of the mineral deposit was warranted. In response to the initial collection and analysis of a sample of the mineral deposit, DOE developed a work plan (Work Plan to Sample Mineral Deposits Along the Little Wind River, Riverton, Wyoming, Processing Site [DOE 2014]) to further define the extent of these mineral deposits and the concentration of the associated contaminants (Appendix A). The work plan addressed field reconnaissance, mapping, sampling, and the assessment of risk associated with the mineral deposits adjacent to the Little Wind River.

  13. Enhanced flux pinning by BaZrO3 and (Gd,y)2O3 nano-structures in metal organic chemical vapor deposited GdYBCO high temperature superconductor tapes

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Y [SuperPower Incorporated, Schenectady, New York; Selvamanickam, V. [SuperPower Incorporated, Schenectady, New York; Paranthaman, Mariappan Parans [ORNL; Cantoni, Claudia [ORNL; Aytug, Tolga [ORNL; Goyal, Amit [ORNL; Lee, Dominic F [ORNL; Specht, Eliot D [ORNL; Zuev, Yuri L [ORNL; Zhang, Yifei [ORNL

    2009-01-01

    We have formed BaZrO3 nano-columns and (Gd,Y)2O3 nano-precipitates in reel-to-reel MOCVD processed (Gd,Y)Ba2Cu3O7-x coated conductors and increased the critical currents (Ic) of the conductors in applied magnetic fields to remarkable levels. A (Gd,Y)Ba2Cu3O7-x tape of 1m length with 6.5% Zr-additions and 30% composition rich in both Gd and Y showed Ic values of 813 A/cm-width at (self-field, 77K) and above 186 A/cm-width at (1T, 77K). The strongly enhanced flux pinning over a wide range of magnetic field orientations can be attributed to the bidirectionally aligned defect structures of BaZrO3 and (Gd,Y)2O3 created by optimized MOCVD conditions.

  14. Photo-conductive detection of continuous THz waves via manipulated ultrafast process in nanostructures

    Science.gov (United States)

    Moon, Kiwon; Lee, Eui Su; Lee, Il-Min; Park, Dong Woo; Park, Kyung Hyun

    2018-01-01

    Time-domain and frequency-domain terahertz (THz) spectroscopy systems often use materials fabricated with exotic and expensive methods that intentionally introduce defects to meet short carrier lifetime requirements. In this study, we demonstrate the development of a nano-photomixer that meets response speed requirements without using defect-incorporated, low-temperature-grown (LTG) semiconductors. Instead, we utilized a thin InGaAs layer grown on a semi-insulating InP substrate by metal-organic chemical vapor deposition (MOCVD) combined with nano-electrodes to manipulate local ultrafast photo-carrier dynamics via a carefully designed field-enhancement and plasmon effect. The developed nano-structured photomixer can detect continuous-wave THz radiation up to a frequency of 2 THz with a peak carrier collection efficiency of 5%, which is approximately 10 times better than the reference efficiency of 0.4%. The better efficiency results from the high carrier mobility of the MOCVD-grown InGaAs thin layer with the coincidence of near-field and plasmon-field distributions in the nano-structure. Our result not only provides a generally applicable methodology for manipulating ultrafast carrier dynamics by means of nano-photonic techniques to break the trade-off relation between the carrier lifetime and mobility in typical LTG semiconductors but also contributes to mass-producible photo-conductive THz detectors to facilitate the widespread application of THz technology.

  15. Uncooled middle wavelength infrared photoconductors based on (111) and (100) oriented HgCdTe

    Science.gov (United States)

    Madejczyk, Paweł; Kębłowski, Artur; Gawron, Waldemar; Martyniuk, Piotr; Kopytko, Małgorzata; Stępień, Dawid; Rutkowski, Jarosław; Piotrowski, Józef; Piotrowski, Adam; Rogalski, Antoni

    2017-09-01

    We present progress in metal organic chemical vapor deposition (MOCVD) growth of (100) HgCdTe epilayers achieved recently at the Institute of Applied Physics, Military University of Technology and Vigo System S.A. It is shown that MOCVD technology is an excellent tool for the fabrication of different HgCdTe detector structures with a wide range of composition, donor/acceptor doping, and without post grown ex-situ annealing. Surface morphology, residual background concentration, and acceptor doping efficiency are compared in (111) and (100) oriented HgCdTe epilayers. At elevated temperatures, the carrier lifetime in measured p-type photoresistors is determined by Auger 7 process with about one order of magnitude difference between theoretical and experimental values. Particular progress has been achieved in the growth of (100) HgCdTe epilayers for medium wavelength infrared photoconductors operated in high-operating temperature conditions.

  16. Volatile organometallic and semiconductor materials

    International Nuclear Information System (INIS)

    Dickson, R.S.

    1991-01-01

    This article reports on a project concerned with the metal organic chemical vapour deposition (MOCVD) of mercury-cadmium telluride (MCT) undertaken by a research consortium based in the Clayton area involving Monash University Chemistry Department, Telecom Research Laboratories, and CSIRO Division of Material Sciences and Technology. An M.R. Semicon 226 MOCVD reactor, operating near atmospheric presure with hydrogen carrier gas has been used. Most applications of MCT are direct consequence of its responsiveness to radiation in infrared region spectrum. The main aims of the project were to prepare and assess a range of volatile organometallics that might find use as a dopant sources for MCT, to prepare and study the properties of a range of different lanthanide complexes for MOCVD applications and to fully characterize the semiconductor wafers after growth. 19 refs., 3 figs

  17. Performance characterization of Ni60-WC coating on steel processed with supersonic laser deposition

    Directory of Open Access Journals (Sweden)

    Fang Luo

    2015-03-01

    Full Text Available Ni60-WC particles are used to improve the wear resistance of hard-facing steel due to their high hardness. An emerging technology that combines laser with cold spraying to deposit the hard-facing coatings is known as supersonic laser deposition. In this study, Ni60-WC is deposited on low-carbon steel using SLD. The microstructure and performance of the coatings are investigated through SEM, optical microscopy, EDS, XRD, microhardness and pin-on-disc wear tests. The experimental results of the coating processed with the optimal parameters are compared to those of the coating deposited using laser cladding.

  18. Superhydrophobic nanostructured ZnO thin films on aluminum alloy substrates by electrophoretic deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Ying; Sarkar, D.K., E-mail: dsarkar@uqac.ca; Chen, X-Grant

    2015-02-01

    Graphical abstract: - Highlights: • Fabrication of superhydrophobic ZnO thin films surfaces by electrophoretic deposition process on aluminum substrates. • Effect of bath temperature on the physical and superhydrophobic properties of thin films. • The water contact angle of 155° ± 3 with roll off property has been observed on the film that was grown at bath temperatures of 50 °C. • The activation energy for electrophoretic deposition of SA-functionalized ZnO nanoparticle is calculated to be 0.50 eV. - Abstract: Superhydrophobic thin films have been fabricated on aluminum alloy substrates by electrophoretic deposition (EPD) process using stearic acid (SA) functionalized zinc oxide (ZnO) nanoparticles suspension in alcohols at varying bath temperatures. The deposited thin films have been characterized using both X-ray diffraction (XRD) and infrared (IR) spectroscopy and it is found that the films contain low surface energy zinc stearate and ZnO nanoparticles. It is also observed that the atomic percentage of Zn and O, roughness and water contact angle of the thin films increase with the increase of the deposited bath temperature. Furthermore, the thin film deposited at 50 °C, having a roughness of 4.54 ± 0.23 μm, shows superhydrophobic properties providing a water contact angle of 155 ± 3° with rolling off properties. Also, the activation energy of electrophoretic deposition of stearic-acid-functionalized ZnO nanoparticles is calculated to be 0.5 eV.

  19. THE ROLE OF CRYOGENIC PROCESSES IN THE FORMATION OF LOESS DEPOSITS

    Directory of Open Access Journals (Sweden)

    Vyacheslav N. Konishchev

    2015-01-01

    Full Text Available The paper describes a new approach to the analysis of the genetic nature of mineral substances in loess deposits. In permafrost under the influence of multiple alternate freezing and thawing in dispersed deposits, quartz particles accumulate the 0.05-0.01 mm fraction, while feldspars are crushed to a coarse fraction of 0.1-0.05 mm. In dispersed sediments formed in temperate and warm climatic zones, the granulometric spectrum of quartz and feldspar has the opposite pattern. The proposed methodology is based on a differential analysis of the distribution of these minerals by the granulometric spectrum. We have proposed two criteria - the coefficient of cryogenic contrast (CCC and the coefficient of distribution of heavy minerals, which allow determination of the degree of participation of cryogenic processes in the formation of loess sediments and processes of aeolian or water sedimentation.

  20. Pulsed laser deposition of the lysozyme protein: an unexpected “Inverse MAPLE” process

    DEFF Research Database (Denmark)

    Schou, Jørgen; Matei, Andreea; Constantinescu, Catalin

    2012-01-01

    Films of organic materials are commonly deposited by laser assisted methods, such as MAPLE (matrix-assisted pulsed laser evaporation), where a few percent of the film material in the target is protected by a light-absorbing volatile matrix. Another possibility is to irradiate the dry organic...... the ejection and deposition of lysozyme. This can be called an “inverse MAPLE” process, since the ratio of “matrix” to film material in the target is 10:90, which is inverse of the typical MAPLE process where the film material is dissolved in the matrix down to several wt.%. Lysozyme is a well-known protein...

  1. Measures for waste water management from recovery processing of Zhushanxia uranium deposit

    International Nuclear Information System (INIS)

    Liu Yaochi; Xu Lechang

    2000-01-01

    Measures for waste water management from recovery processing of Zhushanxia uranium deposit of Wengyuan Mine is analyzed, which include improving process flow, recycling process water used in uranium mill as much as possible and choosing a suitable disposing system. All these can decrease the amount of waste water, and also reduce costs of disposing waste water and harm to environment

  2. Low-temperature processed ZnO and CdS photodetectors deposited by pulsed laser deposition

    International Nuclear Information System (INIS)

    Hernandez-Como, N; Moreno, S; Mejia, I; Quevedo-Lopez, M A

    2014-01-01

    UV-VIS photodetectors using an interdigital configuration, with zinc oxide (ZnO) and cadmium sulfide (CdS) semiconductors deposited by pulsed laser deposition, were fabricated with a maximum processing temperature of 100 °C. Without any further post-growth annealing, the photodetectors are compatible with flexible and transparent substrates. Aluminum (Al) and indium tin oxide (ITO) were investigated as contacts. Focusing on underwater communications, the impact of metal contact (ITO versus Al) was investigated to determine the maximum responsivity using a laser with a 405 nm wavelength. As expected, the responsivity increases for reduced metal finger separation. This is a consequence of reduced carrier transit time for shorter finger separation. For ITO, the highest responsivities for both films (ZnO and CdS) were ∼3 A W −1 at 5 V. On the other hand, for Al contacts, the maximum responsivities at 5 V were ∼0.1 A W −1 and 0.7 A W −1 for CdS and ZnO, respectively. (paper)

  3. MOCVD Process Technology for Affordable, High-Yield, High-Performance MESFET Structures. MIMIC Phase 3

    Science.gov (United States)

    1993-01-26

    development of large-area deposition for producing high efficiency solar cells."I This earlier work suggested that, with the appropriate modifications, the...using a numerical technique described previously.(2ŗ ) The model simultaneously solves the equations of continuity, motion, differential thermal energy ...Undoped IkeA 1.0 mnn BUBSTRATE Beni rNSIif *A FIGURE 2-4. EPrrAXIAL STRUCTURE FOR BUFFER LEAKAGE TESTS. 350 um 350 um Contact Contact G-20 um , CAP

  4. Microstructural Effects and Properties of Non-line-of-Sight Coating Processing via Plasma Spray-Physical Vapor Deposition

    Science.gov (United States)

    Harder, Bryan J.; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2017-08-01

    Plasma spray-physical vapor deposition (PS-PVD) is a unique processing method that bridges the gap between conventional thermal spray and vapor phase methods, and enables highly tailorable coatings composed of a variety of materials in thin, dense layers or columnar microstructures with modification of the processing conditions. The strengths of this processing technique are material and microstructural flexibility, deposition speed, and potential for non-line-of-sight (NLOS) capability by vaporization of the feedstock material. The NLOS capability of PS-PVD is investigated here using yttria-stabilized zirconia and gadolinium zirconate, which are materials of interest for turbine engine applications. PS-PVD coatings were applied to static cylindrical substrates approximately 6-19 mm in diameter to study the coating morphology as a function of angle. In addition, coatings were deposited on flat substrates under various impingement configurations. Impingement angle had significant effects on the deposition mode, and microscopy of coatings indicated that there was a shift in the deposition mode at approximately 90° from incidence on the cylindrical samples, which may indicate the onset of more turbulent flow and PVD-like growth. Coatings deposited at non-perpendicular angles exhibited a higher density and nearly a 2× improvement in erosion performance when compared to coatings deposited with the torch normal to the surface.

  5. Deposits on heat exchanging surfaces, causes in the bleaching process and countermeasures; Belaeggningar paa vaermevaexlare, orsaker i blekprocessen och aatgaerder

    Energy Technology Data Exchange (ETDEWEB)

    Bjurstroem, Henrik [AaF-Energi och Miljoe AB, Stockholm (Sweden); Staahl, Charlotte; Widell, Lars [AaF-Celpap AB, Stockholm (Sweden)

    2003-06-01

    Energy conservation in process industry implies to a large extent recovery of heat (or cold) from a process stream and its utilization for another process stream. The savings of energy that can be achieved depend on the process streams, but also on the efficiency of the heat exchange. A small driving temperature difference is a condition for an extensive recovery and a satisfactory preservation of its quality, i.e. its temperature. As process streams contain compounds or components that can precipitate and form deposits on heat exchanging surfaces, the recovery of heat is degraded. In the pulp and paper industry, two trends combine to increase the extent of fouling: a larger degree of closure for the process and a change in pH-profile caused by a switch to elementary chlorine free bleaching. In this study, the occurrence of deposits has been investigated for the mills that produce mechanical pulp and for the fiber line in mills producing chemical pulp. Deposits on the evaporator surfaces are treated in a parallel study. Except for some plants, deposits are not an important problem today. That does not mean that there has not been any problem or that problems will not occur. The origin of deposits lies in the chemistry of the process, but deposits have consequences for the thermal energy management. A list of possible actions in order to avoid deposits or to mitigate their consequences has been dressed in this report. They should be considered with the following order of priority: avoiding that the compounds that may form deposits enter at all the process, section 6.1; avoiding that these compounds form a deposit once they have entered the process, section 6.2; cleaning if nothing else helps or costs too much, section 6.3. Some of these methods are well known or are conventional changes in the processes. Some of these methods are less well proven or less well documented. In a longer time perspective, the kidney technology that is being developed could contribute to

  6. Volcanogenic Uranium Deposits: Geology, Geochemical Processes, and Criteria for Resource Assessment

    Science.gov (United States)

    Nash, J. Thomas

    2010-01-01

    Felsic volcanic rocks have long been considered a primary source of uranium for many kinds of uranium deposits, but volcanogenic uranium deposits themselves have generally not been important resources. Until the past few years, resource summaries for the United States or the world generally include volcanogenic in the broad category of 'other deposits' because they comprised less than 0.5 percent of past production or estimated resources. Exploration in the United States from the 1940s through 1982 discovered hundreds of prospects in volcanic rocks, of which fewer than 20 had some recorded production. Intensive exploration in the late 1970s found some large deposits, but low grades (less than about 0.10 percent U3O8) discouraged economic development. A few deposits in the world, drilled in the 1980s and 1990s, are now known to contain large resources (>20,000 tonnes U3O8). However, research on ore-forming processes and exploration for volcanogenic deposits has lagged behind other kinds of uranium deposits and has not utilized advances in understanding of geology, geochemistry, and paleohydrology of ore deposits in general and epithermal deposits in particular. This review outlines new ways to explore and assess for volcanogenic deposits, using new concepts of convection, fluid mixing, and high heat flow to mobilize uranium from volcanic source rocks and form deposits that are postulated to be large. Much can also be learned from studies of epithermal metal deposits, such as the important roles of extensional tectonics, bimodal volcanism, and fracture-flow systems related to resurgent calderas. Regional resource assessment is helped by genetic concepts, but hampered by limited information on frontier areas and undiscovered districts. Diagnostic data used to define ore deposit genesis, such as stable isotopic data, are rarely available for frontier areas. A volcanic environment classification, with three classes (proximal, distal, and pre-volcanic structures

  7. Research on ZnO/Si heterojunction solar cells

    DEFF Research Database (Denmark)

    Chen, Li; Chen, Xinliang; Liu, Yiming

    2017-01-01

    We put forward an n-ZnO/p-Si heterojunction solar cell model based on AFORS-HET simulations and provide experimental support in this article. ZnO: B (B-doped ZnO) thin films deposited by metal-organic chemical vapor deposition (MOCVD) are planned to act as electrical emitter layer on p-type c...

  8. Electrophoretic Deposition of Gallium with High Deposition Rate

    Directory of Open Access Journals (Sweden)

    Hanfei Zhang

    2014-12-01

    Full Text Available In this work, electrophoretic deposition (EPD is reported to form gallium thin film with high deposition rate and low cost while avoiding the highly toxic chemicals typically used in electroplating. A maximum deposition rate of ~0.6 μm/min, almost one order of magnitude higher than the typical value reported for electroplating, is obtained when employing a set of proper deposition parameters. The thickness of the film is shown to increase with deposition time when sequential deposition is employed. The concentration of Mg(NO32, the charging salt, is also found to be a critical factor to control the deposition rate. Various gallium micropatterns are obtained by masking the substrate during the process, demonstrating process compatibility with microfabrication. The reported novel approach can potentially be employed in a broad range of applications with Ga as a raw material, including microelectronics, photovoltaic cells, and flexible liquid metal microelectrodes.

  9. Particokinetics: computational analysis of the superparamagnetic iron oxide nanoparticles deposition process

    Science.gov (United States)

    Cárdenas, Walter HZ; Mamani, Javier B; Sibov, Tatiana T; Caous, Cristofer A; Amaro, Edson; Gamarra, Lionel F

    2012-01-01

    Background Nanoparticles in suspension are often utilized for intracellular labeling and evaluation of toxicity in experiments conducted in vitro. The purpose of this study was to undertake a computational modeling analysis of the deposition kinetics of a magnetite nanoparticle agglomerate in cell culture medium. Methods Finite difference methods and the Crank–Nicolson algorithm were used to solve the equation of mass transport in order to analyze concentration profiles and dose deposition. Theoretical data were confirmed by experimental magnetic resonance imaging. Results Different behavior in the dose fraction deposited was found for magnetic nanoparticles up to 50 nm in diameter when compared with magnetic nanoparticles of a larger diameter. Small changes in the dispersion factor cause variations of up to 22% in the dose deposited. The experimental data confirmed the theoretical results. Conclusion These findings are important in planning for nanomaterial absorption, because they provide valuable information for efficient intracellular labeling and control toxicity. This model enables determination of the in vitro transport behavior of specific magnetic nanoparticles, which is also relevant to other models that use cellular components and particle absorption processes. PMID:22745539

  10. Curvature evolution of 200 mm diameter GaN-on-insulator wafer fabricated through metalorganic chemical vapor deposition and bonding

    Science.gov (United States)

    Zhang, Li; Lee, Kwang Hong; Kadir, Abdul; Wang, Yue; Lee, Kenneth E.; Tan, Chuan Seng; Chua, Soo Jin; Fitzgerald, Eugene A.

    2018-05-01

    Crack-free 200 mm diameter N-polar GaN-on-insulator (GaN-OI) wafers are demonstrated by the transfer of metalorganic chemical vapor deposition (MOCVD)-grown Ga-polar GaN layers from Si(111) wafers onto SiO2/Si(100) wafers. The wafer curvature of the GaN-OI wafers after the removal of the original Si(111) substrate is correlated with the wafer curvature of the starting GaN-on-Si wafers and the voids on the GaN-on-Si surface that evolve into cracks on the GaN-OI wafers. In crack-free GaN-OI wafers, the wafer curvature during the removal of the AlN nucleation layer, AlGaN strain-compensation buffer layers and GaN layers is correlated with the residual stress distribution within individual layers in the GaN-OI wafer.

  11. The influence of annealing on yttrium oxide thin film deposited by reactive magnetron sputtering: Process and microstructure

    Directory of Open Access Journals (Sweden)

    Y. Mao

    2017-01-01

    Full Text Available Yttrium oxide thin films were prepared by reactive magnetron sputtering in different deposition condition with various oxygen flow rates. The annealing influence on the yttrium oxide film microstructure is investigated. The oxygen flow shows a hysteresis behavior on the deposition rate. With a low oxygen flow rate, the so called metallic mode process with a high deposition rate (up to 1.4µm/h was achieved, while with a high oxygen flow rate, the process was considered to be in the poisoned mode with an extremely low deposition rate (around 20nm/h. X-ray diffraction (XRD results show that the yttrium oxide films that were produced in the metallic mode represent a mixture of different crystal structures including the metastable monoclinic phase and the stable cubic phase, while the poisoned mode products show a dominating monoclinic phase. The thin films prepared in metallic mode have relatively dense structures with less porosity. Annealing at 600 °C for 15h, as a structure stabilizing process, caused a phase transformation that changes the metastable monoclinic phase to stable cubic phase for both poisoned mode and metallic mode. The composition of yttrium oxide thin films changed from nonstoichiometric to stoichiometric together with a lattice parameter variation during annealing process. For the metallic mode deposition however, cracks were formed due to the thermal expansion coefficient difference between thin film and the substrate material which was not seen in poisoned mode deposition. The yttrium oxide thin films that deposited in different modes give various application options as a nuclear material.

  12. Influence of radioactive contamination to agricultural products due to dry and wet deposition processes during a nuclear emergency

    International Nuclear Information System (INIS)

    Hwang, Won Tae; Kim, Eun Han; Suh, Kyung Suk; Han, Moon Hee; Choi, Yong Ho; Lee, Chang Woo

    2002-01-01

    Combined with deposition model onto the ground of radionuclides, the influence of radioactive contamination to agricultural products was analyzed due to wet deposition as well as dry deposition from radioactive air concentration during a nuclear emergency. The previous dynamic food chain model, in which initial input parameter is only radionuclide concentrations on the ground, was improved for the evaluating of radioactive contamination to agricultural products from either radionuclide concentrations in air or radionuclide concentrations on the ground. As the results, in case of deposition onto the ground, wet deposition was more dominant process than dry deposition. While the contamination levels of agricultural products were dependent on the a variety of factors such as radionuclides and rainfall rate. It means that the contamination levels of agricultural products are determined from which is more dominant process between deposition on the ground and interception onto agricultural plants

  13. Ion - beam assisted process in the physical deposition of organic thin layers

    Energy Technology Data Exchange (ETDEWEB)

    Dimov, D; Spassova, E; Assa, J; Danev, G [Acad. J .Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl.109, 1113 Sofia (Bulgaria); Georgiev, A, E-mail: dean@clf.bas.b [University of Chemical Technology and Metallurgy, 8 Kl. Ohridski Blvd., 1756 Sofia (Bulgaria)

    2010-04-01

    A novel method was developed for physical deposition of thin polyimide layers by applying an argon plasma assisted process. The influence was investigated of the plasma on the combined molecular flux of the two thermally evaporated precursors - oxydianiline and pyromellitic dianhydride. The effects observed on the properties of the deposited films are explained with the increased energy of the precursor molecules resulting from the ion-molecular collisions. As could be expected, molecules with higher energy possess higher mobility and thus determine the modification of the films structure and their electrical properties.

  14. One-step electrodeposition process of CuInSe2: Deposition time effect

    Indian Academy of Sciences (India)

    Administrator

    CuInSe2 thin films were prepared by one-step electrodeposition process using a simplified two- electrodes system. ... homojunctions or heterojunctions (Rincon et al 1983). Efficiency of ... deposition times onto indium thin oxide (ITO)-covered.

  15. Enhancement of surface integrity of titanium alloy with copper by means of laser metal deposition process

    CSIR Research Space (South Africa)

    Erinosho, MF

    2016-04-01

    Full Text Available The laser metal deposition process possesses the combination of metallic powder and laser beam respectively. However, these combinations create an adhesive bonding that permanently solidifies the laser-enhanced-deposited powders. Titanium alloys (Ti...

  16. Preparation of Anatase TiO{sub 2} Thin Films with (O{sup i}Pr){sub 2}Ti(CH{sub 3}COCHCONEt{sub 2}){sub 2} Precursor by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Bae, Byoung Jae; Seo, Won Seok; Miah, Arzu; Park, Joon T. [Korea Advanced Institute of Science and Technology, Daejeon (Korea, Republic of); Lee, Kwang Yeol [Korea University, Seoul (Korea, Republic of); Kim, Keun Chong [Hong-Ik University, Chochiwon (Korea, Republic of)

    2004-11-15

    The reaction of titanium tetraisopropoxide with 2 equiv of N,N-diethyl acetoacetamide affords Ti(O{sup i}Pr){sub 2}(CH{sub 3}COCHCONEt{sub 2}){sub 2} (1) as colorless crystals in 80% yield. Compound 1 is characterized by spectroscopic (Mass and {sup 1}H/{sup 13}C NMR) and microanalytical data. Molecular structure of 1 has been determined by a single crystal X-ray diffraction study, which reveals that it is a monomeric, cis-diisopropoxide and contains a six coordinate Ti(IV) atom with a cis(CONEt{sub 2}), trans(COCH{sub 3}) configuration (1a) in a distorted octahedral environment. Variable-temperature {sup 1}H NMR spectra of 1 indicate that it exists as an equilibrium mixture of cis, trans (1a) and cis, cis (1b) isomers in a 0.57 : 0.43 ratio at -20 .deg. C in toluene-d{sub 8} solution. Thermal properties of 1 as a MOCVD precursor for titanium dioxide films have been evaluated by thermal gravimetric analysis and vapor pressure measurement. Thin films of pure anatase titanium dioxide (after annealing above 500 .deg. C under oxygen) have been grown on Si(100) with precursor 1 in the substrate temperature range of 350- 500 .deg. C using a bubbler-based MOCVD method.

  17. MOCVD Growth and Characterization of n-type Zinc Oxide Thin Films

    Science.gov (United States)

    Ben-Yaacov, Tammy

    In the past decade, there has been widespread effort in the development of zinc oxide as a II-V1 semiconductor material. ZnO has potential advantages in optoelectronip device applications due to its unique electrical and optical properties. What stands out among these properties is its wide direct bandgap of 3.37 eV and its high electrical conductivity and transparency in the visible and near-UV regions of the spectrum. ZnO can be grown heteroepitaxially on GaN under near lattice-matched conditions and homoepitaxially as well, as high-quality bulk ZnO substrates are commercially available. This dissertation focuses on the development of the growth of high-quality, single crystal n-type ZnO films, control of n-type conductivity, as well as its application as a transparent contact material in GaN-based devices. The first part of this dissertation is an extensive heteroepitaxial and homoepitaxial growth study presenting the properties of ZnO(0001) layers grown on GaN(0001) templates and ZnO(0001) substrates. We show that deposition on GaN requires a two-step growth technique involving the growth of a low temperature nucleation layer before growing a high temperature epitaxial layer in order to obtain smooth ZnO films with excellent crystal quality and step-flow surface morphology. We obtained homoepitaxial ZnO(0001) films of structural quality and surface morphology that is comparable to the as-received substrates, and showed that a high growth temperature (≥1000°C) is needed in order to achieve step-flow growth mode. We performed n-type doping experiments, and established the conditions for which Indium effectively controls the n-type conductivity of ZnO films grown on GaN(0001) templates. A peak carrier concentration of 3.22x 10 19cm-3 and minimum sheet resistance of 97 O/square was achieved, while simultaneously maintaining good morphology and crystal quality. Finally, we present In-doped ZnO films implemented as p-contacts for GaN-based solar cells and LEDs

  18. Research on Glass Frit Deposition Based on the Electrospray Process

    Directory of Open Access Journals (Sweden)

    Yifang Liu

    2016-04-01

    Full Text Available In this paper, the electrospray technology is used to easily deposit the glass frit into patterns at a micro-scale level. First, far-field electrospray process was carried out with a mixture of glass frit in the presence of ethanol. A uniform, smooth, and dense glass frit film was obtained, verifying that the electrospray technology was feasible. Then, the distance between the nozzle and the substrate was reduced to 2 mm to carry out near-field electrospray. The experimental process was improved by setting the range of the feed rate of the substrate to match both the concentration and the flow rate of the solution. Spray diameter could be less at the voltage of 2 kV, in which the glass frit film was expected to reach the minimum line width. A uniform glass frit film with a line width within the range of 400–500 μm was prepared when the speed of the substrate was 25 mm/s. It indicates that electrospray is an efficient technique for the patterned deposition of glass frit in wafer-level hermetic encapsulation.

  19. A discrete element based simulation framework to investigate particulate spray deposition processes

    KAUST Repository

    Mukherjee, Debanjan; Zohdi, Tarek I.

    2015-01-01

    © 2015 Elsevier Inc. This work presents a computer simulation framework based on discrete element method to analyze manufacturing processes that comprise a loosely flowing stream of particles in a carrier fluid being deposited on a target surface

  20. Defect attributed variations of the photoconductivity and photoluminescence in the HVPE and MOCVD as-grown and irradiated GaN structures

    International Nuclear Information System (INIS)

    Gaubas, E.; Pobedinskas, P.; Vaitkus, J.; Uleckas, A.; Zukauskas, A.; Blue, A.; Rahman, M.; Smith, K.M.; Aujol, E.; Beaumont, B.; Faurie, J.-P.; Gibart, P.

    2005-01-01

    The effect of native and radiation induced defects on the photoconductivity transients and photoluminescence spectra have been examined in GaN epitaxial layers of 2.5 and 12μm thickness grown on bulk n-GaN/sapphire substrates by metal-organic chemical vapor deposition (MOCVD). For comparison, free-standing GaN as-grown samples of 500μm thickness, fabricated by hydride vapor phase epitaxy (HVPE), were investigated. Manifestation of defects induced by 10-keV X-ray irradiation with the dose of 600Mrad and 100-keV neutrons with the fluences of 5x10 14 and 10 16 cm -2 as well as of 24GeV/c protons with fluence 10 16 cm -2 have been revealed through contact photoconductivity and microwave absorption transients. The amplitude of the initial photoconductivity decay is significantly reduced by the native and radiation defects density. Synchronous decrease of the steady-state PL intensity of yellow, blue and ultraviolet bands peaked at 2.18, 2.85, and 3.42eV, respectively, with density of radiation-induced defects is observed. The decrease of the PL intensity is accompanied by an increase of asymptotic decay lifetime in the photoconductivity transients, which is due to excess-carrier multi-trapping. The decay fits the stretched exponent approximation exp[-(t/τ) α ] with the different factors α in as-grown material (α∼0.7) and irradiated samples (α∼0.3). The fracton dimension d s of disordered structure changes from 4.7 to 0.86 for as-grown and irradiated material, respectively, and it implies the percolative carrier motion on an infinite cluster of dislocations net in the as-grown material and cluster fragmentation into finite fractons after irradiations

  1. Structural and optical properties of GaN thin films grown on Al2O3 substrates by MOCVD at different reactor pressures

    International Nuclear Information System (INIS)

    Guillén-Cervantes, A.; Rivera-Álvarez, Z.; López-López, M.; Ponce-Pedraza, A.; Guarneros, C.; Sánchez-Reséndiz, V.M.

    2011-01-01

    GaN thin films grown by MOCVD on (0 0 0 1) Al 2 O 3 substrates at different growth pressures were characterized by field-emission scanning electron microscopy, atomic force microscopy, micro-Raman, and photoluminescence at room temperature. It was found that there is an optimum pressure of 76 Torr at which the structural and optical properties of the GaN samples are superior. On the other hand samples grown at higher pressure exhibited hexagonal surface pits and surface spirals. The results showed that the growth pressure strongly influences the morphology, and significantly affects the structural and optical properties of the GaN epilayers.

  2. Bulletin of Materials Science

    Indian Academy of Sciences (India)

    -organic chemical vapour deposited (MOCVD) gamma iron oxide thin film for ... V2)5-Te)2 glasses using heterogeneous conductor model -- M Pal, S K Saha and ... The hardness-flow stress correlation in metallic materials -- G Soundararajan ...

  3. Different types of nitrogen deposition show variable effects on the soil carbon cycle process of temperate forests.

    Science.gov (United States)

    Du, Yuhan; Guo, Peng; Liu, Jianqiu; Wang, Chunyu; Yang, Ning; Jiao, Zhenxia

    2014-10-01

    Nitrogen (N) deposition significantly affects the soil carbon (C) cycle process of forests. However, the influence of different types of N on it still remained unclear. In this work, ammonium nitrate was selected as an inorganic N (IN) source, while urea and glycine were chosen as organic N (ON) sources. Different ratios of IN to ON (1 : 4, 2 : 3, 3 : 2, 4 : 1, and 5 : 0) were mixed with equal total amounts and then used to fertilize temperate forest soils for 2 years. Results showed that IN deposition inhibited soil C cycle processes, such as soil respiration, soil organic C decomposition, and enzymatic activities, and induced the accumulation of recalcitrant organic C. By contrast, ON deposition promoted these processes. Addition of ON also resulted in accelerated transformation of recalcitrant compounds into labile compounds and increased CO2 efflux. Meanwhile, greater ON deposition may convert C sequestration in forest soils into C source. These results indicated the importance of the IN to ON ratio in controlling the soil C cycle, which can consequently change the ecological effect of N deposition. © 2014 John Wiley & Sons Ltd.

  4. Experimental study of structural and optical properties of integrated MOCVD GaAs/Si(001) heterostructures

    Science.gov (United States)

    Seredin, P. V.; Lenshin, A. S.; Zolotukhin, D. S.; Arsentyev, I. N.; Nikolaev, D. N.; Zhabotinskiy, A. V.

    2018-02-01

    This is the first report of the control of the structural and optical functional characteristics of integrated GaAs/Si(001) heterostructures due to the use of misoriented Si(001) substrates with protoporous sublayer. The growth of the epitaxial GaAs layer on silicon substrates without formation of the antiphase domains can be performed on substrates deviating less than 4°-6° from the singular (001) plane or without the use of a transition layer of GaAs nano-columns. Preliminary etching of the silicon substrate with protoporous Si sublayer formation facilitated the acquisition of an epitaxial GaAs film in a single-crystalline state with a considerably less residual strain factor using MOCVD, which has a positive effect on the structural quality of the film. These data are in a good agreement with the results of IR reflection spectroscopy as well as PL and UV spectroscopy. The optical properties of the integrated GaAs/Si (001) heterostructures in the IR and UV spectral regions were also determined by the residual strain value.

  5. Study of the fluidized bed chemical vapor deposition process on very dense powder for nuclear applications

    International Nuclear Information System (INIS)

    Vanni, Florence

    2015-01-01

    This thesis is part of the development of low-enriched nuclear fuel, for the Materials Test Reactors (MTRs), constituted of uranium-molybdenum particles mixed with an aluminum matrix. Under certain conditions under irradiations, the U(Mo) particles interact with the aluminum matrix, causing unacceptable swelling of the fuel plate. To inhibit this phenomenon, one solution consists in depositing on the surface of the U(Mo) particles, a thin silicon layer to create a barrier effect. This thesis has concerned the study of the fluidized bed chemical vapor deposition (CVD) process to deposit silicon from silane, on the U(Mo) powder, which has an exceptional density of 17,500 kg/m 3 . To achieve this goal, two axes were treated during the thesis: the study and the optimization of the fluidization of a so dense powder, and then those of the silicon deposition process. For the first axis, a series of tests was performed on a surrogate tungsten powder in different columns made of glass and made of steel with internal diameters ranging from 2 to 5 cm, at room temperature and at high temperature (650 C) close to that of the deposits. These experiments helped to identify wall effects phenomena within the fluidized bed, which can lead to heterogeneous deposits or particles agglomeration. Some dimensions of the fluidization columns and operating conditions allowing a satisfactory fluidization of the powder were identified, paving the way for the study of silicon deposition. Several campaigns of deposition experiments on the surrogate powder and then on the U(Mo) powder were carried out in the second axis of the study. The influence of the bed temperature, the inlet molar fraction of silane diluted in argon, and the total gas flow of fluidization, was examined for different diameters of reactor and for various masses of powder. Morphological and structural characterization analyses (SEM, XRD..) revealed a uniform silicon deposition on all the powder and around each particle

  6. Growth and properties of Al-rich InxAl1-xN ternary alloy grown on GaN template by metalorganic chemical vapour deposition

    International Nuclear Information System (INIS)

    Oh, Tae Su; Suh, Eun-Kyung; Kim, Jong Ock; Jeong, Hyun; Lee, Yong Seok; Nagarajan, S; Lim, Kee Young; Hong, Chang-Hee

    2008-01-01

    An Al-rich In x Al 1-x N ternary alloy was grown on a GaN template by metal-organic chemical vapour deposition (MOCVD). The GaN template was fabricated on a c-plane sapphire with a low temperature GaN nucleation layer. The growth of the 300 nm thick In x Al 1-x N layer was carried out under various growth temperatures and pressures. The surface morphology and the InN molar fraction of the In x Al 1-x N layer were assessed by using atomic force microscopy (AFM) and high resolution x-ray diffraction, respectively. The AFM surface images of the In x Al 1-x N ternary alloy exhibited quantum dot-like grains caused by the 3D island growth mode. The grains, however, disappeared rapidly by increasing diffusion length and mobility of the Al adatoms with increasing growth temperature and the full width at half maximum value of ternary peaks in HR-XRD decreased with decreasing growth pressure. The MOCVD growth condition with the increased growth temperature and decreased growth pressure would be effective to grow the In x Al 1-x N ternary alloy with a smooth surface and improved quality. The optical band edge of In x Al 1-x N ternary alloys was estimated by optical absorbance and, based on the results of HR-XRD and optical absorbance measurements, we obtained the bowing parameter of the In x Al 1-x N ternary alloy at b = 5.3 eV, which was slightly larger than that of previous reports

  7. Thermodynamic analysis of processes proceeding on (111) faces of diamond during chemical vapour deposition

    International Nuclear Information System (INIS)

    Piekarczyk, W.; Prawer, S.

    1992-01-01

    Chemically vapour deposited diamond is commonly synthesized from activated hydrogen-rich, carbon/hydrogen gas mixtures under conditions which should, from a thermodynamic equilibrium point of view, favour the production of graphite. Much remains to be understood about why diamond, and not graphite, forms under these conditions. However, it is well known that the presence of atomic hydrogen, is crucial to the success of diamond deposition. As part of an attempt to better understand the deposition process, a thermodynamic analysis of the process was performed on diamond (111) faces in hydrogen rich environments. It is shown that the key role of atomic hydrogen is to inhibit the reconstruction of the (111) face to an sp 2 -bonded structure, which would provide a template for graphite, rather than diamond formation. The model correctly predicts experimentally determined trends in growth rate and diamond film quality as a function of methane concentration in the stating gas mixture. 17 refs., 4 figs

  8. Beneficial effects of laser irradiation on the deposition process of diamond/Ni60 composite coating with cold spray

    Energy Technology Data Exchange (ETDEWEB)

    Yao, Jianhua, E-mail: laser@zjut.edu.cn; Yang, Lijing; Li, Bo; Li, Zhihong

    2015-03-01

    Graphical abstract: - Highlights: • The hard Ni-based alloy powder as matrix in diamond composite coating was studied. • The influence of laser on diamond distribution of composite coating was analyzed. • The graphitization of diamond was prohibited in supersonic laser deposition process. • The abrasion mechanisms of diamond/Ni60 composite coating were discussed. - Abstract: Although cold spray process has many unique advantages over other coating techniques, it has difficulties in depositing hard materials. This article presents a study in the beneficial effects of laser irradiation on the fabrication process of diamond/Ni60 composite coating using cold spray. The focus of this research is on the comparison between the composite coatings produced with laser cladding (LC) and with supersonic laser deposition (SLD), with respect to diamond graphitization and tribological properties, thus to demonstrate the beneficial effects of laser irradiation on the cold spray process. The influence of deposition temperature on the coating characteristics, such as deposition efficiency, diamond volume fraction, microstructure and phase is also investigated. The tribological properties of the diamond/Ni60 composite coating produced with SLD are determined using a pin-on-disc tribometer, along with the diamond/Ni60 coating produced using LC with the optimal process parameters for comparison. The experimental results show that with the assistance of laser irradiation, diamond/Ni60 composite coating can be successfully deposited using cold spray; the obtained coating is superior to that processed with LC, because SLD can suppress the graphitization of the diamond particles. The diamond/Ni60 composite coating fabricated with SLD has much better tribological properties than the LC coating.

  9. Efficient Pd@MIL-101(Cr) hetero-catalysts for 2-butyne-1,4-diol hydrogenation exhibiting high selectivity

    KAUST Repository

    Yin, Dongdong; Li, Chuang; Ren, Hangxing; Shekhah, Osama; Liu, Jinxuan; Liang, Changhai

    2017-01-01

    Pd@MIL-101(Cr) hetero-catalysts have been successfully prepared using the metal-organic chemical vapour deposition (MOCVD) approach, by choosing [Pd(η-CH)(η-CH)] as a volatile precursor, and the hydrothermally stable metal-organic framework, MIL-101

  10. New III-V cell design approaches for very high efficiency. Annual subcontract report, 1 August 1990--31 July 1991

    Energy Technology Data Exchange (ETDEWEB)

    Lundstrom, M.S.; Melloch, M.R.; Lush, G.B.; O`Bradovich, G.J.; Young, M.P. [Purdue Univ., Lafayette, IN (United States)

    1993-01-01

    This report describes progress during the first year of a three-year project. The objective of the research is to examine new design approaches for achieving very high conversion efficiencies. The program is divided into two areas. The first centers on exploring new thin-film approaches specifically designed for III-V semiconductors. The second area centers on exploring design approaches for achieving high conversion efficiencies without requiring extremely high quality material. Research activities consisted of an experimental study of minority carrier recombination in n-type, metal-organic chemical vapor deposition (MOCVD)-deposited GaAs, an assessment of the minority carrier lifetimes in n-GaAs grown by molecular beam epitaxy, and developing a high-efficiency cell fabrication process.

  11. Particokinetics: computational analysis of the superparamagnetic iron oxide nanoparticles deposition process

    Directory of Open Access Journals (Sweden)

    Cárdenas WH

    2012-06-01

    Full Text Available Walter HZ Cárdenas, Javier B Mamani, Tatiana T Sibov, Cristofer A Caous, Edson Amaro Jr, Lionel F GamarraInstituto do Cérebro, Hospital Israelita Albert Einstein, São Paulo, BrazilBackground: Nanoparticles in suspension are often utilized for intracellular labeling and evaluation of toxicity in experiments conducted in vitro. The purpose of this study was to undertake a computational modeling analysis of the deposition kinetics of a magnetite nanoparticle agglomerate in cell culture medium.Methods: Finite difference methods and the Crank-Nicolson algorithm were used to solve the equation of mass transport in order to analyze concentration profiles and dose deposition. Theoretical data were confirmed by experimental magnetic resonance imaging.Results: Different behavior in the dose fraction deposited was found for magnetic nanoparticles up to 50 nm in diameter when compared with magnetic nanoparticles of a larger diameter. Small changes in the dispersion factor cause variations of up to 22% in the dose deposited. The experimental data confirmed the theoretical results.Conclusion: These findings are important in planning for nanomaterial absorption, because they provide valuable information for efficient intracellular labeling and control toxicity. This model enables determination of the in vitro transport behavior of specific magnetic nanoparticles, which is also relevant to other models that use cellular components and particle absorption processes.Keywords: magnetite, nanoparticles, diffusion, sedimentation, agglomerates, computational modeling, cellular labeling, magnetic resonance imaging

  12. Solution processed deposition of electron transport layers on perovskite crystal surface—A modeling based study

    Energy Technology Data Exchange (ETDEWEB)

    Mortuza, S.M.; Taufique, M.F.N.; Banerjee, Soumik, E-mail: soumik.banerjee@wsu.edu

    2017-02-01

    Highlights: • The model determined the surface coverage of solution-processed film on perovskite. • Calculated surface density map provides insight into morphology of the monolayer. • Carbonyl oxygen atom of PCBM strongly attaches to the (110) surface of perovskite. • Uniform distribution of clusters on perovskite surface at lower PCBM concentration. • Deposition rate of PCBM on the surface is very high at initial stage of film growth. - Abstract: The power conversion efficiency (PCE) of planar perovskite solar cells (PSCs) has reached up to ∼20%. However, structural and chemicals defects that lead to hysteresis in the perovskite based thin film pose challenges. Recent work has shown that thin films of [6,6]-phenyl-C61-butyric acid methyl ester (PCBM) deposited on the photo absorption layer, using solution processing techniques, minimize surface pin holes and defects thereby increasing the PCE. We developed and employed a multiscale model based on molecular dynamics (MD) and kinetic Monte Carlo (kMC) to establish a relationship between deposition rate and surface coverage on perovskite surface. The MD simulations of PCBMs dispersed in chlorobenzene, sandwiched between (110) perovskite substrates, indicate that PCBMs are deposited through anchoring of the oxygen atom of carbonyl group to the exposed lead (Pb) atom of (110) perovskite surface. Based on rates of distinct deposition events calculated from MD, kMC simulations were run to determine surface coverage at much larger time and length scales than accessible by MD alone. Based on the model, a generic relationship is established between deposition rate of PCBMs and surface coverage on perovskite crystal. The study also provides detailed insights into the morphology of the deposited film.

  13. Solution processed deposition of electron transport layers on perovskite crystal surface—A modeling based study

    International Nuclear Information System (INIS)

    Mortuza, S.M.; Taufique, M.F.N.; Banerjee, Soumik

    2017-01-01

    Highlights: • The model determined the surface coverage of solution-processed film on perovskite. • Calculated surface density map provides insight into morphology of the monolayer. • Carbonyl oxygen atom of PCBM strongly attaches to the (110) surface of perovskite. • Uniform distribution of clusters on perovskite surface at lower PCBM concentration. • Deposition rate of PCBM on the surface is very high at initial stage of film growth. - Abstract: The power conversion efficiency (PCE) of planar perovskite solar cells (PSCs) has reached up to ∼20%. However, structural and chemicals defects that lead to hysteresis in the perovskite based thin film pose challenges. Recent work has shown that thin films of [6,6]-phenyl-C61-butyric acid methyl ester (PCBM) deposited on the photo absorption layer, using solution processing techniques, minimize surface pin holes and defects thereby increasing the PCE. We developed and employed a multiscale model based on molecular dynamics (MD) and kinetic Monte Carlo (kMC) to establish a relationship between deposition rate and surface coverage on perovskite surface. The MD simulations of PCBMs dispersed in chlorobenzene, sandwiched between (110) perovskite substrates, indicate that PCBMs are deposited through anchoring of the oxygen atom of carbonyl group to the exposed lead (Pb) atom of (110) perovskite surface. Based on rates of distinct deposition events calculated from MD, kMC simulations were run to determine surface coverage at much larger time and length scales than accessible by MD alone. Based on the model, a generic relationship is established between deposition rate of PCBMs and surface coverage on perovskite crystal. The study also provides detailed insights into the morphology of the deposited film.

  14. Self-catalytic growth of tin oxide nanowires by chemical vapor deposition process

    CSIR Research Space (South Africa)

    Thabethe, BS

    2013-01-01

    Full Text Available The authors report on the synthesis of tin oxide (SnO(sub2)) nanowires by a chemical vapor deposition (CVD) process. Commercially bought SnO nanopowders were vaporized at 1050°C for 30 minutes with argon gas continuously passing through the system...

  15. Intelligent process control of fiber chemical vapor deposition

    Science.gov (United States)

    Jones, John Gregory

    Chemical Vapor Deposition (CVD) is a widely used process for the application of thin films. In this case, CVD is being used to apply a thin film interface coating to single crystal monofilament sapphire (Alsb2Osb3) fibers for use in Ceramic Matrix Composites (CMC's). The hot-wall reactor operates at near atmospheric pressure which is maintained using a venturi pump system. Inert gas seals obviate the need for a sealed system. A liquid precursor delivery system has been implemented to provide precise stoichiometry control. Neural networks have been implemented to create real-time process description models trained using data generated based on a Navier-Stokes finite difference model of the process. Automation of the process to include full computer control and data logging capability is also presented. In situ sensors including a quadrupole mass spectrometer, thermocouples, laser scanner, and Raman spectrometer have been implemented to determine the gas phase reactants and coating quality. A fuzzy logic controller has been developed to regulate either the gas phase or the in situ temperature of the reactor using oxygen flow rate as an actuator. Scanning electron microscope (SEM) images of various samples are shown. A hierarchical control structure upon which the control structure is based is also presented.

  16. Mining and processing of uranium deposits in Salamanca, Spain

    International Nuclear Information System (INIS)

    Gomez Jaen, J.P.; Otero, J.; Serrano, J.R.; Membrillera, J.R.; Josa, J.M.

    1977-01-01

    In July, 1974, Empresa Nacional del Uranio, S.A. (ENUSA), took the decision to mine uranium in the province of Salamanca, based on geological and processing studies carried out by the Junta de Energia Nuclear (JEN). The milling plant was designed by JEN and assembled by ENUSA, and operations were begun on 22 May, 1975. The orebody, FE-1, is composed of slate of Cambrain age and the fissures are filled by primary minerals. Secondary minerals are impregnated in the zone affected by the hydrostatic level. The orebody is of the stockwork type in which carbonaceous matter has acted as a reducing agent. The average grade of the ore is 0.09% U 3 O 8 at a cutoff grade of 0.02% U 3 O 8 : the deposit is therefore among the lowest-grade deposits that are currently mined. Annual production is 1 200 000 t of rock, of which 200 000 t is ore-bearing. The milling plant uses a static heap-leaching method, followed by solvent extraction (tertiary amines) and precipitation by ammonia. Joint studies by JEN and ENUSA have led to the introduction of modifications that have increased the production capacity from 75 to 112 t U 3 O 8 per annum with no significant alteration in the initial planned investment. The total recovery after processing is 75% of the U 3 O 8 contained in the ore. Approximately 100 people are employed in the overall operation. ENUSA has decided to expand operations in Salamanca with the construction of a new milling plant (technological aid by JEN), which will be capable of processing 825 000 t of ore per year, with an annual production of 500 t U 3 O 8 . The new plant is expected to begin operations in 1979. (author)

  17. Preparation of iron-deposited graphite surface for application as cathode material during electrochemical vat-dyeing process

    International Nuclear Information System (INIS)

    Anbu Kulandainathan, M.; Kiruthika, K.; Christopher, G.; Babu, K. Firoz; Muthukumaran, A.; Noel, M.

    2008-01-01

    Iron-deposited graphite surfaces were prepared, characterized and employed as cathode materials for electrochemical vat-dyeing process containing very low concentration of sodium dithionite. The electrodeposition, in presence of ammonium thiocyanate and gelatin or animal glue as binding additives, were found to give finer iron deposits for improved electrochemical dyeing application. The electrodeposits were characterized using scanning electron microscopy, electron-dispersive X-ray spectroscopy and X-ray diffraction methods, before and after electrochemical dyeing process. The electrochemical activity of the iron-deposited graphite electrodes always stored in water seems to depend on the surface-bound Fe 3+ /Fe 2+ redox species. Vat dyes like C.I. Vat Violet 1, C.I. Vat Green 1 and C.I. Vat Blue 4 could be efficiently dyed employing these above electrode materials. The colour intensity and washing fastness of the dyed fabrics were found to be equal with conventionally dyed fabrics. The electrodes could also be reused for the dyeing process

  18. Sedimentological characteristics and depositional processes of sediment gravity flows in rift basins: The Palaeogene Dongying and Shahejie formations, Bohai Bay Basin, China

    Science.gov (United States)

    Liu, Lei; Chen, Hongde; Zhong, Yijiang; Wang, Jun; Xu, Changgui; Chen, Anqing; Du, Xiaofeng

    2017-10-01

    Sediment gravity flow deposits are common, particularly in sandy formations, but their origin has been a matter of debate and there is no consensus about the classification of such deposits. However, sediment gravity flow sandstones are economically important and have the potential to meet a growing demand in oil and gas exploration, so there is a drive to better understand them. This study focuses on sediment gravity flow deposits identified from well cores in Palaeogene deposits from the Liaodong Bay Depression in Bohai Bay Basin, China. We classify the sediment gravity flow deposits into eight lithofacies using lithological characteristics, grain size, and sedimentary structures, and interpret the associated depositional processes. Based on the scale, spatial distribution, and contact relationships of sediment gravity flow deposits, we defined six types of lithofacies associations (LAs) that reflect transformation processes and depositional morphology: LA1 (unconfined proximal breccia deposits), LA2 (confined channel deposits), LA3 (braided-channel lobe deposits), LA4 (unconfined lobe deposits), LA5 (distal sheet deposits), and LA6 (non-channelized sheet deposits). Finally, we established three depositional models that reflect the sedimentological characteristics and depositional processes of sediment gravity flow deposits: (1) slope-apron gravel-rich depositional model, which involves cohesive debris flows deposited as LA1 and dilute turbidity currents deposited as LA5; (2) non-channelized surge-like turbidity current depositional model, which mainly comprises sandy slumping, suspended load dominated turbidity currents, and dilute turbidity currents deposited as LA5 and LA6; and (3) channelized subaqueous-fan depositional model, which consists of non-cohesive bedload dominated turbidity currents, suspended load dominated turbidity currents, and dilute turbidity currents deposited as LA2-LA5, originating from sustained extrabasinal turbidity currents

  19. Silicon oxide barrier films deposited on PET foils in pulsed plasmas: influence of substrate bias on deposition process and film properties

    International Nuclear Information System (INIS)

    Steves, S; Bibinov, N; Awakowicz, P; Ozkaya, B; Liu, C-N; Ozcan, O; Grundmeier, G

    2013-01-01

    A widely used plastic for packaging, polyethylene terephtalate (PET) offers limited barrier properties against gas permeation. For many applications of PET (from food packaging to micro electronics) improved barrier properties are essential. A silicon oxide barrier coating of PET foils is applied by means of a pulsed microwave driven low-pressure plasma. While the adjustment of the microwave power allows for a control of the ion production during the plasma pulse, a substrate bias controls the energy of ions impinging on the substrate. Detailed analysis of deposited films applying oxygen permeation measurements, x-ray photoelectron spectroscopy and atomic force microscopy are correlated with results from plasma diagnostics describing the deposition process. The influence of a change in process parameters such as gas mixture and substrate bias on the gas temperature, electron density, mean electron energy, ion energy and the atomic oxygen density is studied. An additional substrate bias results in an increase in atomic oxygen density up to a factor of 6, although plasma parameter such as electron density of n e = 3.8 ± 0.8 × 10 17 m −3 and electron temperature of k B T e = 1.7 ± 0.1 eV are unmodified. It is shown that atomic oxygen densities measured during deposition process higher than n O = 1.8 × 10 21 m −3 yield in barrier films with a barrier improvement factor up to 150. Good barrier films are highly cross-linked and show a smooth morphology. (paper)

  20. Deposit control in process cooling water systems

    International Nuclear Information System (INIS)

    Venkataramani, B.

    1981-01-01

    In order to achieve efficient heat transfer in cooling water systems, it is essential to control the fouling of heat exchanger surfaces. Solubilities of scale forming salts, their growth into crystals, and the nature of the surfaces play important roles in the deposition phenomenon. Condensed phosphates, organic polymers and compounds like phosphates are effective in controlling deposition of scale forming salts. The surface active agents inhibit crystal growth and modify the crystals of the scale forming salts, and thus prevent deposition of dense, uniformly structured crystalline mass on the heat transfer surface. Understanding the mechanism of biofouling is essential to control it by surface active agents. Certain measures taken in the plant, such as back flushing, to control scaling, sometimes may not be effective and can be detrimental to the system itself. (author)

  1. Polymer deposition morphology by electrospray deposition - Modifications through distance variation

    International Nuclear Information System (INIS)

    Altmann, K.; Schulze, R.-D.; Friedrich, J.

    2014-01-01

    Electrospray deposition (ESD) of highly diluted polymers was examined with regard to the deposited surface structure. Only the flight distance (flight time) onto the resulting deposited surface was varied from 20 to 200 mm. An apparatus without any additional heating or gas flows was used. Polyacrylic acid (PAA) and polyallylamine (PAAm) in methanol were deposited on Si wafers. The polymer layers were characterized by scanning electron microscopy, X-ray photoelectron spectroscopy, derivatization reactions and Fourier transform infrared spectroscopy using a grazing incidence unit. SEM images illustrated the changing structures of PAA and PAAm. For PAA the deposited structure changed from a smooth film (20 mm) to a film with individual droplets on the coated surface (100 mm and 200 mm), while for PAAm individual droplets can be seen at all distances. The ESD process with cascades of splitting droplets slows down for PAA after distances greater than 40 mm. In contrast, the ESD process for PAAm is nearly stopped within the first flight distance of 20 mm. Residual solvent analysis showed that most of the solvent evaporated within the first 20 mm capillary-sample distance. - Highlights: • We deposited polyacrylic acid and polyallylamine by electrospray ionization (ESI). • The morphology in dependence of flight distance (20 mm to 200 mm) was analyzed. • The amount of residual solvent after deposition was determined. • ESI-process slows down for polyacrylic acid after 40 mm flight distance. • ESI-Process is complete for polyallylamine within the first 20 mm

  2. Determination of the Mg occupation site in MOCVD- and MBE-grown Mg-doped InN using X-ray absorption fine-structure measurements

    Energy Technology Data Exchange (ETDEWEB)

    Miyajima, Takao; Uemura, Shigeaki; Kudo, Yoshihiro [Materials Laboratories, Sony Corporation, Atsugi, Kanagawa (Japan); Kitajima, Yoshinori [Photon Factory, High Energy Accelerator Research Organization, Tsukuba, Ibaraki (Japan); Yamamoto, Akio [Graduate School of Engineering, University of Fukui, Fukui (Japan); Muto, Daisuke; Nanishi, Yasushi [Department of Photonics, Ritsumeikan University, 1-1-1 Noji-Higashi, Kusatsu, Shiga 525-8577 (Japan)

    2008-07-01

    We analyzed the atomic structure around Mg atoms in MOCVD- and MBE-grown Mg-doped InN using Mg K-edge X-ray absorption fine-structure (XAFS) measurements. Our experimental data closely fit to the simulated data in which Mg atoms occupy the substitutional sites of In atoms. From this result, we conclude that Mg atoms essentially occupy not N atoms sites but In atoms sites, meaning that Mg atoms can act as acceptors in InN. We believe that observations of p-type conductivity are prevented by problems such as carrier compensation and electron accumulation at the surface. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Bio-mineralization and potential biogeochemical processes in bauxite deposits: genetic and ore quality significance

    Science.gov (United States)

    Laskou, Magdalini; Economou-Eliopoulos, Maria

    2013-08-01

    The Parnassos-Ghiona bauxite deposit in Greece of karst type is the 11th largest bauxite producer in the world. The mineralogical, major and trace-element contents and δ18O, δ12C, δ34S isotopic compositions of bauxite ores from this deposit and associated limestone provide valuable evidence for their origin and biogeochemical processes resulting in the beneficiation of low grade bauxite ores. The organic matter as thin coal layers, overlying the bauxite deposits, within limestone itself (negative δ12C isotopic values) and the negative δ34S values in sulfides within bauxite ores point to the existence of the appropriate circumstances for Fe bio-leaching and bio-mineralization. Furthermore, a consortium of microorganisms of varying morphological forms (filament-like and spherical to lenticular at an average size of 2 μm), either as fossils or presently living and producing enzymes, is a powerful factor to catalyze the redox reactions, expedite the rates of metal extraction and provide alternative pathways for metal leaching processes resulting in the beneficiation of bauxite ore.

  4. Roll-to-roll atomic layer deposition process for flexible electronics encapsulation applications

    International Nuclear Information System (INIS)

    Maydannik, Philipp S.; Kääriäinen, Tommi O.; Lahtinen, Kimmo; Cameron, David C.; Söderlund, Mikko; Soininen, Pekka; Johansson, Petri; Kuusipalo, Jurkka; Moro, Lorenza; Zeng, Xianghui

    2014-01-01

    At present flexible electronic devices are under extensive development and, among them, flexible organic light-emitting diode displays are the closest to a large market deployment. One of the remaining unsolved challenges is high throughput production of impermeable flexible transparent barrier layers that protect sensitive light-emitting materials against ambient moisture. The present studies deal with the adaptation of the atomic layer deposition (ALD) process to high-throughput roll-to-roll production using the spatial ALD concept. We report the development of such a process for the deposition of 20 nm thickness Al 2 O 3 diffusion barrier layers on 500 mm wide polymer webs. The process uses trimethylaluminum and water as precursors at a substrate temperature of 105 °C. The observation of self-limiting film growth behavior and uniformity of thickness confirms the ALD growth mechanism. Water vapor transmission rates for 20 nm Al 2 O 3 films deposited on polyethylene naphthalate (PEN) substrates were measured as a function of substrate residence time, that is, time of exposure of the substrate to one precursor zone. Moisture permeation levels measured at 38 °C/90% relative humidity by coulometric isostatic–isobaric method were below the detection limit of the instrument ( −4  g/m 2 day) for films coated at web moving speed of 0.25 m/min. Measurements using the Ca test indicated water vapor transmission rates ∼5 × 10 −6 g/m 2 day. Optical measurements on the coated web showed minimum transmission of 80% in the visible range that is the same as the original PEN substrate

  5. Regularly arranged indium islands on glass/molybdenum substrates upon femtosecond laser and physical vapor deposition processing

    Energy Technology Data Exchange (ETDEWEB)

    Ringleb, F.; Eylers, K.; Teubner, Th.; Boeck, T., E-mail: torsten.boeck@ikz-berlin.de [Leibniz-Institute for Crystal Growth, Max-Born-Straße 2, Berlin 12489 (Germany); Symietz, C.; Bonse, J.; Andree, S.; Krüger, J. [Bundesanstalt für Materialforschung und-prüfung (BAM), Unter den Eichen 87, Berlin 12205 (Germany); Heidmann, B.; Schmid, M. [Department of Physics, Freie Universität Berlin, Arnimalle 14, Berlin 14195 (Germany); Nanooptical Concepts for PV, Helmholtz Zentrum Berlin, Hahn-Meitner-Platz 1, Berlin 14109 (Germany); Lux-Steiner, M. [Nanooptical Concepts for PV, Helmholtz Zentrum Berlin, Hahn-Meitner-Platz 1, Berlin 14109 (Germany); Heterogeneous Material Systems, Helmholtz Zentrum Berlin, Hahn-Meitner-Platz 1, Berlin 14109 (Germany)

    2016-03-14

    A bottom-up approach is presented for the production of arrays of indium islands on a molybdenum layer on glass, which can serve as micro-sized precursors for indium compounds such as copper-indium-gallium-diselenide used in photovoltaics. Femtosecond laser ablation of glass and a subsequent deposition of a molybdenum film or direct laser processing of the molybdenum film both allow the preferential nucleation and growth of indium islands at the predefined locations in a following indium-based physical vapor deposition (PVD) process. A proper choice of laser and deposition parameters ensures the controlled growth of indium islands exclusively at the laser ablated spots. Based on a statistical analysis, these results are compared to the non-structured molybdenum surface, leading to randomly grown indium islands after PVD.

  6. Deposition of high Tc superconductor thin films by pulsed excimer laser ablation and their post-synthesis processing

    International Nuclear Information System (INIS)

    Ogale, S.B.

    1992-01-01

    This paper describes the use of pulsed excimer laser ablation technique for deposition of high quality superconductor thin films on different substrate materials such as Y stabilized ZrO 2 , SrTiO 3 , LiNbO 3 , Silicon and Stainless Steels, and dopant incorporation during the film depositions. Processing of deposited films using ion and laser beams for realisation of device features are presented. 28 refs., 16 figs

  7. Effect of Processing Parameters on Performance of Spray-Deposited Organic Thin-Film Transistors

    Directory of Open Access Journals (Sweden)

    Jack W. Owen

    2011-01-01

    Full Text Available The performance of organic thin-film transistors (OTFTs is often strongly dependent on the fabrication procedure. In this study, we fabricate OTFTs of soluble small-molecule organic semiconductors by spray-deposition and explore the effect of processing parameters on film morphology and device mobility. In particular, we report on the effect of the nature of solvent, the pressure of the carrier gas used in deposition, and the spraying distance. We investigate the surface morphology using scanning force microscopy and show that the molecules pack along the π-stacking direction, which is the preferred charge transport direction. Our results demonstrate that we can tune the field-effect mobility of spray-deposited devices two orders of magnitude, from 10−3 cm2/Vs to 10−1 cm2/Vs, by controlling fabrication parameters.

  8. Rapid processing method for solution deposited YBa{sub 2}Cu{sub 3}O{sub 7-{delta}} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Dawley, J.T.; Clem, P.G.; Boyle, T.J.; Ottley, L.M.; Overmyer, D.L.; Siegal, M.P

    2004-02-01

    YBa{sub 2}Cu{sub 3}O{sub 7-{delta}} (YBCO) films, deposited on buffered metal substrates, are the primary candidate for second-generation superconducting (SC) wires, with applications including expanded power grid transmission capability, compact motors, and enhanced sensitivity magnetic resonance imaging. Feasibility of manufacturing such superconducting wires is dependent on high processing speed, often a limitation of vapor and solution-based YBCO deposition processes. In this work, YBCO films were fabricated via a new diethanolamine-modified trifluoroacetic film solution deposition method. Modifying the copper chemistry of the YBCO precursor solution with diethanolamine enables a hundredfold decrease in the organic pyrolysis time required for MA/cm{sup 2} current density (J{sub c}) YBCO films, from multiple hours to {approx}20 s in atmospheric pressure air. High quality, {approx}0.2 {mu}m thick YBCO films with J{sub c} (77 K) values {>=}2 MA/cm{sup 2} at 77 K are routinely crystallized from these rapidly pyrolyzed films deposited on LaAlO{sub 3}. This process has also enabled J{sub c} (77 K)=1.1 MA/cm{sup 2} YBCO films via 90 m/h dip-coating on Oak Ridge National Laboratory RABiTS textured metal tape substrates. This new YBCO solution deposition method suggests a route toward inexpensive and commercializable {approx}$10/kA m solution deposited YBCO coated conductor wires.

  9. Low-pressure chemical vapor deposition as a tool for deposition of thin film battery materials

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    Low Pressure Chemical Vapor Deposition was utilized for the deposition of LiCoO2 cathode materials for all-solid-state thin-film micro-batteries. To obtain insight in the deposition process, the most important process parameters were optimized for the deposition of crystalline electrode films on

  10. Effects of vacuum processing erbium dideuteride/ditritide films deposited on chromium underlays on copper substrates

    International Nuclear Information System (INIS)

    Provo, J.L.

    1978-01-01

    Thin films of erbium dideuteride/ditritide were experimentally produced on chromium underlays deposited on copper substrates. The chromium underlay is required to prevent erbium occluder/copper substrate alloying which inhibits hydriding. Data taken has shown that vacuum processing affects the erbium/chromium/copper interaction. With an in situ process in which underlay/occluder films are vacuum deposited onto copper substrates and hydrided with no air exposure between these steps, data indicates a minimum of 1500A of chromium is required for optimum hydriding. If films are vacuum deposited as above and air-exposed before hydriding, a minimum of 3000A of chromium was shown to be required for equivalent hydriding. Data suggests that the activation step (600 0 C for 1 hour) required for hydriding the film of the second type is responsible for the difference observed. Such underlay thickness parameters are important, with regard to heat transfer considerations in thin hydride targets used for neutron generation

  11. Smooth germanium nanowires prepared by a hydrothermal deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Pei, L.Z., E-mail: lzpei1977@163.com [School of Materials Science and Engineering, Institute of Molecular Engineering and Applied Chemistry, Key Laboratory of Materials Science and Processing of Anhui Province, Anhui University of Technology, Ma' anshan, Anhui 243002 (China); Zhao, H.S. [School of Materials Science and Engineering, Institute of Molecular Engineering and Applied Chemistry, Key Laboratory of Materials Science and Processing of Anhui Province, Anhui University of Technology, Ma' anshan, Anhui 243002 (China); Tan, W. [Henkel Huawei Electronics Co. Ltd., Lian' yungang, Jiangsu 222006 (China); Yu, H.Y. [School of Materials Science and Engineering, Institute of Molecular Engineering and Applied Chemistry, Key Laboratory of Materials Science and Processing of Anhui Province, Anhui University of Technology, Ma' anshan, Anhui 243002 (China); Chen, Y.W. [Department of Materials Science, Fudan University, Shanghai 200433 (China); Fan, C.G. [School of Materials Science and Engineering, Institute of Molecular Engineering and Applied Chemistry, Key Laboratory of Materials Science and Processing of Anhui Province, Anhui University of Technology, Ma' anshan, Anhui 243002 (China); Zhang, Qian-Feng, E-mail: zhangqf@ahut.edu.cn [School of Materials Science and Engineering, Institute of Molecular Engineering and Applied Chemistry, Key Laboratory of Materials Science and Processing of Anhui Province, Anhui University of Technology, Ma' anshan, Anhui 243002 (China)

    2009-11-15

    Smooth germanium nanowires were prepared using Ge and GeO{sub 2} as the starting materials and Cu sheet as the substrate by a simple hydrothermal deposition process. Scanning electron microscopy (SEM) and transmission electron microscopy (TEM) characterizations show that the germanium nanowires are smooth and straight with uniform diameter of about 150 nm in average and tens of micrometers in length. X-ray diffraction (XRD) and Raman spectrum of the germanium nanowires display that the germanium nanowires are mainly composed of cubic diamond phase. PL spectrum shows a strong blue light emission at 441 nm. The growth mechanism is also discussed.

  12. Smooth germanium nanowires prepared by a hydrothermal deposition process

    International Nuclear Information System (INIS)

    Pei, L.Z.; Zhao, H.S.; Tan, W.; Yu, H.Y.; Chen, Y.W.; Fan, C.G.; Zhang, Qian-Feng

    2009-01-01

    Smooth germanium nanowires were prepared using Ge and GeO 2 as the starting materials and Cu sheet as the substrate by a simple hydrothermal deposition process. Scanning electron microscopy (SEM) and transmission electron microscopy (TEM) characterizations show that the germanium nanowires are smooth and straight with uniform diameter of about 150 nm in average and tens of micrometers in length. X-ray diffraction (XRD) and Raman spectrum of the germanium nanowires display that the germanium nanowires are mainly composed of cubic diamond phase. PL spectrum shows a strong blue light emission at 441 nm. The growth mechanism is also discussed.

  13. Evidence for substantial forestry canopy processing of nitrogen deposition using isotopic tracer experiments in low deposition conditions

    Science.gov (United States)

    Ferraretto, Daniele; Heal, Kate

    2017-04-01

    Temperate forest ecosystems are significant sinks for nitrogen deposition (Ndep) yielding benefits such as protection of waterbodies from eutrophication and enhanced sequestration of atmospheric CO2. Previous studies have shown evidence of biological nitrification and Ndep processing and retention in forest canopies. However, this was reported only at sites with high environmental or experimentally enhanced rates of Ndep (˜18 kg N ha-1 y-1) and has not yet been demonstrated in low Ndep environments. We have used bulk field hydrochemical measurements and labelled isotopic experiments to assess canopy processing in a lower Ndep environment (˜7 kg N ha-1 year-1) at a Sitka spruce plantation in Perthshire, Scotland, representing the dominant tree species (24%) in woodlands in Great Britain. Analysis of 4.5 years of measured N fluxes in rainfall (RF) and fogwater onto the canopy and throughfall (TF) and stemflow (SF) below the canopy suggests strong transformation and uptake of Ndep in the forest canopy. Annual canopy Ndep uptake was ˜4.7 kg N ha-1 year-1, representing 60-76% of annual Ndep. To validate these plot-scale results and track N uptake within the forest canopy in different seasons, double 15N-labelled NH4NO3 (98%) solution was sprayed in summer and winter onto the canopy of three trees at the measurement site. RF, TF and SF samples have been collected and analysed for 15NH4 and 15NO3. Comparing the amount of labelled N recovered under the sample trees with the measured δ15N signal is expected to provide further evidence of the role of forest canopies in actively processing and retaining atmospheric N deposition.

  14. Nitrogen deposition in precipitation to a monsoon-affected eutrophic embayment: Fluxes, sources, and processes

    Science.gov (United States)

    Wu, Yunchao; Zhang, Jingping; Liu, Songlin; Jiang, Zhijian; Arbi, Iman; Huang, Xiaoping; Macreadie, Peter Ian

    2018-06-01

    Daya Bay in the South China Sea (SCS) has experienced rapid nitrogen pollution and intensified eutrophication in the past decade due to economic development. Here, we estimated the deposition fluxes of nitrogenous species, clarified the contribution of nitrogen from precipitation and measured ions and isotopic composition (δ15N and δ18O) of nitrate in precipitation in one year period to trace its sources and formation processes among different seasons. We found that the deposition fluxes of total dissolved nitrogen (TDN), NO3-, NH4+, NO2-, and dissolved organic nitrogen (DON) to Daya Bay were 132.5, 64.4 17.5, 1.0, 49.6 mmol m-2•yr-1, respectively. DON was a significant contributor to nitrogen deposition (37% of TDN), and NO3- accounted for 78% of the DIN in precipitation. The nitrogen deposition fluxes were higher in spring and summer, and lower in winter. Nitrogen from precipitation contributed nearly 38% of the total input of nitrogen (point sources input and dry and wet deposition) in Daya Bay. The δ15N-NO3- abundance, ion compositions, and air mass backward trajectories implicated that coal combustion, vehicle exhausts, and dust from mainland China delivered by northeast monsoon were the main sources in winter, while fossil fuel combustion (coal combustion and vehicle exhausts) and dust from PRD and southeast Asia transported by southwest monsoon were the main sources in spring; marine sources, vehicle exhausts and lightning could be the potential sources in summer. δ18O results showed that OH pathway was dominant in the chemical formation process of nitrate in summer, while N2O5+ DMS/HC pathways in winter and spring.

  15. New deposition processes for the growth of oxide and nitride thin films

    International Nuclear Information System (INIS)

    Apen, E.A.; Atagi, L.M.; Barbero, R.S.; Espinoza, B.F.; Hubbard, K.M.; Salazar, K.V.; Samuels, J.A.; Smith, D.C.; Hoffman, D.M.

    1998-01-01

    This is the final report of a three-year, Laboratory Directed Research and Development (LDRD) project at Los Alamos National Laboratory (LANL). The goal of this effort is to study the use of homoleptic metal amido compounds as precursors for chemical vapor deposition (CVD). The amides offer potential for the deposition of a variety of important materials at low temperatures. The establishment of these precursor compounds will enhance the ability to exploit the properties of advanced materials in numerous coatings applications. Experiments were performed to study the reactivity of Sn[NMe 2 ] 4 with oxygen. The data demonstrated that gas-phase insertion of oxygen into the Sn-N bond, leading to a reactive intermediate, plays an important role in tin oxide deposition. Several CVD processes for technologically important materials were developed using the amido precursor complexes. These included the plasma enhanced CVD of TiN and Zr 3 N 4 , and the thermal CVD of GaN and AlN. Quality films were obtained in each case, demonstrating the potential of the amido compounds as CVD precursors

  16. Roll-to-roll atomic layer deposition process for flexible electronics encapsulation applications

    Energy Technology Data Exchange (ETDEWEB)

    Maydannik, Philipp S., E-mail: philipp.maydannik@lut.fi; Kääriäinen, Tommi O.; Lahtinen, Kimmo; Cameron, David C. [Advanced Surface Technology Research Laboratory, Lappeenranta University of Technology, Sammonkatu 12, 50130 Mikkeli (Finland); Söderlund, Mikko; Soininen, Pekka [Beneq Oy, P.O. Box 262, 01511 Vantaa (Finland); Johansson, Petri; Kuusipalo, Jurkka [Tampere University of Technology, Paper Converting and Packaging Technology, P.O. Box 589, 33101 Tampere (Finland); Moro, Lorenza; Zeng, Xianghui [Samsung Cheil Industries, San Jose R and D Center, 2186 Bering Drive, San Jose, California 95131 (United States)

    2014-09-01

    At present flexible electronic devices are under extensive development and, among them, flexible organic light-emitting diode displays are the closest to a large market deployment. One of the remaining unsolved challenges is high throughput production of impermeable flexible transparent barrier layers that protect sensitive light-emitting materials against ambient moisture. The present studies deal with the adaptation of the atomic layer deposition (ALD) process to high-throughput roll-to-roll production using the spatial ALD concept. We report the development of such a process for the deposition of 20 nm thickness Al{sub 2}O{sub 3} diffusion barrier layers on 500 mm wide polymer webs. The process uses trimethylaluminum and water as precursors at a substrate temperature of 105 °C. The observation of self-limiting film growth behavior and uniformity of thickness confirms the ALD growth mechanism. Water vapor transmission rates for 20 nm Al{sub 2}O{sub 3} films deposited on polyethylene naphthalate (PEN) substrates were measured as a function of substrate residence time, that is, time of exposure of the substrate to one precursor zone. Moisture permeation levels measured at 38 °C/90% relative humidity by coulometric isostatic–isobaric method were below the detection limit of the instrument (<5 × 10{sup −4} g/m{sup 2} day) for films coated at web moving speed of 0.25 m/min. Measurements using the Ca test indicated water vapor transmission rates ∼5 × 10{sup −6} g/m{sup 2} day. Optical measurements on the coated web showed minimum transmission of 80% in the visible range that is the same as the original PEN substrate.

  17. Process optimization of atomized melt deposition for the production of dispersion strengthened Al-8.5%Fe-1.2%V-1.7%Si alloys

    International Nuclear Information System (INIS)

    Hariprasad, S.; Sastry, S.M.L.; Jerina, K.L.

    1995-01-01

    Atomized melt deposition is a low cost manufacturing process with the microstructural control achieved through rapid solidification. In this process the liquid metal is disintegrated into fine droplets by gas atomization and the droplets are deposited on a substrate producing near net shape products. In the present investigation Al-8.5%Fe-1.2%V-1.7%Si alloy was produced using atomized melt deposition process to study the evolution of microstructure and assess the cooling rates and the undercooling achieved during the process. The size, morphology and the composition of second phase particles in the alloy are strong functions of the cooling rate and the undercooling and hence microstructural changes with the variation in process parameters were quantified. To define optimum conditions for the atomized melt deposition process, a mathematical model was developed. The model determines the temperature distribution of the liquid droplets during gas atomization and during the deposition stages. The model predicts the velocity distribution, cooling rates and the fraction solid, during the flight for different droplet sizes. The solidification heat transfer phenomena taking place during the atomized melt deposition process was analyzed using a finite difference method based on the enthalpy formulation

  18. Measuring the diffusion of Ti and Cu in low-k materials for microelectronic devices by EELS, EFTEM and EDX

    International Nuclear Information System (INIS)

    Barnes, J-P; Lafond, D; Guedj, C; Fayolle, M; Meininger, P; Maitrejean, S; David, T; Posseme, N; Bayle-Guillemaud, P; Chabli, Amal

    2006-01-01

    The need to reduce RC delay and cross talk in Cu interconnects means that ultra low-k dielectrics such as porous SiCOH are being integrated into microelectronic devices. Unfortunately porous materials lead to integration issues such as metal diffusion into the porosity of the dielectric, especially when chemical vapour deposition (CVD) methods are used for metal deposition. In our case, the copper anti-diffusion barrier used before Cu deposition is MOCVD TiN. Without an appropriate surface treatment (pore sealing) of the low-k the TiN may diffuse in the porosity. The presence of Ti or Cu in the low-k is deleterious as it can raise the dielectric constant and the leakage current. EFTEM EELS and EDX have been used to map Ti, Cu, O and C as a function of process conditions

  19. Plasma and process characterization of high power magnetron physical vapor deposition with integrated plasma equipment--feature profile model

    International Nuclear Information System (INIS)

    Zhang Da; Stout, Phillip J.; Ventzek, Peter L.G.

    2003-01-01

    High power magnetron physical vapor deposition (HPM-PVD) has recently emerged for metal deposition into deep submicron features in state of the art integrated circuit fabrication. However, the plasma characteristics and process mechanism are not well known. An integrated plasma equipment-feature profile modeling infrastructure has therefore been developed for HPM-PVD deposition, and it has been applied to simulating copper seed deposition with an Ar background gas for damascene metalization. The equipment scale model is based on the hybrid plasma equipment model [M. Grapperhaus et al., J. Appl. Phys. 83, 35 (1998); J. Lu and M. J. Kushner, ibid., 89, 878 (2001)], which couples a three-dimensional Monte Carlo sputtering module within a two-dimensional fluid model. The plasma kinetics of thermalized, athermal, and ionized metals and the contributions of these species in feature deposition are resolved. A Monte Carlo technique is used to derive the angular distribution of athermal metals. Simulations show that in typical HPM-PVD processing, Ar + is the dominant ionized species driving sputtering. Athermal metal neutrals are the dominant deposition precursors due to the operation at high target power and low pressure. The angular distribution of athermals is off axis and more focused than thermal neutrals. The athermal characteristics favor sufficient and uniform deposition on the sidewall of the feature, which is the critical area in small feature filling. In addition, athermals lead to a thick bottom coverage. An appreciable fraction (∼10%) of the metals incident to the wafer are ionized. The ionized metals also contribute to bottom deposition in the absence of sputtering. We have studied the impact of process and equipment parameters on HPM-PVD. Simulations show that target power impacts both plasma ionization and target sputtering. The Ar + ion density increases nearly linearly with target power, different from the behavior of typical ionized PVD processing. The

  20. Nonpolar a-plane GaN grown on r-plane sapphire using multilayer AlN buffer by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Chiang, C.H.; Chen, K.M.; Wu, Y.H.; Yeh, Y.S.; Lee, W.I.; Chen, J.F.; Lin, K.L.; Hsiao, Y.L.; Huang, W.C.; Chang, E.Y.

    2011-01-01

    Mirror-like and pit-free non-polar a-plane (1 1 -2 0) GaN films are grown on r-plane (1 -1 0 2) sapphire substrates using metalorganic chemical vapor deposition (MOCVD) with multilayer high-low-high temperature AlN buffer layers. The buffer layer structure and film quality are essential to the growth of a flat, crack-free and pit-free a-plane GaN film. The multilayer AlN buffer structure includes a thin low-temperature-deposited AlN (LT-AlN) layer inserted into the high-temperature-deposited AlN (HT-AlN) layer. The results demonstrate that the multilayer AlN buffer structure can improve the surface morphology of the upper a-plane GaN film. The grown multilayer AlN buffer structure reduced the tensile stress on the AlN buffer layers and increased the compressive stress on the a-plane GaN film. The multilayer AlN buffer structure markedly improves the surface morphology of the a-plane GaN film, as revealed by scanning electron microscopy. The effects of various growth V/III ratios was investigated to obtain a-plane GaN films with better surface morphology. The mean roughness of the surface was 1.02 nm, as revealed by atomic force microscopy. Accordingly, the multilayer AlN buffer structure improves the surface morphology and facilitates the complete coalescence of the a-plane GaN layer.

  1. Plasma processes and film growth of expanding thermal plasma deposited textured zinc oxide

    NARCIS (Netherlands)

    Groenen, R.; Linden, J.L.; Sanden, van de M.C.M.

    2005-01-01

    Plasma processes and film growth of textured zinc oxide deposited from oxygen and diethyl zinc utilizing expanding thermal argon plasma created by a cascaded arc is discussed. In all conditions explored, an excess of argon ions and low temperature electrons is available, which represent the

  2. Plasma-assisted ALD for the conformal deposition of SiO2 : process, material and electronic properties

    NARCIS (Netherlands)

    Dingemans, G.; Helvoirt, van C.A.A.; Pierreux, D.; Keuning, W.; Kessels, W.M.M.

    2012-01-01

    Plasma-assisted atomic layer deposition (ALD) was used to deposit SiO2 films in the temperature range of Tdep = 50–400°C on Si(100). H2Si[N(C2H5)2]2 and an O2 plasma were used as Si precursor and oxidant, respectively. The ALD growth process and material properties were characterized in detail.

  3. Sedimentary facies and Holocene depositional processes of Laura Island, Majuro Atoll

    Science.gov (United States)

    Yasukochi, Toru; Kayanne, Hajime; Yamaguchi, Toru; Yamano, Hiroya

    2014-10-01

    The depositional processes that formed Laura Island, Majuro Atoll, Marshall Islands, were reconstructed based on a facies analysis of island sediments and spine ratios, and radiocarbon ages of foraminifera. Sedimentary facies were analyzed from trenches and drill cores excavated on the island and its adjacent reef flat. Depositional ages were obtained using benthic foraminifera (Calcarina) whose spines had not been abraded. The facies were classified into two types: gravelly and sandy. The initial sediments of these sites consisted of gravelly facies in the lower horizon and sandy facies in the upper horizon. Their ages were approximately 2000 cal BP and coincident with the onset of a 1.1-m decline in regional relative sea level, which enabled deposition of the gravelly facies. Half of the sand fraction of the sediment was composed of larger benthic foraminifera. The spine ratio showed that their supply source on the reef flat was located oceanside of the island. The supply source appears to have been caused by the relative sea-level fall. This indicates that the studied island was formed by a relative reduction in wave energy and enhanced foraminiferal supply, both of which were triggered by the late Holocene relative sea-level fall.

  4. Understanding and optimization of InN and high indium containing InGaN alloys by metal organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tuna, Oecal

    2013-07-18

    Among the III-nitride semiconductors (Ga,Al,In)N, InN is the most attractive one due to having the narrowest bandgap of 0.64 eV. The revision in the bandgap of InN makes the InGaN more important since one can cover the whole solar spectrum by only changing In composition in an InGaN layer. The comparison of quality of InN and InGaN layers grown using a metal organic chemical vapor deposition (MOCVD) and a molecular beam epitaxy (MBE) methods indicate that growth with MOCVD is the more challenging, again due to the high dissociation temperature of NH{sub 3} relative to the low decomposition temperature of InN (560-570 C). However, there is significant interest in developing an MOCVD process for InN and InGaN growth since MOCVD technology is the technology currently in use for commercial fabrication of group III nitride thin films. This thesis is therefore focused on a study of MOCVD growth of n- and p-type InN and In-rich InGaN films with the goal of providing new information on the influence of growth conditions on the film properties. Initially, a detailed investigation of MOCVD of InN is given. It is shown that MOCVD growth parameters (growth temperature and V/III ratio) have impacts on the layer properties such as In droplet formation on the surface as well as on its electrical and optical properties. PAS is employed for point defect analyzation. It is shown that In vacancies isolated by nitrogen vacancies are the dominant vacancy-type positron traps in InN. A decrease in the N vacancy concentration in InN is observed as a result of the growth temperature increase from 500 to 550 C. This is an indication of a reduction of N vacancy concentration by enhancing NH{sub 3} dissociation at high growth temperature. Results obtained from optical techniques (Raman and PL) are used to estimate the free carrier concentrations in InN. Electrical characterizations are also carried out using Hall measurements. Carrier concentration values obtained by these three techniques

  5. Understanding and optimization of InN and high indium containing InGaN alloys by metal organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Tuna, Oecal

    2013-01-01

    Among the III-nitride semiconductors (Ga,Al,In)N, InN is the most attractive one due to having the narrowest bandgap of 0.64 eV. The revision in the bandgap of InN makes the InGaN more important since one can cover the whole solar spectrum by only changing In composition in an InGaN layer. The comparison of quality of InN and InGaN layers grown using a metal organic chemical vapor deposition (MOCVD) and a molecular beam epitaxy (MBE) methods indicate that growth with MOCVD is the more challenging, again due to the high dissociation temperature of NH 3 relative to the low decomposition temperature of InN (560-570 C). However, there is significant interest in developing an MOCVD process for InN and InGaN growth since MOCVD technology is the technology currently in use for commercial fabrication of group III nitride thin films. This thesis is therefore focused on a study of MOCVD growth of n- and p-type InN and In-rich InGaN films with the goal of providing new information on the influence of growth conditions on the film properties. Initially, a detailed investigation of MOCVD of InN is given. It is shown that MOCVD growth parameters (growth temperature and V/III ratio) have impacts on the layer properties such as In droplet formation on the surface as well as on its electrical and optical properties. PAS is employed for point defect analyzation. It is shown that In vacancies isolated by nitrogen vacancies are the dominant vacancy-type positron traps in InN. A decrease in the N vacancy concentration in InN is observed as a result of the growth temperature increase from 500 to 550 C. This is an indication of a reduction of N vacancy concentration by enhancing NH 3 dissociation at high growth temperature. Results obtained from optical techniques (Raman and PL) are used to estimate the free carrier concentrations in InN. Electrical characterizations are also carried out using Hall measurements. Carrier concentration values obtained by these three techniques revealed a

  6. Obtention and characterization of TiO{sub 2}/TiN multilayers coatings; Obtencao e caracterizacao de revestitimentos compostos de multicamadas TiO{sub 2}/TiN

    Energy Technology Data Exchange (ETDEWEB)

    Goncalves, Andre

    2010-07-01

    Nano science has emerged in recent years as one of the most important areas for future technological developments, especially in the area of electronic devices. Nanotechnology has an interdisciplinary character which includes knowledge from physics, chemistry, engineering, and biology. This technology is being used in the manufacture of microprocessors, pumps for dose of medicine, and coating materials, among others. The MOCVD technique has been used recently to obtain nanocrystalline coatings, and provide films of better quality than those obtained by conventional CVD or physical methods. Furthermore, the MOCVD technique presents itself as a competitive alternative because it is relatively inexpensive and easy to deploy compared to physical deposition methods. In this work multilayer coatings of TiO{sub 2}/TiN were produced. During the experiment, the opening and closing of the valves of gases admission, requires from the operator manual ability to trigger the valve and controlling the deposition time, which creates the possibility of errors, leading directly into the thickness of each layer. Thus, the need of reducing the influence of the operator, and the possibility of using time intervals of less than a minute in the growths, created the opportunity to develop a computer program to manage the whole system. The software was developed using the 'State machine' concept for the process control and Hardware in the loop simulation. (author)

  7. Obtention and characterization of TiO2/TiN multilayers coatings

    International Nuclear Information System (INIS)

    Goncalves, Andre

    2010-01-01

    Nano science has emerged in recent years as one of the most important areas for future technological developments, especially in the area of electronic devices. Nanotechnology has an interdisciplinary character which includes knowledge from physics, chemistry, engineering, and biology. This technology is being used in the manufacture of microprocessors, pumps for dose of medicine, and coating materials, among others. The MOCVD technique has been used recently to obtain nanocrystalline coatings, and provide films of better quality than those obtained by conventional CVD or physical methods. Furthermore, the MOCVD technique presents itself as a competitive alternative because it is relatively inexpensive and easy to deploy compared to physical deposition methods. In this work multilayer coatings of TiO 2 /TiN were produced. During the experiment, the opening and closing of the valves of gases admission, requires from the operator manual ability to trigger the valve and controlling the deposition time, which creates the possibility of errors, leading directly into the thickness of each layer. Thus, the need of reducing the influence of the operator, and the possibility of using time intervals of less than a minute in the growths, created the opportunity to develop a computer program to manage the whole system. The software was developed using the 'State machine' concept for the process control and Hardware in the loop simulation. (author)

  8. Tuning polymorphism and orientation in organic semiconductor thin films via post-deposition processing.

    Science.gov (United States)

    Hiszpanski, Anna M; Baur, Robin M; Kim, Bumjung; Tremblay, Noah J; Nuckolls, Colin; Woll, Arthur R; Loo, Yueh-Lin

    2014-11-05

    Though both the crystal structure and molecular orientation of organic semiconductors are known to impact charge transport in thin-film devices, separately accessing different polymorphs and varying the out-of-plane molecular orientation is challenging, typically requiring stringent control over film deposition conditions, film thickness, and substrate chemistry. Here we demonstrate independent tuning of the crystalline polymorph and molecular orientation in thin films of contorted hexabenzocoronene, c-HBC, during post-deposition processing without the need to adjust deposition conditions. Three polymorphs are observed, two of which have not been previously reported. Using our ability to independently tune the crystal structure and out-of-plane molecular orientation in thin films of c-HBC, we have decoupled and evaluated the effects that molecular packing and orientation have on device performance in thin-film transistors (TFTs). In the case of TFTs comprising c-HBC, polymorphism and molecular orientation are equally important; independently changing either one affects the field-effect mobility by an order of magnitude.

  9. Modeling of thermal, electronic, hydrodynamic, and dynamic deposition processes for pulsed-laser deposition of thin films

    International Nuclear Information System (INIS)

    Liu, C.L.; LeBoeuf, J.N.; Wood, R.F.; Geohegan, D.B.; Donato, J.M.; Chen, K.R.; Puretzky, A.A.

    1994-11-01

    Various physical processes during laser ablation of solids for pulsed-laser deposition (PLD) are studied using a variety of computational techniques. In the course of the authors combined theoretical and experimental effort, they have been trying to work on as many aspects of PLD processes as possible, but with special focus on the following areas: (a) the effects of collisional interactions between the particles in the plume and in the background on the evolving flow field and on thin film growth, (b) interactions between the energetic particles and the growing thin films and their effects on film quality, (c) rapid phase transformations through the liquid and vapor phases under possibly nonequilibrium thermodynamic conditions induced by laser-solid interactions, (d) breakdown of the vapor into a plasma in the early stages of ablation through both electronic and photoionization processes, (c) hydrodynamic behavior of the vapor/plasma during and after ablation. The computational techniques used include finite difference (FD) methods, particle-in-cell model, and atomistic simulations using molecular dynamics (MD) techniques

  10. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  11. Ellipsometry study of process deposition of amorphous Indium Gallium Zinc Oxide sputtered thin films

    International Nuclear Information System (INIS)

    Talagrand, C.; Boddaert, X.; Selmeczi, D.G.; Defranoux, C.; Collot, P.

    2015-01-01

    This paper reports on an InGaZnO optical study by spectrometric ellipsometry. First of all, the fitting results of different models and different structures are analysed to choose the most appropriate model. The Tauc–Lorentz model is suitable for thickness measurements but a more complex model allows the refractive index and extinction coefficient to be extracted more accurately. Secondly, different InGaZnO process depositions are carried out in order to investigate stability, influence of deposition time and uniformity. Films present satisfactory optical stability over time. InGaZnO optical property evolution as a function of deposition time is related to an increase in temperature. To understand the behaviour of uniformity, mapping measurements are correlated to thin film resistivity. Results show that temperature and resputtering are the two phenomena that affect IGZO uniformity. - Highlights: • Model and structure are investigated to fit IGZO ellipsometric angles. • Maximum refractive index rises with substrate temperature and thus deposition time. • Resputtering leads to inhomogeneity in IGZO electrical and optical properties

  12. Ellipsometry study of process deposition of amorphous Indium Gallium Zinc Oxide sputtered thin films

    Energy Technology Data Exchange (ETDEWEB)

    Talagrand, C., E-mail: talagrand@emse.fr [Ecole des Mines de Saint-Etienne CMP-GC, Dept PS2, Gardanne, 880 route de Mimet (France); Boddaert, X. [Ecole des Mines de Saint-Etienne CMP-GC, Dept PS2, Gardanne, 880 route de Mimet (France); Selmeczi, D.G.; Defranoux, C. [Semilab Semiconductor Physics Laboratory Co. Ltd., Budapest, 1117 (Hungary); Collot, P. [Ecole Nationale Supérieure d' Arts et Métiers ParisTech, Aix-en-Provence, 2 cours des Arts et Métiers (France)

    2015-09-01

    This paper reports on an InGaZnO optical study by spectrometric ellipsometry. First of all, the fitting results of different models and different structures are analysed to choose the most appropriate model. The Tauc–Lorentz model is suitable for thickness measurements but a more complex model allows the refractive index and extinction coefficient to be extracted more accurately. Secondly, different InGaZnO process depositions are carried out in order to investigate stability, influence of deposition time and uniformity. Films present satisfactory optical stability over time. InGaZnO optical property evolution as a function of deposition time is related to an increase in temperature. To understand the behaviour of uniformity, mapping measurements are correlated to thin film resistivity. Results show that temperature and resputtering are the two phenomena that affect IGZO uniformity. - Highlights: • Model and structure are investigated to fit IGZO ellipsometric angles. • Maximum refractive index rises with substrate temperature and thus deposition time. • Resputtering leads to inhomogeneity in IGZO electrical and optical properties.

  13. Bioactive glass-ceramic coatings prepared by pulsed laser deposition from RKKP targets (sol-gel vs melt-processing route)

    Energy Technology Data Exchange (ETDEWEB)

    Rau, J.V., E-mail: giulietta.rau@ism.cnr.it [Istituto di Struttura della Materia, Consiglio Nazionale delle Ricerche, Via del Fosso del Cavaliere, 100-00133 Rome (Italy); Teghil, R. [Universita della Basilicata, Dipartimento di Chimica ' A.M. Tamburro' , Via dell' Ateneo Lucano, 10-85100 Potenza (Italy); CNR-IMIP U.O.S. di Potenza, Zona Industriale di Tito scalo (PZ) (Italy); Fosca, M. [Istituto di Struttura della Materia, Consiglio Nazionale delle Ricerche, Via del Fosso del Cavaliere, 100-00133 Rome (Italy); Universita di Roma ' La Sapienza' , Dipartimento di Chimica, Piazzale Aldo Moro, 5-00185 Rome (Italy); De Bonis, A. [Universita della Basilicata, Dipartimento di Chimica ' A.M. Tamburro' , Via dell' Ateneo Lucano, 10-85100 Potenza (Italy); CNR-IMIP U.O.S. di Potenza, Zona Industriale di Tito scalo (PZ) (Italy); Cacciotti, I.; Bianco, A. [Universita di Roma ' Tor Vergata' , Dipartimento di Ingegneria Industriale, UR INSTM ' Roma Tor Vergata' , Via del Politecnico, 1-00133 Rome (Italy); Albertini, V. Rossi [Istituto di Struttura della Materia, Consiglio Nazionale delle Ricerche, Via del Fosso del Cavaliere, 100-00133 Rome (Italy); Caminiti, R. [Universita di Roma ' La Sapienza' , Dipartimento di Chimica, Piazzale Aldo Moro, 5-00185 Rome (Italy); Ravaglioli, A. [Parco Torricelli delle Arti e delle Scienze, Via Granarolo, 64-48018 Faenza (Ra) (Italy)

    2012-05-15

    Highlights: Black-Right-Pointing-Pointer Bioactive glass-ceramic coatings for bone tissue repair and regeneration. Black-Right-Pointing-Pointer Pulsed Lased Deposition allowed congruent transfer of target composition to coating. Black-Right-Pointing-Pointer Target was prepared by sol-gel process suitable for compositional tailoring. Black-Right-Pointing-Pointer Titanium, widely used for orthopaedics and dental implants, was used as substrate. Black-Right-Pointing-Pointer The physico-chemical properties of the prepared coatings are reported. -- Abstract: The deposition of innovative glass-ceramic composition (i.e. RKKP) coatings by Pulsed Lased Deposition (PLD) technique is reported. RKKP was synthesised following two methodologies: melt-processing and sol-gel, the latter being particularly suitable to tailor the compositional range. The PLD advantage with respect to other deposition techniques is the congruent transfer of the target composition to the coating. The physico-chemical properties of films were investigated by Scanning Electron and Atomic Force Microscopies, Fourier Transform Infrared Spectroscopy, Angular and Energy Dispersive X-ray Diffraction, and Vickers microhardness. The deposition performed at 12 J/cm{sup 2} and 500 Degree-Sign C allows to prepare crystalline films with the composition that replicates rather well that of the initial targets. The 0.6 {mu}m thin melt-processing RKKP films, possessing the hardness of 25 GPa, and the 4.3 {mu}m thick sol-gel films with the hardness of 17 GPa were obtained.

  14. Bioactive glass–ceramic coatings prepared by pulsed laser deposition from RKKP targets (sol–gel vs melt-processing route)

    International Nuclear Information System (INIS)

    Rau, J.V.; Teghil, R.; Fosca, M.; De Bonis, A.; Cacciotti, I.; Bianco, A.; Albertini, V. Rossi; Caminiti, R.; Ravaglioli, A.

    2012-01-01

    Highlights: ► Bioactive glass–ceramic coatings for bone tissue repair and regeneration. ► Pulsed Lased Deposition allowed congruent transfer of target composition to coating. ► Target was prepared by sol–gel process suitable for compositional tailoring. ► Titanium, widely used for orthopaedics and dental implants, was used as substrate. ► The physico-chemical properties of the prepared coatings are reported. -- Abstract: The deposition of innovative glass–ceramic composition (i.e. RKKP) coatings by Pulsed Lased Deposition (PLD) technique is reported. RKKP was synthesised following two methodologies: melt-processing and sol–gel, the latter being particularly suitable to tailor the compositional range. The PLD advantage with respect to other deposition techniques is the congruent transfer of the target composition to the coating. The physico-chemical properties of films were investigated by Scanning Electron and Atomic Force Microscopies, Fourier Transform Infrared Spectroscopy, Angular and Energy Dispersive X-ray Diffraction, and Vickers microhardness. The deposition performed at 12 J/cm 2 and 500 °C allows to prepare crystalline films with the composition that replicates rather well that of the initial targets. The 0.6 μm thin melt-processing RKKP films, possessing the hardness of 25 GPa, and the 4.3 μm thick sol–gel films with the hardness of 17 GPa were obtained.

  15. The effect of a slight mis-orientation angle of c-plane sapphire substrate on surface and crystal quality of MOCVD grown GaN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Seong-Woo; Suzuki, Toshimasa [Nippon Institute of Technology, 4-1 Gakuendai, Miyashiro, Saitama, 345-8501 (Japan); Aida, Hideo [NAMIKI Precision Jewel Co. Ltd., 3-8-22 Shinden, Adachi-ku, Tokyo, 123-8511 (Japan)

    2004-09-01

    The effect of a slight mis-orientation of c-plane sapphire substrate on the surface morphology and crystal quality of GaN thin films grown by MOCVD has been investigated. The mis-orientation angle of vicinal c-plane sapphire substrate was changed within the range of 0.00(zero)-1.00(one) degree, and the experimental results were compared with those on just angle (zero degree) c-plane sapphire substrate. The surface morphology and crystal quality were found to be very sensitive to mis-orientation angle. Consequently, the mis-orientation angle was optimized to be 0.15 . (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. Process-Based Cost Modeling of Photonics Manufacture: The Cost Competitiveness of Monolithic Integration of a 1550-nm DFB Laser and an Electroabsorptive Modulator on an InP Platform

    Science.gov (United States)

    Fuchs, Erica R. H.; Bruce, E. J.; Ram, R. J.; Kirchain, Randolph E.

    2006-08-01

    The monolithic integration of components holds promise to increase network functionality and reduce packaging expense. Integration also drives down yield due to manufacturing complexity and the compounding of failures across devices. Consensus is lacking on the economically preferred extent of integration. Previous studies on the cost feasibility of integration have used high-level estimation methods. This study instead focuses on accurate-to-industry detail, basing a process-based cost model of device manufacture on data collected from 20 firms across the optoelectronics supply chain. The model presented allows for the definition of process organization, including testing, as well as processing conditions, operational characteristics, and level of automation at each step. This study focuses on the cost implications of integration of a 1550-nm DFB laser with an electroabsorptive modulator on an InP platform. Results show the monolithically integrated design to be more cost competitive over discrete component options regardless of production scale. Dominant cost drivers are packaging, testing, and assembly. Leveraging the technical detail underlying model projections, component alignment, bonding, and metal-organic chemical vapor deposition (MOCVD) are identified as processes where technical improvements are most critical to lowering costs. Such results should encourage exploration of the cost advantages of further integration and focus cost-driven technology development.

  17. A flexible angle sensor made from MWNT/CuO/Cu{sub 2}O nanocomposite films deposited by an electrophoretic co-deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Toboonsung, Buppachat, E-mail: buppachattt@yahoo.co.th [Physics and General Science Program, Faculty of Science and Technology, Nakhon Ratchasima Rajabhat University, Nakhon Ratchasima 30000 (Thailand); Singjai, Pisith, E-mail: singjai@hotmail.com [Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Materials Science Research Center, Faculty of Science, Chiang Mai University, Chiang Mai, 50200 (Thailand)

    2012-08-25

    Highlights: Black-Right-Pointing-Pointer MWNT/CuO/Cu{sub 2}Onanocomposite films were coated on a PET sheet. Black-Right-Pointing-Pointer The film resistance and application as angle sensor were investigated. Black-Right-Pointing-Pointer Thesensor showed a linear relation between the film resistance and the bending angle. Black-Right-Pointing-Pointer A minimum loop area and a high stability in sensitivity over a thousand bending cycles were obtained. - Abstract: A flexible angle sensor was prepared using an electrophoretic co-deposition process to form nanocomposite networks of multi-wall carbon nanotube/cupric oxide/cuprous oxide (MWNT/CuO/Cu{sub 2}O) on a polyethylene terephthalate (PET) sheet. The deposition method used copper and stainless steel electrodes, and the effects of varying of electrode separation, MWNT concentration in deionized water, voltage and deposition time were studied. The film resistance of the as-deposited samples decreased with increasing the MWNT concentration up to 0.3 mg/ml. The angle sensor showed a linear relation between the film resistance and the bending angle, a relationship that was illustrated with loop area and sensitivity data. The best angle sensor was successfully made with an electrode separation of 8 mm, a concentration of 0.3 mg/ml, a voltage of 10 V and a deposition time of 3 h, parameters that resulted in a minimum loop area and the most stability in sensitivity over a thousand bending cycles.

  18. In situ analysis of thin film deposition processes using time-of-flight (TOF) ion beam analysis methods

    International Nuclear Information System (INIS)

    Im, J.; Lin, Y.; Schultz, J.A.; Auciello, O.H.; Chang, R.P.H.

    1995-05-01

    Non-destructive, in situ methods for characterization of thin film growth phenomena is key to understand thin film growth processes and to develop more reliable deposition procedures, especially for complex layered structures involving multi-phase materials. However, surface characterization methods that use either electrons (e.g. AES or XPS) or low energy ions (SIMS) require an UHV environment and utilize instrumentation which obstructs line of sight access to the substrate and are therefore incompatible with line of sight deposition methods and thin film deposition processes which introduce gas, either part of the deposition or in order to produce the desired phase. We have developed a means of differentially pumping both the ion beam source and detectors of a TOF ion beam surface analysis spectrometer that does not interfere with the deposition process and permits compositional and structural analysis of the growing film in the present system, at pressures up to several mTorr. Higher pressures are feasible with modified source-detector geometry. In order to quantify the sensitivity of Ion Scattering Spectroscopy (ISS) and Direct Recoil Spectroscopy (DRS), we have measured the signal intensity for stabilized clean metals in a variety of gas environments as a function of the ambient gas species and pressure, and ion beam species and kinetic energy. Results are interpreted in terms of collision cross sections which are compared with known gas phase scattering data and provide an apriori basis for the evaluation of time-of-flight ion scattering and recoil spectroscopies (ToF-ISARS) for various industrial processing environments which involve both inert and reactive cases. The cross section data for primary ion-gas molecule and recoiled atom-gas molecule interactions are also provided. from which the maximum operating pressure in any experimental configuration can be obtained

  19. Macro controlling of copper oxide deposition processes and spray mode by using home-made fully computerized spray pyrolysis system

    Science.gov (United States)

    Essa, Mohammed Sh.; Chiad, Bahaa T.; Shafeeq, Omer Sh.

    2017-09-01

    Thin Films of Copper Oxide (CuO) absorption layer have been deposited using home-made Fully Computerized Spray Pyrolysis Deposition system FCSPD on glass substrates, at the nozzle to substrate distance equal to 20,35 cm, and computerized spray mode (continues spray, macro-control spray). The substrate temperature has been kept at 450 °c with the optional user can enter temperature tolerance values ± 5 °C. Also that fixed molar concentration of 0.1 M, and 2D platform speed or deposition platform speed of 4mm/s. more than 1000 instruction program code, and specific design of graphical user interface GUI to fully control the deposition process and real-time monitoring and controlling the deposition temperature at every 200 ms. The changing in the temperature has been recorded during deposition processes, in addition to all deposition parameters. The films have been characterized to evaluate the thermal distribution over the X, Y movable hot plate, the structure and optical energy gap, thermal and temperature distribution exhibited a good and uniform distribution over 20 cm2 hot plate area, X-ray diffraction (XRD) measurement revealed that the films are polycrystalline in nature and can be assigned to monoclinic CuO structure. Optical band gap varies from 1.5-1.66 eV depending on deposition parameter.

  20. Sukanya Dhar

    Indian Academy of Sciences (India)

    Thermodynamic modeling to analyse composition of carbonaceous coatings of MnO and other oxides of manganese grown by MOCVD · Sukanya Dhar A Varade S A Shivashankar · More Details Abstract Fulltext PDF. Equilibrium thermodynamic analysis has been applied to the low-pressure MOCVD process using ...

  1. Gaseous material capacity of open plasma jet in plasma spray-physical vapor deposition process

    Science.gov (United States)

    Liu, Mei-Jun; Zhang, Meng; Zhang, Qiang; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2018-01-01

    Plasma spray-physical vapor deposition (PS-PVD) process, emerging as a highly efficient hybrid approach, is based on two powerful technologies of both plasma spray and physical vapor deposition. The maximum production rate is affected by the material feed rate apparently, but it is determined by the material vapor capacity of transporting plasma actually and essentially. In order to realize high production rate, the gaseous material capacity of plasma jet must be fundamentally understood. In this study, the thermal characteristics of plasma were measured by optical emission spectrometry. The results show that the open plasma jet is in the local thermal equilibrium due to a typical electron number density from 2.1 × 1015 to 3.1 × 1015 cm-3. In this condition, the temperature of gaseous zirconia can be equal to the plasma temperature. A model was developed to obtain the vapor pressure of gaseous ZrO2 molecules as a two dimensional map of jet axis and radial position corresponding to different average plasma temperatures. The overall gaseous material capacity of open plasma jet, take zirconia for example, was further established. This approach on evaluating material capacity in plasma jet would shed light on the process optimization towards both depositing columnar coating and a high production rate of PS-PVD.

  2. Sources and processes contributing to nitrogen deposition: an adjoint model analysis applied to biodiversity hotspots worldwide.

    Science.gov (United States)

    Paulot, Fabien; Jacob, Daniel J; Henze, Daven K

    2013-04-02

    Anthropogenic enrichment of reactive nitrogen (Nr) deposition is an ecological concern. We use the adjoint of a global 3-D chemical transport model (GEOS-Chem) to identify the sources and processes that control Nr deposition to an ensemble of biodiversity hotspots worldwide and two U.S. national parks (Cuyahoga and Rocky Mountain). We find that anthropogenic sources dominate deposition at all continental sites and are mainly regional (less than 1000 km) in origin. In Hawaii, Nr supply is controlled by oceanic emissions of ammonia (50%) and anthropogenic sources (50%), with important contributions from Asia and North America. Nr deposition is also sensitive in complicated ways to emissions of SO2, which affect Nr gas-aerosol partitioning, and of volatile organic compounds (VOCs), which affect oxidant concentrations and produce organic nitrate reservoirs. For example, VOC emissions generally inhibit deposition of locally emitted NOx but significantly increase Nr deposition downwind. However, in polluted boreal regions, anthropogenic VOC emissions can promote Nr deposition in winter. Uncertainties in chemical rate constants for OH + NO2 and NO2 hydrolysis also complicate the determination of source-receptor relationships for polluted sites in winter. Application of our adjoint sensitivities to the representative concentration pathways (RCPs) scenarios for 2010-2050 indicates that future decreases in Nr deposition due to NOx emission controls will be offset by concurrent increases in ammonia emissions from agriculture.

  3. Buffer optimization for crack-free GaN epitaxial layers grown on Si(1 1 1) substrate by MOCVD

    International Nuclear Information System (INIS)

    Arslan, Engin; Ozbay, Ekmel; Ozturk, Mustafa K; Ozcelik, Suleyman; Teke, Ali

    2008-01-01

    We report the growth of GaN films on the Si(1 1 1) substrate by metalorganic chemical vapour phase deposition (MOCVD). Different buffer layers were used to investigate their effects on the structural and optical properties of GaN layers. A series of GaN layers were grown on Si(1 1 1) with different buffer layers and buffer thicknesses and were characterized by Nomarski microscopy, atomic force microscopy, high-resolution x-ray diffraction (XRD) and photoluminescence (PL) measurements. We first discuss the optimization of the LT-AlN/HT-AlN/Si(1 1 1) templates and then the optimization of the graded AlGaN intermediate layers. In order to prevent stress relaxation, step-graded AlGaN layers were introduced along with a crack-free GaN layer of thickness exceeding 2.6 μm. The XRD and PL measurements results confirmed that a wurtzite GaN was successfully grown. The resulting GaN film surfaces were flat, mirror-like and crack-free. The mosaic structure in the GaN layers was investigated. With a combination of Williamson-Hall measurements and the fitting of twist angles, it was found that the buffer thickness determines the lateral coherence length, vertical coherence length, as well as the tilt and twist of the mosaic blocks in GaN films. The PL spectra at 8 K show that a strong band edge photoluminescence of GaN on Si (1 1 1) emits light at an energy of 3.449 eV with a full width at half maximum (FWHM) of approximately 16 meV. At room temperature, the peak position and FWHM of this emission become 3.390 eV and 58 meV, respectively. The origin of this peak was attributed to the neutral donor bound exciton. It was found that the optimized total thickness of the AlN and graded AlGaN layers played a very important role in the improvement of quality and in turn reduced the cracks during the growth of GaN/Si(1 1 1) epitaxial layers

  4. Interpretation of postdepositional processes related to the formation and destruction of the Jackpile-Paguate uranium deposit, northwest New Mexico

    International Nuclear Information System (INIS)

    Adams, S.S.; Curtis, H.S.; Hafen, P.L.; Salek-Nejad, H.

    1978-01-01

    This paper presents aspects of geological studies conducted on the Jackpile-Paguate uranium deposit in northwestern New Mexico in order to document and interpret certain geological characteristics of the deposit and suggest a sequence of processes which have formed and, in part, destroyed the deposits. The principle contributions of the paper are the field and petrologic observations and the interpretations they permit. 29 refs

  5. Investigation of InN layers grown by MOCVD using analytical and high resolution TEM: The structure, band gap, role of the buffer layers

    International Nuclear Information System (INIS)

    Ruterana, P.; Abouzaid, M.; Gloux, F.; Maciej, W.; Doualan, J.L.; Drago, M.; Schmidtling, T.; Pohl, U.W.; Richter, W.

    2006-01-01

    In this work we investigate the microstructure of InN layers grown by MOCVD on different buffer layers using TEM (InN, GaN). The large mismatch between the various lattices (InN, sapphire or GaN) leads to particular interface structures. Our local analysis allows to show that at atomic scale, the material has the InN lattice parameters and that no metallic In precipitates are present, meaning that the PL emission below 0.8 eV is a genuine property of the InN semiconductor. It is also shown that the N polar layers, which exhibit a 2D growth, have poorer PL emission than In polar layers. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Economical Atomic Layer Deposition

    Science.gov (United States)

    Wyman, Richard; Davis, Robert; Linford, Matthew

    2010-10-01

    Atomic Layer Deposition is a self limiting deposition process that can produce films at a user specified height. At BYU we have designed a low cost and automated atomic layer deposition system. We have used the system to deposit silicon dioxide at room temperature using silicon tetrachloride and tetramethyl orthosilicate. Basics of atomic layer deposition, the system set up, automation techniques and our system's characterization are discussed.

  7. 2D modeling of direct laser metal deposition process using a finite particle method

    Science.gov (United States)

    Anedaf, T.; Abbès, B.; Abbès, F.; Li, Y. M.

    2018-05-01

    Direct laser metal deposition is one of the material additive manufacturing processes used to produce complex metallic parts. A thorough understanding of the underlying physical phenomena is required to obtain a high-quality parts. In this work, a mathematical model is presented to simulate the coaxial laser direct deposition process tacking into account of mass addition, heat transfer, and fluid flow with free surface and melting. The fluid flow in the melt pool together with mass and energy balances are solved using the Computational Fluid Dynamics (CFD) software NOGRID-points, based on the meshless Finite Pointset Method (FPM). The basis of the computations is a point cloud, which represents the continuum fluid domain. Each finite point carries all fluid information (density, velocity, pressure and temperature). The dynamic shape of the molten zone is explicitly described by the point cloud. The proposed model is used to simulate a single layer cladding.

  8. Patterned deposition by atmospheric pressure plasma-enhanced spatial atomic layer deposition

    NARCIS (Netherlands)

    Poodt, P.; Kniknie, B.J.; Branca, A.; Winands, G.J.J.; Roozeboom, F.

    2011-01-01

    An atmospheric pressure plasma enhanced atomic layer deposition reactor has been developed, to deposit Al2O3 films from trimethyl aluminum and an He/O2 plasma. This technique can be used for 2D patterned deposition in a single in-line process by making use of switched localized plasma sources. It

  9. Spatial atomic layer deposition: a route towards further industrialization of atomic layer deposition

    NARCIS (Netherlands)

    Poodt, P.; Cameron, D.C.; Dickey, E.; George, S.M.; Kuznetsov, Vladimir; Parsons, G.N.; Roozeboom, F.; Sundaram, G.; Vermeer, A.

    2012-01-01

    Spatial atomic layer deposition can be used as a high-throughput manufacturing technique in functional thin film deposition for applications such as flexible electronics. This; however, requires low-temperature processing and handling of flexible substrates. The authors investigate the process

  10. Fluid expulsion sites on the Cascadia accretionary prism: mapping diagenetic deposits with processed GLORIA imagery

    Science.gov (United States)

    Carson, Bobb; Seke, Erol; Paskevich, Valerie F.; Holmes, Mark L.

    1994-01-01

    Point-discharge fluid expulsion on accretionary prisms is commonly indicated by diagenetic deposition of calcium carbonate cements and gas hydrates in near-surface (topographic and lithologic information. We have processed GLORIA imagery from the Oregon continental margin to remove topographic effects. A synthetic side scan image was created initially from Sea Beam bathymetric data and then was subtracted iteratively from the original GLORIA data until topographic features disappeared. The residual image contains high-amplitude backscattering that we attribute to diagenetic deposits associated with fluid discharge, based on submersible mapping, Ocean Drilling Program drilling, and collected samples. Diagenetic deposits are concentrated (1) near an out-of-sequence thrust fault on the second ridge landward of the base of the continental slope, (2) along zones characterized by deep-seated strikeslip faults that cut transversely across the margin, and (3) in undeformed Cascadia Basin deposits which overlie incipient thrust faults seaward of the toe of the prism. There is no evidence of diagenetic deposition associated with the frontal thrust that rises from the dècollement. If the dècollement is an important aquifer, apparently the fluids are passed either to the strike-slip faults which intersect the dècollement or to the incipient faults in Cascadia Basin for expulsion. Diagenetic deposits seaward of the prism toe probably consist dominantly of gas hydrates.

  11. High-resistivity unintentionally carbon-doped GaN layers with nitrogen as nucleation layer carrier gas grown by metal-organic chemical vapor deposition

    Directory of Open Access Journals (Sweden)

    Fu Chen

    2017-12-01

    Full Text Available In this letter, high-resistivity unintentionally carbon-doped GaN layers with sheet resistivity greater than 106 Ω/□ have been grown on c-plane sapphire substrates by metal-organic chemical vapor deposition (MOCVD. We have observed that the growth of GaN nucleation layers (NLs under N2 ambient leads to a large full width at half maximum (FWHM of (102 X-ray diffraction (XRD line in the rocking curve about 1576 arc sec. Unintentional carbon incorporation can be observed in the secondary ion mass spectroscopy (SIMS measurements. The results demonstrate the self-compensation mechanism is attributed to the increased density of edge-type threading dislocations and carbon impurities. The AlGaN/GaN HEMT grown on the high-resistivity GaN template has also been fabricated, exhibiting a maximum drain current of 478 mA/mm, a peak transconductance of 60.0 mS/mm, an ON/OFF ratio of 0.96×108 and a breakdown voltage of 621 V.

  12. High-resistivity unintentionally carbon-doped GaN layers with nitrogen as nucleation layer carrier gas grown by metal-organic chemical vapor deposition

    Science.gov (United States)

    Chen, Fu; Sun, Shichuang; Deng, Xuguang; Fu, Kai; Yu, Guohao; Song, Liang; Hao, Ronghui; Fan, Yaming; Cai, Yong; Zhang, Baoshun

    2017-12-01

    In this letter, high-resistivity unintentionally carbon-doped GaN layers with sheet resistivity greater than 106 Ω/□ have been grown on c-plane sapphire substrates by metal-organic chemical vapor deposition (MOCVD). We have observed that the growth of GaN nucleation layers (NLs) under N2 ambient leads to a large full width at half maximum (FWHM) of (102) X-ray diffraction (XRD) line in the rocking curve about 1576 arc sec. Unintentional carbon incorporation can be observed in the secondary ion mass spectroscopy (SIMS) measurements. The results demonstrate the self-compensation mechanism is attributed to the increased density of edge-type threading dislocations and carbon impurities. The AlGaN/GaN HEMT grown on the high-resistivity GaN template has also been fabricated, exhibiting a maximum drain current of 478 mA/mm, a peak transconductance of 60.0 mS/mm, an ON/OFF ratio of 0.96×108 and a breakdown voltage of 621 V.

  13. Restoration of uranium solution mining deposits

    International Nuclear Information System (INIS)

    Devries, F.W.; Lawes, B.C.

    1982-01-01

    A process is provided for restoring an ore deposit after uranium solution mining using ammonium carbonate leaching solutions has ceased. The process involves flushing the deposit with an aqueous solution of a potassium salt during which potassium ions exchange with ammonium ions remaining in the deposit. The ammonium containing flushing solution is withdrawn from the deposit for disposal

  14. Restoration of uranium solution mining deposits

    Energy Technology Data Exchange (ETDEWEB)

    Devries, F.W.; Lawes, B.C.

    1982-01-19

    A process is provided for restoring an ore deposit after uranium solution mining using ammonium carbonate leaching solutions has ceased. The process involves flushing the deposit with an aqueous solution of a potassium salt during which potassium ions exchange with ammonium ions remaining in the deposit. The ammonium containing flushing solution is withdrawn from the deposit for disposal.

  15. Exhaust circulation into dry gas desulfurization process to prevent carbon deposition in an Oxy-fuel IGCC power generation

    International Nuclear Information System (INIS)

    Kobayashi, Makoto; Nakao, Yoshinobu; Oki, Yuso

    2014-01-01

    Highlights: • Power plant with semi-closed gas turbine and O 2 –CO 2 coal gasifier was studied. • We adopt dry gas sulfur removal process to establish the system. • The exhaust gas circulation remarkably prevented carbon deposition. • Efficiency loss for exhaust gas circulation is quite small. • Appropriate operating condition of sulfur removal process is revealed. - Abstract: Semi-closed cycle operation of gas turbine fueled by oxygen–CO 2 blown coal gasification provides efficient power generation with CO 2 separation feature by excluding pre-combustion type CO 2 capture that usually brings large efficiency loss. The plant efficiency at transmission end is estimated as 44% at lower heating value (LHV) providing compressed CO 2 with concentration of 93 vol%. This power generation system will solve the contradiction between economical resource utilization and reduction of CO 2 emission from coal-fired power plant. The system requires appropriate sulfur reduction process to protect gas turbine from corrosion and environment from sulfur emission. We adopt dry gas sulfur removal process to establish the system where apprehension about the detrimental carbon deposition from coal gas. The effect of circulation of a portion of exhaust gas to the process on the retardation of carbon deposition was examined at various gas compositions. The circulation remarkably prevented carbon deposition in the sulfur removal sorbent. The impact of the circulation on the thermal efficiency is smaller than the other auxiliary power consumption. Thus, the circulation is appropriate operation for the power generation

  16. Excimer laser processing of inkjet-printed and sputter-deposited transparent conducting SnO2:Sb for flexible electronics

    International Nuclear Information System (INIS)

    Cranton, Wayne M.; Wilson, Sharron L.; Ranson, Robert; Koutsogeorgis, Demosthenes C.; Chi Kuangnan; Hedgley, Richard; Scott, John; Lipiec, Stephen; Spiller, Andrew; Speakman, Stuart

    2007-01-01

    The feasibility of low-temperature fabrication of transparent electrode elements from thin films of antimony-doped tin oxide (SnO 2 :Sb, ATO) has been investigated via inkjet printing, rf magnetron sputtering and post-deposition excimer laser processing. Laser processing of thin films on both glass and plastic substrates was performed using a Lambda Physik 305i excimer laser, with fluences in the range 20-100 mJ cm -2 reducing sheet resistance from as-deposited values by up to 3 orders of magnitude. This is consistent with TEM analysis of the films that shows a densification of the upper 200 nm of laser-processed regions

  17. Doping characteristics of Si-doped n-GaN Epilayers grown by low-pressure metal-organic chemical-vapor deposition

    CERN Document Server

    Noh, S K; Park, S E; Lee, I H; Choi, I H; Son, S J; Lim, K Y; Lee, H J

    1998-01-01

    We studied doping behaviors through analysis of the electronic properties of a series of undoped and Si-doped GaN epilayers grown on (0001) sapphire substrates by the low-pressure metal-organic chemical-vapor deposition (LP-MOCVD) technique. The doping efficiency was in the range of 0.4 - 0.8, and an empirical relation expressed as eta = 0.45 log[Si] - 8.1 was obtained. The temperature dependence of carrier concentration showed that the donor activation energy monotonically decreased from 17.6 meV to almost zero as the doping level increased. We suggest that the reduction in the activation energy is related not to autodoped defect centers but to doped Si donors and that the behavior originates from the formation of an impurity band. On the basis of an abrupt change in the compensation ratio from 0.9 to 0.5 by Si-doping, an exceptional difference in the Hall mobility between the undoped and the Si-doped films is explained by a mixed conduction mechanism of electrons and holes.

  18. Modeling and simulation of the deposition/relaxation processes of polycrystalline diatomic structures of metallic nitride films

    Science.gov (United States)

    García, M. F.; Restrepo-Parra, E.; Riaño-Rojas, J. C.

    2015-05-01

    This work develops a model that mimics the growth of diatomic, polycrystalline thin films by artificially splitting the growth into deposition and relaxation processes including two stages: (1) a grain-based stochastic method (grains orientation randomly chosen) is considered and by means of the Kinetic Monte Carlo method employing a non-standard version, known as Constant Time Stepping, the deposition is simulated. The adsorption of adatoms is accepted or rejected depending on the neighborhood conditions; furthermore, the desorption process is not included in the simulation and (2) the Monte Carlo method combined with the metropolis algorithm is used to simulate the diffusion. The model was developed by accounting for parameters that determine the morphology of the film, such as the growth temperature, the interacting atomic species, the binding energy and the material crystal structure. The modeled samples exhibited an FCC structure with grain formation with orientations in the family planes of , and . The grain size and film roughness were analyzed. By construction, the grain size decreased, and the roughness increased, as the growth temperature increased. Although, during the growth process of real materials, the deposition and relaxation occurs simultaneously, this method may perhaps be valid to build realistic polycrystalline samples.

  19. Vertically aligned carbon nanotubes black coatings from roll-to-roll deposition process

    Science.gov (United States)

    Goislard de Monsabert, Thomas; Papciak, L.; Sangar, A.; Descarpentries, J.; Vignal, T.; de Longiviere, Xavier; Porterat, D.; Mestre, Q.; Hauf, H.

    2017-09-01

    Vertically aligned carbon nanotubes (VACNTs) have recently attracted growing interest as a very efficient light absorbing material over a broad spectral range making them a superior coating in space optics applications such as radiometry, optical calibration, and stray light elimination. However, VACNT coatings available to-date most often result from batch-to-batch deposition processes thus potentially limiting the manufacturing repeatability, substrate size and cost efficiency of this material.

  20. A K Tyagi

    Indian Academy of Sciences (India)

    Home; Journals; Bulletin of Materials Science. A K Tyagi. Articles written in Bulletin of Materials Science. Volume 25 Issue 2 April 2002 pp 163-168 Thin Films. Carbonaceous alumina films deposited by MOCVD from aluminium acetylacetonate: a spectroscopic ellipsometry study · M P Singh G Raghavan A K Tyagi S A ...

  1. S A Shivashankar

    Indian Academy of Sciences (India)

    Home; Journals; Bulletin of Materials Science. S A Shivashankar. Articles written in Bulletin of Materials Science. Volume 25 Issue 2 April 2002 pp 163-168 Thin Films. Carbonaceous alumina films deposited by MOCVD from aluminium acetylacetonate: a spectroscopic ellipsometry study · M P Singh G Raghavan A K Tyagi ...

  2. TC17 titanium alloy laser melting deposition repair process and properties

    Science.gov (United States)

    Liu, Qi; Wang, Yudai; Zheng, Hang; Tang, Kang; Li, Huaixue; Gong, Shuili

    2016-08-01

    Due to the high manufacturing cost of titanium compressor blisks, aero engine repairing process research has important engineering significance and economic value. TC17 titanium alloy is a rich β stable element dual α+β phase alloy whose nominal composition is Ti-5Al-2Sn-2Zr-4Mo-4Cr. It has high mechanical strength, good fracture toughness, high hardenability and a wide forging-temperature range. Through a surface response experiment with different laser powers, scanning speeds and powder feeding speeds, the coaxial powder feeding laser melting deposition repair process is studied for the surface circular groove defects. In this paper, the tensile properties, relative density, microhardness, elemental composition, internal defects and microstructure of the laser-repaired TC17 forging plate are analyzed. The results show that the laser melting deposition process could realize the form restoration of groove defect; tensile strength and elongation could reach 1100 MPa and 10%, which could reach 91-98% that of original TC17 wrought material; with the optimal parameters (1000 W-25 V-8 mm/s), the microhardness of the additive zone, the heat-affected zone and base material is evenly distributed at 370-390 HV500. The element content difference between the additive zone and base material is less than ±0.15%. Due to the existence of the pores 10 μm in diameter, the relative density could reach 99%, which is mainly inversely proportional to the powder feeding speed. The repaired zone is typically columnar and dendrite crystal, and the 0.5-1.5 mm-deep heat-affected zone in the groove interface is coarse equiaxial crystal.

  3. Correlations between optical properties, microstructure, and processing conditions of Aluminum nitride thin films fabricated by pulsed laser deposition

    International Nuclear Information System (INIS)

    Baek, Jonghoon; Ma, James; Becker, Michael F.; Keto, John W.; Kovar, Desiderio

    2007-01-01

    Aluminum nitride (AlN) films were deposited using pulsed laser deposition (PLD) onto sapphire (0001) substrates with varying processing conditions (temperature, pressure, and laser fluence). We have studied the dependence of optical properties, structural properties and their correlations for these AlN films. The optical transmission spectra of the produced films were measured, and a numerical procedure was applied to accurately determine the optical constants for films of non-uniform thickness. The microstructure and texture of the films were studied using various X-ray diffraction techniques. The real part of the refractive index was found to not vary significantly with processing parameters, but absorption was found to be strongly dependent on the deposition temperature and the nitrogen pressure in the deposition chamber. We report that low optical absorption, textured polycrystalline AlN films can be produced by PLD on sapphire substrates at both low and high laser fluence using a background nitrogen pressure of 6.0 x 10 -2 Pa (4.5 x 10 -4 Torr) of 99.9% purity

  4. Exogenous deposits

    International Nuclear Information System (INIS)

    Khasanov, A.Kh.

    1988-01-01

    Exogenous deposits forming as a result of complex exogenous processes, passed under the influence of outside forces on the Earth surface. To them relate physical and chemical weathering, decomposition and decay of mineral masses, redistribution and transportation of material, forming and deposit of new minerals and ores steady on the earth surface conditions

  5. Influence of Oxygen Partial Pressure during Processing on the Thermoelectric Properties of Aerosol-Deposited CuFeO₂.

    Science.gov (United States)

    Stöcker, Thomas; Exner, Jörg; Schubert, Michael; Streibl, Maximilian; Moos, Ralf

    2016-03-24

    In the field of thermoelectric energy conversion, oxide materials show promising potential due to their good stability in oxidizing environments. Hence, the influence of oxygen partial pressure during synthesis on the thermoelectric properties of Cu-Delafossites at high temperatures was investigated in this study. For these purposes, CuFeO₂ powders were synthetized using a conventional mixed-oxide technique. X-ray diffraction (XRD) studies were conducted to determine the crystal structures of the delafossites associated with the oxygen content during the synthesis. Out of these powders, films with a thickness of about 25 µm were prepared by the relatively new aerosol-deposition (AD) coating technique. It is based on a room temperature impact consolidation process (RTIC) to deposit dense solid films of ceramic materials on various substrates without using a high-temperature step during the coating process. On these dense CuFeO₂ films deposited on alumina substrates with electrode structures, the Seebeck coefficient and the electrical conductivity were measured as a function of temperature and oxygen partial pressure. We compared the thermoelectric properties of both standard processed and aerosol deposited CuFeO₂ up to 900 °C and investigated the influence of oxygen partial pressure on the electrical conductivity, on the Seebeck coefficient and on the high temperature stability of CuFeO₂. These studies may not only help to improve the thermoelectric material in the high-temperature case, but may also serve as an initial basis to establish a defect chemical model.

  6. Deposition and characteristics of PbS thin films by an in-situ solution chemical reaction process

    Energy Technology Data Exchange (ETDEWEB)

    Ji, Junna; Ji, Huiming; Wang, Jian; Zheng, Xuerong; Lai, Junyun; Liu, Weiyan; Li, Tongfei [School of Materials Science and Engineering, Key Laboratory for Advanced Ceramics and Machining Technology of Ministry of Education, Tianjin University, Tianjin 300072 (China); Ma, Yuanliang; Li, Haiqin; Zhao, Suqin [College of Physics and Electronic Information Engineering, Qinghai University for Nationalities, Xining 810007 (China); Jin, Zhengguo, E-mail: zhgjin@tju.edu.cn [School of Materials Science and Engineering, Key Laboratory for Advanced Ceramics and Machining Technology of Ministry of Education, Tianjin University, Tianjin 300072 (China)

    2015-09-01

    Preferential oriented and uniform PbS thin films were deposited by a room temperature in-situ solution chemical reaction process, in which the lead nitrate as precursor in a form of thin solid films from lead precursor solution was used to react with ammonium sulfide ethanol solution. Influence of 1-butanol addition in the lead precursor solution, Pb:S molar ratios in the separate cationic and anionic solutions, deposition cycle numbers and annealing treatment in Ar atmosphere on structure, morphology, chemical composition and optical absorption properties of the deposited PbS films were investigated based on X-ray diffraction, field emission scanning electron microscopy, energy dispersive spectrometer, atomic force microscopy, selected area electron diffraction, UV–vis, near infrared ray and fourier transform infrared spectroscopy measurements. The results showed that the deposited PbS thin films had a cubic structure and highly preferred orientation along with the plane (100). The deposition rate of single-layer was stable, about 30 nm in thickness per deposition cycle. - Highlights: • Time-efficiency synthetic method for the preparation of lead sulfide (PbS) films • Effect of 1-butanol addition into cationic precursor solution is discussed. • Growth rate of the PbS films is stable at about 30 nm per cycle.

  7. Energy-enhanced atomic layer deposition : offering more processing freedom

    NARCIS (Netherlands)

    Potts, S.E.; Kessels, W.M.M.

    2013-01-01

    Atomic layer deposition (ALD) is a popular deposition technique comprising two or more sequential, self-limiting surface reactions, which make up an ALD cycle. Energy-enhanced ALD is an evolution of traditional thermal ALD methods, whereby energy is supplied to a gas in situ in order to convert a

  8. The Impact of Hydrodynamics in Erosion - Deposition Process in Can Gio Mangrove Biosphere Reserve, South Viet Nam

    Science.gov (United States)

    Vo-Luong, H. P.

    2014-12-01

    Can Gio Mangrove Biosphere Reserve is always considered as a friendly green belt to protect and bring up the habitants. However, recently some mangrove areas in the Dong Tranh estuary are being eroded seriously. Based on the field measurements in SW and NE monsoons as well as data of topography changes in 10 years, it is proved that hydrodynamics of waves, tidal currents and riverine currents are the main reasons for erosion-deposition processes at the studied site. The erosion-deposition process changes due to monsoon. The analysed results show that high waves and tidal oscillation cause the increase of the erosion rate in NE monsoon. However, high sediment deposition occurs in SW monsoon due to weak waves and more alluvium from upstream. Many young mangrove trees grow up and develop in the SW monsoon. From the research, it is strongly emphasized the role of mangrove forests in soil retention and energy dissipation.

  9. Seed defective reduction in automotive Electro-Deposition Coating Process of truck cabin

    Science.gov (United States)

    Sonthilug, Aekkalag; Chutima, Parames

    2018-02-01

    The case study company is one of players in Thailand’s Automotive Industry who manufacturing truck and bus for both domestic and international market. This research focuses on a product quality problem about seed defects occurred in the Electro-Deposition Coating Process of truck cabin. The 5-phase of Six Sigma methodology including D-Define, M-Measure, A-Analyze, I-Improve, and C-Control is applied to this research to identify root causes of problem for setting new parameters of each significant factor. After the improvement, seed defects in this process is reduced from 9,178 defects per unit to 876 defects per unit (90% improvement)

  10. Breakthrough to Non-Vacuum Deposition of Single-Crystal, Ultra-Thin, Homogeneous Nanoparticle Layers: A Better Alternative to Chemical Bath Deposition and Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Yu-Kuang Liao

    2017-04-01

    Full Text Available Most thin-film techniques require a multiple vacuum process, and cannot produce high-coverage continuous thin films with the thickness of a few nanometers on rough surfaces. We present a new ”paradigm shift” non-vacuum process to deposit high-quality, ultra-thin, single-crystal layers of coalesced sulfide nanoparticles (NPs with controllable thickness down to a few nanometers, based on thermal decomposition. This provides high-coverage, homogeneous thickness, and large-area deposition over a rough surface, with little material loss or liquid chemical waste, and deposition rates of 10 nm/min. This technique can potentially replace conventional thin-film deposition methods, such as atomic layer deposition (ALD and chemical bath deposition (CBD as used by the Cu(In,GaSe2 (CIGS thin-film solar cell industry for decades. We demonstrate 32% improvement of CIGS thin-film solar cell efficiency in comparison to reference devices prepared by conventional CBD deposition method by depositing the ZnS NPs buffer layer using the new process. The new ZnS NPs layer allows reduction of an intrinsic ZnO layer, which can lead to severe shunt leakage in case of a CBD buffer layer. This leads to a 65% relative efficiency increase.

  11. Thermal plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Heberlein, J.; Pfender, E.

    1993-01-01

    Thermal plasmas, with temperatures up to and even exceeding 10 4 K, are capable of producing high density vapor phase precursors for the deposition of relatively thick films. Although this technology is still in its infancy, it will fill the void between the relatively slow deposition processes such as physical vapor deposition and the high rate thermal spray deposition processes. In this chapter, the present state-of-the-art of this field is reviewed with emphasis on the various types of reactors proposed for this emerging technology. Only applications which attracted particular attention, namely diamond and high T c superconducting film deposition, are discussed in greater detail. (orig.)

  12. Clay minerals in uraniferous deposit of Imouraren (Tim Mersoi basin, Niger): implications on genesis of deposit and on ore treatment process

    International Nuclear Information System (INIS)

    Billon, Sophie

    2014-01-01

    Nigerian uraniferous deposits are located in carboniferous and Jurassic formations of Tim Mersoi basin. AREVA is shareholder of 3 mine sites in this area: SOMAIR and COMINAK, both in exploitation since 1960's and IMOURAREN, 80 km further South, whose exploitation is planned for 2015. Mineralization of Imouraren deposit is included in the fluvial formation of Tchirezrine 2 (Jurassic), composed of channels and flood plains. Facies of channel in-fillings range from coarse sandstones to siltstones, while overflow facies are composed of analcimolites. Secondary mineralogy was acquired during 2 stages: 1- diagenesis, with formation of clay minerals, analcime, secondary quartz and albites, and 2- stage of fluids circulations, which induced alteration of detrital and diagenetic minerals, formation of new phases and uranium deposition. A mineralogical zoning, at the scale of deposit resulted from this alteration. The heterogeneity of Tchirezrine 2, at the level of both facies and mineralogy, is also evidenced during ore treatment, as ore reacts differently depending on its source, with sometimes problems of U recovery. Ore treatment tests showed that analcimes and chlorites were both penalizing minerals, because of 1- the sequestration of U-bearing minerals into analcimes, 2- their dissolution which trends to move away from U solubilization conditions (pH and Eh) and to form numerous sulfates, and 3- problems of percolation. A detection method of analcime-rich ores, based on infrared spectroscopy, was developed in order to optimize ore blending and so to reduce negative effects during ore treatment process. (author)

  13. Catalytic behaviors of ruthenium dioxide films deposited on ferroelectrics substrates, by spin coating process

    International Nuclear Information System (INIS)

    Khachane, M.; Nowakowski, P.; Villain, S.; Gavarri, J.R.; Muller, Ch.; Elaatmani, M.; Outzourhite, A.; Luk'yanchuk, I.; Zegzouti, A.; Daoud, M.

    2007-01-01

    Catalytic ruthenium dioxide films were deposited by spin-coating process on ferroelectric films mainly constituted of SrBi 2 Ta 2 O 9 (SBT) and Ba 2 NaNb 5 O 15 (BNN) phases. After thermal treatment under air, these ferroelectric-catalytic systems were characterized by X-ray diffraction and scanning electron microscopy (SEM). SEM images showed that RuO 2 film morphology depended on substrate nature. A study of CH 4 conversion into CO 2 and H 2 O was carried out using these catalytic-ferroelectric multilayers: the conversion was analyzed from Fourier transform infrared (FTIR) spectroscopy, at various temperatures. Improved catalytic properties were observed for RuO 2 films deposited on BNN oxide layer

  14. Sensor-based atomic layer deposition for rapid process learning and enhanced manufacturability

    Science.gov (United States)

    Lei, Wei

    In the search for sensor based atomic layer deposition (ALD) process to accelerate process learning and enhance manufacturability, we have explored new reactor designs and applied in-situ process sensing to W and HfO 2 ALD processes. A novel wafer scale ALD reactor, which features fast gas switching, good process sensing compatibility and significant similarity to the real manufacturing environment, is constructed. The reactor has a unique movable reactor cap design that allows two possible operation modes: (1) steady-state flow with alternating gas species; or (2) fill-and-pump-out cycling of each gas, accelerating the pump-out by lifting the cap to employ the large chamber volume as ballast. Downstream quadrupole mass spectrometry (QMS) sampling is applied for in-situ process sensing of tungsten ALD process. The QMS reveals essential surface reaction dynamics through real-time signals associated with byproduct generation as well as precursor introduction and depletion for each ALD half cycle, which are then used for process learning and optimization. More subtle interactions such as imperfect surface saturation and reactant dose interaction are also directly observed by QMS, indicating that ALD process is more complicated than the suggested layer-by-layer growth. By integrating in real-time the byproduct QMS signals over each exposure and plotting it against process cycle number, the deposition kinetics on the wafer is directly measured. For continuous ALD runs, the total integrated byproduct QMS signal in each ALD run is also linear to ALD film thickness, and therefore can be used for ALD film thickness metrology. The in-situ process sensing is also applied to HfO2 ALD process that is carried out in a furnace type ALD reactor. Precursor dose end-point control is applied to precisely control the precursor dose in each half cycle. Multiple process sensors, including quartz crystal microbalance (QCM) and QMS are used to provide real time process information. The

  15. A comparison of multi-metal deposition processes utilising gold nanoparticles and an evaluation of their application to 'low yield' surfaces for finger mark development.

    Science.gov (United States)

    Fairley, C; Bleay, S M; Sears, V G; NicDaeid, N

    2012-04-10

    This paper reports a comparison of the effectiveness and practicality of using different multi-metal deposition processes for finger mark development. The work investigates whether modifications can be made to improve the performance of the existing process published by Schnetz. Secondly, we compare the ability of different multi-metal deposition processes to develop finger marks on a range of surfaces with that of other currently used development processes. All published multi-metal deposition processes utilise an initial stage of colloidal gold deposition followed by enhancement of the marks with using a physical developer. All possible combinations of colloidal gold and physical developer stages were tested. The method proposed by Schnetz was shown to be the most effective process, however a modification which reduced the pH of the enhancement solution was revealed to provide the best combination of effectiveness and practicality. In trials comparing the modified formulation with vacuum metal deposition, superglue and powder suspensions on surfaces which typically give low finger mark yields (cling film, plasticised vinyl, leather and masking tape), the modified method produced significantly better results over existing processes for cling film and plasticised vinyl. The modified formulation was found to be ineffective on both masking tape and leather. It is recommended that further tests be carried out on the modified multi-metal deposition formulation to establish whether it could be introduced for operational work on cling film material in particular. Copyright © 2011 Elsevier Ireland Ltd. All rights reserved.

  16. Elimination of macrostep-induced current flow nonuniformity in vertical GaN PN diode using carbon-free drift layer grown by hydride vapor phase epitaxy

    Science.gov (United States)

    Fujikura, Hajime; Hayashi, Kentaro; Horikiri, Fumimasa; Narita, Yoshinobu; Konno, Taichiro; Yoshida, Takehiro; Ohta, Hiroshi; Mishima, Tomoyoshi

    2018-04-01

    In vertical GaN PN diodes (PNDs) grown entirely by metal–organic chemical vapor deposition (MOCVD), large current nonuniformity was observed. This nonuniformity was induced by macrosteps on the GaN surface through modulation of carbon incorporation into the n-GaN crystal. It was eliminated in a hybrid PND consisting of a carbon-free n-GaN layer grown by hydride vapor phase epitaxy (HVPE) and an MOCVD-regrown p-GaN layer. The hybrid PND showed a fairly low on-resistance (2 mΩ cm2) and high breakdown voltage (2 kV) even without a field plate electrode. These results clearly indicated the strong advantages of the HVPE-grown drift layer for improving power device performance, uniformity, and yield.

  17. Microbial processes in banded iron formation deposition

    DEFF Research Database (Denmark)

    Posth, Nicole; Konhauser, Kurt; Kappler, Andreas

    2013-01-01

    , remains unresolved. Evidence of an anoxic Earth with only localized oxic areas until the Great Oxidation Event ca 2·45 to 2·32 Ga makes the investigation of O2-independent mechanisms for banded iron formation deposition relevant. Recent studies have explored the long-standing proposition that Archean......Banded iron formations have been studied for decades, particularly regarding their potential as archives of the Precambrian environment. In spite of this effort, the mechanism of their deposition and, specifically, the role that microbes played in the precipitation of banded iron formation minerals...... banded iron formations may have been formed, and diagenetically modified, by anaerobic microbial metabolisms. These efforts encompass a wide array of approaches including isotope, ecophysiological and phylogeny studies, molecular and mineral marker analysis, and sedimentological reconstructions. Herein...

  18. An adaptation of the Citrosolv process to remove different types of deposits in boilers of a thermo-electric power plant

    International Nuclear Information System (INIS)

    Ferreira, V.C.

    1985-01-01

    During the inspection of a power station boiler was find out a high amount of scale/deposits on the tubes surface (> 100 mg/cm 2 ). The scale/deposits constituents determined in chemical analysis, X-ray fluorescence and X-ray diffraction were iron, copper, calcium, magnesium, phosphorus and silicon. A chemical cleaning based on a small change of Citrosolv process, was used to remove those scale/deposits with sucess. (Author) [pt

  19. Characterization of GaN/AlGaN epitaxial layers grown

    Indian Academy of Sciences (India)

    GaN and AlGaN epitaxial layers are grown by a metalorganic chemical vapour deposition (MOCVD) system. The crystalline quality of these epitaxially grown layers is studied by different characterization techniques. PL measurements indicate band edge emission peak at 363.8 nm and 312 nm for GaN and AlGaN layers ...

  20. Pulsed Laser Deposition Processing of Improved Titanium Nitride Coatings for Implant Applications

    Science.gov (United States)

    Haywood, Talisha M.

    Recently surface coating technology has attracted considerable attention of researchers to develop novel coatings with enhanced functional properties such as hardness, biocompatibility, wear and corrosion resistance for medical devices and surgical tools. The materials currently being used for surgical implants include predominantly stainless steel (316L), cobalt chromium (Co-Cr), titanium and its alloys. Some of the limitations of these implants include improper mechanical properties, corrosion resistance, cytotoxicity and bonding with bone. One of the ways to improve the performance and biocompatibility of these implants is to coat their surfaces with biocompatible materials. Among the various coating materials, titanium nitride (TiN) shows excellent mechanical properties, corrosion resistance and low cytotoxicity. In the present work, a systematic study of pulsed laser ablation processing of TiN coatings was conducted. TiN thin film coatings were grown on commercially pure titanium (Ti) and stainless steel (316L) substrates at different substrate temperatures and different nitrogen partial pressures using the pulsed laser deposition (PLD) technique. Microstructural, surface, mechanical, chemical, corrosion and biological analysis techniques were applied to characterize the TiN thin film coatings. The PLD processed TiN thin film coatings showed improvements in mechanical strength, corrosion resistance and biocompatibility when compared to the bare substrates. The enhanced performance properties of the TiN thin film coatings were a result of the changing and varying of the deposition parameters.

  1. The role of metasomatism in the balance of halogens in ore-forming process at porphyry Cu-Mo deposits

    Science.gov (United States)

    Berzina, A. N.

    2009-04-01

    Volatile components play an important role in the evolution of ore-magmatic systems and their ore potential. Of special interest are fluorine and chlorine compounds that principally control the transportation of ore elements by the fluid in a magmatic process and under high-temperature hydrothermal conditions. Study of the evolution of fluorine-chlorine activity in the ore-forming process and their source is usually based on analysis of their magmatic history, whereas the additional source of fluorine and chlorine released during metasomatic alteration of rocks hosting mineralization is poorly discussed in the existing literature. Based on microprobe data on Cl and F abundances in halogen-containing minerals (biotite, amphibole, apatite, titanite) in intrusive rocks and their hydrothermally altered varieties, the role of metasomatic processes in the balance of volatiles in the ore-forming system is discussed by the example of porphyry Cu-Mo deposits of Siberia (Russia) and Mongolia. Two groups of the deposits are considered: copper-molybdenum (Erdenetiin Ovoo, Mongolia and Aksug, Russia) with prevailing propylitic and phyllic alteration and molybdenum-copper (Sora, Russia), with predominant potassic alteration. All types of hydrothermal alterations have led to drastic decrease in Cl contents in metasomatic minerals as compared with halogen-containing magmatic minerals. All studied deposits (particularly those where propylitic and phyllic alteration were developed) show a nearly complete chlorine removal from altered halogen-containing rock-forming minerals (biotite and amphibole). The Cl content in amphibole decreases several times at the stage of replacement with actinolite in the process of propylitization. In the later chlorites (ripidolite and brunsvigite) that replace amphibole, actinolite, and biotite, chlorine is not detected by microprobe (detection limit 0.01-0.02% Cl). Chlorine was also not detected in white micas (muscovite-phengite series) in quartz

  2. On the Deposition Equilibrium of Carbon Nanotubes or Graphite in the Reforming Processes of Lower Hydrocarbon Fuels

    Directory of Open Access Journals (Sweden)

    Zdzisław Jaworski

    2017-11-01

    Full Text Available The modeling of carbon deposition from C-H-O reformates has usually employed thermodynamic data for graphite, but has rarely employed such data for impure filamentous carbon. Therefore, electrochemical data for the literature on the chemical potential of two types of purified carbon nanotubes (CNTs are included in the study. Parameter values determining the thermodynamic equilibrium of the deposition of either graphite or CNTs are computed for dry and wet reformates from natural gas and liquefied petroleum gas. The calculation results are presented as the atomic oxygen-to-carbon ratio (O/C against temperature (200 to 100 °C for various pressures (1 to 30 bar. Areas of O/C for either carbon deposition or deposition-free are computed, and indicate the critical O/C values below which the deposition can occur. Only three types of deposited carbon were found in the studied equilibrium conditions: Graphite, multi-walled CNTs, and single-walled CNTs in bundles. The temperature regions of the appearance of the thermodynamically stable forms of solid carbon are numerically determined as being independent of pressure and the analyzed reactants. The modeling indicates a significant increase in the critical O/C for the deposition of CNTs against that for graphite. The highest rise in the critical O/C, of up to 290% at 30 bar, was found for the wet reforming process.

  3. 252Cf-source-correlated transmission measurements for uranyl fluoride deposit in a 24-in.-OD process pipe

    International Nuclear Information System (INIS)

    Uckan, T.; Mihalczo, J.T.; Valentine, T.E.; Mullens, J.A.

    1998-01-01

    Characterization of a hydrated uranyl fluoride (UO 2 F 2 ·nH 2 O) deposit in a 17-ft-long, 24-in.-OD process pipe at the former Oak Ridge Gaseous Diffusion Plant was successfully performed by using 252 Cf-source-correlated time-of-flight (TOF) transmission measurements. These measurements of neutrons and gamma rays through the pipe from an external 2521 Cf fission source were used to measure the deposit profile and its distribution along the pipe, the hydration (or H/U), and the total uranium mass. The measurements were performed with a source in an ionization chamber on one side of the pipe and detectors on the other. Scanning the pipe vertically and horizontally produced a spatial and time-dependent radiograph of the deposit in which transmitted gamma rays and neutrons were separated in time. The cross-correlation function between the source and the detector was measured with the Nuclear Weapons Identification System. After correcting for pipe effects, the deposit thickness was determined from the transmitted neutrons and H/U from the gamma rays. Results were consistent with a later intrusive observation of the shape and the color of the deposit; i.e., the deposit was annular and was on the top of the pipe at some locations, demonstrating the usefulness of this method for deposit characterization

  4. Behaviour of major, minor and trace elements (including REEs during kaolinization processes at Zonouz deposit, northeast of Marand, East Azarbaidjan province

    Directory of Open Access Journals (Sweden)

    Vahideh Alipour

    2011-11-01

    Full Text Available The Zonouz kaolin deposit is located ~15 km northeast of Marand, East-Azarbaidjan province. Based on physical features in field investigations, such as color, five distinct kaolin types including (1 white, (2 lemon, (3 gray, (4 brown, and (5 yellow are distinguished in the deposit. Field evidence and petrographic studies indicate that the deposit is genetically close to trachy-andesite rocks. According to mineralogical data, the deposit contains quartz, kaolinite, montmorillonite, calcite, pyrophyllite, chlorite, muscovite-illite, dolomite, hematite, and anatase minerals. Geochemical data indicate that function of alteration processes on trachy-andesite rocks during development of Zonouz ore deposit was accompanied by leaching of elements such as Al, Na, K, Rb, Ba, V, Hf, Cu, Zr, Tm, Yb, and Lu, enrichment of elements such as U, Nb, and Ta, and leaching-fixation of elements such as Si, Fe, Ca, Mg, Ti, Mn, P, Cs, Sr, Th, Co, Cr, Ni, Y, Ga, LREE, Tb, Dy, Ho, and Er. Incorporation of obtained results from mineralogical and geochemical studies show that physico-chemical conditions of alteration environment, the relative stability of primary minerals, surface adsorption, preferential sorption by metallic oxides, existing of organic matters, scavenging and concentration processes, and fixation in neomorphic mineralogical phases played important role in distribution of elements in the deposit. Geochemical studies show that development of the deposit is relative to two types of processes, (1 hypogene and (2 supergene. The distribution pattern of REEs indicates that differentiation degree of LREEs from HREEs in supergene kaolins is more than hypogene kaolins. Geochemical studies indicate that minerals such as Mn-oxides, zircon, anatase, hematite, cerianite, and secondary phosphates (monazite, rhabdophane, churchite, and zenotime are the potential hosts for rare earth elements in this deposit.

  5. Mass-Spectrometric Studies of Catalytic Chemical Vapor Deposition Processes of Organic Silicon Compounds Containing Nitrogen

    Science.gov (United States)

    Morimoto, Takashi; Ansari, S. G.; Yoneyama, Koji; Nakajima, Teppei; Masuda, Atsushi; Matsumura, Hideki; Nakamura, Megumi; Umemoto, Hironobu

    2006-02-01

    The mechanism of catalytic chemical vapor deposition (Cat-CVD) processes for hexamethyldisilazane (HMDS) and trisdimethylaminosilane (TDMAS), which are used as source gases to prepare SiNx or SiCxNy films, was studied using three different mass spectrometric techniques: ionization by Li+ ion attachment, vacuum-ultraviolet radiation and electron impact. The results for HMDS show that Si-N bonds dissociate selectively, although Si-C bonds are weaker, and (CH3)3SiNH should be one of the main precursors of deposited films. This decomposition mechanism did not change when NH3 was introduced, but the decomposition efficiency was slightly increased. Similar results were obtained for TDMAS.

  6. Particle dry deposition to water surfaces: Processes and consequences

    DEFF Research Database (Denmark)

    Pryor, S.C.; Barthelmie, R.J.

    2000-01-01

    flux to coastal waters, atmosphere-surface exchange represents a significant component of the total flux and may be particularly critical during the summertime when both the riverine input and ambient nutrient concentrations are often at a minimum. In this chapter, we present an overview...... of the physical and chemical processes which dictate the quantity (and direction) of atmosphere-surface fluxes of trace chemicals to (and above) water surfaces with particular emphasis on the role of particles. Dry deposition (transfer to the surface in the absence of precipitation) of particles is determined...... efforts to simulate and measure fluxes close to the coastline. These arise in part from the complexity of atmospheric flow in this region where energy and chemical fluxes are highly inhomogeneous in space and time and thermally generated atmospheric circulations are commonplace. (C) 2000 Elsevier Science...

  7. Chemical Vapor Deposition of Photocatalyst Nanoparticles on PVDF Membranes for Advanced Oxidation Processes

    Directory of Open Access Journals (Sweden)

    Giovanni De Filpo

    2018-06-01

    Full Text Available The chemical binding of photocatalytic materials, such as TiO2 and ZnO nanoparticles, onto porous polymer membranes requires a series of chemical reactions and long purification processes, which often result in small amounts of trapped nanoparticles with reduced photocatalytic activity. In this work, a chemical vapor deposition technique was investigated in order to allow the nucleation and growth of ZnO and TiO2 nanoparticles onto polyvinylidene difluoride (PVDF porous membranes for application in advanced oxidation processes. The thickness of obtained surface coatings by sputtered nanoparticles was found to depend on process conditions. The photocatalytic efficiency of sputtered membranes was tested against both a model drug and a model organic pollutant in a small continuous flow reactor.

  8. Chemical vapor deposition graphene transfer process to a polymeric substrate assisted by a spin coater

    International Nuclear Information System (INIS)

    Kessler, Felipe; Da Rocha, Caique O C; Medeiros, Gabriela S; Fechine, Guilhermino J M

    2016-01-01

    A new method to transfer chemical vapor deposition graphene to polymeric substrates is demonstrated here, it is called direct dry transfer assisted by a spin coater (DDT-SC). Compared to the conventional method DDT, the improvement of the contact between graphene-polymer due to a very thin polymeric film deposited by spin coater before the transfer process prevented air bubbles and/or moisture and avoided molecular expansion on the graphene-polymer interface. An acrylonitrile-butadiene-styrene copolymer, a high impact polystyrene, polybutadiene adipate-co-terephthalate, polylactide acid, and a styrene-butadiene-styrene copolymer are the polymers used for the transfers since they did not work very well by using the DDT process. Raman spectroscopy and optical microscopy were used to identify, to quantify, and to qualify graphene transferred to the polymer substrates. The quantity of graphene transferred was substantially increased for all polymers by using the DDT-SC method when compared with the DDT standard method. After the transfer, the intensity of the D band remained low, indicating low defect density and good quality of the transfer. The DDT-SC transfer process expands the number of graphene applications since the polymer substrate candidates are increased. (paper)

  9. Electrothermal evaluation of thick GaN epitaxial layers and AlGaN/GaN high-electron-mobility transistors on large-area engineered substrates

    Science.gov (United States)

    Anderson, Travis J.; Koehler, Andrew D.; Tadjer, Marko J.; Hite, Jennifer K.; Nath, Anindya; Mahadik, Nadeemullah A.; Aktas, Ozgur; Odnoblyudov, Vladimir; Basceri, Cem; Hobart, Karl D.; Kub, Francis J.

    2017-12-01

    AlGaN/GaN high-electron-mobility transistor (HEMT) device layers were grown by metal organic chemical vapor deposition (MOCVD) on commercial engineered QST™ substrates to demonstrate a path to scalable, cost-effective foundry processing while supporting the thick epitaxial layers required for power HEMT structures. HEMT structures on 150 mm Si substrates were also evaluated. The HEMTs on engineered substrates exhibited material quality, DC performance, and forward blocking performance superior to those of the HEMT on Si. GaN device layers up to 15 µm were demonstrated with a wafer bow of 1 µm, representing the thickest films grown on 150-mm-diameter substrates with low bow to date.

  10. Simulation of a processes of a moving base coating with uniform films by method of physical deposition

    International Nuclear Information System (INIS)

    Avilov, A.A.; Grigorevskij, A.V.; Dudnik, S.F.; Kiryukhin, N.M.; Klyukovich, V.A.; Sagalovich, V.V.

    1989-01-01

    Computational algorithm is developed for calculating thickness of films deposited by physical methods onto a backing of any shape, moving along a given trajectory. The sugegsted algorithm makes it possible to carry out direct simulation on film deposition process and to optimize sources arrangement for obtaining films with a required degree of uniformity. Condensate distribution on a rotating sphere was calculated and here presented. A satisfactory agreement of calculated values with experimental data on metal films obtained by electron-arc spraying, was established

  11. The Influence of the Coating Deposition Process on the Interdiffusion Behavior Between Nickel-Based Superalloys and MCrAlY Bond Coats

    Science.gov (United States)

    Elsaß, M.; Frommherz, M.; Oechsner, M.

    2018-02-01

    In this work, interdiffusion between two nickel-based superalloys and two MCrAlY bond coats is investigated. The MCrAlY bond coats were applied using two different spraying processes, high velocity oxygen fuel spraying (HVOF) and low-pressure plasma spraying. Of primary interest is the evolution of Kirkendall porosity, which can form at the interface between substrate and bond coat and depends largely on the chemical compositions of the coating and substrate. Experimental evidence further suggested that the formation of Kirkendall porosity depends on the coating deposition process. Formation of porosity at the interface causes a degradation of the bonding strength between substrate and coating. After coating deposition, the samples were annealed at 1050 °C for up to 2000 h. Microstructural and compositional analyses were performed to determine and evaluate the Kirkendall porosity. The results reveal a strong influence of both the coating deposition process and the chemical compositions. The amount of Kirkendall porosity formed, as well as the location of appearance, is largely influenced by the coating deposition process. In general, samples with bond coats applied by means of HVOF show accelerated element diffusion. It is hypothesized that recrystallization of the substrate material is a main root cause for these observations.

  12. Electro-deposition painting process improvement of cab truck by Six Sigma concept

    Science.gov (United States)

    Kawitu, Kitiya; Chutima, Parames

    2017-06-01

    The case study company is a manufacturer of trucks and currently facing a high rework cost due to the thickness of the electro-deposited paint (EDP) of the truck cab is lower than standard. In addition, the process capability is very low. The Six Sigma concept consisting of 5 phases (DMAIC) is applied to determine new parameter settings for each significant controllable factor. After the improvement, EDP thickness of the truck cab increases from 17.88μ to 20μ (i.e. standard = 20 ± 3μ). Moreover, the process capability indexes (Cp and Cpk) are increased from 0.9 to 1.43, and from 0.27 to 1.43, respectively. This improvement could save the rework cost about 1.6M THB per year.

  13. Processes in Environmental Depositional Systems and Deformation in Sedimentary Basins: Goals for Exoloration in Mexico

    Science.gov (United States)

    Sandoval-Ochoa, J.

    2005-05-01

    Among the recent needs to establish new goals in the mexican energy industry to increase the petroleum reserves, has been necessary to recapitulate on some academic an operative concepts and definitions applied to the Petroliferous Basins Exploration; first of all, in order to understand the Petroleum System in given tectonophysical framework. The tectonophysical environment experienced by the petroliferous basin in the southwestern Gulf of Mexico, merely in the Campeche Sound and adjacent terrestrial regions (Figure 1); has been the result of interaction among the tectonic plates, the Coco's Plate with impingement and subduction beneath the Northamerican Plate and the Yucatán Microplate and even in very deep connection with the oceanic crust of southwesternmost portion of the Gulf of Mexico and the one of the Caribbean sea beneath the gulf of Belize-Honduras. The tectonosedimentary effects in the Campeche Bay starting with the skeleton formed for the Cenozoic Era, kept simultaneous conditions in depositions and deformations because of strain, stress and collapse fields, acted through this Era up to the present day, as observed in the surface Aguayo et al, 1999 and Sandoval, 2000. The involved portions of the crust and its boundaries have also been performing the relative sinking of the mere southwestern centre of the Gulf of Mexico, and the rising of the southeastern lands of Mexico. In the middle contiguity are found the productive Tertiary basins of: Comalcalco, Macuspana, Salina del Itsmo, Campeche-Champoton and other in deep waters; all of them, in an arrangement of basins among distensive faulted blocks in echelon, falling down to the deep centre of the Gulf Sandoval, op cit. With this scenario and that ones of other basins, a recapitulation on concepts and definitions, has been made on the regional natural processes of the environmental depositional systems and on the basins analysis in the tectonophysical framework, in order to reflect on the

  14. Sedimentation rates and depositional processes in Lake Superior from 210Pb geochronology

    International Nuclear Information System (INIS)

    Evans, J.E.; Johnson, T.C.; Alexander, E.C. Jr.; Lively, R.S.; Eisenreich, S.J.

    1981-01-01

    Sedimentation rates range from 0.01 to 0.32 cm/yr in 17 sediment box cores from Lake Superior, as determined by 210 Pb geochronology. Shoreline erosion and resuspension of nearshore sediments causes moderate to high (0.05-0.11 cm/yr) sedimentation rates in the western arm of Lake Superior. Sedimentation rates are very high (> 0.15 cm/yr) in marginal bays adjoining Lake Superior; and moderate to very high (0.07-0.19 cm/yr) in open lake regions adjacent to marginal bays. Resuspension of nearshore and shoal top sediments in southern and southeastern Lake Superior by storms is responsible for depositional anomalies in 210 Pb profiles corresponding to 1905, 1916-1918, and 1940 storms. Sedimentation rates are very low (0.01-0.03 cm/yr) in the central basins due to isolation from sediment sources. These data indicate that sedimentation rates and processes vary significantly in different regions of Lake Superior. The sedimentation rates provided by this study, in conjunction with previously-reported sedimentation rates, yield a better understanding of the Lake Superior depositional environment

  15. 19 CFR 210.28 - Depositions.

    Science.gov (United States)

    2010-04-01

    ... 19 Customs Duties 3 2010-04-01 2010-04-01 false Depositions. 210.28 Section 210.28 Customs Duties UNITED STATES INTERNATIONAL TRADE COMMISSION INVESTIGATIONS OF UNFAIR PRACTICES IN IMPORT TRADE ADJUDICATION AND ENFORCEMENT Discovery and Compulsory Process § 210.28 Depositions. (a) When depositions may be...

  16. On the processing-structure-property relationship of ITO layers deposited on crystalline and amorphous Si

    International Nuclear Information System (INIS)

    Diplas, S.; Ulyashin, A.; Maknys, K.; Gunnaes, A.E.; Jorgensen, S.; Wright, D.; Watts, J.F.; Olsen, A.; Finstad, T.G.

    2007-01-01

    Indium-tin-oxide (ITO) antireflection coatings were deposited on crystalline Si (c-Si), amorphous hydrogenated Si (a-Si:H) and glass substrates at room temperature (RT), 160 deg. C and 230 deg. C by magnetron sputtering. The films were characterised using atomic force microscopy, transmission electron microscopy, angle resolved X-ray photoelectron spectroscopy, combined with resistance and transmittance measurements. The conductivity and refractive index as well as the morphology of the ITO films showed a significant dependence on the processing conditions. The films deposited on the two different Si substrates at higher temperatures have rougher surfaces compared to the RT ones due to the development of crystallinity and growth of columnar grains

  17. The fate of SOC during the processes of water erosion and subsequent deposition: a field study.

    Science.gov (United States)

    van Hemelryck, H.; Govers, G.; van Oost, K.; Merckx, R.

    2009-04-01

    Globally soils are the largest terrestrial pool of carbon (C). A relatively small increase or decrease in soil carbon content due to changes in land use or management practices could therefore result in a significant net exchange of C between the soil C reservoir and the atmosphere. As such, the geomorphic processes of water and tillage erosion have been identified to significantly impact on this large pool of soil organic carbon (SOC). Soil erosion, transport and deposition not only result in redistribution of sediments and associated carbon within a landscape, but also affect the exchange of C between the pedosphere and the atmosphere. The direction and magnitude of an erosion-induced change in the global C balance is however a topic of much debate as opposing processes interact: i) At eroding sites a net uptake of C could be the result of reduced respiration rates and continued inputs of newly produced carbon. ii) Colluvial deposition of eroded sediment and SOC leads to the burial of the original topsoil and this may constrain the decomposition of its containing SOC. iii) Eroded sediment could be transported to distal depositional environments or fluvial systems where it will either be conserved or become rapidly mineralized. iv) Increased emission of CO2 due to erosion may result from the disruptive energy of erosive forces causing the breakdown of aggregates and exposing previously protected SOC to microbial decomposition. The above-mentioned processes show a large spatial and temporal variability and assessing their impact requires an integrated modeling approach. However uncertainties about the basic processes that accompany SOC displacement are still large. This study focuses on one of these large information gaps: the fate of eroded and subsequently deposited SOC. A preceding experimental study (Van Hemelryck et al., 2008) was used to identify controlling factors (erosional intensity, changes in soil structure,…). However this experimental research

  18. Wet and dry deposition and resuspension of AFCT/TFCT fuel processing radionuclides. Final report

    International Nuclear Information System (INIS)

    Slinn, W.G.N.; Katen, P.C.; Wolf, M.A.; Loveland, W.D.; Radke, L.F.; Miller, E.L.; Ghannam, L.J.; Reynolds, B.W.; Vickers, D.

    1979-09-01

    After short summary and introductory chapters, Chapter IV contains a critical analysis of available parameterizations for resuspension and for wet and dry removal processes and recommends interim parameterizations for use in radiation dose calculations. Chapter V describes methods and experimental results from field studies of in-cloud vs below-cloud scavenging, precipitation efficiency, and modifications of aerosols by clouds. In Chapter VI are contained descriptions of methods and results from four different approaches to the problem of measuring the dry deposition velocities of submicron aerosol particles depositing on vegetation. Chapter VII describes experimental results from a study of resuspension and weathering of tracer aerosol particles deposited on soil, grass and gravel; typical resuspension rates were found to be of the order of 10 -8 s -1 and it is recommended that the concept of weathering be reassessed. In Chapter VIII, National Weather Service data are used to obtain Lagrangian statistics for use in a regional-scale study of wet and dry removal. Chapter IX develops new concepts in reservoir models for application at regional to global scales. In the final chapter are some comments about the results found in this study and recommendations for future research

  19. Advanced deposition model for thermal activated chemical vapor deposition

    Science.gov (United States)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  20. Selective deposition contact patterning using atomic layer deposition for the fabrication of crystalline silicon solar cells

    International Nuclear Information System (INIS)

    Cho, Young Joon; Shin, Woong-Chul; Chang, Hyo Sik

    2014-01-01

    Selective deposition contact (SDC) patterning was applied to fabricate the rear side passivation of crystalline silicon (Si) solar cells. By this method, using screen printing for contact patterning and atomic layer deposition for the passivation of Si solar cells with Al 2 O 3 , we produced local contacts without photolithography or any laser-based processes. Passivated emitter and rear-contact solar cells passivated with ozone-based Al 2 O 3 showed, for the SDC process, an up-to-0.7% absolute conversion-efficiency improvement. The results of this experiment indicate that the proposed method is feasible for conversion-efficiency improvement of industrial crystalline Si solar cells. - Highlights: • We propose a local contact formation process. • Local contact forms a screen print and an atomic layer deposited-Al 2 O 3 film. • Ozone-based Al 2 O 3 thin film was selectively deposited onto patterned silicon. • Selective deposition contact patterning method can increase cell-efficiency by 0.7%

  1. The residual C concentration control for low temperature growth p-type GaN

    International Nuclear Information System (INIS)

    Liu Shuang-Tao; Zhao De-Gang; Yang Jing; Jiang De-Sheng; Liang Feng; Chen Ping; Zhu Jian-Jun; Liu Zong-Shun; Li Xiang; Liu Wei; Xing Yao; Zhang Li-Qun

    2017-01-01

    In this work, the influence of C concentration to the performance of low temperature growth p-GaN is studied. Through analyses, we have confirmed that the C impurity has a compensation effect to p-GaN. At the same time we have found that several growth and annealing parameters have influences on the residual C concentration: (i) the C concentration decreases with the increase of growth pressure; (ii) we have found there exists a Ga memory effect when changing the Cp 2 Mg flow which will lead the growth rate and C concentration increase along the increase of Cp 2 Mg flow; (iii) annealing outside of metal–organic chemical vapor deposition (MOCVD) could decrease the C concentration while in situ annealing in MOCVD has an immobilization role to C concentration. (paper)

  2. Interpretation of sedimentological processes of coarse-grained deposits applying a novel combined cluster and discriminant analysis

    Directory of Open Access Journals (Sweden)

    Farics Éva

    2017-10-01

    Full Text Available The main aim of this paper is to determine the depositional environments of an Upper-Eocene coarse-grained clastic succession in the Buda Hills, Hungary. First of all, we measured some commonly used parameters of samples (size, amount, roundness and sphericity in a much more objective overall and faster way than with traditional measurement approaches, using the newly developed Rock Analyst application. For the multivariate data obtained, we applied Combined Cluster and Discriminant Analysis (CCDA in order to determine homogeneous groups of the sampling locations based on the quantitative composition of the conglomerate as well as the shape parameters (roundness and sphericity. The result is the spatial pattern of these groups, which assists with the interpretation of the depositional processes. According to our concept, those sampling sites which belong to the same homogeneous groups were likely formed under similar geological circumstances and by similar geological processes.

  3. Transport and solubility of Hetero-disperse dry deposition particulate matter subject to urban source area rainfall-runoff processes

    Science.gov (United States)

    Ying, G.; Sansalone, J.

    2010-03-01

    SummaryWith respect to hydrologic processes, the impervious pavement interface significantly alters relationships between rainfall and runoff. Commensurate with alteration of hydrologic processes the pavement also facilitates transport and solubility of dry deposition particulate matter (PM) in runoff. This study examines dry depositional flux rates, granulometric modification by runoff transport, as well as generation of total dissolved solids (TDS), alkalinity and conductivity in source area runoff resulting from PM solubility. PM is collected from a paved source area transportation corridor (I-10) in Baton Rouge, Louisiana encompassing 17 dry deposition and 8 runoff events. The mass-based granulometric particle size distribution (PSD) is measured and modeled through a cumulative gamma function, while PM surface area distributions across the PSD follow a log-normal distribution. Dry deposition flux rates are modeled as separate first-order exponential functions of previous dry hours (PDH) for PM and suspended, settleable and sediment fractions. When trans-located from dry deposition into runoff, PSDs are modified, with a d50m decreasing from 331 to 14 μm after transport and 60 min of settling. Solubility experiments as a function of pH, contact time and particle size using source area rainfall generate constitutive models to reproduce pH, alkalinity, TDS and alkalinity for historical events. Equilibrium pH, alkalinity and TDS are strongly influenced by particle size and contact times. The constitutive leaching models are combined with measured PSDs from a series of rainfall-runoff events to demonstrate that the model results replicate alkalinity and TDS in runoff from the subject watershed. Results illustrate the granulometry of dry deposition PM, modification of PSDs along the drainage pathway, and the role of PM solubility for generation of TDS, alkalinity and conductivity in urban source area rainfall-runoff.

  4. Electro-spark deposition technology

    Energy Technology Data Exchange (ETDEWEB)

    Johnson, R.N. [Pacific Northwest National Lab., Richland, WA (United States)

    1997-12-01

    Electro-Spark Deposition (ESD) is a micro-welding process that uses short duration, high-current electrical pulses to deposit or alloy a consumable electrode material onto a metallic substrate. The ESD process was developed to produce coatings for use in severe environments where most other coatings fail. Because of the exceptional damage resistance of these coatings, and the versatility of the process to apply a wide variety of alloys, intermetallics, and cermets to metal surfaces, the ESD process has been designated critical to the life and economy of the advanced fossil energy systems as the higher temperatures and corrosive environments exceed the limits of known structural materials to accommodate the service conditions. Developments include producing iron aluminide-based coatings with triple the corrosion resistance of the best previous Fe{sub 3}Al coatings, coatings with refractory metal diffusion barriers and multi layer coatings for achieving functionally gradient properties between the substrate and the surface. A new development is the demonstration of advanced aluminide-based ESD coatings for erosion and wear applications. One of the most significant breakthroughs to occur in the last dozen years is the discovery of a process regime that yields an order of magnitude increase in deposition rates and achievable coating thicknesses. Achieving this regime has required the development of advanced ESD electronic capabilities. Development is now focused on further improvements in deposition rates, system reliability when operating at process extremes, and economic competitiveness.

  5. Studies on ion scattering and sputtering processes relevant to ion beam sputter deposition of multicomponent thin films

    International Nuclear Information System (INIS)

    Auciello, O.; Ameen, M.S.; Kingon, A.I.

    1989-01-01

    Results from computer simulation and experiments on ion scattering and sputtering processes in ion beam sputter deposition of high Tc superconducting and ferroelectric thin films are presented. It is demonstrated that scattering of neutralized ions from the targets can result in undesirable erosion of, and inert gas incorporation in, the growing films, depending on the ion/target atom ass ratio and ion beam angle of incidence/target/substrate geometry. The studies indicate that sputtering Kr + or Xe + ions is preferable to the most commonly used Ar + ions, since the undesirable phenomena mentioned above are minimized for the first two ions. These results are used to determine optimum sputter deposition geometry and ion beam parameters for growing multicomponent oxide thin films by ion beam sputter-deposition. 10 refs., 5 figs

  6. Shedding of ash deposits

    DEFF Research Database (Denmark)

    Zbogar, Ana; Frandsen, Flemming; Jensen, Peter Arendt

    2009-01-01

    Ash deposits formed during fuel thermal conversion and located on furnace walls and on convective pass tubes, may seriously inhibit the transfer of heat to the working fluid and hence reduce the overall process efficiency. Combustion of biomass causes formation of large quantities of troublesome...... ash deposits which contain significant concentrations of alkali, and earth-alkali metals. The specific composition of biomass deposits give different characteristics as compared to coal ash deposits, i.e. different physical significance of the deposition mechanisms, lower melting temperatures, etc....... Low melting temperatures make straw ashes especially troublesome, since their stickiness is higher at lower temperatures, compared to coal ashes. Increased stickiness will eventually lead to a higher collection efficiency of incoming ash particles, meaning that the deposit may grow even faster...

  7. Extension of the lifetime of tantalum filaments in the hot-wire (Cat) 3 Chemical Vapor Deposition process

    CSIR Research Space (South Africa)

    Knoesen, D

    2008-01-01

    Full Text Available , the filament is again exposed to pure hydrogen for a minimum of 5 min, the chamber then again evacuated to a vacuum better than 8×10−8 mbar before cutting the power to the filament. This has resulted in a filament life of 11 months, with an accumulated... process only treated by annealing before a deposition run, did not last long, and typically broke after 3 to 5 h of accumulated deposition time. Silicide formation is found along the full length of these tantalum filaments, with severe structural...

  8. Compact Layers of Hybrid Halide Perovskites Fabricated via the Aerosol Deposition Process-Uncoupling Material Synthesis and Layer Formation.

    Science.gov (United States)

    Panzer, Fabian; Hanft, Dominik; Gujar, Tanaji P; Kahle, Frank-Julian; Thelakkat, Mukundan; Köhler, Anna; Moos, Ralf

    2016-04-08

    We present the successful fabrication of CH₃NH₃PbI₃ perovskite layers by the aerosol deposition method (ADM). The layers show high structural purity and compactness, thus making them suitable for application in perovskite-based optoelectronic devices. By using the aerosol deposition method we are able to decouple material synthesis from layer processing. Our results therefore allow for enhanced and easy control over the fabrication of perovskite-based devices, further paving the way for their commercialization.

  9. Deposition and surface treatment of Ag-embedded indium tin oxide by plasma processing

    International Nuclear Information System (INIS)

    Kim, Jun Young; Kim, Jae-Kwan; Kim, Ja-Yeon; Kwon, Min-Ki; Yoon, Jae-Sik; Lee, Ji-Myon

    2013-01-01

    Ag-embedded indium tin oxide (ITO) films were deposited on Corning 1737 glass by radio-frequency magnetron sputtering under an Ar or Ar/O 2 mixed gas ambient with a combination of ITO and Ag targets that were sputtered alternately by switching on and off the shutter of the sputter gun. The effects of a subsequent surface treatment using H 2 and H 2 + O 2 mixed gas plasma were also examined. The specific resistance of the as-deposited Ag-embedded ITO sample was lower than that of normal ITO. The transmittance was quenched when Ag was incorporated in ITO. To enhance the specific resistance of Ag-embedded ITO, a surface treatment was conducted using H 2 or H 2 + O 2 mixed gas plasma. Although all samples showed improved specific resistance after the H 2 plasma treatment, the transmittance was quenched due to the formation of agglomerated metals on the surface. The specific resistance of the film was improved without any deterioration of the transmittance after a H 2 + O 2 mixed gas plasma treatment. - Highlights: • Ag-embedded indium tin oxide was deposited. • The contact resistivity was decreased by H 2 + O 2 plasma treatment. • The process was carried out at room temperature without thermal treatment. • The mechanism of enhancing the contact resistance was clarified

  10. Processing-Microstructure-Property Relationships for Cold Spray Powder Deposition of Al-Cu Alloys

    Science.gov (United States)

    2015-06-01

    Champagne [18]. The simulations were completed to compare the simulated particle exit velocities versus the measured particle exit velocities. In...620 m/s to 670 m/s [39]. V. Champagne states that for pure aluminum, an acceptable critical velocity for the deposition of pure aluminum is anything...Materials and Processess, vol. 168, no. 5, pp. 53–55, May 2010. [3] V. K. Champagne and P. F. Leyman, “Cold Spray Process Development for the Reclamation

  11. Directed Vapor Deposition: Low Vacuum Materials Processing Technology

    National Research Council Canada - National Science Library

    Groves, J. F; Mattausch, G; Morgner, H; Hass, D. D; Wadley, H. N

    2000-01-01

    Directed vapor deposition (DVD) is a recently developed electron beam-based evaporation technology designed to enhance the creation of high performance thick and thin film coatings on small area surfaces...

  12. Acidic deposition: State of science and technology. Report 10. Watershed and lake processes affecting surface-water acid-base chemistry. Final report

    International Nuclear Information System (INIS)

    Turner, R.S.; Cook, R.B.; Miegroet, H.V.; Johnson, D.W.; Elwood, J.W.

    1990-09-01

    The acid-base chemistry of surface waters is governed by the amount and chemistry of deposition and by the biogeochemical reactions that generate acidity or acid neutralizing capacity (ANC) along the hydrologic pathways that water follows through watersheds to streams and lakes. The amount of precipitation and it chemical loading depend on the area's climate and physiography, on it proximity to natural or industrial gaseous or particulate sources, and on local or regional air movements. Vegetation interacts with the atmosphere to enhance both wet and dry deposition of chemicals to a greater or lesser extent, depending on vegetation type. Vegetation naturally acidifies the environment in humid regions through processes of excess base cation uptake and generation of organic acids associated with many biological processes. Natural acid production and atmospheric deposition of acidic materials drive the acidification process. The lake or stream NAC represents a balance between the acidity-and ANC-generating processes that occur along different flow paths in the watershed and the relative importance of each flow path

  13. Nitrogen deposition to the United States: distribution, sources, and processes

    Directory of Open Access Journals (Sweden)

    L. Zhang

    2012-05-01

    Full Text Available We simulate nitrogen deposition over the US in 2006–2008 by using the GEOS-Chem global chemical transport model at 1/2°×2/3° horizontal resolution over North America and adjacent oceans. US emissions of NOx and NH3 in the model are 6.7 and 2.9 Tg N a−1 respectively, including a 20% natural contribution for each. Ammonia emissions are a factor of 3 lower in winter than summer, providing a good match to US network observations of NHx (≡NH3 gas + ammonium aerosol and ammonium wet deposition fluxes. Model comparisons to observed deposition fluxes and surface air concentrations of oxidized nitrogen species (NOy show overall good agreement but excessive wintertime HNO3 production over the US Midwest and Northeast. This suggests a model overestimate N2O5 hydrolysis in aerosols, and a possible factor is inhibition by aerosol nitrate. Model results indicate a total nitrogen deposition flux of 6.5 Tg N a−1 over the contiguous US, including 4.2 as NOy and 2.3 as NHx. Domestic anthropogenic, foreign anthropogenic, and natural sources contribute respectively 78%, 6%, and 16% of total nitrogen deposition over the contiguous US in the model. The domestic anthropogenic contribution generally exceeds 70% in the east and in populated areas of the west, and is typically 50–70% in remote areas of the west. Total nitrogen deposition in the model exceeds 10 kg N ha−1 a−1 over 35% of the contiguous US.

  14. Impact of the substrate misorientation and its preliminary etching on the structural and optical properties of integrated GaAs/Si MOCVD heterostructures

    Science.gov (United States)

    Seredin, P. V.; Lenshin, A. S.; Zolotukhin, D. S.; Arsentyev, I. N.; Zhabotinskiy, A. V.; Nikolaev, D. N.

    2018-03-01

    This is the first attempt to make a report regarding the control of the structural and optical functional characteristics of integrated GaAs/Si heterostructures owing to the employment of preliminary etched misoriented Si substrates. The epitaxial GaAs layer on silicon substrates with no formation of the antiphase domains can be grown using substrates deviating less than 4°-6° from the singular (100) plane or without the use of a transition layer of GaAs nano-stakes. Preliminary etching of the Si substrate made it easier to acquire an epitaxial GaAs film in a single-crystalline state with a significantly less relaxation factor MOCVD, which positively influences on the structural performance of the film. These data agree with the results of Infrared reflection spectroscopy as well as Photoluminescence and UV-Vis spectroscopy. The optical properties of the integrated GaAs/Si (100) heterostructures in the IR and UV spectral regions were also identified by means of the relaxation coefficients.

  15. Electrospark deposition for die repair

    Directory of Open Access Journals (Sweden)

    J. Tušek

    2012-01-01

    Full Text Available The electrospark deposition is a process for surfacing of hard metal alloys, e.g. carbides and stellites, on the surfaces of new or old machine elements. In this process, a high current is conducted through an oscillating electrode and a substrate for a very short period of time. In the paper, the process is described and the thickness of deposited layer, chemical composition, dilution rate and the layer roughness are determined.

  16. High-energy high-rate pulsed-power processing of materials by powder consolidation and by railgun deposition. Technical report (Final), 10 April 1985-10 February 1987

    Energy Technology Data Exchange (ETDEWEB)

    Persad, C.; Marcus, H.L.; Weldon, W.F.

    1987-03-31

    This exploratory research program was initiated to investigate the potential of using pulse power sources for powder consolidation, deposition and other high-energy high-rate processing. The characteristics of the high-energy-high-rate (1MJ/s) powder consolidation using megampere current pulses from a homopolar generator, were defined. Molybdenum Alloy TZM, a nickel-based metallic glass, copper/graphite composites, and P/M aluminum alloy X7091 were investigated. The powder-consolidation process produced high densification rates. Density values of 80% to 99% could be obtained with subsecond high-temperature exposure. Specific energy input and applied pressure were controlling process parameters. Time temperature transformation (TTT) concepts underpin a fundamental understanding of pulsed power processing. Inherent control of energy input, and time-to-peak processing temperature developed to be held to short times. Deposition experiments were conducted using an exploding-foil device (EFD) providing an armature feed to railgun mounted in a vacuum chamber. The material to be deposited - in plasma, gas, liquid, or solid state - was accelerated electromagnetically in the railgun and deposited on a substrate. Deposits of a wide variety of single- and multi-specie materials were produced on several types of substrates. In a series of ancillary experiments, pulsed-skin-effect heating and self quenching of metallic conductors was discovered to be a new means of surface modification by high-energy high-rate-processing.

  17. Effect of process parameters on formability of laser melting deposited 12CrNi2 alloy steel

    Science.gov (United States)

    Peng, Qian; Dong, Shiyun; Kang, Xueliang; Yan, Shixing; Men, Ping

    2018-03-01

    As a new rapid prototyping technology, the laser melting deposition technology not only has the advantages of fast forming, high efficiency, but also free control in the design and production chain. Therefore, it has drawn extensive attention from community.With the continuous improvement of steel performance requirements, high performance low-carbon alloy steel is gradually integrated into high-tech fields such as aerospace, high-speed train and armored equipment.However, it is necessary to further explore and optimize the difficult process of laser melting deposited alloy steel parts to achieve the performance and shape control.This article took the orthogonal experiment on alloy steel powder by laser melting deposition ,and revealed the influence rule of the laser power, scanning speed, powder gas flow on the quality of the sample than the dilution rate, surface morphology and microstructure analysis were carried out.Finally, under the optimum technological parameters, the Excellent surface quality of the alloy steel forming part with high density, no pore and cracks was obtained.

  18. Acid Deposition Phenomena

    International Nuclear Information System (INIS)

    Ramadan, A.E.K.

    2004-01-01

    Acid deposition, commonly known as acid rain, occurs when emissions from the combustion of fossil fuels and other industrial processes undergo complex chemical reactions in the atmosphere and fall to the earth as wet deposition (rain, snow, cloud, fog) or dry deposition (dry particles, gas). Rain and snow are already naturally acidic, but are only considered problematic when less than a ph of 5.0 The main chemical precursors leading to acidic conditions are atmospheric concentrations of sulfur dioxide (SO 2 ) and nitrogen oxides (NO x ). When these two compounds react with water, oxygen, and sunlight in the atmosphere, the result is sulfuric (H 2 SO 4 ) and nitric acids (HNO 3 ), the primary agents of acid deposition which mainly produced from the combustion of fossil fuel and from petroleum refinery. Airborne chemicals can travel long distances from their sources and can therefore affect ecosystems over broad regional scales and in locations far from the sources of emissions. According to the concern of petroleum ministry with the environment and occupational health, in this paper we will discussed the acid deposition phenomena through the following: Types of acidic deposition and its components in the atmosphere Natural and man-made sources of compounds causing the acidic deposition. Chemical reactions causing the acidic deposition phenomenon in the atmosphere. Factors affecting level of acidic deposition in the atmosphere. Impact of acid deposition. Procedures for acidic deposition control in petroleum industry

  19. Process qualification and testing of LENS deposited AY1E0125 D-bottle brackets

    International Nuclear Information System (INIS)

    Atwood, Clinton J.; Smugeresky, John E.; Jew, Michael; Gill, David Dennis; Scheffel, Simon

    2006-01-01

    The LENS Qualification team had the goal of performing a process qualification for the Laser Engineered Net Shaping(trademark)(LENS(reg s ign)) process. Process Qualification requires that a part be selected for process demonstration. The AY1E0125 D-Bottle Bracket from the W80-3 was selected for this work. The repeatability of the LENS process was baselined to determine process parameters. Six D-Bottle brackets were deposited using LENS, machined to final dimensions, and tested in comparison to conventionally processed brackets. The tests, taken from ES1E0003, included a mass analysis and structural dynamic testing including free-free and assembly-level modal tests, and Haversine shock tests. The LENS brackets performed with very similar characteristics to the conventionally processed brackets. Based on the results of the testing, it was concluded that the performance of the brackets made them eligible for parallel path testing in subsystem level tests. The testing results and process rigor qualified the LENS process as detailed in EER200638525A

  20. The structural properties of CdS deposited by chemical bath deposition and pulsed direct current magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Lisco, F., E-mail: F.Lisco@lboro.ac.uk [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom); Kaminski, P.M.; Abbas, A.; Bass, K.; Bowers, J.W.; Claudio, G. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom); Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona 4, 70126 Bari (Italy); Walls, J.M. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom)

    2015-05-01

    Cadmium sulphide (CdS) thin films were deposited by two different processes, chemical bath deposition (CBD), and pulsed DC magnetron sputtering (PDCMS) on fluorine doped-tin oxide coated glass to assess the potential advantages of the pulsed DC magnetron sputtering process. The structural, optical and morphological properties of films obtained by CBD and PDCMS were investigated using X-ray photoelectron spectroscopy, X-ray diffraction, scanning and transmission electron microscopy, spectroscopic ellipsometry and UV-Vis spectrophotometry. The as-grown films were studied and comparisons were drawn between their morphology, uniformity, crystallinity, and the deposition rate of the process. The highest crystallinity is observed for sputtered CdS thin films. The absorption in the visible wavelength increased for PDCMS CdS thin films, due to the higher density of the films. The band gap measured for the as-grown CBD-CdS is 2.38 eV compared to 2.34 eV for PDCMS-CdS, confirming the higher density of the sputtered thin film. The higher deposition rate for PDCMS is a significant advantage of this technique which has potential use for high rate and low cost manufacturing. - Highlights: • Pulsed DC magnetron sputtering (PDCMS) of CdS films • Chemical bath deposition of CdS films • Comparison between CdS thin films deposited by chemical bath and PDCMS techniques • High deposition rate deposition for PDCMS deposition • Uniform, pinhole free CdS thin films.

  1. The structural properties of CdS deposited by chemical bath deposition and pulsed direct current magnetron sputtering

    International Nuclear Information System (INIS)

    Lisco, F.; Kaminski, P.M.; Abbas, A.; Bass, K.; Bowers, J.W.; Claudio, G.; Losurdo, M.; Walls, J.M.

    2015-01-01

    Cadmium sulphide (CdS) thin films were deposited by two different processes, chemical bath deposition (CBD), and pulsed DC magnetron sputtering (PDCMS) on fluorine doped-tin oxide coated glass to assess the potential advantages of the pulsed DC magnetron sputtering process. The structural, optical and morphological properties of films obtained by CBD and PDCMS were investigated using X-ray photoelectron spectroscopy, X-ray diffraction, scanning and transmission electron microscopy, spectroscopic ellipsometry and UV-Vis spectrophotometry. The as-grown films were studied and comparisons were drawn between their morphology, uniformity, crystallinity, and the deposition rate of the process. The highest crystallinity is observed for sputtered CdS thin films. The absorption in the visible wavelength increased for PDCMS CdS thin films, due to the higher density of the films. The band gap measured for the as-grown CBD-CdS is 2.38 eV compared to 2.34 eV for PDCMS-CdS, confirming the higher density of the sputtered thin film. The higher deposition rate for PDCMS is a significant advantage of this technique which has potential use for high rate and low cost manufacturing. - Highlights: • Pulsed DC magnetron sputtering (PDCMS) of CdS films • Chemical bath deposition of CdS films • Comparison between CdS thin films deposited by chemical bath and PDCMS techniques • High deposition rate deposition for PDCMS deposition • Uniform, pinhole free CdS thin films

  2. Progress in scale-up of second-generation high-temperature superconductors at SuperPower Inc

    International Nuclear Information System (INIS)

    Xie, Y.-Y.; Knoll, A.; Chen, Y.; Li, Y.; Xiong, X.; Qiao, Y.; Hou, P.; Reeves, J.; Salagaj, T.; Lenseth, K.; Civale, L.; Maiorov, B.; Iwasa, Y.; Solovyov, V.; Suenaga, M.; Cheggour, N.; Clickner, C.; Ekin, J.W.; Weber, C.; Selvamanickam, V.

    2005-01-01

    SuperPower is focused on scaling up second-generation (2-G) high-temperature superconductor (HTS) technology to pilot-scale manufacturing. The emphasis of this program is to develop R and D solutions for scale-up issues in pilot-scale operations to lay the foundation for a framework for large-scale manufacturing. Throughput continues to be increased in all process steps including substrate polishing, buffer and HTS deposition. 2-G HTS conductors have been produced in lengths up to 100 m. Process optimization with valuable information provided by several unique process control and quality-control tools has yielded performances of 6000-7000 A m (77 K, 0 T) in 50-100 m lengths using two HTS fabrication processes: metal organic chemical vapor deposition (MOCVD) and pulsed laser deposition (PLD). Major progress has been made towards the development of practical conductor configurations. Modifications to the HTS fabrication process have resulted in enhanced performance in magnetic fields. Industrial slitting and electroplating processes have been successfully adopted to fabricate tapes in width of 4 mm and with copper stabilizer for cable and coil applications. SuperPower's conductor configuration has yielded excellent mechanical properties and overcurrent carrying capability. Over 60 m of such practical conductors with critical current over 100 A/cm-width have been delivered to Sumitomo Electric Industries, Ltd. for prototype cable construction

  3. Progress in scale-up of second-generation high-temperature superconductors at SuperPower Inc

    Energy Technology Data Exchange (ETDEWEB)

    Xie, Y.-Y. [SuperPower Inc., 450 Duane Ave., Schenectady, NY 12304 (United States)]. E-mail: yxie@igc.com; Knoll, A. [SuperPower Inc., 450 Duane Ave., Schenectady, NY 12304 (United States); Chen, Y. [SuperPower Inc., 450 Duane Ave., Schenectady, NY 12304 (United States); Li, Y. [SuperPower Inc., 450 Duane Ave., Schenectady, NY 12304 (United States); Xiong, X. [SuperPower Inc., 450 Duane Ave., Schenectady, NY 12304 (United States); Qiao, Y. [SuperPower Inc., 450 Duane Ave., Schenectady, NY 12304 (United States); Hou, P. [SuperPower Inc., 450 Duane Ave., Schenectady, NY 12304 (United States); Reeves, J. [SuperPower Inc., 450 Duane Ave., Schenectady, NY 12304 (United States); Salagaj, T. [SuperPower Inc., 450 Duane Ave., Schenectady, NY 12304 (United States); Lenseth, K. [SuperPower Inc., 450 Duane Ave., Schenectady, NY 12304 (United States); Civale, L. [Los Alamos National Laboratory, Los Alamos, NM 87545 (United States); Maiorov, B. [Los Alamos National Laboratory, Los Alamos, NM 87545 (United States); Iwasa, Y. [Massachusetts Institute of Technology, Cambridge, MA 02139 (United States); Solovyov, V. [Brookhaven National Laboratory, Upton, NY 11973 (United States); Suenaga, M. [Brookhaven National Laboratory, Upton, NY 11973 (United States); Cheggour, N. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Clickner, C. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Ekin, J.W. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Weber, C. [SuperPower Inc., 450 Duane Ave., Schenectady, NY 12304 (United States); Selvamanickam, V. [SuperPower Inc., 450 Duane Ave., Schenectady, NY 12304 (United States)

    2005-10-01

    SuperPower is focused on scaling up second-generation (2-G) high-temperature superconductor (HTS) technology to pilot-scale manufacturing. The emphasis of this program is to develop R and D solutions for scale-up issues in pilot-scale operations to lay the foundation for a framework for large-scale manufacturing. Throughput continues to be increased in all process steps including substrate polishing, buffer and HTS deposition. 2-G HTS conductors have been produced in lengths up to 100 m. Process optimization with valuable information provided by several unique process control and quality-control tools has yielded performances of 6000-7000 A m (77 K, 0 T) in 50-100 m lengths using two HTS fabrication processes: metal organic chemical vapor deposition (MOCVD) and pulsed laser deposition (PLD). Major progress has been made towards the development of practical conductor configurations. Modifications to the HTS fabrication process have resulted in enhanced performance in magnetic fields. Industrial slitting and electroplating processes have been successfully adopted to fabricate tapes in width of 4 mm and with copper stabilizer for cable and coil applications. SuperPower's conductor configuration has yielded excellent mechanical properties and overcurrent carrying capability. Over 60 m of such practical conductors with critical current over 100 A/cm-width have been delivered to Sumitomo Electric Industries, Ltd. for prototype cable construction.

  4. Characterization on the electrophoretic deposition of the 8 mol% yttria-stabilized zirconia nanocrystallites prepared by a sol-gel process

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Y.-H. [Department of Materials Science and Engineering, National Cheng Kung University, 1 Ta-Hsueh Road, Tainan 70101, Taiwan (China); Kuo, C.-W. [Department of Resources Engineering, National Cheng Kung University, 1 Ta-Hsueh Road, Tainan 70101, Taiwan (China); Shih, C.-J. [Faculty of Fragrance and Cosmetics, Kaohsiung Medical University, 100 Shi-Chuan 1st Road, Kaohsiung 807, Taiwan (China); Hung, I-M. [Department of Materials Science and Engineering, National Cheng Kung University, 1 Ta-Hsueh Road, Tainan 70101, Taiwan (China); Fung, K.-Z. [Department of Materials Science and Engineering, National Cheng Kung University, 1 Ta-Hsueh Road, Tainan 70101, Taiwan (China); Wen, S.-B. [Department of Resources Engineering, National Cheng Kung University, 1 Ta-Hsueh Road, Tainan 70101, Taiwan (China); Wang, M.-C. [Faculty of Fragrance and Cosmetics, Kaohsiung Medical University, 100 Shi-Chuan 1st Road, Kaohsiung 807, Taiwan (China)]. E-mail: cjshih@kmu.edu.tw

    2007-02-15

    An 8 mol% yttria-stabilized zirconia (8YSZ) films are electrophoretically deposited on the La{sub 0.8}Sr{sub 0.2}MnO{sub 3} substrate using 8YSZ nanocrystallites prepared by a sol-gel process. Effects of liquid suspension on the particle zeta potential and degree of agglomeration at different pH values are investigated. When the pH value deviates from the point of zero charge (PZC), the adsorption of protons on particle surfaces cause higher zeta potential and well-dispersed suspension. The optimal values of the iodine concentration, applied voltage and deposition time for the electrophoretic deposition of 8YSZ films are also found.

  5. Deposition and Resuspension of Particles

    DEFF Research Database (Denmark)

    Lengweiler, P.; Nielsen, Peter V.; Moser, A.

    A new experimental set-up to investigate the physical process of dust deposition and resuspension on and from surfaces is introduced. Dust deposition can reduce the airBorne dust concentration considerably. As a basis for developing methods to eliminate dust related problems in rooms......, there is a need for better understanding of the mechanism of dust deposition and resuspension....

  6. Numerical experiment on tsunami deposit distribution process by using tsunami sediment transport model in historical tsunami event of megathrust Nankai trough earthquake

    Science.gov (United States)

    Imai, K.; Sugawara, D.; Takahashi, T.

    2017-12-01

    A large flow caused by tsunami transports sediments from beach and forms tsunami deposits in land and coastal lakes. A tsunami deposit has been found in their undisturbed on coastal lakes especially. Okamura & Matsuoka (2012) found some tsunami deposits in the field survey of coastal lakes facing to the Nankai trough, and tsunami deposits due to the past eight Nankai Trough megathrust earthquakes they identified. The environment in coastal lakes is stably calm and suitable for tsunami deposits preservation compared to other topographical conditions such as plains. Therefore, there is a possibility that the recurrence interval of megathrust earthquakes and tsunamis will be discussed with high resolution. In addition, it has been pointed out that small events that cannot be detected in plains could be separated finely (Sawai, 2012). Various aspects of past tsunami is expected to be elucidated, in consideration of topographical conditions of coastal lakes by using the relationship between the erosion-and-sedimentation process of the lake bottom and the external force of tsunami. In this research, numerical examination based on tsunami sediment transport model (Takahashi et al., 1999) was carried out on the site Ryujin-ike pond of Ohita, Japan where tsunami deposit was identified, and deposit migration analysis was conducted on the tsunami deposit distribution process of historical Nankai Trough earthquakes. Furthermore, examination of tsunami source conditions is possibly investigated by comparison studies of the observed data and the computation of tsunami deposit distribution. It is difficult to clarify details of tsunami source from indistinct information of paleogeographical conditions. However, this result shows that it can be used as a constraint condition of the tsunami source scale by combining tsunami deposit distribution in lakes with computation data.

  7. High-rate anisotropic ablation and deposition of polytetrafluoroethylene using synchrotron radiation process

    International Nuclear Information System (INIS)

    Inayoshi, Muneto; Ikeda, Masanobu; Hori, Masaru; Goto, Toshio; Hiramatsu, Mineo; Hiraya, Atsunari.

    1995-01-01

    Both anisotropic ablation and thin film formation of polytetrafluoroethylene (PTFE) were successfully demonstrated using synchrotron radiation (SR) irradiation of PTFE, that is, the SR ablation process. Anisotropic ablation by the SR irradiation was performed at an extremely high rate of 3500 μm/min at a PTFE target temperature of 200degC. Moreover, a PTFE thin film was formed at a high rate of 2.6 μm/min using SR ablation of PTFE. The chemical structure of the deposited film was similar to that of the PTFE target as determined from Fourier transform infrared absorption spectroscopy (FT-IR) analysis. (author)

  8. Ultraviolet optical properties of aluminum fluoride thin films deposited by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hennessy, John, E-mail: john.j.hennessy@jpl.nasa.gov; Jewell, April D.; Balasubramanian, Kunjithapatham; Nikzad, Shouleh [Jet Propulsion Laboratory, California Institute of Technology, 4800 Oak Grove Drive, Pasadena, California 91109 (United States)

    2016-01-15

    Aluminum fluoride (AlF{sub 3}) is a low refractive index material with promising optical applications for ultraviolet (UV) wavelengths. An atomic layer deposition process using trimethylaluminum and anhydrous hydrogen fluoride has been developed for the deposition of AlF{sub 3} at substrate temperatures between 100 and 200 °C. This low temperature process has resulted in thin films with UV-optical properties that have been characterized by ellipsometric and reflection/transmission measurements at wavelengths down to 200 nm. The optical loss for 93 nm thick films deposited at 100 °C was measured to be less than 0.2% from visible wavelengths down to 200 nm, and additional microstructural characterization demonstrates that the films are amorphous with moderate tensile stress of 42–105 MPa as deposited on silicon substrates. X-ray photoelectron spectroscopy analysis shows no signature of residual aluminum oxide components making these films good candidates for a variety of applications at even shorter UV wavelengths.

  9. Impact of residual by-products from tungsten film deposition on process integration due to nonuniformity of the tungsten film

    CERN Document Server

    Sidhwa, A; Gandy, T; Melosky, S; Brown, W; Ang, S; Naseem, H; Ulrich, R

    2002-01-01

    The effects of residual by products from a tungsten film deposition process and their impact on process integration due to the nonuniformity of the tungsten film were investigated in this work. The tungsten film deposition process involves three steps: nucleation, stabilization, and tungsten bulk fill. Six experiments were conducted in search for a solution to the problem. The resulting data suggest that excess nitrogen left in the chamber following the tungsten nucleation step, along with residual by products, causes a shift in the tungsten film uniformity during the tungsten bulk fill process. Data reveal that, due to the residual by products, an abnormal grain growth occurs causing a variation in the tungsten thickness across the wafer during the bulk fill step. Although several possible solutions were revealed by the experiments, potential integration problems limited the acceptable solutions to one. The solution chosen was the introduction of a 10 s pumpdown immediately following the nucleation step. Thi...

  10. Ellipsometric study of metal-organic chemically vapor deposited III-V semiconductor structures

    Science.gov (United States)

    Alterovitz, Samuel A.; Sekula-Moise, Patricia A.; Sieg, Robert M.; Drotos, Mark N.; Bogner, Nancy A.

    1992-01-01

    An ellipsometric study of MOCVD-grown layers of AlGaAs and InGaAs in thick films and strained layer complex structures is presented. It is concluded that the ternary composition of thick nonstrained layers can be accurately determined to within experimental errors using numerical algorithms. In the case of complex structures, thickness of all layers and the alloy composition of nonstrained layers can be determined simultaneously, provided that the correlations between parameters is no higher than 0.9.

  11. Wide-bandgap epitaxial heterojunction windows for silicon solar cells

    Science.gov (United States)

    Landis, Geoffrey A.; Loferski, Joseph J.; Beaulieu, Roland; Sekula-Moise, Patricia A.; Vernon, Stanley M.

    1990-01-01

    It is shown that the efficiency of a solar cell can be improved if minority carriers are confined by use of a wide-bandgap heterojunction window. For silicon (lattice constant a = 5.43 A), nearly lattice-matched wide-bandgap materials are ZnS (a = 5.41 A) and GaP (a = 5.45 A). Isotype n-n heterojuntions of both ZnS/Si and GaP/Si were grown on silicon n-p homojunction solar cells. Successful deposition processes used were metalorganic chemical vapor deposition (MO-CVD) for GaP and ZnS, and vacuum evaporation of ZnS. Planar (100) and (111) and texture-etched - (111)-faceted - surfaces were used. A decrease in minority-carrier surface recombination compared to a bare surface was seen from increased short-wavelength spectral response, increased open-circuit voltage, and reduced dark saturation current, with no degradation of the minority carrier diffusion length.

  12. Silver deposition on titanium surface by electrochemical anodizing process reduces bacterial adhesion of Streptococcus sanguinis and Lactobacillus salivarius.

    Science.gov (United States)

    Godoy-Gallardo, Maria; Rodríguez-Hernández, Ana G; Delgado, Luis M; Manero, José M; Javier Gil, F; Rodríguez, Daniel

    2015-10-01

    The aim of this study was to determine the antibacterial properties of silver-doped titanium surfaces prepared with a novel electrochemical anodizing process. Titanium samples were anodized with a pulsed process in a solution of silver nitrate and sodium thiosulphate at room temperature with stirring. Samples were processed with different electrolyte concentrations and treatment cycles to improve silver deposition. Physicochemical properties were determined by X-ray photoelectron spectroscopy, contact angle measurements, white-light interferometry, and scanning electron microscopy. Cellular cytotoxicity in human fibroblasts was studied with lactate dehydrogenase assays. The in vitro effect of treated surfaces on two oral bacteria strains (Streptococcus sanguinis and Lactobacillus salivarius) was studied with viable bacterial adhesion measurements and growth curve assays. Nonparametric statistical Kruskal-Wallis and Mann-Whitney U-tests were used for multiple and paired comparisons, respectively. Post hoc Spearman's correlation tests were calculated to check the dependence between bacteria adhesion and surface properties. X-ray photoelectron spectroscopy results confirmed the presence of silver on treated samples and showed that treatments with higher silver nitrate concentration and more cycles increased the silver deposition on titanium surface. No negative effects in fibroblast cell viability were detected and a significant reduction on bacterial adhesion in vitro was achieved in silver-treated samples compared with control titanium. Silver deposition on titanium with a novel electrochemical anodizing process produced surfaces with significant antibacterial properties in vitro without negative effects on cell viability. © 2014 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  13. Film thickness determination by grazing incidence diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Battiston, G A; Gerbasi, R [CNR, Padua (Italy). Istituto di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati

    1996-09-01

    Thin films deposited via MOCVD (Metal Organic Chemical Vapour Deposition) are layers in the thickness range of a few manometers to about ten micrometers. An understanding of the physics and chemistry of films is necessary for a better comprehension of the phenomena involved in the film deposition procedure and its optimisation. Together with the crystalline phase a parameter that must be determined is the thickness of the layer. In this work the authors present a method for the measurement of the film thickness. This procedure, based on diffraction intensity absorption of the X-rays, both incident and diffracted in passing through the layers, resulted quite simple, rapid and non-destructive.

  14. Film thickness determination by grazing incidence diffraction

    International Nuclear Information System (INIS)

    Battiston, G. A.; Gerbasi, R.

    1996-01-01

    Thin films deposited via MOCVD (Metal Organic Chemical Vapour Deposition) are layers in the thickness range of a few manometers to about ten micrometers. An understanding of the physics and chemistry of films is necessary for a better comprehension of the phenomena involved in the film deposition procedure and its optimisation. Together with the crystalline phase a parameter that must be determined is the thickness of the layer. In this work the authors present a method for the measurement of the film thickness. This procedure, based on diffraction intensity absorption of the X-rays, both incident and diffracted in passing through the layers, resulted quite simple, rapid and non-destructive

  15. Electromagnetic sensors for monitoring of scour and deposition processes at bridges and offshore wind turbines

    Science.gov (United States)

    Michalis, Panagiotis; Tarantino, Alessandro; Judd, Martin

    2014-05-01

    Recent increases in precipitation have resulted in severe and frequent flooding incidents. This has put hydraulic structures at high risk of failure due to scour, with severe consequences to public safety and significant economic losses. Foundation scour is the leading cause of bridge failures and one of the main climate change impacts to highway and railway infrastructure. Scour action is also being considered as a major risk for offshore wind farm developments as it leads to excessive excavation of the surrounding seabed. Bed level conditions at underwater foundations are very difficult to evaluate, considering that scour holes are often re-filled by deposited loose material which is easily eroded during smaller scale events. An ability to gather information concerning the evolution of scouring will enable the validation of models derived from laboratory-based studies and the assessment of different engineering designs. Several efforts have focused on the development of instrumentation techniques to measure scour processes at foundations. However, they are not being used routinely due to numerous technical and cost issues; therefore, scour continues to be inspected visually. This research project presents a new sensing technique, designed to measure scour depth variation and sediment deposition around the foundations of bridges and offshore wind turbines, and to provide an early warning of an impending structural failure. The monitoring system consists of a probe with integrated electromagnetic sensors, designed to detect the change in the surrounding medium around the foundation structure. The probe is linked to a wireless network to enable remote data acquisition. A developed prototype and a commercial sensor were evaluated to quantify their capabilities to detect scour and sediment deposition processes. Finite element modelling was performed to define the optimum geometric characteristics of the prototype scour sensor based on models with various permittivity

  16. MAPLE deposition of nanomaterials

    International Nuclear Information System (INIS)

    Caricato, A.P.; Arima, V.; Catalano, M.; Cesaria, M.; Cozzoli, P.D.; Martino, M.; Taurino, A.; Rella, R.; Scarfiello, R.; Tunno, T.; Zacheo, A.

    2014-01-01

    The matrix-assisted pulsed laser evaporation (MAPLE) has been recently exploited for depositing films of nanomaterials by combining the advantages of colloidal inorganic nanoparticles and laser-based techniques. MAPLE-deposition of nanomaterials meeting applicative purposes demands their peculiar properties to be taken into account while planning depositions to guarantee a congruent transfer (in terms of crystal structure and geometric features) and explain the deposition outcome. In particular, since nanofluids can enhance thermal conductivity with respect to conventional fluids, laser-induced heating can induce different ablation thermal regimes as compared to the MAPLE-treatment of soft materials. Moreover, nanoparticles exhibit lower melting temperatures and can experience pre-melting phenomena as compared to their bulk counterparts, which could easily induce shape and or crystal phase modification of the material to be deposited even at very low fluences. In this complex scenario, this review paper focuses on examples of MAPLE-depositions of size and shape controlled nanoparticles for different applications highlights advantages and challenges of the MAPLE-technique. The influence of the deposition parameters on the physical mechanisms which govern the deposition process is discussed.

  17. MAPLE deposition of nanomaterials

    Energy Technology Data Exchange (ETDEWEB)

    Caricato, A.P., E-mail: annapaola.caricato@le.infn.it [Department of Mathematics and Physics “E. De Giorgi”, University of Salento, Via Arnesano, I-73100 Lecce (Italy); Arima, V.; Catalano, M. [National Nanotechnology Laboratory (NNL), CNR Istituto Nanoscienze, c/o Distretto Tecnologico, Via Arnesano n. 16, I-73100 Lecce (Italy); Cesaria, M. [Department of Mathematics and Physics “E. De Giorgi”, University of Salento, Via Arnesano, I-73100 Lecce (Italy); Cozzoli, P.D. [Department of Mathematics and Physics “E. De Giorgi”, University of Salento, Via Arnesano, I-73100 Lecce (Italy); National Nanotechnology Laboratory (NNL), CNR Istituto Nanoscienze, c/o Distretto Tecnologico, Via Arnesano n. 16, I-73100 Lecce (Italy); Martino, M. [Department of Mathematics and Physics “E. De Giorgi”, University of Salento, Via Arnesano, I-73100 Lecce (Italy); Taurino, A.; Rella, R. [Institute for Microelectronics and Microsystems, IMM-CNR, Via Monteroni, I-73100 Lecce (Italy); Scarfiello, R. [National Nanotechnology Laboratory (NNL), CNR Istituto Nanoscienze, c/o Distretto Tecnologico, Via Arnesano n. 16, I-73100 Lecce (Italy); Tunno, T. [Department of Mathematics and Physics “E. De Giorgi”, University of Salento, Via Arnesano, I-73100 Lecce (Italy); Zacheo, A. [Department of Mathematics and Physics “E. De Giorgi”, University of Salento, Via Arnesano, I-73100 Lecce (Italy); National Nanotechnology Laboratory (NNL), CNR Istituto Nanoscienze, c/o Distretto Tecnologico, Via Arnesano n. 16, I-73100 Lecce (Italy)

    2014-05-01

    The matrix-assisted pulsed laser evaporation (MAPLE) has been recently exploited for depositing films of nanomaterials by combining the advantages of colloidal inorganic nanoparticles and laser-based techniques. MAPLE-deposition of nanomaterials meeting applicative purposes demands their peculiar properties to be taken into account while planning depositions to guarantee a congruent transfer (in terms of crystal structure and geometric features) and explain the deposition outcome. In particular, since nanofluids can enhance thermal conductivity with respect to conventional fluids, laser-induced heating can induce different ablation thermal regimes as compared to the MAPLE-treatment of soft materials. Moreover, nanoparticles exhibit lower melting temperatures and can experience pre-melting phenomena as compared to their bulk counterparts, which could easily induce shape and or crystal phase modification of the material to be deposited even at very low fluences. In this complex scenario, this review paper focuses on examples of MAPLE-depositions of size and shape controlled nanoparticles for different applications highlights advantages and challenges of the MAPLE-technique. The influence of the deposition parameters on the physical mechanisms which govern the deposition process is discussed.

  18. In situ growth monitoring of AlGaN/GaN distributed Bragg reflectors at 530 nm using a 633 nm laser

    Energy Technology Data Exchange (ETDEWEB)

    Wen Feng; Huang Lirong; Jiang Bo; Tong Liangzhu; Xu Wei; Liu Deming, E-mail: hlr5649@163.co [Wuhan National Laboratory for Optoelectronics, College of Opto-Electronics Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China)

    2010-09-15

    The metal-organic chemical vapor deposition (MOCVD) growth of AlGaN/GaN distributed Bragg reflectors (DBR) with a reflection peak at 530 nm was in situ monitored using 633 nm laser reflectometry. Evolutions of in situ reflected reflectivity for different kinds of AlGaN/GaN DBR were simulated by the classical transfer matrix method. Two DBR samples, which have the same parameters as the simulated structures, were grown by MOCVD. The simulated and experimental results show that it is possible to evaluate the DBR parameters from the envelope shape of the in situ reflectivity spectrum. With the help of the 633 nm laser reflectometry, a DBR light emitting diode (LED) was grown. The room temperature photoluminescence spectra show that the reflection peak of the DBR in the LED is within the design region. (semiconductor devices)

  19. Development of data processing system for regional geophysical and geochemical exploration of sandstone-hosted uranium deposits based on ArcGIS Engine

    International Nuclear Information System (INIS)

    Han Shaoyang; Ke Dan; Hou Huiqun; Hu Shuiqing

    2010-01-01

    According to the data processing need of geophysical and geochemical exploration of sandstone-hosted uranium deposits, the function design of the regional geophysical and geochemical data processing system is completed in the paper. The geophysical and geochemical data processing software with powerful functions is also developed based on ArcGIS Engine which remedies the shortage of GIS software for performing the geophysical and geochemical data processing. The development technique route of system software and key techniques are introduced, and the development processes of system software are showed through some development examples. Application practices indicate that the interface of developed system software with friendly interface and utility functions, may quickly perform the data processing of regional geophysical and geochemical exploration and provide the helpful deep information for predicting metallogenic prospective areas of sandstone-hosted uranium deposits. The system software is of a great application foreground. (authors)

  20. Enhanced critical currents in (Gd,Y)Ba2Cu3Ox superconducting tapes with high levels of Zr addition

    Energy Technology Data Exchange (ETDEWEB)

    Selvamanickam, V; Chen, Y; Shi, T; Liu, Y; Khatri, ND; Liu, J; Yao, Y; Xiong, X; Lei, C; Soloveichik, S; Galstyan, E; Majkic, G

    2013-01-21

    The critical current and structural properties of (Gd,Y)BaCuO tapes made by metal organic chemical vapor deposition (MOCVD) with Zr addition levels up to 30 at.% have been investigated. The reduction in critical current beyond the previously optimized Zr addition level of 7.5 at.% was found to be due to structural deterioration of the (Gd,Y)Ba2Cu3Ox film. By a modified MOCVD process,enhanced critical current densities have been achieved with high levels of Zr addition,including 3.83 MA cm(-2) in 15 at.% Zr- added 1.1 mu m thick film at 77 K in zero magnetic field. Critical currents as high as 1072 A/ 12 mm have been reached in (Gd,Y) BaCuO tapes with 15 at.% Zr addition at 30 K in a field of 3 T applied perpendicular to the tape,corresponding to a pinning force value of 268 GN m(-3). The enhanced critical currents achievable with a high density of nanoscale defects by employing high levels of second- phase additions enable the performance targets needed for the use of HTS tapes in coil applications involving high magnetic fields at temperatures below 50 K to be met.

  1. Enhanced critical currents in (Gd,Y)Ba2Cu3Ox superconducting tapes with high levels of Zr addition

    International Nuclear Information System (INIS)

    Selvamanickam, V; Shi, T; Liu, Y; Khatri, N D; Liu, J; Yao, Y; Galstyan, E; Majkic, G; Chen, Y; Xiong, X; Lei, C; Soloveichik, S

    2013-01-01

    The critical current and structural properties of (Gd,Y)BaCuO tapes made by metal organic chemical vapor deposition (MOCVD) with Zr addition levels up to 30 at.% have been investigated. The reduction in critical current beyond the previously optimized Zr addition level of 7.5 at.% was found to be due to structural deterioration of the (Gd,Y)Ba 2 Cu 3 O x film. By a modified MOCVD process, enhanced critical current densities have been achieved with high levels of Zr addition, including 3.83 MA cm −2 in 15 at.% Zr-added 1.1 μm thick film at 77 K in zero magnetic field. Critical currents as high as 1072 A/12 mm have been reached in (Gd,Y)BaCuO tapes with 15 at.% Zr addition at 30 K in a field of 3 T applied perpendicular to the tape, corresponding to a pinning force value of 268 GN m −3 . The enhanced critical currents achievable with a high density of nanoscale defects by employing high levels of second-phase additions enable the performance targets needed for the use of HTS tapes in coil applications involving high magnetic fields at temperatures below 50 K to be met. (paper)

  2. A unique laboratory test rig reduces the need for offshore tests to combat calcium naphthenate deposition in oilfield process equipment.

    Energy Technology Data Exchange (ETDEWEB)

    Mediaas, Heidi; Grande, Knut; Hustad, Britt-Marie; Hoevik, Kim Reidar; Kummernes, Hege; Nergaard, Bjoern; Vindstad, Jens Emil

    2006-03-15

    Producing and refining high-TAN crude oils introduces a number of challenges, among which calcium naphthenate deposition in process facilities is the most serious production issue. Until recently, the only option for studying chemicals and process parameters in order to prevent naphthenate deposition has been field tests. Statoil has now developed a small scale pilot plant where these experiments can be performed in the laboratory at Statoil's Research and Technology Center in Trondheim, Norway. The results from the pilot plant are in full agreement with the extensive naphthenate experience obtained from almost 9 years operation of the Heidrun oilfield. The design and operational procedures for this test facility are based on the recent discovery by Statoil and ConocoPhillips of the ARN acid. The ARN acid is a prerequisite for calcium naphthenate deposition. The new continuous flow pilot plant, the Naphthenate Rig, is used to develop new environmental friendly naphthenate inhibitors and to optimize process operating conditions. Since it operates on real crudes the need for field tests in qualifying new naphthenate inhibitors is reduced. To the best of our knowledge, the rig is the first of its kind in the world. (Author)

  3. Fabrication of an a-IGZO thin film transistor using selective deposition of cobalt by the self-assembly monolayer (SAM) process.

    Science.gov (United States)

    Cho, Young-Je; Kim, HyunHo; Park, Kyoung-Yun; Lee, Jaegab; Bobade, Santosh M; Wu, Fu-Chung; Choi, Duck-Kyun

    2011-01-01

    Interest in transparent oxide thin film transistors utilizing ZnO material has been on the rise for many years. Recently, however, IGZO has begun to draw more attention due to its higher stability and superior electric field mobility when compared to ZnO. In this work, we address an improved method for patterning an a-IGZO film using the SAM process, which employs a cost-efficient micro-contact printing method instead of the conventional lithography process. After a-IGZO film deposition on the surface of a SiO2-layered Si wafer, the wafer was illuminated with UV light; sources and drains were then patterned using n-octadecyltrichlorosilane (OTS) molecules by a printing method. Due to the low surface energy of OTS, cobalt was selectively deposited on the OTS-free a-IGZO surface. The selective deposition of cobalt electrodes was successful, as confirmed by an optical microscope. The a-IZGO TFT fabricated using the SAM process exhibited good transistor performance: electric field mobility (micro(FE)), threshold voltage (V(th)), subthreshold slope (SS) and on/off ratio were 2.1 cm2/Vs, 2.4 V, 0.35 V/dec and 2.9 x 10(6), respectively.

  4. Method for deposition of a conductor in integrated circuits

    Science.gov (United States)

    Creighton, J. Randall; Dominguez, Frank; Johnson, A. Wayne; Omstead, Thomas R.

    1997-01-01

    A method is described for fabricating integrated semiconductor circuits and, more particularly, for the selective deposition of a conductor onto a substrate employing a chemical vapor deposition process. By way of example, tungsten can be selectively deposited onto a silicon substrate. At the onset of loss of selectivity of deposition of tungsten onto the silicon substrate, the deposition process is interrupted and unwanted tungsten which has deposited on a mask layer with the silicon substrate can be removed employing a halogen etchant. Thereafter, a plurality of deposition/etch back cycles can be carried out to achieve a predetermined thickness of tungsten.

  5. Optical thin film deposition

    International Nuclear Information System (INIS)

    Macleod, H.A.

    1979-01-01

    The potential usefulness in the production of optical thin-film coatings of some of the processes for thin film deposition which can be classified under the heading of ion-assisted techniques is examined. Thermal evaporation is the process which is virtually universally used for this purpose and which has been developed to a stage where performance is in almost all respects high. Areas where further improvements would be of value, and the possibility that ion-assisted deposition might lead to such improvements, are discussed. (author)

  6. Cu and Cu(Mn) films deposited layer-by-layer via surface-limited redox replacement and underpotential deposition

    Energy Technology Data Exchange (ETDEWEB)

    Fang, J.S., E-mail: jsfang@nfu.edu.tw [Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan (China); Sun, S.L. [Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan (China); Cheng, Y.L. [Department of Electrical Engineering, National Chi-Nan University, Nan-Tou 54561, Taiwan (China); Chen, G.S.; Chin, T.S. [Department of Materials Science and Engineering, Feng Chia University, Taichung 40724, Taiwan (China)

    2016-02-28

    Graphical abstract: - Abstract: The present paper reports Cu and Cu(Mn) films prepared layer-by-layer using an electrochemical atomic layer deposition (ECALD) method. The structure and properties of the films were investigated to elucidate their suitability as Cu interconnects for microelectronics. Previous studies have used primarily a vacuum-based atomic layer deposition to form a Cu metallized film. Herein, an entirely wet chemical process was used to fabricate a Cu film using the ECALD process by combining underpotential deposition (UPD) and surface-limited redox replacement (SLRR). The experimental results indicated that an inadequate UPD of Pb affected the subsequent SLRR of Cu and lead to the formation of PbSO{sub 4}. A mechanism is proposed to explain the results. Layer-by-layer deposition of Cu(Mn) films was successfully performed by alternating the deposition cycle-ratios of SLRR-Cu and UPD-Mn. The proposed self-limiting growth method offers a layer-by-layer wet chemistry-based deposition capability for fabricating Cu interconnects.

  7. Uranium deposits in the metamorphic basement of the Rouergue massif. Genesis and extension of related albitization processes

    International Nuclear Information System (INIS)

    Schmitt, J.M.

    1982-02-01

    Albitization processes in the Rouergue metamorphic basement, probably Permian aged is evidenced. Late development of uranium orebodies occured within albitized zones. The detection of the latter serves as a highly valuable indirect guide for prospecting this type of deposits in a metamorphic basement [fr

  8. Data on nearshore wave process and surficial beach deposits, central Tamil Nadu coast, India.

    Science.gov (United States)

    Joevivek, V; Chandrasekar, N

    2017-08-01

    The chronicles of nearshore morphology and surficial beach deposits provide valuable information about the nature of the beach condition and the depositional environment. It imparts an understanding about the spatial and temporal relationship of nearshore waves and its influence over the distribution of beach sediments. This article contains data about wave and sediment dynamics of the ten sandy beaches along the central Tamil Nadu coast, India. This present dataset comprises nearshore wave parameters, breaker wave type, beach morphodynamic state, grain size distribution and weight percentage of heavy and light mineral distribution. The dataset will figure out the beach morphology and hydrodynamic condition with respect to the different monsoonal season. This will act as a field reference to realize the coastal dynamics in an open sea condition. The nearshore entities were obtained from the intensive field survey between January 2011 and December 2011, while characteristics of beach sediments are examined by the chemical process in the laboratory environment.

  9. Precambrian uranium deposits as a possible source of uranium for the European Variscan deposits

    International Nuclear Information System (INIS)

    Mineeva, I.G.; Klochkov, A.S.

    2002-01-01

    The Precambrian uranium deposits have been studied on the territory of Baltic and Ukrainian shields. The primary Early Proterozoic complex Au-U deposits originated in granite-greenstone belts as a result of their evolution during continental earth crust formation by prolonged rift genesis. The greenstone belts are clues for revealing ancient protoriftogenic structures. The general regularities of uranium deposition on Precambrian shields are also traceable in Variscan uranium deposits from the Bohemian massif. The Variscan period of uranium ore formation is connected with a polychronous rejuvenation of ancient riftogenous systems and relatively younger processes of oil and gas formation leading to the repeated mobilization of U from destroyed Proterozoic and Riphean uranium deposits. (author)

  10. Interpretation of sedimentological processes of coarse-grained deposits applying a novel combined cluster and discriminant analysis

    Science.gov (United States)

    Farics, Éva; Farics, Dávid; Kovács, József; Haas, János

    2017-10-01

    The main aim of this paper is to determine the depositional environments of an Upper-Eocene coarse-grained clastic succession in the Buda Hills, Hungary. First of all, we measured some commonly used parameters of samples (size, amount, roundness and sphericity) in a much more objective overall and faster way than with traditional measurement approaches, using the newly developed Rock Analyst application. For the multivariate data obtained, we applied Combined Cluster and Discriminant Analysis (CCDA) in order to determine homogeneous groups of the sampling locations based on the quantitative composition of the conglomerate as well as the shape parameters (roundness and sphericity). The result is the spatial pattern of these groups, which assists with the interpretation of the depositional processes. According to our concept, those sampling sites which belong to the same homogeneous groups were likely formed under similar geological circumstances and by similar geological processes. In the Buda Hills, we were able to distinguish various sedimentological environments within the area based on the results: fan, intermittent stream or marine.

  11. Coating of diamond-like carbon nanofilm on alumina by microwave plasma enhanced chemical vapor deposition process.

    Science.gov (United States)

    Rattanasatien, Chotiwan; Tonanon, Nattaporn; Bhanthumnavin, Worawan; Paosawatyanyong, Boonchoat

    2012-01-01

    Diamond-like carbon (DLC) nanofilms with thickness varied from under one hundred to a few hundred nanometers have been successfully deposited on alumina substrates by microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. To obtain dense continuous DLC nanofilm coating over the entire sample surface, alumina substrates were pre-treated to enhance the nucleation density. Raman spectra of DLC films on samples showed distinct diamond peak at around 1332 cm(-1), and the broad band of amorphous carbon phase at around 1550 cm(-1). Full width at half maximum height (FWHM) values indicated good formation of diamond phase in all films. The result of nano-indentation test show that the hardness of alumina samples increase from 7.3 +/- 2.0 GPa in uncoated samples to 15.8 +/- 4.5-52.2 +/- 2.1 GPa in samples coated with DLC depending on the process conditions. It is observed that the hardness values are still in good range although the thickness of the films is less than a hundred nanometer.

  12. Reconstructing depositional processes and history from reservoir stratigraphy: Englebright Lake, Yuba River, northern California

    Science.gov (United States)

    Snyder, N.P.; Wright, S.A.; Alpers, Charles N.; Flint, L.E.; Holmes, C.W.; Rubin, D.M.

    2006-01-01

    Reservoirs provide the opportunity to link watershed history with its stratigraphic record. We analyze sediment cores from a northern California reservoir in the context of hydrologic history, watershed management, and depositional processes. Observations of recent depositional patterns, sediment-transport calculations, and 137CS geochronology support a conceptual model in which the reservoir delta progrades during floods of short duration (days) and is modified during prolonged (weeks to months) drawdowns that rework topset beds and transport sand from topsets to foresets. Sediment coarser than 0.25-0.5 mm. deposits in foresets and topsets, and finer material falls out of suspension as bottomset beds. Simple hydraulic calculations indicate that fine sand (0.063-0.5 mm) is transported into the distal bottomset area only during floods. The overall stratigraphy suggests that two phases of delta building occurred in the reservoir. The first, from dam construction in 1940 to 1970, was heavily influenced by annual, prolonged >20 m drawdowns of the water level. The second, built on top of the first, reflects sedimentation from 1970 to 2002 when the influence of drawdowns was less. Sedimentation rates in the central part of the reservoir have declined ???25% since 1970, likely reflecting a combination of fewer large floods, changes in watershed management, and winnowing of stored hydraulic mining sediment. Copyright 2006 by the American Geophysical Union.

  13. Electrochemically Deposited Nickel Membranes; Process-Microstructure-Property Relationships

    DEFF Research Database (Denmark)

    Jensen, Jens Dahl; Pantleon, Karen; Somers, Marcel A.J.

    2003-01-01

    This paper reports on the manufacturing, surface morphology, internal structure and mechanical properties of Ni-foils used as membranes in reference-microphones. Two types of foils, referred to as S-type and 0-type foils, were electrochemically deposited from a Watts-type electrolyte, with (S...

  14. Transport losses in single and assembled coated conductors with textured-metal substrate with reduced magnetism

    International Nuclear Information System (INIS)

    Amemiya, N.; Jiang, Z.; Li, Z.; Nakahata, M.; Kato, T.; Ueyama, M.; Kashima, N.; Nagaya, S.; Shiohara, S.

    2008-01-01

    Transport losses in a coated conductor with a textured-metal substrate with reduced magnetism were studied experimentally. The substrate is with a clad structure, and HoBCO superconductor layer is deposited on the substrate with buffer layers. The measured transport loss of a sample whose critical current is 126.0 A falls between Norris's strip value and Norris's ellipse value. The increase in the measured transport loss from Norris's strip value can be attributed to its non-uniform lateral J c distribution. The same buffered clad tape was placed under an IBAD-MOCVD coated conductor with a non-magnetic substrate, and its transport loss was measured. The comparison between the measured transport loss of this sample and that of the identical IBAD-MOCVD coated conductor without the buffered clad tape indicates that the increase in the transport loss due to this buffered clad tape is small. The transport losses of hexagonal assemblies of IBAD-MOCVD coated conductors, whose structure simulates that of superconducting power transmission cables, were also measured where the buffered clad tapes were under-lied or over-lied on the coated conductors. The increase in the transport loss of hexagonal assemblies of coated conductors due to the buffered clad tapes is at an allowable level

  15. Photocatalytic evaluation of self-assembled porous network structure of ferric oxide film fabricated by dry deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Park, Yunchan; Kim, Hyungsub; Lee, Geon-Yong; Pawar, Rajendra C.; Lee, Jai-Sung; Lee, Caroline Sunyong, E-mail: sunyonglee@hanyang.ac.kr

    2016-09-15

    Ferric oxide powder in the alpha phase (α-Fe{sub 2}O{sub 3}) was deposited on an aluminum oxide (Al{sub 2}O{sub 3}) substrate by a nanoparticle deposition system using the dry deposition method. X-ray diffraction (XRD) images confirmed that the phase of the deposited α-Fe{sub 2}O{sub 3} did not change. The deposited α-Fe{sub 2}O{sub 3} was characterized in terms of its microstructure using scanning electron microscopy (SEM). A porous network microstructure formed when small agglomerates of Fe{sub 2}O{sub 3} (SAF) were deposited. The deposition and formation mechanism of the microstructure were investigated using SEM and three-dimensional (3D) profile analysis. First, a dense coating layer formed when the film was thinner than the particle size. After that, as the film thickness increased to over 5 μm, the porous network structure formed by excavating the surface of the coating layer as it was bombarded by particles. Rhodamine B (RhB) was degraded after 6 h of exposure to the Fe{sub 2}O{sub 3} coating layer with SAF, which has good photocatalytic activity and a high porous network structure. The kinetic rate constants of the SAF and large agglomerates of Fe{sub 2}O{sub 3} (LAF) were calculated to be 0.197(h{sup −1}) and 0.128(h{sup −1}), respectively, based on the absorbance results. Using linear sweep voltammetry, we confirmed that the photoelectric effect occurred in the coating layer by measuring the resulting current under illuminated and dark conditions. - Graphical abstract: Self-assembled porous photocatalytic film fabricated by dry deposition method for water purification. - Highlights: • Different sizes of Fe{sub 2}O{sub 3} agglomerates were used to form porous network structure. • Fe{sub 2}O{sub 3} agglomerate particles were deposited using solvent-free process. • Self-assembled porous network microstructure formed better with small agglomerates of Fe{sub 2}O{sub 3}. • Fabricated porous network structure showed its potential to be used

  16. Review of progress in pulsed laser deposition and using Nd:YAG laser in processing of high Tc superconductors

    International Nuclear Information System (INIS)

    Chen, C.W.; Mukherjee, K.

    1993-01-01

    The current progress in pulsed laser ablation of high-temperature superconductors is reviewed with emphasis on the effect of pulse-width and wavelength, nature of the plasma plume, post-annealing and methods to improve quality of films grown at low temperature. An ion beam assisted millisecond pulsed laser vapor deposition process has been developed to fabricate YBa 2 Cu 3 O x high T. superconductor thin films. Solution to target overheating problem, effects of oxygen ion beam, properties of deposited films, and effect of silver buffer layer on YSZ substrate are presented. A new laser calcining process has been used to produce near single phase high T c superconductors of Bi-Pb-Sr-Ca-Cu-0 system. The total processing time was reduced to about 100 hours which is about half of that for conventional sintering. For this compound both resistance and magnetic susceptibility data showed an onset of superconducting transition at about 110K. A sharp susceptibility drop was observed above 106K. The zero resistance temperature was about 98K. High T c phase was formed via a different kinetic path in laser calcined sample compare with the conventionally processed sample

  17. Progress in the Study of Coastal Storm Deposits

    Science.gov (United States)

    Xiong, Haixian; Huang, Guangqing; Fu, Shuqing; Qian, Peng

    2018-05-01

    Numerous studies have been carried out to identify storm deposits and decipher storm-induced sedimentary processes in coastal and shallow-marine areas. This study aims to provide an in-depth review on the study of coastal storm deposits from the following five aspects. 1) The formation of storm deposits is a function of hydrodynamic and sedimentary processes under the constraints of local geological and ecological factors. Many questions remain to demonstrate the genetic links between storm-related processes and a variety of resulting deposits such as overwash deposits, underwater deposits and hummocky cross-stratification (HCS). Future research into the formation of storm deposits should combine flume experiments, field observations and numerical simulations, and make full use of sediment source tracing methods. 2) Recently there has been rapid growth in the number of studies utilizing sediment provenance analysis to investigate the source of storm deposits. The development of source tracing techniques, such as mineral composition, magnetic susceptibility, microfossil and geochemical property, has allowed for better understanding of the depositional processes and environmental changes associated with coastal storms. 3) The role of extreme storms in the sedimentation of low-lying coastal wetlands with diverse ecosystem services has also drawn a great deal of attention. Many investigations have attempted to quantify widespread land loss, vertical marsh sediment accumulation and wetland elevation change induced by major hurricanes. 4) Paleostorm reconstructions based on storm sedimentary proxies have shown many advantages over the instrumental records and historic documents as they allow for the reconstruction of storm activities on millennial or longer time scales. Storm deposits having been used to establish proxies mainly include beach ridges and shelly cheniers, coral reefs, estuary-deltaic storm sequences and overwash deposits. Particularly over the past few

  18. Research on chemical vapor deposition processes for advanced ceramic coatings

    Science.gov (United States)

    Rosner, Daniel E.

    1993-01-01

    Our interdisciplinary background and fundamentally-oriented studies of the laws governing multi-component chemical vapor deposition (VD), particle deposition (PD), and their interactions, put the Yale University HTCRE Laboratory in a unique position to significantly advance the 'state-of-the-art' of chemical vapor deposition (CVD) R&D. With NASA-Lewis RC financial support, we initiated a program in March of 1988 that has led to the advances described in this report (Section 2) in predicting chemical vapor transport in high temperature systems relevant to the fabrication of refractory ceramic coatings for turbine engine components. This Final Report covers our principal results and activities for the total NASA grant of $190,000. over the 4.67 year period: 1 March 1988-1 November 1992. Since our methods and the technical details are contained in the publications listed (9 Abstracts are given as Appendices) our emphasis here is on broad conclusions/implications and administrative data, including personnel, talks, interactions with industry, and some known applications of our work.

  19. Depth-resolved detection and process dependence of traps at ultrathin plasma-oxidized and deposited SiO2/Si interfaces

    International Nuclear Information System (INIS)

    Brillson, L. J.; Young, A. P.; White, B. D.; Schaefer, J.; Niimi, H.; Lee, Y. M.; Lucovsky, G.

    2000-01-01

    Low-energy electron-excited nanoluminescence spectroscopy reveals depth-resolved optical emission associated with traps near the interface between ultrathin SiO 2 deposited by plasma-enhanced chemical vapor deposition on plasma-oxidized crystalline Si. These near-interface states exhibit a strong dependence on local chemical bonding changes introduced by thermal/gas processing, layer-specific nitridation, or depth-dependent radiation exposure. The depth-dependent results provide a means to test chemical and structural bond models used to develop advanced dielectric-semiconductor junctions. (c) 2000 American Vacuum Society

  20. Impact of the Fused Deposition (FDM) Printing Process on Polylactic Acid (PLA) Chemistry and Structure

    OpenAIRE

    Michael Arthur Cuiffo; Jeffrey Snyder; Alicia M. Elliott; Nicholas Romero; Sandhiya Kannan; Gary P. Halada

    2017-01-01

    Polylactic acid (PLA) is an organic polymer commonly used in fused deposition (FDM) printing and biomedical scaffolding that is biocompatible and immunologically inert. However, variations in source material quality and chemistry make it necessary to characterize the filament and determine potential changes in chemistry occurring as a result of the FDM process. We used several spectroscopic techniques, including laser confocal microscopy, Fourier transform infrared (FTIR) spectroscopy and pho...