WorldWideScience

Sample records for deposition mocvd grown

  1. Structural characterization of one-dimensional ZnO-based nanostructures grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Sallet, Vincent; Falyouni, Farid; Marzouki, Ali; Haneche, Nadia; Sartel, Corinne; Lusson, Alain; Galtier, Pierre [Groupe d' Etude de la Matiere Condensee (GEMAC), CNRS-Universite de Versailles St-Quentin, Meudon (France); Agouram, Said [SCSIE, Universitat de Valencia, Burjassot (Spain); Enouz-Vedrenne, Shaima [Thales Research and Technology France, Palaiseau (France); Munoz-Sanjose, Vicente [Departamento de Fisica Aplicada y Electromagnetismo, Universitat de Valencia, Burjassot (Spain)

    2010-07-15

    Various one-dimensional (1D) ZnO-based nanostructures, including ZnO nano-wires (NWs) grown using vapour-liquid-solid (VLS) process, ZnO/ZnSe core/shell, nitrogen-doped ZnO and ZnMgO NWs were grown by metalorganic chemical vapour deposition (MOCVD). Transmission electron microscopy (TEM) analysis is presented. For all the samples, a high crystalline quality is observed. Some features are emphasized such as the gold contamination of ZnO wires grown under the metal droplets in the VLS process. It is concluded that MOCVD is a suitable technique for the realization of original ZnO nanodevices. (Abstract Copyright [2010], Wiley Periodicals, Inc.)

  2. In-situ deposition of YBCO high-Tc superconducting thin films by MOCVD and PE-MOCVD

    International Nuclear Information System (INIS)

    Zhao, J.; Noh, D.W.; Chern, C.; Li, Y.Q.; Norris, P.E.; Kear, B.; Gallois, B.

    1991-01-01

    Metal-Organic Chemical Vapor Deposition (MOCVD) offers the advantages of a high degree of compositional control, adaptability for large scale production, and the potential for low temperature fabrication. The capability of operating at high oxygen partial pressure is particularly suitable for in situ formation of high temperature superconducting (HTSC) films. Yttrium barium copper oxide (YBCO) thin films having a sharp zero-resistance transition with T(sub c) greater than 90 K and J(sub c) of approximately 10(exp 4) A on YSZ have been prepared, in situ, at a substrate temperature of about 800 C. Moreover, the ability to form oxide films at low temperature is very desirable for device applications of HTSC materials. Such a process would permit the deposition of high quality HTSC films with a smooth surface on a variety of substrates. Highly c-axis oriented, dense, scratch resistant, superconducting YBCO thin films with mirror-like surfaces have been prepared, in situ, at a reduced substrate temperature as low as 570 C by a remote microwave-plasma enhanced metal-organic chemical vapor deposition (PE-MOCVD) process. Nitrous oxide was used as a reactant gas to generate active oxidizing species. This process, for the first time, allows the formation of YBCO thin films with the orthorhombic superconducting phase in the as-deposited state. The as-deposited films grown by PE-MOCVD show attainment of zero resistance at 72 K with a transition width of about 5 K. MOCVD was carried out in a commercial production scale reactor with the capability of uniform deposition over 100 sq cm per growth run. Preliminary results indicate that PE-MOCVD is a very attractive thin film deposition process for superconducting device technology

  3. Epitaxial Ge Solar Cells Directly Grown on Si (001) by MOCVD Using Isobutylgermane

    Science.gov (United States)

    Kim, Youngjo; Kim, Kangho; Lee, Jaejin; Kim, Chang Zoo; Kang, Ho Kwan; Park, Won-Kyu

    2018-03-01

    Epitaxial Ge layers have been grown on Si (001) substrates by metalorganic chemical vapor deposition (MOCVD) using an isobutylgermane (IBuGe) metalorganic source. Low and high temperature two-step growth and post annealing techniques are employed to overcome the lattice mismatch problem between Ge and Si. It is demonstrated that high quality Ge epitaxial layers can be grown on Si (001) by using IBuGe with surface RMS roughness of 2 nm and an estimated threading dislocation density of 4.9 × 107 cm -2. Furthermore, single-junction Ge solar cells have been directly grown on Si substrates with an in situ MOCVD growth. The epitaxial Ge p- n junction structures are investigated with transmission electron microscopy and electrochemical C- V measurements. As a result, a power conversion efficiency of 1.69% was achieved for the Ge solar cell directly grown on Si substrate under AM1.5G condition.

  4. All-MOCVD-grown BH laser on P-InP substrates

    Science.gov (United States)

    Nishimura, Tadashi; Ishimura, E.; Nakajima, Yasuo; Tada, Hitoshi; Kimura, T.; Ohkura, Y.; Goto, Katsuhiko; Omura, Etsuji; Aiga, Masao

    1993-07-01

    A very low cw threshold current of 2.5 mA ( 25 degree(s)C) and 8.0 mA ( 80 degree(s)C) with high reliability has been realized in the all-MOCVD grown BH lasers on p-InP substrates. A strained MQW active layer of 1.3 micrometers wavelength and the precise carrier confinement buried structure by MOCVD is employed for the BH lasers. The excellent potential of long lifetime of the all-MOCVD grown laser has also been confirmed. After the high temperature and the high current (100 degree(s)C, 200 mA) aging test, no significant degradation is observed which is comparable with the well-established LPE grown lasers. The BH laser is also operating stably over 3700 hrs under the APC condition of 50 degree(s)C, 10 mW. Finally, an extremely uniform 10-element all-MOCVD grown LD array is demonstrated, which has the threshold current uniformity of 2.4 +/- 0.1 mA ( 25 degree(s)C) and 9.2 +/- 0.2 mA ( 80 degree(s)C). The growth mechanism in the MOCVD is also described.

  5. Multilayer porous structures of HVPE and MOCVD grown GaN for photonic applications

    Science.gov (United States)

    Braniste, T.; Ciers, Joachim; Monaico, Ed.; Martin, D.; Carlin, J.-F.; Ursaki, V. V.; Sergentu, V. V.; Tiginyanu, I. M.; Grandjean, N.

    2017-02-01

    In this paper we report on a comparative study of electrochemical processes for the preparation of multilayer porous structures in hydride vapor phase epitaxy (HVPE) and metal organic chemical vapor phase deposition (MOCVD) grown GaN. It was found that in HVPE-grown GaN, multilayer porous structures are obtained due to self-organization processes leading to a fine modulation of doping during the crystal growth. However, these processes are not totally under control. Multilayer porous structures with a controlled design have been produced by optimizing the technological process of electrochemical etching in MOCVD-grown samples, consisting of five pairs of thin layers with alternating-doping profiles. The samples have been characterized by SEM imaging, photoluminescence spectroscopy, and micro-reflectivity measurements, accompanied by transfer matrix analysis and simulations by a method developed for the calculation of optical reflection spectra. We demonstrate the applicability of the produced structures for the design of Bragg reflectors.

  6. Suppression of Mg propagation into subsequent layers grown by MOCVD

    Science.gov (United States)

    Agarwal, Anchal; Tahhan, Maher; Mates, Tom; Keller, Stacia; Mishra, Umesh

    2017-01-01

    Low temperature (LT) flow modulation epitaxy (FME) or "pulsed" growth was successfully used to prevent magnesium from Metalorganic Chemical Vapor Deposition (MOCVD) grown p-GaN:Mg layers riding into subsequently deposited n-type layers. Mg concentration in the subsequent layers was lowered from ˜1 × 1018 cm-3 for a medium temperature growth at 950 °C to ˜1 × 1016 cm-3 for a low temperature growth at 700 °C via FME. The slope of the Mg concentration drop in the 700 °C FME sample was 20 nm/dec—the lowest ever demonstrated by MOCVD. For growth on Mg implanted GaN layers, the drop for a medium temperature regrowth at 950 °C was ˜10 nm/dec compared to >120 nm/dec for a high temperature regrowth at 1150 °C. This drop-rate obtained at 950 °C or lower was maintained even when the growth temperature in the following layers was raised to 1150 °C. A controlled silicon doping series using LT FME was also demonstrated with the lowest and highest achieved doping levels being 5 × 1016 cm-3 and 6 × 1019 cm-3, respectively.

  7. Thermoelectric properties of ZnSb films grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Venkatasubramanian, R; Watko, E; Colpitts, T

    1997-07-01

    The thermoelectric properties of ZnSb films grown by metallorganic chemical vapor deposition (MOCVD) are reported. The growth conditions necessary to obtain stoichiometric ZnSb films and the effects of various growth parameters on the electrical conductivity and Seebeck coefficients of the films are described. The as-grown ZnSb films are p-type. It was observed that the thicker ZnSb films offer improved carrier mobilities and lower free-carrier concentration levels. The Seebeck coefficient of ZnSb films was found to rise rapidly at approximately 160 C. The thicker films, due to the lower doping levels, indicate higher Seebeck coefficients between 25 to 200 C. A short annealing of the ZnSb film at temperatures of {approximately}200 C results in reduced free-carrier level. Thermal conductivity measurements of ZnSb films using the 3-{omega} method are also presented.

  8. Structural and morphological characterizations of ZnO films grown on GaAs substrates by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Agouram, S.; Zuniga Perez, J.; Munoz-Sanjose, V. [Universitat de Valencia, Departamento de Fisica Aplicada y Electromagnetismo, Burjassot (Spain)

    2007-07-15

    ZnO films were grown on GaAs(100), GaAs(111)A and GaAs(111)B substrates by metal organic chemical vapour deposition (MOCVD). Diethylzinc (DEZn) and tertiarybutanol (t-butanol) were used as Zn and O precursors, respectively. The influence of the growth temperature and GaAs substrate orientation on the crystalline orientation and morphology of the ZnO grown films has been analysed. Crystallinity of grown films was studied by X-ray diffraction (XRD); thickness and morphology of ZnO films were investigated by scanning electron microscopy (SEM). SEM results reveal significant differences between morphologies depending on growth temperature but not significant differences were detected on the texture of grown films. (orig.)

  9. Effect of deposition conditions on the growth rate and electrical properties of ZnO thin films grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Roro, K.T.; Botha, J.R.; Leitch, A.W.R. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa)

    2008-07-01

    ZnO thin films have been grown on glass substrates by MOCVD. The effect of deposition conditions such as VI/II molar ratio, DEZn flow rate and total reactor pressure on the growth rate and electrical properties of the films was studied. It is found that the growth rate decreases with an increase in the VI/II molar ratio. This behaviour is ascribed to the competitive adsorption of reactant species on the growth surface. The growth rate increases with an increase in DEZn flow rate, as expected. It is shown that the carrier concentration is independent of the DEZn flow rate. An increase in the total reactor pressure yields a decrease in growth rate. This phenomenon is attributed to the depletion of the gas phase due to parasitic prereactions between zinc and oxygen species at high pressure. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Electrical properties of ZnO thin films grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Pagni, O. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Somhlahlo, N.N. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Weichsel, C. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Leitch, A.W.R. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa)]. E-mail: andrew.leitch@nmmu.ac.za

    2006-04-01

    We report on the electrical characterization of ZnO films grown by MOCVD on glass and sapphire substrates. After correcting our temperature variable Hall measurements by applying the standard two-layer model, which takes into account an interfacial layer, scattering mechanisms in the ZnO films were studied as well as donor activation energies determined. ZnO films grown at different oxygen partial pressures indicated the importance of growth conditions on the defect structure by means of their conductivities and conductivity activation energies.

  11. Electrical properties of ZnO thin films grown by MOCVD

    International Nuclear Information System (INIS)

    Pagni, O.; Somhlahlo, N.N.; Weichsel, C.; Leitch, A.W.R.

    2006-01-01

    We report on the electrical characterization of ZnO films grown by MOCVD on glass and sapphire substrates. After correcting our temperature variable Hall measurements by applying the standard two-layer model, which takes into account an interfacial layer, scattering mechanisms in the ZnO films were studied as well as donor activation energies determined. ZnO films grown at different oxygen partial pressures indicated the importance of growth conditions on the defect structure by means of their conductivities and conductivity activation energies

  12. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    Science.gov (United States)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  13. Impact of oxygen precursor flow on the forward bias behavior of MOCVD-Al2O3 dielectrics grown on GaN

    Science.gov (United States)

    Chan, Silvia H.; Bisi, Davide; Liu, Xiang; Yeluri, Ramya; Tahhan, Maher; Keller, Stacia; DenBaars, Steven P.; Meneghini, Matteo; Mishra, Umesh K.

    2017-11-01

    This paper investigates the effects of the oxygen precursor flow supplied during metalorganic chemical vapor deposition (MOCVD) of Al2O3 films on the forward bias behavior of Al2O3/GaN metal-oxide-semiconductor capacitors. The low oxygen flow (100 sccm) delivered during the in situ growth of Al2O3 on GaN resulted in films that exhibited a stable capacitance under forward stress, a lower density of stress-generated negative fixed charges, and a higher dielectric breakdown strength compared to Al2O3 films grown under high oxygen flow (480 sccm). The low oxygen grown Al2O3 dielectrics exhibited lower gate current transients in stress/recovery measurements, providing evidence of a reduced density of trap states near the GaN conduction band and an enhanced robustness under accumulated gate stress. This work reveals oxygen flow variance in MOCVD to be a strategy for controlling the dielectric properties and performance.

  14. Thermoelectric properties of ZnSb films grown by MOCVD

    International Nuclear Information System (INIS)

    Venkatasubramanian, R.; Watko, E.; Colpitts, T.

    1997-04-01

    The thermoelectric properties of metallorganic chemical vapor deposited (MOCVD) ZnSb films are reported. The growth conditions necessary to obtain stoichiometric ZnSb films and the effects of various growth parameters on the electrical conductivity and Seebeck coefficients of the films are described. The as-grown ZnSb films are p-type. It was observed that the growth of thicker ZnSb films lead to improved carrier mobilities and lower free-carrier concentrations. The Seebeck coefficient of ZnSb films was found to rise rapidly at approximately 160 to 170 C, with peak Seebeck coefficients as high as 470 microV/K at 220 C. The various growth conditions, including the use of intentional dopants, to improve the Seebeck coefficients at room temperature and above, are discussed. A short annealing of the ZnSb films at temperatures of ∼ 200 C resulted in reduced free-carrier levels and higher Seebeck coefficients at 300 K. Finally, ZT values based on preliminary thermal conductivity measurements using the 3-ω method are reported

  15. Epitaxial Oxide Thin Films Grown by Solid Source Metal-Organic Chemical Vapor Deposition.

    Science.gov (United States)

    Lu, Zihong

    1995-01-01

    The conventional liquid source metal-organic chemical vapor deposition (MOCVD) technique is capable of producing large area, high quality, single crystal semiconductor films. However, the growth of complex oxide films by this method has been hampered by a lack of suitable source materials. While chemists have been actively searching for new source materials, the research work reported here has demonstrated the successful application of solid metal-organic sources (based on tetramethylheptanedionate) to the growth of high quality thin films of binary compound cerium dioxide (CeO_2), and two more complex materials, the ternary compound lithium niobate (LiNbO_3), with two cations, and the quaternary compound strontium barium niobate (SBN), with three cations. The growth of CeO_2 thin films on (1012)Al_2O_3 substrates has been used as a model to study the general growth behavior of oxides. Factors affecting deposition rate, surface morphology, out-of-plane mosaic structure, and film orientation have been carefully investigated. A kinetic model based on gas phase prereaction is proposed to account for the substrate temperature dependence of film orientation found in this system. Atomically smooth, single crystal quality cerium dioxide thin films have been obtained. Superconducting YBCO films sputtered on top of solid source MOCVD grown thin cerium dioxide buffer layers on sapphire have been shown to have physical properties as good as those of YBCO films grown on single crystal MgO substrates. The thin film growth of LiNbO_3 and Sr_{1-x}Ba _{x}Nb_2 O_6 (SBN) was more complex and challenging. Phase purity, transparency, in-plane orientation, and the ferroelectric polarity of LiNbO _3 films grown on sapphire substrates was investigated. The first optical quality, MOCVD grown LiNbO _3 films, having waveguiding losses of less than 2 dB/cm, were prepared. An important aspect of the SBN film growth studies involved finding a suitable single crystal substrate material. Mg

  16. Status of HgCdTe Barrier Infrared Detectors Grown by MOCVD in Military University of Technology

    Science.gov (United States)

    Kopytko, M.; Jóźwikowski, K.; Martyniuk, P.; Gawron, W.; Madejczyk, P.; Kowalewski, A.; Markowska, O.; Rogalski, A.; Rutkowski, J.

    2016-09-01

    In this paper we present the status of HgCdTe barrier detectors with an emphasis on technological progress in metalorganic chemical vapor deposition (MOCVD) growth achieved recently at the Institute of Applied Physics, Military University of Technology. It is shown that MOCVD technology is an excellent tool for HgCdTe barrier architecture growth with a wide range of composition, donor /acceptor doping, and without post-grown annealing. The device concept of a specific barrier bandgap architecture integrated with Auger-suppression is as a good solution for high-operating temperature infrared detectors. Analyzed devices show a high performance comparable with the state-of-the-art of HgCdTe photodiodes. Dark current densities are close to the values given by "Rule 07" and detectivities of non-immersed detectors are close to the value marked for HgCdTe photodiodes. Experimental data of long-wavelength infrared detector structures were confirmed by numerical simulations obtained by a commercially available software APSYS platform. A detailed analysis applied to explain dark current plots was made, taking into account Shockley-Read-Hall, Auger, and tunneling currents.

  17. Development of high-temperature superconducting coated conductor by MOCVD method

    International Nuclear Information System (INIS)

    Kim, Chan Joong; Jun, Byung Hyuk; Jung, Choung Hwan

    2004-07-01

    To fabricate the second generation superconductor wire, coated conductor, we selected MOCVD (Metal organic chemical vapor deposition) method which is commercially available and whose growth rate is very high. The first buffer layer CeO 2 was successfully deposited on the Ni tape. The thick Y-stabilized ZrO 2 layer was thus inserted between two CeO 2 layers by MOCVD method. The c-axis growth of the first CeO 2 , the inserted YSZ and top CeO 2 layer was achieved by optimized the deposition condition for the three buffers. It was found that the YBCO deposition was fairly dependant on the depostion temperature, time, oxygen partial pressure, amount of the source supplied. Especially the thickness of the YBCO films was linearly dedendant on the deposition temperature and time, but current properties was not linearly dependant on the film thickness. The critical current (Ic) of the YBCO film grown on SrTiO 3 and IBAD template were over 100 A/cm-width and 50 A/cm-width at 77 K and 0 field. To establish the MOCVD process, collaboration work with several organizations was made

  18. Investigation of (Y,Gd)Ba2Cu3O7-x grown by MOCVD on a simplified IBAD MgO template

    International Nuclear Information System (INIS)

    Stan, L; Holesinger, T G; Maiorov, B; Civale, L; DePaula, R F; Jia, Q X; Chen, Y; Xiong, X; Selvamanickam, V

    2010-01-01

    We have used an ion beam sputtered Y 2 O 3 -Al 2 O 3 (YALO) composite to simplify the architecture of high temperature superconducting (HTS) coated conductors (CCs) based on a IBAD MgO template. By implementing YALO, we have reduced the total non-superconducting layers between the polycrystalline metal substrate and the superconducting film from five (the standard architecture) to four. Well textured (Y,Gd)Ba 2 Cu 3 O 7-x ((Y, Gd)BCO) films have been successfully grown by MOCVD on this simplified template. The microstructural characterization revealed that all layers are continuous and uniform with sharp and clean interfaces. Additionally, the YALO maintained its amorphous nature after the deposition of the superconductive layer, which is a plus in terms of its efficiency as a diffusion barrier. The achievement of a self-field critical current of 230 A cm -1 at 75.5 K is another proof of the effectiveness of YALO as a diffusion barrier and nucleation seed for the MgO. The transport properties under an applied magnetic field of MOCVD grown (Y, Gd)BCO on LMO buffered MgO/YALO/Ni-alloy are comparable with those of (Y, Gd)BCO on a standard architecture, thus demonstrating good compatibility between the simplified template with the MOCVD grown (Y, Gd)BCO. The use of a single composite YALO layer instead of individual layers of Y 2 O 3 and Al 2 O 3 for the large scale fabrication of HTS CCs based on IBAD MgO provides advantages such as potentially reduced cost due to the reduced number of fabrication steps.

  19. P-type Ge epitaxy on GaAs (100) substrate grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Y.J.; Chia, C.K.; Liu, H.F.; Wong, L.M.; Chai, J.W.; Chi, D.Z.; Wang, S.J., E-mail: sj-wang@imre.a-star.edu.sg

    2016-07-15

    Highlights: • The heterogeneous integration of p-Ge/GaAs by MOCVD indicates significance for the application in optoelectronic devices such as p-MOSFET, dual band photodetector, etc. • Many undesired pillar-structures were observed on the p-Ge epilayers and we found that the cause of the pillar-like structures was related to the Ge-Ga dimers formed during the growth. • We found that a GaAs substrate with fewer Ga or Ge danglings was helpful in suppressing the formation of the unwanted pillar-like structures and thus obtaining high quality p-Ge epilayers. - Abstract: In this work, Ga-doped Geranium (Ge) films have been grown on GaAs (100) substrates by metal-organic chemical vapor deposition (MOCVD). Undesired pillar structures have been observed on the epilayers prepared at relatively lower temperatures. Energy dispersive X-ray spectroscopy (EDX) indicated that the pillars are mainly consisted of Ga atoms, which is totally different from that of the Ge film. It was demonstrated that the pillar structures could be reduced by simply raising the growth temperature while keeping the other growth conditions unchanged. In this regard, the growth mechanism of the pillars was related to the Ge-Ga dimers formed during the growth of p-Ge films. By further studying the influence of a GaAs or Ge buffer layer on the growth of p-Ge layers, we found that the GaAs substrate with lower density of Ga or Ge dangling bonds was helpful in suppressing the formation of the undesired pillar structures.

  20. Optical properties of m-plane GaN grown on patterned Si(112) substrates by MOCVD using a two-step approach

    Science.gov (United States)

    Izyumskaya, N.; Okur, S.; Zhang, F.; Monavarian, M.; Avrutin, V.; Özgür, Ü.; Metzner, S.; Karbaum, C.; Bertram, F.; Christen, J.; Morkoç, H.

    2014-03-01

    Nonpolar m-plane GaN layers were grown on patterned Si (112) substrates by metal-organic chemical vapor deposition (MOCVD). A two-step growth procedure involving a low-pressure (30 Torr) first step to ensure formation of the m-plane facet and a high-pressure step (200 Torr) for improvement of optical quality was employed. The layers grown in two steps show improvement of the optical quality: the near-bandedge photoluminescence (PL) intensity is about 3 times higher than that for the layers grown at low pressure, and deep emission is considerably weaker. However, emission intensity from m-GaN is still lower than that of polar and semipolar (1 100 ) reference samples grown under the same conditions. To shed light on this problem, spatial distribution of optical emission over the c+ and c- wings of the nonpolar GaN/Si was studied by spatially resolved cathodoluminescence and near-field scanning optical microscopy.

  1. Growth and characterization of germanium epitaxial film on silicon (001 with germane precursor in metal organic chemical vapour deposition (MOCVD chamber

    Directory of Open Access Journals (Sweden)

    Kwang Hong Lee

    2013-09-01

    Full Text Available The quality of germanium (Ge epitaxial film grown directly on a silicon (Si (001 substrate with 6° off-cut using conventional germane precursor in a metal organic chemical vapour deposition (MOCVD system is studied. The growth sequence consists of several steps at low temperature (LT at 400 °C, intermediate temperature ramp (LT-HT of ∼10 °C/min and high temperature (HT at 600 °C. This is followed by post-growth annealing in hydrogen at temperature ranging from 650 to 825 °C. The Ge epitaxial film of thickness ∼ 1 μm experiences thermally induced tensile strain of 0.11 % with a treading dislocation density (TDD of ∼107/cm2 and the root-mean-square (RMS roughness of ∼ 0.75 nm. The benefit of growing Ge epitaxial film using MOCVD is that the subsequent III-V materials can be grown in-situ without the need of breaking the vacuum hence it is manufacturing worthy.

  2. YBa2Cu3O7-δ thin films deposited by MOCVD vertical reactor with a flow guide

    International Nuclear Information System (INIS)

    Sujiono, E.H.; Negeri Makassar; Sani, R.A.; Saragi, T.; Arifin, P.; Barmawi, M.

    2001-01-01

    The effect of a flow guide in a vertical MOCVD reactor on the deposition uniformity and growth rate of thin YBCO films has been studied. Without the flow guide the growth rates are low, have a poor uniformity and the film composition is not stoichiometric. The growth rate of the films grown using a reactor with the flow guide was approximately twice that without the flow guide. Using this flow guide the growth rates were 0.4-0.7 μm/h for growth temperatures varying between 600 and 750 C, and the crystalline quality as well as the surface morphology of YBCO films on MgO substrates is improved. For films grown at temperatures above 650 C the composition of Y:Ba:Cu is 1:2:3, as confirmed by EDAX spectra. Films deposited without and with the flow guide at 700 C have critical temperatures around 85 and 88 K, respectively. The reduction in ΔT c (T c,zero -T c,onset ) also shows an improvement of the superconducting properties of YBCO thin films deposited with a flow guide. (orig.)

  3. Deposition studies and coordinated characterization of MOCVD YBCO films on IBAD-MgO templates

    International Nuclear Information System (INIS)

    Aytug, T; Paranthaman, M; Heatherly, L; Zuev, Y; Zhang, Y; Kim, K; Goyal, A; Maroni, V A; Chen, Y; Selvamanickam, V

    2009-01-01

    A recently installed research-scale metal-organic chemical vapor deposition (MOCVD) system at Oak Ridge National Laboratory, provided by SuperPower, Inc., has been used to investigate processing variables for MOCVD YBCO precursors and trends in the resulting properties. Systematic studies of YBCO film growth on LaMnO 3 /IBAD-MgO templates were carried out by optimizing deposition temperature and oxygen flow rate. Microstructural and superconducting properties of the YBCO films were analyzed by x-ray diffraction, scanning electron microscopy and transport measurements. The identification of intermediate phases formed during the YBCO precursor transformation was investigated by coordinated reel-to-reel Raman microprobe analysis. With this combination of various characterization techniques, an improved understanding of the growth characteristics of MOCVD YBCO films was established. Finally, critical current densities greater than 2 MA cm -2 for film thicknesses of 0.8 μm were demonstrated.

  4. Deposition studies and coordinated characterization of MOCVD YBCO films on IBAD-MgO templates

    Energy Technology Data Exchange (ETDEWEB)

    Aytug, T; Paranthaman, M [Oak Ridge National Laboratory, Chemical Sciences Division, Oak Ridge, TN 37831 (United States); Heatherly, L; Zuev, Y; Zhang, Y; Kim, K; Goyal, A [Oak Ridge National Laboratory, Materials Science and Technology Division, Oak Ridge, TN 37831 (United States); Maroni, V A [Argonne National Laboratory, Chemical Sciences and Engineering Division, Argonne, IL 60439 (United States); Chen, Y; Selvamanickam, V [SuperPower, Incorporated, 450 Duane Avenue, Schenectady, NY 12304 (United States)], E-mail: aytugt@ornl.gov

    2009-01-15

    A recently installed research-scale metal-organic chemical vapor deposition (MOCVD) system at Oak Ridge National Laboratory, provided by SuperPower, Inc., has been used to investigate processing variables for MOCVD YBCO precursors and trends in the resulting properties. Systematic studies of YBCO film growth on LaMnO{sub 3}/IBAD-MgO templates were carried out by optimizing deposition temperature and oxygen flow rate. Microstructural and superconducting properties of the YBCO films were analyzed by x-ray diffraction, scanning electron microscopy and transport measurements. The identification of intermediate phases formed during the YBCO precursor transformation was investigated by coordinated reel-to-reel Raman microprobe analysis. With this combination of various characterization techniques, an improved understanding of the growth characteristics of MOCVD YBCO films was established. Finally, critical current densities greater than 2 MA cm{sup -2} for film thicknesses of 0.8 {mu}m were demonstrated.

  5. Microstructural and compositional analysis of YBa2Cu3O7-δ films grown by MOCVD before and after GCIB smoothing

    International Nuclear Information System (INIS)

    Hatzistergos, M.S.; Efstathiadis, H.; Reeves, J.L.; Selvamanickam, V.; Allen, L.P.; Lifshin, E.; Haldar, P.

    2004-01-01

    The microstructural and compositional evolution of thick (>1 μm) high temperature superconducting YBa 2 Cu 3 O 7-x (YBCO) films grown on single crystal SrTiO 3 substrates by the metal organic chemical vapor deposition (MOCVD) process was investigated by focused ion beam microscopy, scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction, and atomic force microscopy. This study showed that as the MOCVD YBCO film thickness increased above 0.5 μm, defects such as second phase particles, pores, and misaligned grains preferentially nucleated and grew at the YBCO surface. A portion of this defective top layer was eliminated from all the samples using a gas cluster ion beam (GCIB) process that first removed material with a focused argon cluster beam. Next, an oxygen cluster beam was used to smooth the surface and re-oxygenate the YBCO. Comparing the critical current (I c ) measured before and after GCIB processing showed that the I c remained the same, and even improved, when part of the defective top layer was removed. This microstructural and electromagnetic 'dead layer' is believed to be responsible for the overall I c decrease of MOCVD YBCO films thicker than 0.5 μm

  6. Structural and superconducting properties of (Y,Gd)Ba2Cu3O7-δ grown by MOCVD on samarium zirconate buffered IBAD-MgO

    International Nuclear Information System (INIS)

    Stan, L; Holesinger, T G; Maiorov, B; Feldmann, D M; Usov, I O; DePaula, R F; Civale, L; Foltyn, S R; Jia, Q X; Chen, Y; Selvamanickam, V

    2008-01-01

    Textured samarium zirconate (SZO) films have been grown by reactive cosputtering directly on an ion beam assisted deposited (IBAD) MgO template, without an intermediate homoepitaxial MgO layer. The subsequent growth of 0.9 μm thick (Y,Gd)Ba 2 Cu 3 O 7-δ ((Y, Gd)BCO) films by metal organic chemical vapor deposition (MOCVD) yielded well textured films with a full width at half maximum of 1.9 0 and 3.4 0 for the out-of-plane and in-plane texture, respectively. Microstructural characterizations of the SZO buffered samples revealed clean interfaces. This indicates that the SZO not only provides a diffusion barrier, but also functions as a buffer for (Y, Gd)BCO grown by MOCVD. The achievement of self-field critical current densities (J c ) of over 2 MA cm -2 at 75.5 K is another proof of the effectiveness of SZO as a buffer on the IBAD-MgO template. The in-field measurements revealed an asymmetric angular dependence of J c and a shift of the ab-plane maxima due to the tilted nature of the template and (Y,Gd) 2 O 3 particles existing in the (Y, Gd)BCO matrix. The present results are especially important because they demonstrate that high temperature superconducting coated conductors with simpler architecture can be fabricated using commercially viable processes

  7. Investigation of (Y,Gd)Ba{sub 2}Cu{sub 3}O{sub 7-x} grown by MOCVD on a simplified IBAD MgO template

    Energy Technology Data Exchange (ETDEWEB)

    Stan, L; Holesinger, T G; Maiorov, B; Civale, L; DePaula, R F; Jia, Q X [Los Alamos National Laboratory, PO Box 1663, Los Alamos, NM 87545 (United States); Chen, Y [SuperPower, Incorporated, 450 Duane Avenue, Schenectady, NY 12304 (United States); Xiong, X; Selvamanickam, V [Mechanical Engineering Department, University of Houston, Houston, TX 77204-4006 (United States)

    2010-01-15

    We have used an ion beam sputtered Y{sub 2}O{sub 3}-Al{sub 2}O{sub 3} (YALO) composite to simplify the architecture of high temperature superconducting (HTS) coated conductors (CCs) based on a IBAD MgO template. By implementing YALO, we have reduced the total non-superconducting layers between the polycrystalline metal substrate and the superconducting film from five (the standard architecture) to four. Well textured (Y,Gd)Ba{sub 2}Cu{sub 3}O{sub 7-x} ((Y, Gd)BCO) films have been successfully grown by MOCVD on this simplified template. The microstructural characterization revealed that all layers are continuous and uniform with sharp and clean interfaces. Additionally, the YALO maintained its amorphous nature after the deposition of the superconductive layer, which is a plus in terms of its efficiency as a diffusion barrier. The achievement of a self-field critical current of 230 A cm{sup -1} at 75.5 K is another proof of the effectiveness of YALO as a diffusion barrier and nucleation seed for the MgO. The transport properties under an applied magnetic field of MOCVD grown (Y, Gd)BCO on LMO buffered MgO/YALO/Ni-alloy are comparable with those of (Y, Gd)BCO on a standard architecture, thus demonstrating good compatibility between the simplified template with the MOCVD grown (Y, Gd)BCO. The use of a single composite YALO layer instead of individual layers of Y{sub 2}O{sub 3} and Al{sub 2}O{sub 3} for the large scale fabrication of HTS CCs based on IBAD MgO provides advantages such as potentially reduced cost due to the reduced number of fabrication steps.

  8. High-quality uniaxial In(x)Ga(1-x)N/GaN multiple quantum well (MQW) nanowires (NWs) on Si(111) grown by metal-organic chemical vapor deposition (MOCVD) and light-emitting diode (LED) fabrication.

    Science.gov (United States)

    Ra, Yong-Ho; Navamathavan, R; Park, Ji-Hyeon; Lee, Cheul-Ro

    2013-03-01

    This article describes the growth and device characteristics of vertically aligned high-quality uniaxial p-GaN/InxGa1-xN/GaN multiple quantum wells (MQW)/n-GaN nanowires (NWs) on Si(111) substrates grown by metal-organic chemical vapor deposition (MOCVD) technique. The resultant nanowires (NWs), with a diameter of 200-250 nm, have an average length of 2 μm. The feasibility of growing high-quality NWs with well-controlled indium composition MQW structure is demonstrated. These resultant NWs grown on Si(111) substrates were utilized for fabricating vertical-type light-emitting diodes (LEDs). The steep and intense photoluminescence (PL) and cathodoluminescence (CL) spectra are observed, based on the strain-free NWs on Si(111) substrates. High-resolution transmission electron microscopy (HR-TEM) analysis revealed that the MQW NWs are grown along the c-plane with uniform thickness. The current-voltage (I-V) characteristics of these NWs exhibited typical p-n junction LEDs and showed a sharp onset voltage at 2.75 V in the forward bias. The output power is linearly increased with increasing current. The result indicates that the pulsed MOCVD technique is an effective method to grow uniaxial p-GaN/InxGa1-xN/GaN MQW/n-GaN NWs on Si(111), which is more advantageous than other growth techniques, such as molecular beam epitaxy. These results suggest the uniaxial NWs are promising to allow flat-band quantum structures, which can enhance the efficiency of LEDs.

  9. Alkaline-doped manganese perovskite thin films grown by MOCVD

    International Nuclear Information System (INIS)

    Bibes, M.; Gorbenko, O.; Martinez, B.; Kaul, A.; Fontcuberta, J.

    2000-01-01

    We report on the preparation and characterization of La 1-x Na x MnO 3 thin films grown by MOCVD on various single-crystalline substrates. Under appropriate conditions epitaxial thin films have been obtained. The Curie temperatures of the films, which are very similar to those of bulk samples of similar composition, reflect the residual strain caused by the substrate. The anisotropic magnetoresistance AMR of the films has been analyzed in some detail, and it has been found that it has a two-fold symmetry at any temperature. Its temperature dependence mimics that of the electrical resistivity and magnetoresistance measured at similar fields, thus suggesting that the real structure of the material contributes to the measured AMR besides the intrinsic component

  10. Optimization of Strontium Titanate (SrTiO3) Thin Films Fabricated by Metal Organic Chemical Vapor Deposition (MOCVD) for Microwave-Tunable Devices

    Science.gov (United States)

    2015-12-01

    characteristics . Our work demonstrated a significant increase in the quality of the optimized STO thin films with respect to STO films grown prior to the MOCVD...deposition, the reactor and precursor supply lines were baked at 250 °C for at least 4 h with a total Ar carrier gas flow of 5,000 sccm to remove...S. Thermal leakage characteristics of Pt/SrTiO3/Pt structures. Journal of Vacuum Science & Technology A. 2008;26:555–557. 31. Ryen L, Olsson E

  11. Study of electrical properties of single GaN nanowires grown by MOCVD with a Ti mask

    International Nuclear Information System (INIS)

    Vasiliev, A A; Mozharov, A M; Mukhin, I S; Rozhavskaya, M M; Lundin, V V

    2016-01-01

    We researched electrical characteristics of GaN nanowires (NWs) grown by MOCVD through solid titanium film. The technology of creating the ohmic contacts and MESFET structure on single NWs has been developed. The optimal annealing temperature of contacts has been found and conductivity structure, the free carrier concentration and mobility has been evaluated. (paper)

  12. Photoluminescence of Mg-doped m-plane GaN grown by MOCVD on bulk GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Monemar, Bo [Department of Physics, Chemistry and Biology, Linkoeping University, 581 83 Linkoeping (Sweden); Solid State Physics-The Nanometer Structure Consortium, Lund University, Box 118, 221 00 Lund (Sweden); Paskov, Plamen; Pozina, Galia; Hemmingsson, Carl; Bergman, Peder [Department of Physics, Chemistry and Biology, Linkoeping University, 581 83 Linkoeping (Sweden); Lindgren, David; Samuelson, Lars [Solid State Physics-The Nanometer Structure Consortium, Lund University, Box 118, 221 00 Lund (Sweden); Ni, Xianfeng; Morkoc, Hadis [Department of Electrical and Computer Engineering, Virginia Commonwealth University, Richmond, Virginia 23284-3072 (United States); Paskova, Tanya [Kyma Technologies Inc., Raleigh, North Carolina 27617 (United States); Bi, Zhaoxia; Ohlsson, Jonas [Glo AB, Ideon Science Park, Scheelevaegen 17, 223 70 Lund (Sweden)

    2011-07-15

    Photoluminescence (PL) properties are reported for a set of m-plane GaN films with Mg doping varied from mid 10{sup 18} cm{sup -3} to above 10{sup 20} cm{sup -3}. The samples were grown with MOCVD at reduced pressure on low defect density bulk GaN templates. The sharp line near bandgap bound exciton (BE) spectra observed below 50 K, as well as the broader donor-acceptor pair (DAP) PL bands at 2.9-3.3 eV give evidence of several Mg related acceptors, similar to the case of c-plane GaN. The dependence of the BE spectra on excitation intensity as well as the transient decay behaviour demonstrate acoustic phonon assisted transfer between the acceptor BE states. The lower energy donor-acceptor pair spectra suggest the presence of deep acceptors, in addition to the two main shallower ones at about 0.23 eV. Similar spectra from Mg-doped GaN nanowires (NWs) grown by MOCVD are also briefly discussed. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  13. Microstructural and compositional analysis of YBa{sub 2}Cu{sub 3}O{sub 7-{delta}} films grown by MOCVD before and after GCIB smoothing

    Energy Technology Data Exchange (ETDEWEB)

    Hatzistergos, M.S.; Efstathiadis, H.; Reeves, J.L.; Selvamanickam, V.; Allen, L.P.; Lifshin, E.; Haldar, P

    2004-06-15

    The microstructural and compositional evolution of thick (>1 {mu}m) high temperature superconducting YBa{sub 2}Cu{sub 3}O{sub 7-x} (YBCO) films grown on single crystal SrTiO{sub 3} substrates by the metal organic chemical vapor deposition (MOCVD) process was investigated by focused ion beam microscopy, scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction, and atomic force microscopy. This study showed that as the MOCVD YBCO film thickness increased above 0.5 {mu}m, defects such as second phase particles, pores, and misaligned grains preferentially nucleated and grew at the YBCO surface. A portion of this defective top layer was eliminated from all the samples using a gas cluster ion beam (GCIB) process that first removed material with a focused argon cluster beam. Next, an oxygen cluster beam was used to smooth the surface and re-oxygenate the YBCO. Comparing the critical current (I{sub c}) measured before and after GCIB processing showed that the I{sub c} remained the same, and even improved, when part of the defective top layer was removed. This microstructural and electromagnetic 'dead layer' is believed to be responsible for the overall I{sub c} decrease of MOCVD YBCO films thicker than 0.5 {mu}m.

  14. MOCVD and ALD of rare earth containing multifunctional materials. From precursor chemistry to thin film deposition and applications

    International Nuclear Information System (INIS)

    Milanov, Andrian Petrov

    2010-01-01

    The present thesis deals with the development of metal-organic complexes of rare elements. They should be used as novel precursors for the production of rare earth thin films by metal-organic chemical vapor deposition (MOCVD) and Atomic Layer Deposition (ALD). Within the work two precursor classes were examined, the tris-Malonato-complexes as well as the tris-Guanidinato-complexes of a series of rare earth metals. The latter showed excellent properties regarding to their volatility, their thermal stability, the defined decomposition and high reactivity towards water. They have been successfully used as precursors for the MOCVD of rare earth oxide layers. By using of a gadolinium guanidinate it could also be shown that the rare earth guanidinates are promising precursors for ALD of rare earth oxide and MOCVD of rare earth nitride layers. [de

  15. Carbon analysis in MOCVD grown HgCdMnTe by charged particle activation

    International Nuclear Information System (INIS)

    Stannard, W.B.; Walker, S.R.; Johnston, P.N.; Bubb, I.F.

    1994-01-01

    Charged Particle Activation Analysis (CPAA) has been used for the determination of the concentration of carbon in HgCdMnTe grown by Metal Organic Chemical Vapour Deposition (MOCVD). The samples were irradiated with a beam of 3.0 MeV 3 He ions. 11 C is produced by the reaction 12 C( 3 He, α) 11 C and is a positron (β + ) emitting radionuclide with a half-life of 20.38 min. At the same time the reaction 16 O( 3 He, p) 18 F produces 18 F which is also a β + emitter and has a half-life of 109.72 min. A post-irradiation etching technique has been developed to enable removal of surface contaminants. The radioactivity is determined by a β + spectrometer consisting of two NaI γ-ray detectors (3x3 in.) oriented at 180 . The two coincident 511 keV γ-rays emitted at 180 during the positron annihilation are detected. The initial 11 C and 18 F activities, and hence the oxygen and carbon contributions, can be separated by analysis of the count rate versus time. Analysis shows significant carbon levels in the HgCdMnTe samples. ((orig.))

  16. Fabrication of InP-pentacene inorganic-organic hybrid heterojunction using MOCVD grown InP for photodetector application

    Science.gov (United States)

    Sarkar, Kalyan Jyoti; Pal, B.; Banerji, P.

    2018-04-01

    We fabricated inorganic-organic hybrid heterojunction between indium phosphide (InP) and pentacene for photodetector application. InP layer was grown on n-Si substrate by atmospheric pressure metal organic chemical vapour deposition (MOCVD) technique. Morphological properties of InP and pentacene thin film were characterized by atomic force microscopy (AFM). Current-voltage characteristics were investigated in dark and under illumination condition at room temperature. During illumination, different wavelengths of visible and infrared light source were employed to perform the electrical measurement. Enhancement of photocurrent was observed with decreasing in wavelength of incident photo radiation. Ideality factor was found to be 1.92. High rectification ratio of 225 was found at ± 3 V in presence of infrared light source. This study provides new insights of inorganic-organic hybrid heterojunction for broadband photoresponse in visible to near infrared (IR) region under low reverse bias condition.

  17. Advanced characterization techniques of nonuniform indium distribution within InGaN/GaN heterostructures grown by MOCVD

    International Nuclear Information System (INIS)

    Lu, D.; Florescu, D.I.; Lee, D.S.; Ramer, J.C.; Parekh, A.; Merai, V.; Li, S.; Begarney, M.J.; Armour, E.A.; Gardner, J.J.

    2005-01-01

    Nonuniform indium distribution within InGaN/GaN single quantum well (SQW) structures with nanoscale islands grown by metalorganic chemical vapor deposition (MOCVD) have been characterized by advanced characterization techniques. Robinson backscattered electron (BSE) measurements show cluster-like BSE contrast of high brightness regions, which are not centered at small dark pits in a SQW structure of spiral growth mode. By comparing with the secondary electron (SE) images, the bright cluster areas from the BSE images were found to have higher indium content compared to the surrounding dark areas. Temperature dependant photoluminescence (PL) measurement shows typical ''S-shape'' curve, which shows good correlation with nonuniform indium distribution from BSE measurement. Optical evaluation of the samples show increased PL slope efficiency of the spiral mode SQW, which can be attributed to the presence of Indium inhomogeneities. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. Structural and superconducting properties of (Y,Gd)Ba{sub 2}Cu{sub 3}O{sub 7-{delta}} grown by MOCVD on samarium zirconate buffered IBAD-MgO

    Energy Technology Data Exchange (ETDEWEB)

    Stan, L; Holesinger, T G; Maiorov, B; Feldmann, D M; Usov, I O; DePaula, R F; Civale, L; Foltyn, S R; Jia, Q X [Los Alamos National Laboratory, PO Box 1663, Los Alamos, NM 87545 (United States); Chen, Y; Selvamanickam, V [SuperPower, Incorporated, 450 Duane Avenue, Schenectady, NY 12304 (United States)

    2008-10-01

    Textured samarium zirconate (SZO) films have been grown by reactive cosputtering directly on an ion beam assisted deposited (IBAD) MgO template, without an intermediate homoepitaxial MgO layer. The subsequent growth of 0.9 {mu}m thick (Y,Gd)Ba{sub 2}Cu{sub 3}O{sub 7-{delta}} ((Y, Gd)BCO) films by metal organic chemical vapor deposition (MOCVD) yielded well textured films with a full width at half maximum of 1.9{sup 0} and 3.4{sup 0} for the out-of-plane and in-plane texture, respectively. Microstructural characterizations of the SZO buffered samples revealed clean interfaces. This indicates that the SZO not only provides a diffusion barrier, but also functions as a buffer for (Y, Gd)BCO grown by MOCVD. The achievement of self-field critical current densities (J{sub c}) of over 2 MA cm{sup -2} at 75.5 K is another proof of the effectiveness of SZO as a buffer on the IBAD-MgO template. The in-field measurements revealed an asymmetric angular dependence of J{sub c} and a shift of the ab-plane maxima due to the tilted nature of the template and (Y,Gd){sub 2}O{sub 3} particles existing in the (Y, Gd)BCO matrix. The present results are especially important because they demonstrate that high temperature superconducting coated conductors with simpler architecture can be fabricated using commercially viable processes.

  19. Synthesis of In0.1Ga0.9N/GaN structures grown by MOCVD and MBE for high speed optoelectronics

    KAUST Repository

    Alshehri, Bandar; Dogheche, Karim; Belahsene, Sofiane; Janjua, Bilal; Ramdane, Abderrahim; Patriarche, Gilles; Ng, Tien Khee; S-Ooi, Boon; Decoster, Didier; Dogheche, Elhadj

    2016-01-01

    In this work, we report a comparative investigation of InxGa1-xN (SL) and InxGa1-xN/GaN (MQW) structures with an indium content equivalent to x=10%. Both structures are grown on (0001) sapphire substrates using MOCVD and MBE growth techniques. Optical properties are evaluated for samples using PL characteristics. Critical differences between the resulting epitaxy are observed. Microstructures have been assessed in terms of crystalline quality, density of dislocations and surface morphology. We have focused our study towards the fabrication of vertical PIN photodiodes. The technological process has been optimized as a function of the material structure. From the optical and electrical characteristics, this study demonstrates the benefit of InGaN/GaN MQW grown by MOCVD in comparison with MBE for high speed optoelectronic applications.

  20. Synthesis of In0.1Ga0.9N/GaN structures grown by MOCVD and MBE for high speed optoelectronics

    KAUST Repository

    Alshehri, Bandar

    2016-06-07

    In this work, we report a comparative investigation of InxGa1-xN (SL) and InxGa1-xN/GaN (MQW) structures with an indium content equivalent to x=10%. Both structures are grown on (0001) sapphire substrates using MOCVD and MBE growth techniques. Optical properties are evaluated for samples using PL characteristics. Critical differences between the resulting epitaxy are observed. Microstructures have been assessed in terms of crystalline quality, density of dislocations and surface morphology. We have focused our study towards the fabrication of vertical PIN photodiodes. The technological process has been optimized as a function of the material structure. From the optical and electrical characteristics, this study demonstrates the benefit of InGaN/GaN MQW grown by MOCVD in comparison with MBE for high speed optoelectronic applications.

  1. GaN epitaxial layers grown on multilayer graphene by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  2. Anatase thin film with diverse epitaxial relationship grown on yttrium stabilized zirconia substrate by chemical vapor deposition

    International Nuclear Information System (INIS)

    Miyagi, Takahira; Ogawa, Tomoyuki; Kamei, Masayuki; Wada, Yoshiki; Mitsuhashi, Takefumi; Yamazaki, Atsushi

    2003-01-01

    An anatase epitaxial thin film with diverse epitaxial relationship, YSZ (001) // anatase (001), YSZ (010) // anatase (110), was grown on a single crystalline yttrium stabilized zirconia (YSZ) (001) substrate by metal organic chemical vapor deposition (MOCVD). The full width at half maximum (FWHM) of the (004) reflection of this anatase epitaxial film was 0.4deg, and the photoluminescence of this anatase epitaxial film showed visible emission with broad spectral width and large Stokes shift at room temperature. These results indicate that this anatase epitaxial film possessed almost equal crystalline quality compared with that grown under identical growth conditions on single crystalline SrTiO 3 substrate. (author)

  3. An RBS study of thin PLD and MOCVD strontium copper oxide layers

    Energy Technology Data Exchange (ETDEWEB)

    Kantor, Z. [Institute of Physics, University of Pannonia, H-8200 Veszprem (Hungary); Papadopoulou, E.L.; Aperathitis, E. [Inst. Electronic Struture and Laser, Foundation for Research and Technology - Hellas, P.O. Box 1527, Heraklion 71110 (Greece); Deschanvres, J.-L. [LMPG INP Grenoble-Minatec, BP 257, 38016 Grenoble Cedex 1 (France); Somogyi, K. [MicroVacuum Ltd., Kerekgyarto u.: 10, H-1147 Budapest (Hungary)], E-mail: karoly.somogyi@microvacuum.com; Szendro, I. [MicroVacuum Ltd., Kerekgyarto u.: 10, H-1147 Budapest (Hungary)

    2008-09-30

    Strontium copper oxide (SCO) has been studied as p-type transparent (VIS) conductive oxide material. Also theoretical studies suggested p-type conductivity of the SrCu{sub 2}O{sub 2} composition. SCO thin layers, with thicknesses of 30-2000 nm, were deposited on glass and silicon substrates both by pulsed laser deposition (PLD) and by MOCVD method. The as-grown layers showed high electrical resistance. Due to an annealing process, the resistivity significantly decreased and the layers showed p-type conductivity. Optical transparency measured on samples grown on glass substrates was found about or above 80%, including also thickness dependence. RBS measurements were applied for the determination of the chemical composition profile of the layers. A comparison revealed some specific differences between as-grown and annealed PLD samples. Due to the annealing, the ratio of oxide phases was changed and a vertical inhomogeneity in chemical composition was observed. Our measurements revealed also the influence of the deposition technique and of the substrate.

  4. Optical properties of hybrid quantum-well–dots nanostructures grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Mintairov, S. A., E-mail: mintairov@scell.ioffe.ru; Kalyuzhnyy, N. A.; Nadtochiy, A. M.; Maximov, M. V. [St. Petersburg Academic University (Russian Federation); Rouvimov, S. S. [University of Notre Dame (United States); Zhukov, A. E. [St. Petersburg Academic University (Russian Federation)

    2017-03-15

    The deposition of In{sub x}Ga{sub 1–x}As with an indium content of 0.3–0.5 and an average thickness of 3–27 single layers on a GaAs wafer by metalorganic chemical vapor deposition (MOCVD) at low temperatures results in the appearance of thickness and composition modulations in the layers being formed. Such structures can be considered to be intermediate nanostructures between ideal quantum wells and quantum dots. Depending on the average thickness and composition of the layers, the wavelength of the photoluminescence peak for the hybrid InGaAs quantum well–dots nanostructures varies from 950 to 1100 nm. The optimal average In{sub x}Ga{sub 1–x}As thicknesses and compositions at which the emission wavelength is the longest with a high quantum efficiency retained are determined.

  5. TiO2 thin film growth using the MOCVD method

    Directory of Open Access Journals (Sweden)

    Bernardi M.I.B.

    2001-01-01

    Full Text Available Titanium oxide (TiO2 thin films were obtained using the MOCVD method. In this report we discuss the properties of a film, produced using a ordinary deposition apparatus, as a function of the deposition time, with constant deposition temperature (90 °C, oxygen flow (7,0 L/min and substrate temperature (400 °C. The films were characterized by X-ray diffraction (XRD, scanning electron microscopy (SEM, atomic force microscopy (AFM and visible and ultra-violet region spectroscopy (UV-Vis. The films deposited on Si (100 substrates showed the anatase polycrystalline phase, while the films grown on glass substrates showed no crystallinity. Film thickness increased with deposition time as expected, while the transmittance varied from 72 to 91% and the refractive index remained close to 2.6.

  6. Characterization of GaN/AlGaN epitaxial layers grown

    Indian Academy of Sciences (India)

    GaN and AlGaN epitaxial layers are grown by a metalorganic chemical vapour deposition (MOCVD) system. The crystalline quality of these epitaxially grown layers is studied by different characterization techniques. PL measurements indicate band edge emission peak at 363.8 nm and 312 nm for GaN and AlGaN layers ...

  7. Alloying, co-doping, and annealing effects on the magnetic and optical properties of MOCVD-grown Ga1-xMn xN

    International Nuclear Information System (INIS)

    Kane, Matthew H.; Strassburg, Martin; Asghar, Ali; Fenwick, William E.; Senawiratne, Jayantha; Song, Qing; Summers, Christopher J.; Zhang, Z. John; Dietz, Nikolaus; Ferguson, Ian T.

    2006-01-01

    Recent theoretical work for Ga 1-x Mn x N predicts ferromagnetism in this materials system with Curie temperatures above room temperature. Ferromagnetic behavior observed in Ga 1-x Mn x N is still controversial, as there are conflicting experimental reports owing to the disparity in crystalline quality and phase purity of Ga 1-x Mn x N produced by different methods. In this work, metal-organic chemical vapor deposition (MOCVD) has been used to grow high-quality epitaxial films of Ga 1-x Mn x N of varying thickness and manganese doping levels using Cp 2 Mn as the Mn source. Crystalline quality and phase purity were determined by high-resolution X-ray diffraction, indicating that no macroscopic second phases are formed. Atomic force microscopy revealed MOCVD-like step flow growth patterns and a mean surface roughness of 0.378 nm in optimally grown films, which is close to that from the as-grown template layer of 0.330 nm. No change in the growth mechanism and morphology with Mn incorporation is observed. A uniform Mn concentration in the epitaxial layers is confirmed by secondary ion mass spectroscopy. SQUID measurements showed an apparent room temperature ferromagnetic hysteresis with saturation magnetizations of over 2 μ B /Mn at x = 0.008, which decreases with increasing Mn incorporation. Upon high-temperature annealing, numerous changes are observed in these properties, including an increase in surface roughness due to surface decomposition and a large decrease in the magnetic signature. A similar decrease in the magnetic signature is observed upon co-doping with the shallow donor silicon during the growth process. These results demonstrate the critical importance of controlling the Fermi level relative to the Mn 2+/3+ acceptor level in Ga 1-x Mn x N in order to achieve strong ferromagnetism

  8. Characterization of crystallinity of Ge{sub 1−x}Sn{sub x} epitaxial layers grown using metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Inuzuka, Yuki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Ike, Shinichi; Asano, Takanori [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Japan Society for the Promotion of Science, Chiyoda-ku, Tokyo 102-8472 (Japan); Takeuchi, Wakana [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Nakatsuka, Osamu, E-mail: nakatuka@alice.xtal.nagoya-u.ac.jp [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Zaima, Shigeaki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); EcoTopia Science Institute, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan)

    2016-03-01

    The epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer was examined using metal-organic chemical vapor deposition (MOCVD) with two types of Ge precursors; tetra-ethyl-germane (TEGe) and tertiary-butyl-germane (TBGe); and the Sn precursor tri-butyl-vinyl-tin (TBVSn). Though the growth of a Ge{sub 1−x}Sn{sub x} layer on a Ge(001) substrate by MOCVD has been reported, a high-Sn-content Ge{sub 1−x}Sn{sub x} layer and the exploration of MO material combinations for Ge{sub 1−x}Sn{sub x} growth have not been reported. Therefore, the epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer on Ge(001) and Si(001) substrates was examined using these precursors. The Ge{sub 1−x}Sn{sub x} layers were pseudomorphically grown on a Ge(001) substrate, while the Ge{sub 1−x}Sn{sub x} layer with a high degree of strain relaxation was obtained on a Si(001) substrate. Additionally, it was found that the two Ge precursors have different growth temperature ranges, where the TBGe could realize a higher growth rate at a lower growth temperature than the TEGe. The Ge{sub 1−x}Sn{sub x} layers grown using a combination of TBGe and TBVSn exhibited a higher crystalline quality and a smoother surface compared with the Ge{sub 1−x}Sn{sub x} layer prepared by low-temperature molecular beam epitaxy. In this study, a Ge{sub 1−x}Sn{sub x} epitaxial layer with a Sn content as high as 5.1% on a Ge(001) substrate was achieved by MOCVD at 300 °C. - Highlights: • Tertiary-butyl-germane and tri-butyl-vinyl-tin are suitable for Ge{sub 1−x}Sn{sub x} MOCVD growth. • We achieved a Sn content of 5.1% in Ge{sub 1−x}Sn{sub x} epitaxial layer on Ge(001). • The Ge{sub 1−x}Sn{sub x} layers grown on Ge and Si by MOCVD have high crystalline quality.

  9. GaN-based vertical-cavity surface-emitting lasers with tunnel junction contacts grown by metal-organic chemical vapor deposition

    Science.gov (United States)

    Lee, SeungGeun; Forman, Charles A.; Lee, Changmin; Kearns, Jared; Young, Erin C.; Leonard, John T.; Cohen, Daniel A.; Speck, James S.; Nakamura, Shuji; DenBaars, Steven P.

    2018-06-01

    We report the first demonstration of III–nitride vertical-cavity surface-emitting lasers (VCSELs) with tunnel junction (TJ) intracavity contacts grown completely by metal–organic chemical vapor deposition (MOCVD). For the TJs, n++-GaN was grown on in-situ activated p++-GaN after buffered HF surface treatment. The electrical properties and epitaxial morphologies of the TJs were first investigated on TJ LED test samples. A VCSEL with a TJ intracavity contact showed a lasing wavelength of 408 nm, a threshold current of ∼15 mA (10 kA/cm2), a threshold voltage of 7.8 V, a maximum output power of 319 µW, and a differential efficiency of 0.28%.

  10. Photoluminescence and photoluminescence excitation studies in 80 MeV Ni ion irradiated MOCVD grown GaN

    Energy Technology Data Exchange (ETDEWEB)

    Devaraju, G. [School of Physics, University of Hyderabad, Central University P.O., Hyderabad 500 046 (India); Pathak, A.P., E-mail: appsp@uohyd.ernet.in [School of Physics, University of Hyderabad, Central University P.O., Hyderabad 500 046 (India); Srinivasa Rao, N.; Saikiran, V. [School of Physics, University of Hyderabad, Central University P.O., Hyderabad 500 046 (India); Enrichi, Francesco [Coordinamento Interuniversitario Veneto per le Nanotecnologie (CIVEN), via delle Industrie 5, Marghera, I-30175Venice (Italy); Trave, Enrico [Dipartimento di Chimica Fisica, Universita Ca' Foscari Venezia, Dorsoduro 2137, I-30123 Venice (Italy)

    2011-09-01

    Highlights: {yields} MOCVD grown GaN samples are irradiated with 80 MeV Ni ions at room temperature. {yields} PL and PLE studies have been carried out for band to band, BL and YL emissions. {yields} Ni ions irradiated GaN shows BL band at 450 nm besides YL band. {yields} Radiation annealed Ga vacancies have quenching effect on YL intensity. {yields} We speculated that BL and YL are associated with N and Ga vacancies, respectively. - Abstract: We report damage creation and annihilation under energetic ion bombardment at a fixed fluence. MOCVD grown GaN thin films were irradiated with 80 MeV Ni ions at a fluence of 1 x 10{sup 13} ions/cm{sup 2}. Irradiated GaN thin films were subjected to rapid thermal annealing for 60 s in nitrogen atmosphere to anneal out the defects. The effects of defects on luminescence were explored with photoluminescence measurements. Room temperature photoluminescence spectra from pristine sample revealed presence of band to band transition besides unwanted yellow luminescence. Irradiated GaN does not show any band to band transition but there is a strong peak at 450 nm which is attributed to ion induced defect blue luminescence. However, irradiated and subsequently annealed samples show improved band to band transitions and a significant decrease in yellow luminescence intensity due to annihilation of defects which were created during irradiation. Irradiation induced effects on yellow and blue emissions are discussed.

  11. Study of TiO{sub 2} nanomembranes obtained by an induction heated MOCVD reactor

    Energy Technology Data Exchange (ETDEWEB)

    Crisbasan, A., E-mail: andreea.crisbasan@yahoo.com [NANOFORM Group, ICB, Université de Bourgogne, BP 47 870, 21078 Dijon (France); Chaumont, D. [NANOFORM Group, ICB, Université de Bourgogne, BP 47 870, 21078 Dijon (France); Sacilotti, M. [NANOFORM Group, ICB, Université de Bourgogne, BP 47 870, 21078 Dijon (France); Departamento de Fisica – Universidade Federal de Pernambuco, Recife (Brazil); Crisan, A.; Lazar, A.M.; Ciobanu, I. [Science and Materials Engineering Faculty, University of Transilvania, Brasov (Romania); Lacroute, Y.; Chassagnon, R. [Université de Bourgogne, BP 47 870, 21078 Dijon (France)

    2015-12-15

    Highlights: • The TiO{sub 2} structures have been obtained by the MOCVD technique using ferrocene, cobalt layer (annealed at 350 °C) and Ti(OC{sub 3}H{sub 7}){sub 4}. • The TiO{sub 2} growth at 550 °C, during 20 min on the cobalt layer (obtained by electron beam evaporation method) on soda-lime glass has as result TiO{sub 2} nanomembranes. • The TiO{sub 2} nanomembranes grow on the cobalt nuclei. • The TiO{sub 2} nanomembranes are polycrystalline, built from TiO{sub 2} anatase and rutile crystals. - Abstract: Nanostructures of TiO{sub 2} were grown using the metal oxide chemical vapor deposition (MOCVD) technique. The procedure used induction heating on a graphite susceptor. This specific feature and the use of cobalt and ferrocene catalysts resulted in nanomembranes never obtained by common MOCVD reactors. The present study discusses the preparation of TiO{sub 2} nanomembranes and the dependence of nanomembrane structure and morphology on growth parameters.

  12. Influence of the growth parameters on TiO2 thin films deposited using the MOCVD method

    Directory of Open Access Journals (Sweden)

    Bernardi M. I. B.

    2002-01-01

    Full Text Available In this work we report the synthesis of TiO2 thin films by the Organometallic Chemical Vapor Deposition (MOCVD method. The influence of deposition parameters used during the growth in the obtained structural characteristics was studied. Different temperatures of the organometallic bath, deposition time, temperature and type of the substrate were combined. Using Scanning Electron Microscopy associated to Electron Dispersive X-Ray Spectroscopy, Atomic Force Microscopy and X-ray Diffraction, the strong influence of these parameters in the thin films final microstructure was verified.

  13. Photoluminescence of Mg-doped m-plane GaN grown by MOCVD on bulk GaN substrates

    OpenAIRE

    Monemar, Bo; Paskov, Plamen; Pozina, Galia; Hemmingsson, Carl; Bergman, Peder; Lindgren, David; Samuelson, Lars; Ni, Xianfeng; Morkoç, Hadis; Paskova, Tanya; Bi, Zhaoxia; Ohlsson, Jonas

    2011-01-01

    Photoluminescence (PL) properties are reported for a set of m-plane GaN films with Mg doping varied from mid 1018cm-3 to well above 1019 cm-3. The samples were grown with MOCVD at reduced pressure on low defect density m-plane bulk GaN templates. The sharp line near bandgap bound exciton (BE) spectra observed below 50 K, as well as the broader donor-acceptor pair (DAP) PL bands at 2.9 eV to 3.3 eV give evidence of several Mg related acceptors, similar to the case of c-plane GaN. The dependenc...

  14. Preparation of YBCO on YSZ layers deposited on silicon and sapphire by MOCVD: influence of the intermediate layer on the quality of the superconducting film

    International Nuclear Information System (INIS)

    Garcia, G.; Casado, J.; Llibre, J.; Doudkowski, M.; Santiso, J.; Figueras, A.; Schamm, S.; Dorignac, D.; Grigis, C.; Aguilo, M.

    1995-01-01

    YSZ buffer layers were deposited on silicon and sapphire by MOCVD. The layers deposited on silicon were highly oriented along [100] direction without in-plane orientation, probably because the existence of the SiO 2 amorphous interlayer. In contrast, epitaxial YSZ was obtained on (1-102) sapphire showing an in-plane texture defined by the following relationships: (100) YSZ // (1-102) sapphire and (110) YSZ // (01-12) sapphire. Subsequently, YBCO films were deposited on YSZ by MOCVD. Structural, morphological and electrical characterization of the superconducting layers were correlated with the in-plane texture of the buffer layers. (orig.)

  15. Characterization of long-length, MOCVD-derived REBCO coated conductors.

    Energy Technology Data Exchange (ETDEWEB)

    Miller, D. J.; Maroni, V. A.; Hiller, J. M.; Koritala, R. E.; Chen, Y.; Reeves Black, J. L.; Selvamanickam, V.; SuperPower, Inc.; Development Dimensions International, Inc.

    2009-06-01

    A leading approach to the fabrication of long-length, high-performance REBa{sub 2}Cu{sub 3}O{sub 7} (REBCO) coated conductor is by metal-organic chemical vapor deposition (MOCVD) of REBCO on buffered templates. Templates are produced by ion beam assisted deposition of textured MgO onto polished metal substrates. The overall performance of MOCVD coated conductors achieved to date is impressive, but further improvement is desired. We have used a coordinated set of characterization techniques to identify the underlying causes for critical current (Ic) performance variations in long-length MOCVD conductors. Using electron microscopy and Raman spectroscopy, we studied tape specimens from specially designed experiments performed in SuperPower's MOCVD manufacturing equipment with its six-track ldquohelixrdquo tape path. We find that in multi-pass depositions used to produce thicker REBCO films, the REBCO phase uniformity and texture quality in the first pass play key roles in pass-to-pass microstructure evolution, with nucleation of second phase particles in the first layer promoting misoriented grains that propagate through subsequent layers. These misoriented grains, many growing in close proximity with second phase particles, present current-blocking obstacles that limit Ic performance. Our results show that achieving more uniform deposition in the very first deposited layer plays a critical role that in turn leads to reduced misoriented grain content and REBCO lattice disorder in the second and subsequent layers of the REBCO film.

  16. Optimization of structural and growth parameters of metamorphic InGaAs photovoltaic converters grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Rybalchenko, D. V.; Mintairov, S. A.; Salii, R. A.; Shvarts, M. Z.; Timoshina, N. Kh.; Kalyuzhnyy, N. A., E-mail: nickk@mail.ioffe.ru [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation)

    2017-01-15

    Metamorphic Ga{sub 0.76}In{sub 0.24}As heterostructures for photovoltaic converters are grown by the MOCVD (metal–organic chemical vapor deposition) technique. It is found that, due to the valence-band offset at the p-In{sub 0.24}Al{sub 0.76}As/p-In{sub 0.24}Ga{sub 0.76}As (wide-gap window/emitter) heterointerface, a potential barrier for holes arises as a result of a low carrier concentration in the wide-gap material. The use of an InAlGaAs solid solution with an Al content lower than 40% makes it possible to raise the hole concentration in the widegap window up ~9 × 10{sup 18} cm{sup –3} and completely remove the potential barrier, thereby reducing the series resistance of the device. The parameters of an GaInAs metamorphic buffer layer with a stepwise In content profile are calculated and its epitaxial growth conditions are optimized, which improves carrier collection from the n-GaInAs base region and provides a quantum efficiency of 83% at a wavelength of 1064 nm. Optimization of the metamorphic heterostructure of the photovoltaic converter results in that its conversion efficiency for laser light with a wavelength of 1064 nm is 38.5%.

  17. Defect attributed variations of the photoconductivity and photoluminescence in the HVPE and MOCVD as-grown and irradiated GaN structures

    International Nuclear Information System (INIS)

    Gaubas, E.; Pobedinskas, P.; Vaitkus, J.; Uleckas, A.; Zukauskas, A.; Blue, A.; Rahman, M.; Smith, K.M.; Aujol, E.; Beaumont, B.; Faurie, J.-P.; Gibart, P.

    2005-01-01

    The effect of native and radiation induced defects on the photoconductivity transients and photoluminescence spectra have been examined in GaN epitaxial layers of 2.5 and 12μm thickness grown on bulk n-GaN/sapphire substrates by metal-organic chemical vapor deposition (MOCVD). For comparison, free-standing GaN as-grown samples of 500μm thickness, fabricated by hydride vapor phase epitaxy (HVPE), were investigated. Manifestation of defects induced by 10-keV X-ray irradiation with the dose of 600Mrad and 100-keV neutrons with the fluences of 5x10 14 and 10 16 cm -2 as well as of 24GeV/c protons with fluence 10 16 cm -2 have been revealed through contact photoconductivity and microwave absorption transients. The amplitude of the initial photoconductivity decay is significantly reduced by the native and radiation defects density. Synchronous decrease of the steady-state PL intensity of yellow, blue and ultraviolet bands peaked at 2.18, 2.85, and 3.42eV, respectively, with density of radiation-induced defects is observed. The decrease of the PL intensity is accompanied by an increase of asymptotic decay lifetime in the photoconductivity transients, which is due to excess-carrier multi-trapping. The decay fits the stretched exponent approximation exp[-(t/τ) α ] with the different factors α in as-grown material (α∼0.7) and irradiated samples (α∼0.3). The fracton dimension d s of disordered structure changes from 4.7 to 0.86 for as-grown and irradiated material, respectively, and it implies the percolative carrier motion on an infinite cluster of dislocations net in the as-grown material and cluster fragmentation into finite fractons after irradiations

  18. Characterization of photoluminescent europium doped yttrium oxide thin-films prepared by metallorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    McKittrick, J.; Bacalski, C.F.; Hirata, G.A.; Hubbard, K.M.; Pattillo, S.G.; Salazar, K.V.; Trkula, M.

    1998-01-01

    Europium doped yttrium oxide, (Y 1-x Eu x ) 2 O 3 , thin-films were deposited on silicon and sapphire substrates by metallorganic chemical vapor deposition (MOCVD). The films were grown in a MOCVD chamber reacting yttrium and europium tris(2,2,6,6-tetramethyl-3,5,-heptanedionates) precursors in an oxygen atmosphere at low pressures (5 Torr) and low substrate temperatures (500--700 C). The films deposited at 500 C were flat and composed of nanocrystalline regions of cubic Y 2 O 3 , grown in a textured [100] or [110] orientation to the substrate surface. Films deposited at 600 C developed from the flat, nanocrystalline morphology into a plate-like growth morphology oriented in the [111] with increasing deposition time. Monoclinic Y 2 O 3 :Eu 3+ was observed in x-ray diffraction for deposition temperatures ≥600 C on both (111) Si and (001) sapphire substrates. This was also confirmed by the photoluminescent emission spectra

  19. Preparation of molybdenum oxide thin films by MOCVD

    International Nuclear Information System (INIS)

    Guerrero, R. Martinez; Garcia, J.R. Vargas; Santes, V.; Gomez, E.

    2007-01-01

    In this study, molybdenum oxide films were prepared in a horizontal hot-wall MOCVD apparatus using molybdenum dioxide acetylacetonate as precursor. The molybdenum precursor was synthesized from acetylacetone and molybdenum oxide powder. Thermal gravimetric (TG) and differential thermal analyses (DTA) of the precursor suggested the formation of molybdenum oxides around 430 o C (703 K). Thus, a range of deposition temperatures varying from 350 to 630 o C (623-903 K) was explored to investigate the effects on the nature of the molybdenum oxide films. X-ray diffraction (XRD) results showed that the films consisted of α-MoO 3 phase at deposition temperatures ranging from 400 to 560 o C (673-833 K). Crystalline α-MoO 3 films can be obtained from molybdenum dioxide acetylacetonate precursor, without need of a post-annealing treatment. The best crystalline quality was found in films having needle-like crystallites grown at deposition temperature of about 560 o C (833 K), which exhibit a strong (0 1 0) preferred orientation and a transparent visual appearance

  20. Preparation of molybdenum oxide thin films by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Guerrero, R. Martinez [Depto. de Ingenieria Metalurgica, ESIQIE-IPN, Mexico 07300, D.F. (Mexico); Garcia, J.R. Vargas [Depto. de Ingenieria Metalurgica, ESIQIE-IPN, Mexico 07300, D.F. (Mexico)]. E-mail: rvargasga@ipn.mx; Santes, V. [CIIEMAD-IPN, Miguel Othon de Mendizabal 485, Mexico 07700, D.F. (Mexico); Gomez, E. [Instituto de Quimica-UNAM, Circuito Exterior-Ciudad Universitaria, Mexico 04510, D.F. (Mexico)

    2007-05-31

    In this study, molybdenum oxide films were prepared in a horizontal hot-wall MOCVD apparatus using molybdenum dioxide acetylacetonate as precursor. The molybdenum precursor was synthesized from acetylacetone and molybdenum oxide powder. Thermal gravimetric (TG) and differential thermal analyses (DTA) of the precursor suggested the formation of molybdenum oxides around 430 {sup o}C (703 K). Thus, a range of deposition temperatures varying from 350 to 630 {sup o}C (623-903 K) was explored to investigate the effects on the nature of the molybdenum oxide films. X-ray diffraction (XRD) results showed that the films consisted of {alpha}-MoO{sub 3} phase at deposition temperatures ranging from 400 to 560 {sup o}C (673-833 K). Crystalline {alpha}-MoO{sub 3} films can be obtained from molybdenum dioxide acetylacetonate precursor, without need of a post-annealing treatment. The best crystalline quality was found in films having needle-like crystallites grown at deposition temperature of about 560 {sup o}C (833 K), which exhibit a strong (0 1 0) preferred orientation and a transparent visual appearance.

  1. Composition and microstructure of beryllium carbide films prepared by thermal MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    He, Yu-dan; Luo, Jiang-shan; Li, Jia; Meng, Ling-biao; Luo, Bing-chi; Zhang, Ji-qiang; Zeng, Yong; Wu, Wei-dong, E-mail: wuweidongding@163.com

    2016-02-15

    Highlights: • Non-columnar-crystal Be{sub 2}C films were firstly prepared by thermal MOCVD. • Beryllium carbide was always the dominant phase in the films. • α-Be and carbon existed in films deposited below and beyond 400 °C, respectively. • Morphology evolved with temperatures and no columnar grains were characterized. • The preferred substrate temperature for depositing high quality Be{sub 2}C films was 400 °C. - Abstract: Beryllium carbide films without columnar-crystal microstructures were prepared on the Si (1 0 0) substrate by thermal metal organic chemical vapor deposition using diethylberyllium as precursor. The influence of the substrate temperature on composition and microstructure of beryllium carbide films was systematically studied. Crystalline beryllium carbide is always the dominant phase according to XRD analysis. Meanwhile, a small amount of α-Be phase exists in films when the substrate temperature is below 400 °C, and hydrocarbon or amorphous carbon exists when the temperature is beyond 400 °C. Surfaces morphology shows transition from domes to cylinders, to humps, and to tetraquetrous crystalline needles with the increase of substrate temperature. No columnar grains are characterized throughout the thickness as revealed from the cross-section views. The average densities of these films are determined to be 2.04–2.17 g/cm{sup 3}. The findings indicate the substrate temperature has great influences on the composition and microstructure of the Be{sub 2}C films grown by thermal MOCVD.

  2. Wurtzite InP nanowire arrays grown by selective area MOCVD

    International Nuclear Information System (INIS)

    Chu, Hyung-Joon; Stewart, Lawrence; Yeh, Ting-Wei; Dapkus, P.D.

    2010-01-01

    InP nanowires are a unique material phase because this normally zincblende material forms in the wurtzite crystal structure below a critical diameter owing to the contribution of sidewalls to the total formation energy. This may allow control of the carrier transport and optical properties of InP nanowires for applications such as nano scale transistors, lasers and detectors. In this work, we describe the fabrication of InP nanowire arrays by selective area growth using MOCVD in the diameter range where the wurtzite structure is formed. The spatial growth rate in selective area growth is modeled by a diffusion model for the precursors. The proposed model achieves an average error of 9%. Electron microscopy shows that the grown InP nanowires are in the wurtzite crystal phase with many stacking faults. The threshold diameter of the crystal phase transition of InP nanowires is larger than the thermodynamic estimation. In order to explain this tendency, we propose a surface kinetics model based on a 2 x 2 reconstruction. This model can explain the increased tendency for wurtzite nanowire formation on InP (111)A substrates and the preferred growth direction of binary III-V compound semiconductor nanowires. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Various types of GaN/InGaN nanostructures grown by MOCVD on Si(111) substrate

    Energy Technology Data Exchange (ETDEWEB)

    Rozhavskaya, Mariya M.; Lundin, Wsevolod V.; Zavarin, Evgeniy E.; Lundina, Elena Yu.; Troshkov, Sergey I.; Davydov, Valery Yu.; Yagovkina, Mariya A.; Brunkov, Pavel N.; Tsatsulnikov, Andrey F. [Ioffe Physico-Technical Institute of the RAS, Politekhnicheskaya 26, 194021 St. Petersburg (Russian Federation)

    2013-03-15

    In this paper we obtained GaN and InGaN nanowires on AlN/Si (111) templates via pulsed Metal Organic Chemical Vapour Deposition (MOCVD). The growth modes were investigated, in which selective growth is possible. The impact of NH{sub 3} flow and TMG flow and exposure time were investigated. Also the possibility of using indium catalyst was studied. It was shown that In can be used in Au-In melt and as self-sufficient catalyst. (copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Integrated MSM-FET photoreceiver fabricated on MOCVD grown Hg2-xCdxTe

    International Nuclear Information System (INIS)

    Leech, P.W.; Gwynn, P.J.; Pain, G.N.; Petkovic, N.R.; Thompson, J.; Jamieson, D.N.

    1991-01-01

    This paper reports on progress in the monolithic integration of a metal-semiconductor-metal (MSM) detector and transimpedance amplifier and of a photoconductive detector (PCD) with a metal-semiconductor field effect transistor (MESFET) in Hg 1-x Cd x Te. The layers of CdTe/n-type Hg 1-x Cd x Te were grown by MOCVD on semi-insulating GaAs substrates (2 0 misoriented 100). Fabrication of the devices was by an FET planar process; with a standard lift-off used to form Schottky metallization on both the interdigitated electrodes of the MSM detector (2 μm width, 2 μm spacing) and the gate of the MESFETs (5μm length, 100μm width). The MSM photodetectors exhibited breakdown voltages in the range 60 to 80V, a dark current of 10na at 5V bias, and responsivities of >1.0 A/W measured at 40V using CW 1.3 μm illumination

  5. Structural and optical properties of GaN thin films grown on Al2O3 substrates by MOCVD at different reactor pressures

    International Nuclear Information System (INIS)

    Guillén-Cervantes, A.; Rivera-Álvarez, Z.; López-López, M.; Ponce-Pedraza, A.; Guarneros, C.; Sánchez-Reséndiz, V.M.

    2011-01-01

    GaN thin films grown by MOCVD on (0 0 0 1) Al 2 O 3 substrates at different growth pressures were characterized by field-emission scanning electron microscopy, atomic force microscopy, micro-Raman, and photoluminescence at room temperature. It was found that there is an optimum pressure of 76 Torr at which the structural and optical properties of the GaN samples are superior. On the other hand samples grown at higher pressure exhibited hexagonal surface pits and surface spirals. The results showed that the growth pressure strongly influences the morphology, and significantly affects the structural and optical properties of the GaN epilayers.

  6. Effects of LP-MOCVD prepared TiO2 thin films on the in vitro behavior of gingival fibroblasts

    International Nuclear Information System (INIS)

    Cimpean, Anisoara; Popescu, Simona; Ciofrangeanu, Cristina M.; Gleizes, Alain N.

    2011-01-01

    We report on the in vitro response of human gingival fibroblasts (HGF-1 cell line) to various thin films of titanium dioxide (TiO 2 ) deposited on titanium (Ti) substrates by low pressure metal-organic chemical vapor deposition (LP-MOCVD). The aim was to study the influence of film structural parameters on the cell behavior comparatively with a native-oxide covered titanium specimen, this objective being topical and interesting for materials applications in implantology. HGF-1 cells were cultured on three LP-MOCVD prepared thin films of TiO 2 differentiated by their thickness, roughness, transversal morphology, allotropic composition and wettability, and on a native-oxide covered Ti substrate. Besides traditional tests of cell viability and morphology, the biocompatibility of these materials was evaluated by fibronectin immunostaining, assessment of cell proliferation status and the zymographic evaluation of gelatinolytic activities specific to matrix metalloproteinases secreted by cells grown in contact with studied specimens. The analyzed surfaces proved to influence fibronectin fibril assembly, cell proliferation and capacity to degrade extracellular matrix without considerably affecting cell viability and morphology. The MOCVD of TiO 2 proved effective in positively modifying titanium surface for medical applications. Surface properties playing a crucial role for cell behavior were the wettability and, secondarily, the roughness, HGF-1 cells preferring a moderately rough and wettable TiO 2 coating.

  7. Synthesis, characterization, and thermal properties of homoleptic rare-earth guanidinates: promising precursors for MOCVD and ALD of rare-earth oxide thin films.

    Science.gov (United States)

    Milanov, Andrian P; Fischer, Roland A; Devi, Anjana

    2008-12-01

    Eight novel homoleptic tris-guanidinato complexes M[(N(i)Pr)(2)CNR(2)](3) [M = Y (a), Gd (b), Dy (c) and R = Me (1), Et (2), (i)Pr (3)] have been synthesized and characterized by NMR, CHN-analysis, mass spectrometry and infrared spectroscopy. Single crystal structure analysis revealed that all the compounds are monomers with the rare-earth metal center coordinated to six nitrogen atoms of the three chelating guanidinato ligands in a distorted trigonal prism geometry. With the use of TGA/DTA and isothermal TGA analysis, the thermal characteristics of all the complexes were studied in detail to evaluate their suitability as precursors for thin film deposition by MOCVD and ALD. The (i)Pr-Me(2)N-guanidinates of Y, Gd and Dy (1a-c) showed excellent thermal characteristics in terms of thermal stability and volatility. Additionally, the thermal stability of the (i)Pr-Me(2)N-guanidinates of Y and Dy (1a, c) in solution was investigated by carrying out NMR decomposition experiments and both the compounds were found to be remarkably stable. All these studies indicate that (i)Pr-Me(2)N-guanidinates of Y, Gd and Dy (1a-c) have the prerequisites for MOCVD and ALD applications which were confirmed by the successful deposition of Gd(2)O(3) and Dy(2)O(3) thin films on Si(100) substrates. The MOCVD grown films of Gd(2)O(3) and Dy(2)O(3) were highly oriented in the cubic phase, while the ALD grown films were amorphous.

  8. Epitaxial growth of InP on SI by MOCVD

    International Nuclear Information System (INIS)

    Konushi, F.; Seki, A.; Kudo, J.; Sato, H.; Kakimoto, S.; Fukushima, T.; Kubota, Y.; Koba, M.

    1988-01-01

    The authors have studied the heteroepitaxial growth of InP on large diameter Si substrates using MOCVD. A new MOCVD system with four inch wafer size capability was utilized in the growth. Single domain InP films have been successfully grown on four inch Si substrates by using a new heterostructure with a thin GaAs intermediate layer. In this paper, the authors describe the crystalline quality and residual stress of InP epilayers, estimated by etch pit density and x-ray diffraction, respectively. The authors also reports on the reduction of EPD by post-growth annealing

  9. Characterization of Al{sub x}Ga{sub 1-x}As/GaAs heterostructures for single quantum wells grown by a solid arsenic MOCVD system

    Energy Technology Data Exchange (ETDEWEB)

    Castillo-Ojeda, R. [Universidad Politecnica de Pachuca, Km. 20, Rancho Luna, Ex-Hacienda de Santa Barbara, Municipio de Zempoala, Hidalgo 43830 (Mexico); Diaz-Reyes, J., E-mail: jdiazr2001@yahoo.co [Instituto Politecnico Nacional, Centro de Investigacion en Biotecnologia Aplicada, CIBA-IPN, Ex Hacienda de San Juan Molino, Km. 1.5. Tepetitla, Tlaxcala 90700 (Mexico); Galvan-Arellano, M.; Pena-Sierra, R. [CINVESTAV-IPN, Depto. de Ing. Electrica, SEES. Apdo. 14-740, Mexico, D.F. 07000 (Mexico)

    2011-06-15

    This work presents the results of the growth and characterization of Al{sub x}Ga{sub 1-x}As/GaAs multilayer structures obtained in a metallic-arsenic-based-MOCVD system. The main goal is to explore the ability of the growth system to grow high quality multilayer structures like quantum wells. The use of metallic arsenic could introduce important differences in the growth process due to the absence of the hydride group V precursor (AsH{sub 3}), which manifests in the electrical and optical characteristics of both GaAs and Al{sub x}Ga{sub 1-x}As layers. The characterization of these epilayers and structures was performed using low-temperature photoluminescence, Hall effect measurements, X-ray diffraction, Raman spectroscopy, secondary ion mass spectroscopy (SIMS) and Atomic Force Microscopy (AFM). - Research highlights: {yields} This work is reported the growth of AlxGa1-xAs/GaAs/AlxGa1-xAs heterostructures by a solid arsenic based MOCVD system. {yields} The results obtained with this system are comparable with those obtained with the traditional arsine based growth system. {yields} The main limitation of the alternative MOCVD system is related to the lack of monoatomic hydrogen on the growth surface that acts modifying the surface kinetics and enhancing the carbon incorporation. {yields} The experimental results indicate that it can be grown AlxGa1-xAs using elemental arsenic by MOCVD, which can be used to optoelectronic devices.

  10. Recent developments in the MOCVD and ALD of rare earth oxides and silicates

    International Nuclear Information System (INIS)

    Jones, Anthony C.; Aspinall, Helen C.; Chalker, Paul R.; Potter, Richard J.; Kukli, Kaupo; Rahtu, Antti; Ritala, Mikko; Leskelae, Markku

    2005-01-01

    Lanthanide, or rare-earth oxides are currently being investigated as alternatives to SiO 2 as the dielectric insulating layer in sub-0.1 μm CMOS technology. Metalorganic chemical vapour deposition (MOCVD) and atomic layer deposition (ALD) are promising techniques for the deposition of these high-κ dielectric oxides and in this paper some of our recent research into the MOCVD and ALD of PrO x , La 2 O 3 , Gd 2 O 3 , Nd 2 O 3 and their related silicates are reviewed

  11. Thin RuO2 conducting films grown by MOCVD for microelectronic applications

    International Nuclear Information System (INIS)

    Froehlich, K.; Cambel, V.; Machajdik, D.; Pignard, S.; Baumann, P. K.; Lindner, J.; Schumacher, M.

    2002-01-01

    We have prepared thin RuO 2 films by MOCVD using thermal evaporation of Ru(thd) 2 (cod) solid precursor. The films were prepared at deposition temperatures between 250 and 500 grad C on silicon and sapphire substrates. Different structure was observed for the RuO 2 films on these substrates; the films on Si substrate were polycrystalline, while X-ray diffraction analysis revealed epitaxial growth of RuO 2 on sapphire substrates. Polycrystalline RuO 2 films prepared at temperatures below 300 grad C on Si substrate exhibit smooth surface and excellent step coverage. Highly conformal growth of the RuO 2 films at low temperature and low pressure results in nearly 100% step coverage for sub-mm features with 1:1 aspect ratio. Resistivity of the polycrystalline RuO 2 at room temperature ranged between 100 and 200 μ x Ω x cm. These films are suitable for CMOS and RAM applications. (Authors)

  12. Monolithic Integration of Sampled Grating DBR with Electroabsorption Modulator by Combining Selective-Area-Growth MOCVD and Quantum-Well Intermixing

    International Nuclear Information System (INIS)

    Hong-Bo, Liu; Ling-Juan, Zhao; Jiao-Qing, Pan; Hong-Liang, Zhu; Fan, Zhou; Bao-Jun, Wang; Wei, Wang

    2008-01-01

    We present the monolithic integration of a sampled-grating distributed Bragg reflector (SG-DBR) laser with a quantum-well electroabsorption modulator (QW-EAM) by combining ultra-low-pressure (55mbar) selective-area-growth (SAG) metal-organic chemical vapour deposition (MOCVD) and quantum-well intermixing (QWI) for the first time. The QW-EAM and the gain section can be grown simultaneously by using SAG MOCVD technology. Meanwhile, the QWI technology offers an abrupt band-gap change between two functional sections, which reduces internal absorption loss. The experimental results show that the threshold current Ith = 62 mA, and output power reaches 3.6mW. The wavelength tuning range covers 30nm, and all the corresponding side mode suppression ratios are over 30 dB. The extinction ratios at available wavelength channels can reach more than 14 dB with bias of -5 V

  13. Elimination of macrostep-induced current flow nonuniformity in vertical GaN PN diode using carbon-free drift layer grown by hydride vapor phase epitaxy

    Science.gov (United States)

    Fujikura, Hajime; Hayashi, Kentaro; Horikiri, Fumimasa; Narita, Yoshinobu; Konno, Taichiro; Yoshida, Takehiro; Ohta, Hiroshi; Mishima, Tomoyoshi

    2018-04-01

    In vertical GaN PN diodes (PNDs) grown entirely by metal–organic chemical vapor deposition (MOCVD), large current nonuniformity was observed. This nonuniformity was induced by macrosteps on the GaN surface through modulation of carbon incorporation into the n-GaN crystal. It was eliminated in a hybrid PND consisting of a carbon-free n-GaN layer grown by hydride vapor phase epitaxy (HVPE) and an MOCVD-regrown p-GaN layer. The hybrid PND showed a fairly low on-resistance (2 mΩ cm2) and high breakdown voltage (2 kV) even without a field plate electrode. These results clearly indicated the strong advantages of the HVPE-grown drift layer for improving power device performance, uniformity, and yield.

  14. Characterization of photoluminescent (Y{sub 1{minus}x}Eu{sub x}){sub 2}O{sub 3} thin-films prepared by metallorganic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    McKittrick, J.; Bacalski, C.F.; Hirata, G.A. [Univ. of California, San Diego, La Jolla, CA (United States); Hubbard, K.M.; Pattillo, S.G.; Salazar, K.V.; Trkula, M. [Los Alamos National Lab., NM (United States). Materials Science and Technology Div.

    1998-12-01

    Europium doped yttrium oxide, (Y{sub 1{minus}x}Eu{sub x}){sub 2}O{sub 3}, thin-films were deposited on silicon and sapphire substrates by metallorganic chemical vapor deposition (MOCVD). The films were grown in a MOCVD chamber reacting yttrium and europium tris(2,2,6,6-tetramethyl-3,5,-heptanedionates) precursors in an oxygen atmosphere at low pressures (5 Torr) and low substrate temperatures (500--700 C). The films deposited at 500 C were flat and composed of nanocrystalline regions of cubic Y{sub 2}O{sub 3}, grown in a textured [100] or [110] orientation to the substrate surface. Films deposited at 600 C developed from the flat, nanocrystalline morphology into a plate-like growth morphology oriented in the [111] with increasing deposition time. Monoclinic Y{sub 2}O{sub 3}:Eu{sup 3+} was observed in x-ray diffraction for deposition temperatures {ge}600 C on both (111) Si and (001) sapphire substrates. This was also confirmed by the photoluminescent emission spectra.

  15. Characterisation of titanium nitride films obtained by metalorganic chemical vapor deposition (MOCVD); Caracterizacao de filmes de nitreto de titanio obtidos por MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Pillis, M.F., E-mail: mfpillis@ipen.b [Instituto de Pesquisas Energeticas e Nucleares (CCTM/IPEN/CNEN-SP), Sao Paulo, SP (Brazil). Centro de Ciencia e Tecnologia de Materiais; Franco, A.C. [Universidade de Sao Paulo (IF/USP), SP (Brazil). Inst. de Fisica; Araujo, E.G. de [Instituto de Pesquisas Energeticas e Nucleares (IPEN/CNEN-SP), Sao Paulo, SP (Brazil); Sacilotti, M. [Universidade Federal de Pernambuco (IF/UFPE), Recife, PE (Brazil). Inst. de Fisica; Fundacao de Amparo a Ciencia e Tecnologia de Pernambuco (FACEPE), Recife, PE (Brazil)

    2009-07-01

    Ceramic coatings have been widely used as protective coating to improve the life of cutting tools, for corrosion protection and in microelectronics, optical and medical areas. Transition metals nitrides are of special interest due to its high hardness and thermal stability. In this work thin films of titanium nitride were obtained by MOCVD (metalorganic chemical vapor deposition) process. The tests were carried out for 1h at 700 deg C under 80 and 100 mbar of pressure. The characterization was made by using scanning electron microscopy coupled with dispersive energy analysis, and X-ray diffraction. Preliminary results suggested that Ti{sub 2}N phase was formed and that the growth rate varied between 4 and 13 nm/min according to the process parameter considered. (author)

  16. InGaN quantum well epilayers morphological evolution under a wide range of MOCVD growth parameter sets

    International Nuclear Information System (INIS)

    Florescu, D.I.; Ting, S.M.; Merai, V.N.; Parekh, A.; Lee, D.S.; Armour, E.A.; Quinn, W.E.

    2006-01-01

    This study exemplifies the use of TappingMode trademark atomic force microscopy (AFM) surface morphology imaging to investigate and optimise the metalorganic chemical vapour deposition (MOCVD) growth conditions and post-growth stability of thin ( '' GaN templates grown on (0001) c-plane sapphire substrates. The morphological evolution of the InGaN material was studied utilising a DI3100 AFM tool. Surface morphology and its correlation with photoluminescence and X-ray diffraction results are discussed for every set of conditions employed. More specifically, the post-growth ambient exposure and thermal stability of the uncapped InGaN epilayers were investigated. In addition, the initial stage of subsequent GaN growth, which is an essential step towards the manufacture of LED active regions, was examined. Based on the above findings, a flexible MOCVD growth parameter space and improved LED constituent layer sequencing techniques have been established leading to more efficient and stable LED devices. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Buffer free MOCVD growth of GaN on 4H-SiC: Effect of substrate treatments and UV-photoirradiation

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, Maria; Giangregorio, Maria M.; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Kim, Tong-Ho; Choi, Soojeong; Brown, April [Department of Electrical and Computer Engineering, Duke University, Durham, NC 27709 (United States)

    2006-05-15

    GaN has been grown directly on the Si-face 4H-SiC(0001) substrates using remote plasma-assisted metalorganic chemical vapour deposition (RP-MOCVD) with UV-light irradiation. The effects of substrate pre-treatments and UV-photoirradiation of the growth surface on GaN nucleation and film morphology are investigated. Optical data from spectroscopic ellipsometry measurements and morphological data show an improvement in nucleation and material quality with UV-light irradiation. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. The controlled growth of GaN microrods on Si(111) substrates by MOCVD

    Science.gov (United States)

    Foltynski, Bartosz; Garro, Nuria; Vallo, Martin; Finken, Matthias; Giesen, Christoph; Kalisch, Holger; Vescan, Andrei; Cantarero, Andrés; Heuken, Michael

    2015-03-01

    In this paper, a selective area growth (SAG) approach for growing GaN microrods on patterned SiNx/Si(111) substrates by metal-organic chemical vapor deposition (MOCVD) is studied. The surface morphology, optical and structural properties of vertical GaN microrods terminated by pyramidal shaped facets (six { 10 1 bar 1} planes) were characterized using scanning electron microscopy (SEM), room temperature photoluminescence (PL) and Raman spectroscopy, respectively. Measurements revealed high-quality GaN microcolumns grown with silane support. Characterized structures were grown nearly strain-free (central frequency of Raman peak of 567±1 cm-1) with crystal quality comparable to bulk crystals (FWHM=4.2±1 cm-1). Such GaN microrods might be used as a next-generation device concept for solid-state lighting (SSL) applications by realizing core-shell InGaN/GaN multi-quantum wells (MQWs) on the n-GaN rod base.

  19. Growth of a New Ternary BON Crystal on Si(100) by Plasma-Assisted MOCVD and Study on the Effects of Fed Gas and Growth Temperature

    Science.gov (United States)

    Chen, G. C.; Lee, S.-B.; Boo, J.-H.

    A new ternary BOxNy crystal was grown on Si(100) substrate at 500°C by low-frequency (100 kHz) radio-frequency (rf) derived plasma-assisted MOCVD with an organoborate precursor. The as-grown deposits were characterized by SEM, TED, XPS, XRD, AFM and FT-IR. The experimental results showed that BOxNy crystal was apt to be formed at N-rich atmosphere and high temperature. The decrease of hydrogen flux in fed gases was of benefit to form BON crystal structure. The crystal structure of BOxNy was as similar to that of H3BO3 in this study.

  20. The effects of H sub 2 addition on the enhanced deposition rate and high quality Cu films by MOCVD

    CERN Document Server

    Lee, J H; Park, S J; Choi, S Y

    1998-01-01

    High-quality Cu thin films were deposited on the TiN/Si substrate from the hexafluoroacetylacetonate Copper thrmethylvinylsilane [Cu (hfac) (tmvs)] source using a metal organic chemical vapor deposition (MOCVD) technique. The optimum deposition condition is with a substrate temperature of 200 .deg. C and the hydrogen flow rate of 80 sccm. The deposition rate, electrical resistivity, surface morphology, grain size, and optical properties of the deposited Cu films were investigated by the AES, four-point probe, SEM, XRD, and the visible spectrophotometer as a function of hydrogen gas flow rate, The results indicated that additional hydrogen gas affects the CVD hydrogen reduction reaction improving the purity, deposition rate, and electrical resistivity of Cu thin films. A prospective idea will be discussed for the preparation of Cu thin films showing a more enhanced electromigration resistance applicable to the next-generation interconnection.

  1. Control of residual carbon concentration in GaN high electron mobility transistor and realization of high-resistance GaN grown by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    He, X.G. [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, P.O. Box 912, Beijing 100083 (China); Zhao, D.G., E-mail: dgzhao@red.semi.ac.cn [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, P.O. Box 912, Beijing 100083 (China); Jiang, D.S.; Liu, Z.S.; Chen, P.; Le, L.C.; Yang, J.; Li, X.J. [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, P.O. Box 912, Beijing 100083 (China); Zhang, S.M.; Zhu, J.J.; Wang, H.; Yang, H. [Suzhou Institute of Nano-tech and Nano-bionics, Chinese Academy of Sciences, Suzhou 215125 (China)

    2014-08-01

    GaN films were grown by metal-organic chemical vapor deposition (MOCVD) under various growth conditions. The influences of MOCVD growth parameters, i.e., growth pressure, ammonia (NH{sub 3}) flux, growth temperature, trimethyl-gallium flux and H{sub 2} flux, on residual carbon concentration ([C]) were systematically investigated. Secondary ion mass spectroscopy measurements show that [C] can be effectively modulated by growth conditions. Especially, it can increase by reducing growth pressure up to two orders of magnitude. High-resistance (HR) GaN epilayer with a resistivity over 1.0 × 10{sup 9} Ω·cm is achieved by reducing growth pressure. The mechanism of the formation of HR GaN epilayer is discussed. An Al{sub x}Ga{sub 1−x}N/GaN high electron mobility transistor structure with a HR GaN buffer layer and an additional low-carbon GaN channel layer is presented, exhibiting a high two dimensional electron gas mobility of 1815 cm{sup 2}/Vs. - Highlights: • Influence of MOCVD parameters on residual carbon concentration in GaN is studied. • GaN layer with a resistivity over 1 × 10{sup 9} Ω·cm is achieved by reducing growth pressure. • High electron mobility transistor (HEMT) structures were prepared. • Control of residual carbon content results in HEMT with high 2-D electron gas mobility.

  2. High-resistivity unintentionally carbon-doped GaN layers with nitrogen as nucleation layer carrier gas grown by metal-organic chemical vapor deposition

    Directory of Open Access Journals (Sweden)

    Fu Chen

    2017-12-01

    Full Text Available In this letter, high-resistivity unintentionally carbon-doped GaN layers with sheet resistivity greater than 106 Ω/□ have been grown on c-plane sapphire substrates by metal-organic chemical vapor deposition (MOCVD. We have observed that the growth of GaN nucleation layers (NLs under N2 ambient leads to a large full width at half maximum (FWHM of (102 X-ray diffraction (XRD line in the rocking curve about 1576 arc sec. Unintentional carbon incorporation can be observed in the secondary ion mass spectroscopy (SIMS measurements. The results demonstrate the self-compensation mechanism is attributed to the increased density of edge-type threading dislocations and carbon impurities. The AlGaN/GaN HEMT grown on the high-resistivity GaN template has also been fabricated, exhibiting a maximum drain current of 478 mA/mm, a peak transconductance of 60.0 mS/mm, an ON/OFF ratio of 0.96×108 and a breakdown voltage of 621 V.

  3. High-resistivity unintentionally carbon-doped GaN layers with nitrogen as nucleation layer carrier gas grown by metal-organic chemical vapor deposition

    Science.gov (United States)

    Chen, Fu; Sun, Shichuang; Deng, Xuguang; Fu, Kai; Yu, Guohao; Song, Liang; Hao, Ronghui; Fan, Yaming; Cai, Yong; Zhang, Baoshun

    2017-12-01

    In this letter, high-resistivity unintentionally carbon-doped GaN layers with sheet resistivity greater than 106 Ω/□ have been grown on c-plane sapphire substrates by metal-organic chemical vapor deposition (MOCVD). We have observed that the growth of GaN nucleation layers (NLs) under N2 ambient leads to a large full width at half maximum (FWHM) of (102) X-ray diffraction (XRD) line in the rocking curve about 1576 arc sec. Unintentional carbon incorporation can be observed in the secondary ion mass spectroscopy (SIMS) measurements. The results demonstrate the self-compensation mechanism is attributed to the increased density of edge-type threading dislocations and carbon impurities. The AlGaN/GaN HEMT grown on the high-resistivity GaN template has also been fabricated, exhibiting a maximum drain current of 478 mA/mm, a peak transconductance of 60.0 mS/mm, an ON/OFF ratio of 0.96×108 and a breakdown voltage of 621 V.

  4. Preparation and microstructural properties of erbium doped alumina–yttria oxide thin films deposited by aerosol MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Salhi, Rached, E-mail: salhi_rached@yahoo.fr [Laboratoire de Science et Ingénierie des MAtériaux et Procédés 1130 rue de la PiscineBP 75-F-38402 Saint Martin D’Hères Cedex 1 (France); Laboratoire des Matériaux et du Génie Physique, CNRS UMR 5628, INP Grenoble-Minatec, 3 parvis Louis Néel BP 257, 38 016 Grenoble Cedex 1 (France); Jimenez, Carmen; Deschanvres, Jean-Luc [Laboratoire des Matériaux et du Génie Physique, CNRS UMR 5628, INP Grenoble-Minatec, 3 parvis Louis Néel BP 257, 38 016 Grenoble Cedex 1 (France); Guyot, Yannick [LPCML-UMR 5620 CNRS/UCBL Universite´ Claude Bernard Lyon 110 Rue Ada Byron 69622 Villeurbanne Cedex (France); Chaix-Pluchery, Odette; Rapenne, Laetitia [Laboratoire des Matériaux et du Génie Physique, CNRS UMR 5628, INP Grenoble-Minatec, 3 parvis Louis Néel BP 257, 38 016 Grenoble Cedex 1 (France); Maâlej, Ramzi [LPCML-UMR 5620 CNRS/UCBL Universite´ Claude Bernard Lyon 110 Rue Ada Byron 69622 Villeurbanne Cedex (France); Fourati, Mohieddine [Laboratoire de Chimie Industrielle, Ecole Nationale d’Ingénieur de Sfax, University of Sfax BP W 3038 Sfax (Tunisia); Laboratoire de Physique Appliquée, Groupe de Physique Théorique, Département de Physique, Faculté des Sciences de Sfax, University of Sfax 3018 Sfax (Tunisia)

    2013-10-15

    Erbium-doped aluminum–yttrium oxide films (Er: Al{sub 2}O{sub 3}–Y{sub 2}O{sub 3}) were prepared by aerosol-UV assisted Metalorganic Chemical Vapor Deposition (MOCVD) at 410 °C and annealed at 1000 °C. The effects of humidity of carrier gas and UV-assistance on their structure and optical properties were investigated using scanning electron microscope, X-ray diffraction and Transmission electron microscopy. It was found that under low air humidity and without UV-assistance the films present a low mol% Al{sub 2}O{sub 3} (10 mol%) two different structural phases are observed corresponding to the cubic and the monoclinic structures of Y{sub 2}O{sub 3}. When the deposition takes place under high air humidity and with UV assistance the Er:Al{sub 2}O{sub 3}–Y{sub 2}O{sub 3} films present a very high mol% Al{sub 2}O{sub 3} (88 mol%) and crystallize in the Y{sub 3}Al{sub 5}O{sub 12} (YAG) compound mixed with an amorphous phase. The Er{sup 3+} luminescence analyzed in the visible and IR regions, shows the classical green transitions. The best optical properties were obtained with the Er:Al{sub 2}O{sub 3}–Y{sub 2}O{sub 3} films grown under high air humidity with UV-assistance. Under such deposition conditions, {sup 4}I{sub 13/2} lifetimes was found to be 1.1 ms. This indicates that the deposition conditions, in particular air humidity, play an important role in the luminescent properties even after annealing. -- Highlights: • We investigate the effects of humidity and UV on the properties of Er:Al{sub 2}O{sub 3}–Y{sub 2}O{sub 3}. • Under low air humidity and without UV-assistance the films present a low mol% Al{sub 2}O{sub 3}. • Under high air humidity and with UV the Er:Al{sub 2}O{sub 3}–Y{sub 2}O{sub 3} present high mol% Al{sub 2}O{sub 3}. • The film crystallize in the YAG phase mixed with an amorphous phase. • The best optical properties were obtained under high air humidity with UV-assistance.

  5. Nonpolar a-plane GaN grown on r-plane sapphire using multilayer AlN buffer by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Chiang, C.H.; Chen, K.M.; Wu, Y.H.; Yeh, Y.S.; Lee, W.I.; Chen, J.F.; Lin, K.L.; Hsiao, Y.L.; Huang, W.C.; Chang, E.Y.

    2011-01-01

    Mirror-like and pit-free non-polar a-plane (1 1 -2 0) GaN films are grown on r-plane (1 -1 0 2) sapphire substrates using metalorganic chemical vapor deposition (MOCVD) with multilayer high-low-high temperature AlN buffer layers. The buffer layer structure and film quality are essential to the growth of a flat, crack-free and pit-free a-plane GaN film. The multilayer AlN buffer structure includes a thin low-temperature-deposited AlN (LT-AlN) layer inserted into the high-temperature-deposited AlN (HT-AlN) layer. The results demonstrate that the multilayer AlN buffer structure can improve the surface morphology of the upper a-plane GaN film. The grown multilayer AlN buffer structure reduced the tensile stress on the AlN buffer layers and increased the compressive stress on the a-plane GaN film. The multilayer AlN buffer structure markedly improves the surface morphology of the a-plane GaN film, as revealed by scanning electron microscopy. The effects of various growth V/III ratios was investigated to obtain a-plane GaN films with better surface morphology. The mean roughness of the surface was 1.02 nm, as revealed by atomic force microscopy. Accordingly, the multilayer AlN buffer structure improves the surface morphology and facilitates the complete coalescence of the a-plane GaN layer.

  6. Deposition of Y-Sm Oxide on Metallic Substrates for the YBCO Coated Conductor by MOCVD Method

    International Nuclear Information System (INIS)

    Choi, Jun Kyu; Kim, Min Woo; Jun, Byung Hyuk; Kim, Chan Joong; Lee, Hee Gyoun; Hong, Gye Won

    2005-01-01

    Complex single buffer composed of yttrium and samarium oxide was deposited on the metallic substrates by MOCVD (metal organic chemical vapor deposition) method using single liquid source. Two different types of the substrates with in-plane textures of about 8 - 10 degree of Ni and 3at.%W-Ni alloy were used. Y(tmhd: 2,2,6,6-tetramethyl-3,5-heptane dionate) 3 :Sm(tmhd) 3 of liquid source was adjusted to 0.4:0.6 to minimize the lattice mismatch between the complex single buffer and the YBCO. The epitaxial growth of (Y x Sm 1-x ) 2 O 3 was achieved at the temperature higher than 500 degree C in O 2 atmosphere. However, it was found that the formation of NiO accelerated with increasing deposition temperature. By supplying H 2 O vapor, this oxidation of the substrate could be suppressed throughout the deposition temperatures. We could get the epitaxial growth on pure Ni substrate without the formation of NiO. The competitive (222) and (400) growths were observed at the deposition temperatures of 650 - 750 degree C, but the (400) growth became dominant above 800 degree. The (Y x Sm 1-x ) 2 O 3 -buffered metallic substrates can be used as the buffer for YBCO coated conductor.

  7. Epitaxial growth and processing of InP films in a ``novel`` remote plasma-MOCVD apparatus

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, G. [Bari Univ. (Italy). Centro di Studio per la Chimica; Losurdo, M. [Bari Univ. (Italy). Centro di Studio per la Chimica; Capezzuto, P. [Bari Univ. (Italy). Centro di Studio per la Chimica; Capozzi, V. [Bari Univ. (Italy). Ist. di Fisica; Lorusso, F.G. [Bari Univ. (Italy). Ist. di Fisica; Minafra, A. [Bari Univ. (Italy). Ist. di Fisica

    1996-06-01

    A new remote plasma MOCVD apparatus for the treatment and deposition of III-V materials and, specifically, of indium phosphide, has been developed. The plasma source is used to produce hydrogen atoms and to predissociate phosphine for, respectively, the reduction of native oxide on InP substrate surface and the InP deposition. In situ diagnostics by optical emission spectroscopy, mass spectrometry, and spectroscopic ellipsometry are used to fingerprint the gas phase and the growth surface. The plasma cleaning process effectively reduce the InP oxide layer without surface damage. Indium phosphide epilayers deposited from trimethylindium and plasma activated PH{sub 3} show singular photoluminescence spectra with signal intensity higher than that of the best InP film deposited under conventional MOCVD condition (without PH{sub 3} plasma preactivation). (orig.)

  8. Thermodynamic investigation of the MOCVD of copper films from bis ...

    Indian Academy of Sciences (India)

    Equilibrium concentrations of various condensed and gaseous phases have been thermodynamically calculated, using the free energy minimization criterion, for the metalorganic chemical vapour deposition (MOCVD) of copper films using bis(2,2,6,6-tetramethyl-3,5-heptadionato)copper(II) as the precursor material.

  9. Determination of the Mg occupation site in MOCVD- and MBE-grown Mg-doped InN using X-ray absorption fine-structure measurements

    Energy Technology Data Exchange (ETDEWEB)

    Miyajima, Takao; Uemura, Shigeaki; Kudo, Yoshihiro [Materials Laboratories, Sony Corporation, Atsugi, Kanagawa (Japan); Kitajima, Yoshinori [Photon Factory, High Energy Accelerator Research Organization, Tsukuba, Ibaraki (Japan); Yamamoto, Akio [Graduate School of Engineering, University of Fukui, Fukui (Japan); Muto, Daisuke; Nanishi, Yasushi [Department of Photonics, Ritsumeikan University, 1-1-1 Noji-Higashi, Kusatsu, Shiga 525-8577 (Japan)

    2008-07-01

    We analyzed the atomic structure around Mg atoms in MOCVD- and MBE-grown Mg-doped InN using Mg K-edge X-ray absorption fine-structure (XAFS) measurements. Our experimental data closely fit to the simulated data in which Mg atoms occupy the substitutional sites of In atoms. From this result, we conclude that Mg atoms essentially occupy not N atoms sites but In atoms sites, meaning that Mg atoms can act as acceptors in InN. We believe that observations of p-type conductivity are prevented by problems such as carrier compensation and electron accumulation at the surface. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Influence of different carrier gases on the properties of ZnO films grown by MOCVD

    Directory of Open Access Journals (Sweden)

    Wang, Jinzhong

    2008-08-01

    Full Text Available ZnO films were grown on sapphire (001 substrate by atmospheric MOCVD using diethyl zinc and tertiary butanol precursors. The influence of different carrier gases (H2 and He on the properties was analyzed by their structural (XRD, microstructural (SEM and compositional (SIMS characterization. The intensity of the strongest diffraction peak from ZnO (002 plane was increased by about 2 orders of magnitude when He is used as carrier gas, indicating the significant enhancement in crystallinity. The surface of the samples grown using H2 and He carrier gases was composed of leaf-like and spherical grains respectively. Hydrogen [H] content in the film grown using H2 is higher than that using He, indicating that the [H] was influenced by the H2 carrier gas. Ultraviolet emission dominates the low temperature PL spectra. The emission from ZnO films grown using He show higher optical quality and more emission centers.

    Se depositaron películas de ZnO sobre sustratos de zafiro (001 utilizando dietil zinc y butanol terciario como precursores. La influencia de los diferentes gases portadores (H2 y He sobre las propiedades se estudió mediante la caracterización estructural (XRD, microestructural (SEM y composicional (SIMS. La intensidad del pico de difracción más importante del plano (002 del ZnO aumentó en dos órdenes de magnitud cuando se utiliza He como gas portador indicando un incremento significativo de la cristalinidad. La superficie de las muestras crecidas utilizando H2 y He está formada por granos en forma de hoja y de forma esférica respectivamente. El contenido en hidrógeno (H en la película es mayor cuando se utiliza H2 que cuando se utiliza He, indicando que la cantidad de hidrógeno está influenciada por el H2 del gas portador. La emisión ultravioleta domina el espectro PL de baja temperatura. La emisión de las películas de ZnO utilizando

  11. Fast growth rate of epitaxial β-Ga2O3 by close coupled showerhead MOCVD

    Science.gov (United States)

    Alema, Fikadu; Hertog, Brian; Osinsky, Andrei; Mukhopadhyay, Partha; Toporkov, Mykyta; Schoenfeld, Winston V.

    2017-10-01

    We report on the growth of epitaxial β-Ga2O3 thin films on c-plane sapphire substrates using a close coupled showerhead MOCVD reactor. Ga(DPM)3 (DPM = dipivaloylmethanate), triethylgallium (TEGa) and trimethylgallium (TMGa) metal organic (MO) precursors were used as Ga sources and molecular oxygen was used for oxidation. Films grown from each of the Ga sources had high growth rates, with up to 10 μm/hr achieved using a TMGa precursor at a substrate temperature of 900 °C. As confirmed by X-ray diffraction, the films grown from each of the Ga sources were the monoclinic (2 bar 0 1) oriented β-Ga2O3 phase. The optical bandgap of the films was also estimated to be ∼4.9 eV. The fast growth rate of β-Ga2O3 thin films obtained using various Ga-precursors has been achieved due to the close couple showerhead design of the MOCVD reactor as well as the separate injection of oxygen and MO precursors, preventing the premature oxidation of the MO sources. These results suggest a pathway to overcoming the long-standing challenge of realizing fast growth rates for Ga2O3 using the MOCVD method.

  12. Thermodynamic analysis of growth of iron oxide films by MOCVD ...

    Indian Academy of Sciences (India)

    Abstract. Thermodynamic calculations, using the criterion of minimization of total Gibbs free energy of the system, have been carried out for the metalorganic chemical vapour deposition (MOCVD) process involving the -ketoesterate complex of iron [tris(-butyl-3-oxo-butanoato)iron(III) or Fe(tbob)3] and molecular oxygen.

  13. Buffer optimization for crack-free GaN epitaxial layers grown on Si(1 1 1) substrate by MOCVD

    International Nuclear Information System (INIS)

    Arslan, Engin; Ozbay, Ekmel; Ozturk, Mustafa K; Ozcelik, Suleyman; Teke, Ali

    2008-01-01

    We report the growth of GaN films on the Si(1 1 1) substrate by metalorganic chemical vapour phase deposition (MOCVD). Different buffer layers were used to investigate their effects on the structural and optical properties of GaN layers. A series of GaN layers were grown on Si(1 1 1) with different buffer layers and buffer thicknesses and were characterized by Nomarski microscopy, atomic force microscopy, high-resolution x-ray diffraction (XRD) and photoluminescence (PL) measurements. We first discuss the optimization of the LT-AlN/HT-AlN/Si(1 1 1) templates and then the optimization of the graded AlGaN intermediate layers. In order to prevent stress relaxation, step-graded AlGaN layers were introduced along with a crack-free GaN layer of thickness exceeding 2.6 μm. The XRD and PL measurements results confirmed that a wurtzite GaN was successfully grown. The resulting GaN film surfaces were flat, mirror-like and crack-free. The mosaic structure in the GaN layers was investigated. With a combination of Williamson-Hall measurements and the fitting of twist angles, it was found that the buffer thickness determines the lateral coherence length, vertical coherence length, as well as the tilt and twist of the mosaic blocks in GaN films. The PL spectra at 8 K show that a strong band edge photoluminescence of GaN on Si (1 1 1) emits light at an energy of 3.449 eV with a full width at half maximum (FWHM) of approximately 16 meV. At room temperature, the peak position and FWHM of this emission become 3.390 eV and 58 meV, respectively. The origin of this peak was attributed to the neutral donor bound exciton. It was found that the optimized total thickness of the AlN and graded AlGaN layers played a very important role in the improvement of quality and in turn reduced the cracks during the growth of GaN/Si(1 1 1) epitaxial layers

  14. Crystalline, Optical and Electrical Properties of NiZnO Thin Films Fabricated by MOCVD

    International Nuclear Information System (INIS)

    Wang Jin; Wang Hui; Zhao Wang; Ma Yan; Li Wan-Cheng; Shi Zhi-Feng; Zhao Long; Zhang Bao-Lin; Dong Xin; Du Guo-Tong; Xia Xiao-Chuan

    2011-01-01

    NiZnO thin films are grown on c-plane sapphire substrates by using a photo-assisted metal organic chemical vapor deposition (MOCVD) system. The effect of the Ni content on the crystalline, optical and electrical properties of the films are researched in detail. The NiZnO films could retain a basic wurtzite structure when the Ni content is less than 0.18. As Ni content increases, crystal quality degradation could be observed in the x-ray diffraction patterns and a clear red shift of the absorption edge can be observed in the transmittance spectrum. Furthermore, the donor defects in the NiZnO film can be compensated for effectively by increasing the Ni content. The change of Ni content has an important effect on the properties of NiZnO films. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  15. The effect of a slight mis-orientation angle of c-plane sapphire substrate on surface and crystal quality of MOCVD grown GaN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Seong-Woo; Suzuki, Toshimasa [Nippon Institute of Technology, 4-1 Gakuendai, Miyashiro, Saitama, 345-8501 (Japan); Aida, Hideo [NAMIKI Precision Jewel Co. Ltd., 3-8-22 Shinden, Adachi-ku, Tokyo, 123-8511 (Japan)

    2004-09-01

    The effect of a slight mis-orientation of c-plane sapphire substrate on the surface morphology and crystal quality of GaN thin films grown by MOCVD has been investigated. The mis-orientation angle of vicinal c-plane sapphire substrate was changed within the range of 0.00(zero)-1.00(one) degree, and the experimental results were compared with those on just angle (zero degree) c-plane sapphire substrate. The surface morphology and crystal quality were found to be very sensitive to mis-orientation angle. Consequently, the mis-orientation angle was optimized to be 0.15 . (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. Investigation of InN layers grown by MOCVD using analytical and high resolution TEM: The structure, band gap, role of the buffer layers

    International Nuclear Information System (INIS)

    Ruterana, P.; Abouzaid, M.; Gloux, F.; Maciej, W.; Doualan, J.L.; Drago, M.; Schmidtling, T.; Pohl, U.W.; Richter, W.

    2006-01-01

    In this work we investigate the microstructure of InN layers grown by MOCVD on different buffer layers using TEM (InN, GaN). The large mismatch between the various lattices (InN, sapphire or GaN) leads to particular interface structures. Our local analysis allows to show that at atomic scale, the material has the InN lattice parameters and that no metallic In precipitates are present, meaning that the PL emission below 0.8 eV is a genuine property of the InN semiconductor. It is also shown that the N polar layers, which exhibit a 2D growth, have poorer PL emission than In polar layers. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Doping characteristics of Si-doped n-GaN Epilayers grown by low-pressure metal-organic chemical-vapor deposition

    CERN Document Server

    Noh, S K; Park, S E; Lee, I H; Choi, I H; Son, S J; Lim, K Y; Lee, H J

    1998-01-01

    We studied doping behaviors through analysis of the electronic properties of a series of undoped and Si-doped GaN epilayers grown on (0001) sapphire substrates by the low-pressure metal-organic chemical-vapor deposition (LP-MOCVD) technique. The doping efficiency was in the range of 0.4 - 0.8, and an empirical relation expressed as eta = 0.45 log[Si] - 8.1 was obtained. The temperature dependence of carrier concentration showed that the donor activation energy monotonically decreased from 17.6 meV to almost zero as the doping level increased. We suggest that the reduction in the activation energy is related not to autodoped defect centers but to doped Si donors and that the behavior originates from the formation of an impurity band. On the basis of an abrupt change in the compensation ratio from 0.9 to 0.5 by Si-doping, an exceptional difference in the Hall mobility between the undoped and the Si-doped films is explained by a mixed conduction mechanism of electrons and holes.

  18. Transparent conductive Ga-doped ZnO films fabricated by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Behrends, Arne; Wagner, Alexander; Al-Suleiman, Mohamed Aid Mansur; Waag, Andreas; Bakin, Andrey [Institute of Semiconductor Technology, University of Technology Braunschweig, Hans-Sommer-Str. 66, 38106 Braunschweig (Germany); Lugauer, Hans-Juergen; Strassburg, Martin; Walter, Robert; Weimar, Andreas [OSRAM Opto Semiconductors GmbH, Leibnizstr. 4, 93055 Regensburg (Germany)

    2012-04-15

    Transparent conductive oxides (TCOs) are used for a variety of different applications, e.g., in solar cells and light emitting diodes (LEDs). Mostly, sputtering is used, which often results in a degradation of the underlying semiconductor material. In this work we report on a ''soft'' method for the fabrication of ZnO films as TCO layers by using metal organic chemical vapor deposition (MOCVD) at particularly low temperatures. The MOCVD approach has been studied focusing on the TCO key issues: fabrication temperature, morphology, optical, and electrical properties. Very smooth ZnO films with rms values down to 0.8 nm were fabricated at a substrate temperature of only 300 C. Ga-doping is well controllable even for high carrier concentrations up to 2 x 10{sup 20} cm{sup -3}, which is above the Mott-density leading to metallic-like behavior of the films. Furthermore all films show excellent optical transparency in the visible spectral range. As a consequence, our MOCVD approach is well suited for the soft fabrication of ZnO-based TCO layers. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  19. Co3O4 protective coatings prepared by Pulsed Injection Metal Organic Chemical Vapour Deposition

    DEFF Research Database (Denmark)

    Burriel, M.; Garcia, G.; Santiso, J.

    2005-01-01

    of deposition temperature. Pure Co3O4 spinel structure was found for deposition temperatures ranging from 360 to 540 degreesC. The optimum experimental parameters to prepare dense layers with a high growth rate were determined and used to prepare corrosion protective coatings for Fe-22Cr metallic interconnects......Cobalt oxide films were grown by Pulsed Injection Metal Organic Chemical Vapour Deposition (PI-MOCVD) using Co(acac)(3) (acac=acetylacetonate) precursor dissolved in toluene. The structure, morphology and growth rate of the layers deposited on silicon substrates were studied as a function......, to be used in Intermediate Temperature Solid Oxide Fuel Cells. (C) 2004 Elsevier B.V. All rights reserved....

  20. Self-assembled GaN nano-column grown on Si(111) substrate using Au+Ga alloy seeding method by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Shim, Byung-Young; Ko, Eun-A; Song, Jae-Chul; Kang, Dong-Hun; Kim, Dong-Wook; Lee, In-Hwan; Kannappan, Santhakumar; Lee, Cheul-Ro

    2007-01-01

    Single-crystal GaN nano-column arrays were grown on Au-coated silicon (111) substrate by Au-Ga alloy seeding method using metalorganic chemical vapor deposition (MOCVD). The nano-column arrays were studied as a function of growth parameters and Au thin film thickness. The diameter and length of the as-grown nano-column vary from 100 to 500 nm and 4 to 6 μm, respectively. The surface morphology and optical properties of the nano-columns were investigated using scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDX), cathodoluminescence (CL) and photoluminescence (PL). The Au+Ga alloy droplets were found to be uniformly distributed on silicon surface. Further, SEM image reveals a vertical growth and cylindrical in shape GaN nano-column. The chemical composition of the nano-column, which composed of gallium and nitrogen ions, was estimated by EDX. CL reveals a strong band edge emission from the GaN nano-column. PL spectra show a peak at 365.7 nm with a full-width half maximum (FWHM) of 65 meV which indicates good optical quality GaN nano-column with low dislocation density. Our results suggest that single crystal GaN nano-column can be grown on Au+Ga alloy on silicon substrate with a low dislocation density for better device performances. (author)

  1. Low-leakage-current AlGaN/GaN HEMTs on Si substrates with partially Mg-doped GaN buffer layer by metal organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Ming; Wang Yong; Wong Kai-Ming; Lau Kei-May

    2014-01-01

    High-performance low-leakage-current AlGaN/GaN high electron mobility transistors (HEMTs) on silicon (111) substrates grown by metal organic chemical vapor deposition (MOCVD) with a novel partially Magnesium (Mg)-doped GaN buffer scheme have been fabricated successfully. The growth and DC results were compared between Mg-doped GaN buffer layer and a unintentionally one. A 1-μm gate-length transistor with Mg-doped buffer layer exhibited an OFF-state drain leakage current of 8.3 × 10 −8 A/mm, to our best knowledge, which is the lowest value reported for MOCVD-grown AlGaN/GaN HEMTs on Si featuring the same dimension and structure. The RF characteristics of 0.25-μm gate length T-shaped gate HEMTs were also investigated

  2. Final report on LDRD project : outstanding challenges for AlGaInN MOCVD.

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, Christine Charlotte; Follstaedt, David Martin; Russell, Michael J.; Cross, Karen Charlene; Wang, George T.; Creighton, James Randall; Allerman, Andrew Alan; Koleske, Daniel David; Lee, Stephen Roger; Coltrin, Michael Elliott

    2005-03-01

    The AlGaInN material system is used for virtually all advanced solid state lighting and short wavelength optoelectronic devices. Although metal-organic chemical vapor deposition (MOCVD) has proven to be the workhorse deposition technique, several outstanding scientific and technical challenges remain, which hinder progress and keep RD&A costs high. The three most significant MOCVD challenges are: (1) Accurate temperature measurement; (2) Reliable and reproducible p-doping (Mg); and (3) Low dislocation density GaN material. To address challenge (1) we designed and tested (on reactor mockup) a multiwafer, dual wavelength, emissivity-correcting pyrometer (ECP) for AlGaInN MOCVD. This system simultaneously measures the reflectance (at 405 and 550 nm) and emissivity-corrected temperature for each individual wafer, with the platen signal entirely rejected. To address challenge (2) we measured the MgCp{sub 2} + NH{sub 3} adduct condensation phase diagram from 65-115 C, at typical MOCVD concentrations. Results indicate that it requires temperatures of 80-100 C in order to prevent MgCp{sub 2} + NH{sub 3} adduct condensation. Modification and testing of our research reactor will not be complete until FY2005. A new commercial Veeco reactor was installed in early FY2004, and after qualification growth experiments were conducted to improve the GaN quality using a delayed recovery technique, which addresses challenge (3). Using a delayed recovery technique, the dislocation densities determined from x-ray diffraction were reduced from 2 x 10{sup 9} cm{sup -2} to 4 x 10{sup 8} cm{sup -2}. We have also developed a model to simulate reflectance waveforms for GaN growth on sapphire.

  3. Fabrication of 100 A class, 1 m long coated conductor tapes by metal organic chemical vapor deposition and pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Selvamanickam, V.; Lee, H.G.; Li, Y.; Xiong, X.; Qiao, Y.; Reeves, J.; Xie, Y.; Knoll, A.; Lenseth, K

    2003-10-15

    SuperPower has been scaling up YBa{sub 2}Cu{sub 3}O{sub x}-based second-generation superconducting tapes by techniques such as pulsed laser deposition (PLD) using industrial laser and metal organic chemical vapor deposition (MOCVD). Both techniques offer advantage of high deposition rates, which is important for high throughput. Using highly-polished substrates produced in a reel-to-reel polishing facility and buffer layers deposited in a pilot ion beam assisted deposition facility, meter-long second-generation high temperature superconductor tapes have been produced. 100 A class, meter-long coated conductor tapes have been reproducibly demonstrated in this work by both MOCVD and PLD. The best results to date are 148 A over 1.06 m by MOCVD and 135 A over 1.1 m by PLD using industrial laser.

  4. YBa2Cu3O7 films prepared by aerosol MOCVD

    International Nuclear Information System (INIS)

    Weiss, F.; Froehlich, K.; Haase, R.; Labeau, M.; Selbmann, D.; Senateur, J.P.; Thomas, O.

    1993-01-01

    In the present study we report on properties of YBa 2 Cu 3 O 7 films prepared by aerosol MOCVD. We give a short description of the process and we focus on the superconducting and related properties of the films deposited on SrTiO 3 , LaAlO 3 and NdGaO 3 single crystalline substrates. (orig.)

  5. Metallorganic chemical vapor deposition and atomic layer deposition approaches for the growth of hafnium-based thin films from dialkylamide precursors for advanced CMOS gate stack applications

    Science.gov (United States)

    Consiglio, Steven P.

    To continue the rapid progress of the semiconductor industry as described by Moore's Law, the feasibility of new material systems for front end of the line (FEOL) process technologies needs to be investigated, since the currently employed polysilicon/SiO2-based transistor system is reaching its fundamental scaling limits. Revolutionary breakthroughs in complementary-metal-oxide-semiconductor (CMOS) technology were recently announced by Intel Corporation and International Business Machines Corporation (IBM), with both organizations revealing significant progress in the implementation of hafnium-based high-k dielectrics along with metal gates. This announcement was heralded by Gordon Moore as "...the biggest change in transistor technology since the introduction of polysilicon gate MOS transistors in the late 1960s." Accordingly, the study described herein focuses on the growth of Hf-based dielectrics and Hf-based metal gates using chemical vapor-based deposition methods, specifically metallorganic chemical vapor deposition (MOCVD) and atomic layer deposition (ALD). A family of Hf source complexes that has received much attention recently due to their desirable properties for implementation in wafer scale manufacturing is the Hf dialkylamide precursors. These precursors are room temperature liquids and possess sufficient volatility and desirable decomposition characteristics for both MOCVD and ALD processing. Another benefit of using these sources is the existence of chemically compatible Si dialkylamide sources as co-precursors for use in Hf silicate growth. The first part of this study investigates properties of MOCVD-deposited HfO2 and HfSixOy using dimethylamido Hf and Si precursor sources using a customized MOCVD reactor. The second part of this study involves a study of wet and dry surface pre-treatments for ALD growth of HfO2 using tetrakis(ethylmethylamido)hafnium in a wafer scale manufacturing environment. The third part of this study is an investigation of

  6. InGaN quantum well epilayers morphological evolution under a wide range of MOCVD growth parameter sets

    Energy Technology Data Exchange (ETDEWEB)

    Florescu, D.I.; Ting, S.M.; Merai, V.N.; Parekh, A.; Lee, D.S.; Armour, E.A.; Quinn, W.E. [Veeco TurboDisc Operations, 394 Elizabeth Avenue, Somerset, NJ 08873 (United States)

    2006-06-15

    This study exemplifies the use of TappingMode trademark atomic force microscopy (AFM) surface morphology imaging to investigate and optimise the metalorganic chemical vapour deposition (MOCVD) growth conditions and post-growth stability of thin (<40 Aa) InGaN layers with direct implications to the structural and optical properties of blue (460 nm) and green (520 nm) LEDs. InGaN epilayers less than 40 Aa thick of {proportional_to}20% solid phase indium were produced on thick (3-4 {mu}m) 2{sup ''} GaN templates grown on (0001) c-plane sapphire substrates. The morphological evolution of the InGaN material was studied utilising a DI3100 AFM tool. Surface morphology and its correlation with photoluminescence and X-ray diffraction results are discussed for every set of conditions employed. More specifically, the post-growth ambient exposure and thermal stability of the uncapped InGaN epilayers were investigated. In addition, the initial stage of subsequent GaN growth, which is an essential step towards the manufacture of LED active regions, was examined. Based on the above findings, a flexible MOCVD growth parameter space and improved LED constituent layer sequencing techniques have been established leading to more efficient and stable LED devices. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. MOCVD ZnO/Screen Printed Ag Back Reflector for Flexible Thin Film Silicon Solar Cell Application

    Directory of Open Access Journals (Sweden)

    Amornrat Limmanee

    2014-01-01

    Full Text Available We have prepared Ag back electrode by screen printing technique and developed MOCVD ZnO/screen printed Ag back reflector for flexible thin film silicon solar cell application. A discontinuity and poor contact interface between the MOCVD ZnO and screen printed Ag layers caused poor open circuit voltage (Voc and low fill factor (FF; however, an insertion of a thin sputtered ZnO layer at the interface could solve this problem. The n type hydrogenated amorphous silicon (a-Si:H film is preferable for the deposition on the surface of MOCVD ZnO film rather than the microcrystalline film due to its less sensitivity to textured surface, and this allowed an improvement in the FF. The n-i-p flexible amorphous silicon solar cell using the MOCVD ZnO/screen printed Ag back reflector showed an initial efficiency of 6.2% with Voc=0.86 V, Jsc=12.4 mA/cm2, and FF = 0.58 (1 cm2. The identical quantum efficiency and comparable performance to the cells using conventional sputtered Ag back electrode have verified the potential of the MOCVD ZnO/screen printed Ag back reflector and possible opportunity to use the screen printed Ag thick film for flexible thin film silicon solar cells.

  8. MOCVD growth of GaN layer on InN interlayer and relaxation of residual strain

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Keon-Hun; Park, Sung Hyun; Kim, Jong Hack; Kim, Nam Hyuk; Kim, Min Hwa [Department of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of); Na, Hyunseok [Department of Advanced Materials Science and Engineering, Daejin University, Pocheon, 487-711 (Korea, Republic of); Yoon, Euijoon, E-mail: eyoon@snu.ac.k [Department of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of); Department of Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Suwon 433-270 (Korea, Republic of)

    2010-09-01

    100 nm InN layer was grown on sapphire c-plane using a metal-organic chemical vapor deposition (MOCVD) system. Low temperature (LT) GaN layer was grown on InN layer to protect InN layer from direct exposure to hydrogen flow during high temperature (HT) GaN growth and/or abrupt decomposition. Subsequently, thick HT GaN layer (2.5 {mu}m thick) was grown at 1000 {sup o}C on LT GaN/InN/sapphire template. Microstructure of epilayer-substrate interface was investigated by transmission electron microscopy (TEM). From the high angle annular dark field TEM image, the growth of columnar structured LT GaN and HT GaN with good crystallinity was observed. Though thickness of InN interlayer is assumed to be about 100 nm based on growth rate, it was not clearly shown in TEM image due to the InN decomposition. The lattice parameters of GaN layers were measured by XRD measurement, which shows that InN interlayer reduces the compressive strain in GaN layer. The relaxation of compressive strain in GaN layer was also confirmed by photoluminescence (PL) measurement. As shown in the PL spectra, red shift of GaN band edge peak was observed, which indicates the reduction of compressive strain in GaN epilayer.

  9. New principle of feeding for flash evaporation MOCVD devices

    International Nuclear Information System (INIS)

    Kaul, A.R.; Seleznev, B.V.

    1993-01-01

    A novel scheme of flash evaporation feeding for MOCVD processes of multi-component oxide films deposition is proposed. The scheme comprises 1) microdozage of organic solution of solid volatile precursors on the glass fiber belt, 2) evaporation of the solvent and 3) flash evaporation of MOC microdoses from the belt. The functioning of the designed feeder is described and the features of proposed scheme in comparison to existing feeding principles are discussed. (orig.)

  10. Cuprous oxide thin films grown by hydrothermal electrochemical deposition technique

    International Nuclear Information System (INIS)

    Majumder, M.; Biswas, I.; Pujaru, S.; Chakraborty, A.K.

    2015-01-01

    Semiconducting cuprous oxide films were grown by a hydrothermal electro-deposition technique on metal (Cu) and glass (ITO) substrates between 60 °C and 100 °C. X-ray diffraction studies reveal the formation of cubic cuprous oxide films in different preferred orientations depending upon the deposition technique used. Film growth, uniformity, grain size, optical band gap and photoelectrochemical response were found to improve in the hydrothermal electrochemical deposition technique. - Highlights: • Cu 2 O thin films were grown on Cu and glass substrates. • Conventional and hydrothermal electrochemical deposition techniques were used. • Hydrothermal electrochemical growth showed improved morphology, thickness and optical band gap

  11. Effect of AlN growth temperature on trap densities of in-situ metal-organic chemical vapor deposition grown AlN/AlGaN/GaN metal-insulator-semiconductor heterostructure field-effect transistors

    Directory of Open Access Journals (Sweden)

    Joseph J. Freedsman

    2012-06-01

    Full Text Available The trapping properties of in-situ metal-organic chemical vapor deposition (MOCVD grown AlN/AlGaN/GaN metal-insulator-semiconductor heterostructure field-effect transistors (MIS-HFETs with AlN layers grown at 600 and 700 °C has been quantitatively analyzed by frequency dependent parallel conductance technique. Both the devices exhibited two kinds of traps densities, due to AlN (DT-AlN and AlGaN layers (DT-AlGaN respectively. The MIS-HFET grown at 600 °C showed a minimum DT-AlN and DT-AlGaN of 1.1 x 1011 and 1.2 x 1010 cm-2eV-1 at energy levels (ET -0.47 and -0.36 eV. Further, the gate-lag measurements on these devices revealed less degradation ∼ ≤ 5% in drain current density (Ids-max. Meanwhile, MIS-HFET grown at 700 °C had more degradation in Ids-max ∼26 %, due to high DT-AlN and DT-AlGaN of 3.4 x 1012 and 5 x 1011 cm-2eV-1 positioned around similar ET. The results shows MIS-HFET grown at 600 °C had better device characteristics with trap densities one order of magnitude lower than MIS-HFET grown at 700 °C.

  12. Study on the optimization of the deposition rate of planetary GaN-MOCVD films based on CFD simulation and the corresponding surface model

    Science.gov (United States)

    Li, Jian; Fei, Ze-yuan; Xu, Yi-feng; Wang, Jie; Fan, Bing-feng; Ma, Xue-jin; Wang, Gang

    2018-02-01

    Metal-organic chemical vapour deposition (MOCVD) is a key technique for fabricating GaN thin film structures for light-emitting and semiconductor laser diodes. Film uniformity is an important index to measure equipment performance and chip processes. This paper introduces a method to improve the quality of thin films by optimizing the rotation speed of different substrates of a model consisting of a planetary with seven 6-inch wafers for the planetary GaN-MOCVD. A numerical solution to the transient state at low pressure is obtained using computational fluid dynamics. To evaluate the role of the different zone speeds on the growth uniformity, single factor analysis is introduced. The results show that the growth rate and uniformity are strongly related to the rotational speed. Next, a response surface model was constructed by using the variables and the corresponding simulation results. The optimized combination of the matching of different speeds is also proposed as a useful reference for applications in industry, obtained by a response surface model and genetic algorithm with a balance between the growth rate and the growth uniformity. This method can save time, and the optimization can obtain the most uniform and highest thin film quality.

  13. Vertically p-n-junctioned GaN nano-wire array diode fabricated on Si(111) using MOCVD.

    Science.gov (United States)

    Park, Ji-Hyeon; Kim, Min-Hee; Kissinger, Suthan; Lee, Cheul-Ro

    2013-04-07

    We demonstrate the fabrication of n-GaN:Si/p-GaN:Mg nanowire arrays on (111) silicon substrate by metal organic chemical vapor deposition (MOCVD) method .The nanowires were grown by a newly developed two-step growth process. The diameter of as-grown nanowires ranges from 300-400 nm with a density of 6-7 × 10(7) cm(-2). The p- and n-type doping of the nanowires is achieved with Mg and Si dopant species. Structural characterization by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (HRTEM) indicates that the nanowires are relatively defect-free. The room-temperature photoluminescence emission with a strong peak at 370 nm indicates that the n-GaN:Si/p-GaN:Mg nanowire arrays have potential application in light-emitting nanodevices. The cathodoluminscence (CL) spectrum clearly shows a distinct optical transition of GaN nanodiodes. The nano-n-GaN:Si/p-GaN:Mg diodes were further completed using a sputter coating approach to deposit Au/Ni metal contacts. The polysilazane filler has been etched by a wet chemical etching process. The n-GaN:Si/p-GaN:Mg nanowire diode was fabricated for different Mg source flow rates. The current-voltage (I-V) measurements reveal excellent rectifying properties with an obvious turn-on voltage at 1.6 V for a Mg flow rate of 5 sccm (standard cubic centimeters per minute).

  14. Growth and properties of Al-rich InxAl1-xN ternary alloy grown on GaN template by metalorganic chemical vapour deposition

    International Nuclear Information System (INIS)

    Oh, Tae Su; Suh, Eun-Kyung; Kim, Jong Ock; Jeong, Hyun; Lee, Yong Seok; Nagarajan, S; Lim, Kee Young; Hong, Chang-Hee

    2008-01-01

    An Al-rich In x Al 1-x N ternary alloy was grown on a GaN template by metal-organic chemical vapour deposition (MOCVD). The GaN template was fabricated on a c-plane sapphire with a low temperature GaN nucleation layer. The growth of the 300 nm thick In x Al 1-x N layer was carried out under various growth temperatures and pressures. The surface morphology and the InN molar fraction of the In x Al 1-x N layer were assessed by using atomic force microscopy (AFM) and high resolution x-ray diffraction, respectively. The AFM surface images of the In x Al 1-x N ternary alloy exhibited quantum dot-like grains caused by the 3D island growth mode. The grains, however, disappeared rapidly by increasing diffusion length and mobility of the Al adatoms with increasing growth temperature and the full width at half maximum value of ternary peaks in HR-XRD decreased with decreasing growth pressure. The MOCVD growth condition with the increased growth temperature and decreased growth pressure would be effective to grow the In x Al 1-x N ternary alloy with a smooth surface and improved quality. The optical band edge of In x Al 1-x N ternary alloys was estimated by optical absorbance and, based on the results of HR-XRD and optical absorbance measurements, we obtained the bowing parameter of the In x Al 1-x N ternary alloy at b = 5.3 eV, which was slightly larger than that of previous reports

  15. Friction and wear behavior of nitrogen-doped ZnO thin films deposited via MOCVD under dry contact

    Directory of Open Access Journals (Sweden)

    U.S. Mbamara

    2016-06-01

    Full Text Available Most researches on doped ZnO thin films are tilted toward their applications in optoelectronics and semiconductor devices. Research on their tribological properties is still unfolding. In this work, nitrogen-doped ZnO thin films were deposited on 304 L stainless steel substrate from a combination of zinc acetate and ammonium acetate precursor by MOCVD technique. Compositional and structural studies of the films were done using Rutherford Backscattering Spectroscopy (RBS and X-ray Diffraction (XRD. The frictional behavior of the thin film coatings was evaluated using a ball-on-flat configuration in reciprocating sliding under dry contact condition. After friction test, the flat and ball counter-face surfaces were examined to assess the wear dimension and failure mechanism. Both friction behavior and wear (in the ball counter-face were observed to be dependent on the crystallinity and thickness of the thin film coatings.

  16. Cauliflower hillock formation through crystallite migration of SnO2 thin films prepared on alumina substrates by using MOCVD

    International Nuclear Information System (INIS)

    Choi, Gwangpyo; Ryu, Hyunwook; Lee, Woosun; Hong, Kwangjun; Shin, Dongcharn; Park, Jinseong; Seo, Yongjin; Akbar, Sheikh A.

    2003-01-01

    Tin-oxide thin films were deposited at 375 .deg. C on α-alumina substrates by using metalorganic chemical vapor deposition (MOCVD) process. A number of hillocks were formed on the film after annealing in air at 500 .deg. C for 30 min, but fewer hillocks were formed for annealing in N 2 . The hillocks on the film and the grains on the alumina substrate were composed of crystallites. The oxygen content and the binding energy after annealing in air came to close to values for the stoichiometric SnO 2 . There was no relationship between the film thickness and the binding energy shift, but the binding energy did change with the annealing atmosphere and the oxygen content. The cauliflower hillocks on the film seem to be formed by the continuous migration of crystallites from cauliflower grains on the substrate to release the stress due to the increased oxygen content and volume. A cauliflower hillock can be grown by continuous migration of crystallites from nearby grains to the hillock.

  17. Characteristic of doping and diffusion of heavily doped n and p type InP and InGaAs epitaxial layers grown by metal organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Pinzone, C.J.; Dupuis, R.D.; Ha, N.T.; Luftman, H.S.; Gerrard, N.D.

    1990-01-01

    Electronic and photonic device applications of the InGaAs/InP materials system often require the growth of epitaxial material doped to or near the solubility limit of the impurity in the host material. These requirements present an extreme challenge for the crystal grower. To produce devices with abrupt dopant profiles, preserve the junction during subsequent growth, and retain a high degree of crystalline perfection, it is necessary to understand the limits of dopant incorporation and the behavior of the impurity in the material. In this study, N-type doping above 10 19 cm -3 has been achieved in InP and InGaAs using Sn as a dopant. P-type Zn doping at these levels has also been achieved in these materials but p type activation above ∼3 x 10 18 cm -3 in InP has not been seen. All materials were grown by the metalorganic chemical vapor deposition (MOCVD) crystal growth technique. Effective diffusion coefficients have been measured for Zn and Sn in both materials from analysis of secondary ion mass spectra (SIMS) of specially grown and annealed samples

  18. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    International Nuclear Information System (INIS)

    Dangbegnon, J.K.; Talla, K.; Roro, K.T.; Botha, J.R.

    2009-01-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  19. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    Energy Technology Data Exchange (ETDEWEB)

    Dangbegnon, J.K., E-mail: JulienKouadio.Dangbegnon@nmmu.ac.z [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Talla, K.; Roro, K.T.; Botha, J.R. [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa)

    2009-12-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  20. Textured YBCO films grown on wires: application to superconducting cables

    International Nuclear Information System (INIS)

    Dechoux, N; Jiménez, C; Chaudouët, P; Rapenne, L; Sarigiannidou, E; Robaut, F; Petit, S; Garaudée, S; Porcar, L; Soubeyroux, J L; Odier, P; Bruzek, C E; Decroux, M

    2012-01-01

    Efforts to fabricate superconducting wires made of YBa 2 Cu 3 O 7 (YBCO) on La 2 Zr 2 O 7 (LZO) buffered and biaxially textured Ni-5 at.%W (NiW) are described. Wires were manually shaped from LZO buffered NiW tapes. Different diameters were produced: 1.5, 2 and 3 mm. The wires were further covered with YBCO grown by metal organic chemical vapor deposition (MOCVD). We developed an original device in which the round substrate undergoes an alternated rotation of 180° around its axis in addition to a reel-to-reel translation. This new approach allows covering the whole circumference of the wire with a YBCO layer. This was confirmed by energy dispersive x-ray spectroscopy (EDX) analysis coupled to a scanning electron microscope (SEM). For all wire diameters, the YBCO layer thickness varied from 300 to 450 nm, and the cationic composition was respected. Electron backscattering diffraction (EBSD) measurements were performed directly on an as-deposited wire without surface preparation allowing the investigation of the crystalline quality of the film surface. Combining EBSD with XRD results we show that YBCO grows epitaxially on the LZO buffered NiW wires. For the first time, superconductive behaviors have been detected on round substrates in both the rolling and circular direction. J c reached 0.3 MA cm −2 as measured at 77 K by transport and third-harmonic detection. Those preliminary results confirm the effectiveness of the MOCVD for complex geometries, especially for YBCO deposition on small diameter wires. This approach opens huge perspectives for the elaboration of a new generation of YBCO-based round conductors. (paper)

  1. Metalorganic chemical vapor deposition and characterization of ZnO materials

    Science.gov (United States)

    Sun, Shangzu; Tompa, Gary S.; Hoerman, Brent; Look, David C.; Claflin, Bruce B.; Rice, Catherine E.; Masaun, Puneet

    2006-04-01

    Zinc oxide is attracting growing interest for potential applications in electronics, optoelectronics, photonics, and chemical and biochemical sensing, among other applications. We report herein our efforts in the growth and characterization of p- and n-type ZnO materials by metalorganic chemical vapor deposition (MOCVD), focusing on recent nitrogen-doped films grown using diethyl zinc as the zinc precursor and nitric oxide (NO) as the dopant. Characterization results, including resistivity, Hall measurements, photoluminescence, and SIMS, are reported and discussed. Electrical behavior was observed to be dependent on illumination, atmosphere, and heat treatment, especially for p-type material.

  2. Nucleation and growth of copper oxide films in MOCVD processes using the β-ketoiminate precursor 4,4'-(1,2-ethanediyldinitrilo)bis(2-pentanonate) copper(II)

    International Nuclear Information System (INIS)

    Condorelli, G.G.; Malandrino, G.; Fragala, I.L.

    1999-01-01

    The MOCVD of CuO has attracted much attention because of its application in high-T c superconducting films and gas sensors. This work focuses on the potential of a β-ketoiminate copper complex as an alternative MOCVD source to β-diketonate complexes. Particular attention has been given to factors such as texturing, roughness, and grain size of the deposit. (orig.)

  3. Improved AlGaN/GaN HEMTs Grown on Si Substrates Using Stacked AlGaN/AlN Interlayer by MOCVD

    International Nuclear Information System (INIS)

    Wang Yong; Yu Nai-Sen; Li Ming; Lau Kei-May

    2011-01-01

    AlGaN/GaN high electron mobility transistors (HEMTs) are grown on 2-inch Si (111) substrates by MOCVD. The stacked AlGaN/AlN interlayer with different AlGaN thickness and indium surfactant doped is designed and optimized to relieve the tensile stress during GaN epitaxial growth. The top 1.0μm GaN buffer layer grown on the optimized AlGaN/AlN interlayer shows a crack-free and shining surface. The XRD results show that GaN(002) FWHM is 480 arcsec and GaN(102) FWHM is 900 arcsec. The AGaN/GaN HEMTs with optimized and non-optimized AlGaN/AlN interlayer are grown and processed for comparison and the dc and rf characteristics are characterized. For the dc characteristics of the device with optimized AlGaN/AlN interlayer, maximum drain current density I dss of 737mA/mm, peak transconductance G m of 185mS/mm, drain leakage current density I ds of 1.7μA/mm, gate leakage current density I gs of 24.8 μA/mm and off-state breakdown voltage V BR of 67 V are achieved with L g /W g /L gs /L gd = 1/10/1/1 μm. For the small signal rf characteristics of the device with optimized AlGaN/AlN interlayer, current gain cutoff frequency f T of 8.3 GHz and power gain cutoff frequency f max of 19.9 GHz are achieved with L g /W g /L gs /L gd = 1/100/1/1 μm. Furthermore, the best rf performance with f T of 14.5 GHz and f max of 37.3 GHz is achieved with a reduced gate length of 0.7μm. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  4. X-ray diffraction study of A- plane non-polar InN epilayer grown by MOCVD

    Science.gov (United States)

    Moret, Matthieu; Briot, Olivier; Gil, Bernard

    2015-03-01

    Strong polarisation-induced electric fields in C-plane oriented nitrides semiconductor layers reduce the performance of devices. Eliminating the polarization fields can be achieved by growing nitrides along non polar direction. We have grown non polar A-plane oriented InN on R-plane (1‾102) nitridated sapphire substrate by MOCVD. We have studied the structural anisotropy observed in these layers by analyzing High Resolution XRay Diffraction rocking curve (RC) experiments as a function of the in-plane beam orientation. A-plane InN epilayer have a unique epitaxial relationship on R-Plane sapphire and show a strong structural anisotropy. Full width at half maximum (FWHM) of the InN(11‾20) XRD RC values are contained between 44 and 81 Arcmin. FWHM is smaller when the diffraction occurs along the [0001] and the largest FWHM values, of the (11‾20) RC, are obtained when the diffraction occurs along the [1‾100] in-plane direction. Atomic Force Microscopy imaging revealed morphologies with well organized crystallites. The grains are structured along a unique crystallographic orientation of InN, leading to larger domains in this direction. This structural anisotropy can be, in first approximation, attributed to the difference in the domain sizes observed. XRD reciprocal space mappings (RSM) were performed in asymmetrical configuration on (13‾40) and (2‾202) diffraction plane. RSM are measured with a beam orientation corresponding to a maximal and a minimal width of the (11‾20) Rocking curves, respectively. A simple theoretical model is exposed to interpret the RSM. We concluded that the dominant contribution to the anisotropy is due to the scattering coherence length anisotropy present in our samples.

  5. Growth and characterization of InP/GaAs on SOI by MOCVD

    International Nuclear Information System (INIS)

    Karam, N.H.; Haven, V.; Vernon, S.M.; Namavar, F.; El-Masry, N.; Haegel, N.; Al-Jassin, M.M.

    1990-01-01

    This paper reports that epitaxial InP films have been successfully deposited on GaAs coated silicon wafers with a buried oxide for the first time by MOCVD. The SOI wafers were prepared using the Separation by Implantation of Oxygen (SIMOX) process. The quality of InP on SIMOX is comparable to the best of InP on Si deposited in the same reactor. Preliminary results on defect reduction techniques such as Thermal Cycle Growth (TCG) show an order of magnitude increase in the photoluminescence intensity and a factor of five reduction in the defect density. TCG has been found more effective than Thermal Cycle Annealing (TCA) in improving the crystalline perfection and optical properties of the deposited films

  6. Comparison of radiative and structural properties of 1.3 µm InxGa(1-x)As quantum-dot laser structures grown by metalorganic chemical vapor deposition and molecular-beam epitaxy: Effect on the lasing properties

    NARCIS (Netherlands)

    Passaseo, A.; Vittorio, de M.; Todaro, M.T.; Tarantini, I.; Giorgi, de M.; Cingolani, R.; Taurino, A.; Catalano, M.; Fiore, A.; Markus, A.; Chen, J.X.; Paranthoën, C.; Oesterle, U.; Ilegems, M.

    2003-01-01

    The authors have studied the radiative and structural properties of identical InxGa(1-x)As quantum dot laser structures grown by metalorg. CVD (MOCVD) and MBE. Despite the comparable emission properties found in the two devices by photoluminescence, electroluminescence, and photocurrent

  7. Pulsed injection metal organic chemical vapour deposition and characterisation of thin CaO films

    International Nuclear Information System (INIS)

    Borges, R.P.; Ferreira, P.; Saraiva, A.; Goncalves, R.; Rosa, M.A.; Goncalves, A.P.; Silva, R.C. da; Magalhaes, S.; Lourenco, M.J.V.; Santos, F.J.V.; Godinho, M.

    2009-01-01

    Thin films of CaO were grown on silicon (Si) and lanthanum aluminate (LaAlO 3 ) substrates by pulsed injection metal-organic chemical vapour deposition in a vertical injection MOCVD system. Growth parameters were systematically varied to study their effect on film growth and quality and to determine the optimal growth conditions for this material. Film quality and growth rate were evaluated by atomic force microscopy, X-ray diffraction and Rutherford Backscattering Spectroscopy measurements. Optimised conditions allowed growing transparent, single phase films textured along the (0 0 l) direction.

  8. Strain-Compensated InGaAsP Superlattices for Defect Reduction of InP Grown on Exact-Oriented (001 Patterned Si Substrates by Metal Organic Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Ludovico Megalini

    2018-02-01

    Full Text Available We report on the use of InGaAsP strain-compensated superlattices (SC-SLs as a technique to reduce the defect density of Indium Phosphide (InP grown on silicon (InP-on-Si by Metal Organic Chemical Vapor Deposition (MOCVD. Initially, a 2 μm thick gallium arsenide (GaAs layer was grown with very high uniformity on exact oriented (001 300 mm Si wafers; which had been patterned in 90 nm V-grooved trenches separated by silicon dioxide (SiO2 stripes and oriented along the [110] direction. Undercut at the Si/SiO2 interface was used to reduce the propagation of defects into the III–V layers. Following wafer dicing; 2.6 μm of indium phosphide (InP was grown on such GaAs-on-Si templates. InGaAsP SC-SLs and thermal annealing were used to achieve a high-quality and smooth InP pseudo-substrate with a reduced defect density. Both the GaAs-on-Si and the subsequently grown InP layers were characterized using a variety of techniques including X-ray diffraction (XRD; atomic force microscopy (AFM; transmission electron microscopy (TEM; and electron channeling contrast imaging (ECCI; which indicate high-quality of the epitaxial films. The threading dislocation density and RMS surface roughness of the final InP layer were 5 × 108/cm2 and 1.2 nm; respectively and 7.8 × 107/cm2 and 10.8 nm for the GaAs-on-Si layer.

  9. High-Brightness Blue Light-Emitting Diodes Enabled by a Directly Grown Graphene Buffer Layer.

    Science.gov (United States)

    Chen, Zhaolong; Zhang, Xiang; Dou, Zhipeng; Wei, Tongbo; Liu, Zhiqiang; Qi, Yue; Ci, Haina; Wang, Yunyu; Li, Yang; Chang, Hongliang; Yan, Jianchang; Yang, Shenyuan; Zhang, Yanfeng; Wang, Junxi; Gao, Peng; Li, Jinmin; Liu, Zhongfan

    2018-06-08

    Single-crystalline GaN-based light-emitting diodes (LEDs) with high efficiency and long lifetime are the most promising solid-state lighting source compared with conventional incandescent and fluorescent lamps. However, the lattice and thermal mismatch between GaN and sapphire substrate always induces high stress and high density of dislocations and thus degrades the performance of LEDs. Here, the growth of high-quality GaN with low stress and a low density of dislocations on graphene (Gr) buffered sapphire substrate is reported for high-brightness blue LEDs. Gr films are directly grown on sapphire substrate to avoid the tedious transfer process and GaN is grown by metal-organic chemical vapor deposition (MOCVD). The introduced Gr buffer layer greatly releases biaxial stress and reduces the density of dislocations in GaN film and In x Ga 1- x N/GaN multiple quantum well structures. The as-fabricated LED devices therefore deliver much higher light output power compared to that on a bare sapphire substrate, which even outperforms the mature process derived counterpart. The GaN growth on Gr buffered sapphire only requires one-step growth, which largely shortens the MOCVD growth time. This facile strategy may pave a new way for applications of Gr films and bring several disruptive technologies for epitaxial growth of GaN film and its applications in high-brightness LEDs. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Plasmas for the low-temperature growth of high-quality GaN films by molecular beam epitaxy and remote plasma MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Capezzuto, P.; Bruno, G. [Plasmachemistry Research Center, CNR, Bari (Italy); Namkoong, G.; Doolittle, W.A.; Brown, A.S. [Georgia Inst. of Tech., Atlanta (United States). School of Electrical and Computer Engineering, Microelectronic Research Center

    2002-03-16

    GaN heteroepitaxial growth on sapphire (0001) substrates was carried out by both radio-frequency (rf) remote plasma metalorganic chemical vapor deposition (RP-MOCVD) and molecular beam epitaxy (MBE). A multistep growth process including substrate plasma cleaning and nitridation, buffer growth, its subsequent annealing and epilayer growth was used. In order to achieve a better understanding of the GaN growth, in-situ real time investigation of the surface chemistry is performed for all the steps using the conventional reflection high-energy electron spectroscopy (RHEED) during the MBE process, while laser reflectance interferometry (LRI) and spectroscopic ellipsometry (SE), which do not require UHV conditions, are used for the monitoring of the RP-MOCVD process. The chemistry of the rf N{sub 2} plasma sapphire nitridation and its effect on the epilayer growth and quality are discussed in both MBE and RP-MOCVD. (orig.)

  11. MOCVD of zirconium oxide from the zirconium guanidinate complex |ZrCp′{2-(iPrN)2CNMe2}2Cl

    NARCIS (Netherlands)

    Blackman, C.S.; Carmalt, C.J.; Moniz, S.J.A.; Potts, S.E.; Davies, H.O.; Pugh, D.C.

    2009-01-01

    Parallel to successful studies into use of [ZrCp'{¿ 2-(iPrN)2CNMe2} 2Cl] as a precursor to the deposition of zirconium carbonitride via CVD the same precursor was utilised for the MOCVD of thin films of ZrO 2 using borosilicate glass substrates. The deposited films were of mixed phase; films

  12. Atomic force microscopy studies of homoepitaxial GaN layers grown on GaN template by laser MBE

    Energy Technology Data Exchange (ETDEWEB)

    Choudhary, B. S. [CSIR-National Physical Laboratory, Dr K.S. Krishnan Road, New Delhi 110012 (India); Rajasthan Technical University, Rawatbhata Road, Kota 324010 (India); Singh, A.; Tyagi, P. K. [Department of Applied Physics, Delhi Technological University, Delhi 110042 (India); Tanwar, S. [Rajasthan Technical University, Rawatbhata Road, Kota 324010 (India); Kumar, M. Senthil; Kushvaha, S. S., E-mail: kushvahas@nplindia.org [CSIR-National Physical Laboratory, Dr K.S. Krishnan Road, New Delhi 110012 (India)

    2016-04-13

    We have grown homoepitaxial GaN films on metal organic chemical vapor deposition (MOCVD) grown 3.5 µm thick GaN on sapphire (0001) substrate (GaN template) using an ultra-high vacuum (UHV) laser assisted molecular beam epitaxy (LMBE) system. The GaN films were grown by laser ablating a polycrystalline solid GaN target in the presence of active r.f. nitrogen plasma. The influence of laser repetition rates (10-30 Hz) on the surface morphology of homoepitaxial GaN layers have been studied using atomic force microscopy. It was found that GaN layer grown at 10 Hz shows a smooth surface with uniform grain size compared to the rough surface with irregular shape grains obtained at 30 Hz. The variation of surface roughness of the homoepitaxial GaN layer with and without wet chemical etching has been also studied and it was observed that the roughness of the film decreased after wet etching due to the curved structure/rough surface.

  13. Effects of the annealing duration of the ZnO buffer layer on structural and optical properties of ZnO rods grown by a hydrothermal process

    Energy Technology Data Exchange (ETDEWEB)

    Shin, C.M.; Lee, J.Y.; Heo, J.H.; Park, J.H.; Kim, C.R. [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Obang-dong, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Ryu, H., E-mail: hhryu@inje.ac.kr [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Obang-dong, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Chang, J.H. [Major of Nano Semiconductor, Korea Maritime University, 1 Dongsam-dong, Yeongdo-Ku, Busan 606-791 (Korea, Republic of); Son, C.S. [Department of Electronic Materials Engineering, Silla University, Gwaebeop-dong, Sasang-gu, Busan 617-736 (Korea, Republic of); Lee, W.J. [Department of Nano Engineering, Dong-Eui University, 995 Eomgwangno, Busanjin-gu, Busan 614-714 (Korea, Republic of); Tan, S.T. [Institute of Microelectronics, 11 Science Park Road, Science Park II, Singapore 117685 (Singapore); Zhao, J.L. [School of Electrical and Electronic Engineering, Nanyang Technological University, Nanyang Avenue, Singapore 639798 (Singapore); Sun, X.W. [Institute of Microelectronics, 11 Science Park Road, Science Park II, Singapore 117685 (Singapore); School of Electrical and Electronic Engineering, Nanyang Technological University, Nanyang Avenue, Singapore 639798 (Singapore)

    2009-07-30

    In this study, the effects of the annealing duration of a zinc oxide (ZnO) buffer layer on structural and optical properties of ZnO rods grown by a hydrothermal process are discussed. A ZnO buffer layer was deposited on p-type Si (1 1 1) substrates by the metal organic chemical vapor deposition (MOCVD) method. After that, ZnO rods were grown on the ZnO-buffer/Si (1 1 1) substrate by a hydrothermal process. In order to determine the optimum annealing duration of the buffer layer for the growth of ZnO rods, durations ranging from 0.5 to 30 min were tried. The morphology and crystal structure of the ZnO/ZnO-buffer/Si (1 1 1) were measured by field emission scanning electron microscopy (FE-SEM) and x-ray diffraction (XRD). The optical properties were investigated by photoluminescence (PL) measurement.

  14. Mocvd Growth of Group-III Nitrides on Silicon Carbide: From Thin Films to Atomically Thin Layers

    Science.gov (United States)

    Al Balushi, Zakaria Y.

    Group-III nitride semiconductors (AlN, GaN, InN and their alloys) are considered one of the most important class of materials for electronic and optoelectronic devices. This is not limited to the blue light-emitting diode (LED) used for efficient solid-state lighting, but other applications as well, such as solar cells, radar and a variety of high frequency power electronics, which are all prime examples of the technological importance of nitride based wide bandgap semiconductors in our daily lives. The goal of this dissertation work was to explore and establish new growth schemes to improve the structural and optical properties of thick to atomically thin films of group-III nitrides grown by metalorganic chemical vapor deposition (MOCVD) on SiC substrates for future novel devices. The first research focus of this dissertation was on the growth of indium gallium nitride (InGaN). This wide bandgap semiconductor has attracted much research attention as an active layer in LEDs and recently as an absorber material for solar cells. InGaN has superior material properties for solar cells due to its wavelength absorption tunability that nearly covers the entire solar spectrum. This can be achieved by controlling the indium content in thick grown material. Thick InGaN films are also of interest as strain reducing based layers for deep-green and red light emitters. The growth of thick films of InGaN is, however, hindered by several combined problems. This includes poor incorporation of indium in alloys, high density of structural and morphological defects, as well as challenges associated with the segregation of indium in thick films. Overcoming some of these material challenges is essential in order integrate thick InGaN films into future optoelectronics. Therefore, this dissertation research investigated the growth mechanism of InGaN layers grown in the N-polar direction by MOCVD as a route to improve the structural and optical properties of thick InGaN films. The growth

  15. Electrically conducting oxide buffer layers on biaxially textured nickel alloy tapes by reel-to-reel MOCVD process

    International Nuclear Information System (INIS)

    Stadel, O; Samoilenkov, S V; Muydinov, R Yu; Schmidt, J; Keune, H; Wahl, G; Gorbenko, O Yu; Korsakov, I E; Melnikov, O V; Kaul, A R

    2006-01-01

    Reel-to-reel MOCVD process for continuous growth of electrically conducting buffer layers on biaxially textured Ni5W tapes has been developed. The new buffer layer architechture is presented: 200 nm (La, Ba) 2 CuO 4 /40 nm (La, Ba)MnO 3 /Ni5W. Constituting layers with high structural quality have been grown on moving tapes (in plane FWHM ≤ 6 0 and out of plane FWHM ≤ 3 0 )

  16. MOCVD waste gas treatment

    International Nuclear Information System (INIS)

    Geelen, A. van; Bink, P.H.M.; Giling, L.J.

    1993-01-01

    A large scale production of GaAs based solar cells with MOCVD will give rise to a considerable use of arsine. Therefore a gas treatment system is needed to convert the waste gases into less toxic compounds. In this study seven different gas treatment systems for MOCVD are compared by quantifying the environmental aspects. The systems are divided in wet systems, adsorption systems and thermal systems. The smallest amount of waste is produced by adsorption and thermal systems. Adsorption systems use the smallest amount of energy. The amount of primary materials used for the equipment varies per system. All systems are safe, but adsorption systems are simplest. At the moment, adsorption systems are probably the best choice from an environmental point of view. Nevertheless thermal systems have some potential advantages which make them interesting for the future

  17. Development and construction of a novel MOCVD facility for the growth of ferroelectric thin layers

    International Nuclear Information System (INIS)

    Schaefer, P.R.

    2002-02-01

    This thesis deals with the production of ferroelectric thin films using the MOCVD technology. The main focus is put on the design and construction of a complete MOCVD research system that is equipped with a novel non-contact vaporizer system. The precursors are nebulized in an ultrasonic atomizer and injected into a hot gas stream, so they can vaporize without getting into contact with a hot surface. Hence, one of the biggest disadvantages of conventional vaporizer concepts, the contamination of the vaporizing element with decomposing chemicals, could be avoided completely, resulting in a nearly maintenance-free system. In a direct comparison with the well-established Direct Liquid Injection Subsystem DLI-25C from MKS Instruments, the advantages of non-contact evaporation were clearly demonstrated. Additionally, the scope of this work included the development of standard deposition processes for the ternary oxides SrTiO 3 , BaTiO 3 und PbTiO 3 and growth studies were performed. Electrical measurements performed on MIM structures with Pt electrodes and SrTiO 3 as dielectric indicate a high film quality comparable with results presented in the literature. Furthermore, for the first time the solid solution (Pb x Ba 1-x )TiO 3 has been deposited by MOCVD. This material system is widely unknown in thin film form and it is well suited as a model system to investigate the influence of mechanical stresses on the film properties, because it represents a transition between the (as a thin film) superparaelectric barium titanate and the ferroelectric lead titanate. Through variation of the lead/barium ratio the tetragonal distortion of the lattice cell could be adjusted in a wide range. (orig.)

  18. Surface Science in an MOCVD Environment: Arsenic on Vicinal Ge(100)

    International Nuclear Information System (INIS)

    Olson, J.M.; McMahon, W.E.

    1998-01-01

    Scanning tunneling microscope (STM) images of arsine-exposed vicinal Ge(100) surfaces show that most As/Ge steps are reconstructed, and that a variety of different step structures exist. The entire family of reconstructed As/Ge steps can be divided into two types, which we have chosen to call ''single-row'' steps and ''double-row'' steps. In this paper we propose a model for a double-row step created by annealing a vicinal Ge(100) substrate under an arsine flux in a metal-organic chemical vapor deposition (MOCVD) chamber

  19. Photodegradative properties of TiO{sub 2} films prepared by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Justicia, I.; Ayllon, J.A.; Figueras, A. [Consejo Superior de Investigaciones Cientificas, Barcelona (Spain). Inst. de Ciencia de Materiales; Battiston, G.A.; Gerbasi, R. [Consejo Superior de Investigaciones Cientificas, Barcelona (Spain). Inst. de Ciencia de Materiales; Ist. di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati del CNR, Padova (Italy)

    2001-08-01

    TiO{sub 2} is a well-known photocatalyst for the air-oxydation of organic compounds. This paper deals with the preparation of TiO{sub 2} layers by MOCVD. The photodegradation rate has been studied in the presence of aqueous suspensions (methylene blue) as a function of the film thickness, roughness and crystallite preferred orientation. These results are compared with aqueous suspensions of Degussa P-25 powders. Deposits obtained on fused quartz showed a higher photodegradation rate than those prepared on glass, while Degussa powders exhibited an intermediate value. (orig.)

  20. Deuterium permeation of amorphous alumina coating on 316L prepared by MOCVD

    International Nuclear Information System (INIS)

    Li Shuai; He Di; Liu Xiaopeng; Wang Shumao; Jiang Lijun

    2012-01-01

    Highlights: ► Deuterium permeation behavior of alumina coating by MOCVD is investigated. ► The as-prepared alumina is amorphous. ► The alumina coating is dense and well adherent to substrate. ► Deuterium permeation rate of alumina coating is 2–3 orders of magnitude lower than martensitic steels. - Abstract: The deuterium permeation behavior of the alumina coating on 316L stainless steel prepared by metal organic chemical vapor deposition (MOCVD) was investigated. The alumina coating was also characterized by X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD) and scanning electron microscope (SEM). It was found that the as-prepared coating consisted of amorphous alumina. This alumina coating had a dense, crack-free and homogeneous morphology. Although the alumina coating was amorphous, effective suppression of deuterium permeation was demonstrated. The deuterium permeability of the alumina coating was 51–60 times less than that of the 316L stainless steel and 153–335 times less than that of the referred low activation martensitic steels at 860–960 K.

  1. GaIn As Quantum Dots (QD) grown by Liquid Phase Epitaxy (LPE)

    Energy Technology Data Exchange (ETDEWEB)

    Ortiz Vazquez, F E; Mishurnyi, V A; Gorbatchev, A Yu; De Anda, F [Universidad Autonoma de San Luis Potosi, Instituto de Investigation en Comunicacion Optica, Av. Karakorum 1470, Col. Lomas 4a Sec., San Luis Potosi, SLP, CP 78210 (Mexico); Elyukhin, V A, E-mail: fcoe_ov@prodigy.net.m, E-mail: andre@cactus.iico.uaslp.m [CINVESTAV-IPN, Av. IPN 2508, Col. San Pedro Zacatenco, Mexico D.F., CP 07360 (Mexico)

    2009-05-01

    The majority of the semiconductor structures with QD today are grown by MBE and MOCVD. It is known that the best material quality can be achieved by LPE because, in contrast to MBE and MOCVD, this method is realized at near-equilibrium conditions. To develop QD LPE technology first of all it is necessary to find out a growth technique allowing the crystallization of epitaxial materials with very small volume. This can be done by means of different techniques. In this work we apply a low temperature short-time growth method, which allows the production not only of single, but also of multilayer heterostructures. We have grown Ga{sub x}In{sub 1-z}As QD on GaAs (100) substrates at 450 C. The details of the QD formation, depending on composition of the Ga{sub x}In{sub -x} As solid solutions, have been studied by atom-force microscopy. The photoluminescence spectra of investigated samples show, in addition to a short-wave GaAs related peak, a longer wavelength line, which disappears after removal of the grown GaInAs material using an etching solution. This fact, together with atom-force microscopy results can be interpreted as a proof that QD heterostructures were grown successfully by LPE.

  2. Thermal activation of nitrogen acceptors in ZnO thin films grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Dangbegnon, J.K.; Talla, K.; Botha, J.R. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth (South Africa)

    2010-06-15

    Nitrogen doping in ZnO is inhibited by spontaneous formation of compensating defects. Perfect control of the nitrogen doping concentration is required, since a high concentration of nitrogen could induce the formation of donor defects involving nitrogen. In this work, the effect of post-growth annealing in oxygen ambient on ZnO thin films grown by Metalorganic Chemical Vapor Deposition, using NO as both oxidant and nitrogen dopant, is studied. After annealing at 700 C and above, low-temperature photoluminescence shows the appearance of a transition at {proportional_to}3.23 eV which is interpreted as pair emission involving a nitrogen acceptor. A second transition at {proportional_to}3.15 eV is also discussed. This work suggests annealing as a potential means for p-type doping using nitrogen (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Effect of Ag doping on the structural, electrical and optical properties of ZnO grown by MOCVD at different substrate temperatures

    Science.gov (United States)

    Ievtushenko, A.; Karpyna, V.; Eriksson, J.; Tsiaoussis, I.; Shtepliuk, I.; Lashkarev, G.; Yakimova, R.; Khranovskyy, V.

    2018-05-01

    ZnO films and nanostructures were deposited on Si substrates by MOCVD using single source solid state zinc acetylacetonate (Zn(AA)) precursor. Doping by silver was realized in-situ via adding 1 and 10 wt. % of Ag acetylacetonate (Ag(AA)) to zinc precursor. Influence of Ag on the microstructure, electrical and optical properties of ZnO at temperature range 220-550 °C was studied by scanning, transmission electron and Kelvin probe force microscopy, photoluminescence and four-point probe electrical measurements. Ag doping affects the ZnO microstructure via changing the nucleation mode into heterogeneous and thus transforming the polycrystalline films into a matrix of highly c-axis textured hexagonally faceted nanorods. Increase of the work function value from 4.45 to 4.75 eV was observed with Ag content increase, which is attributed to Ag behaviour as a donor impurity. It was observed, that near-band edge emission of ZnO NS was enhanced with Ag doping as a result of quenching deep-level emission. Upon high doping of ZnO by Ag it tends to promote the formation of basal plane stacking faults defect, as it was observed by HR TEM and PL study in the case of 10 wt.% of Ag. Based on the results obtained, it is suggested that NS deposition at lower temperatures (220-300 °C) is more favorable for p-type doping of ZnO.

  4. Enhanced flux pinning in MOCVD-YBCO films through Zr additions: systematic feasibility studies

    International Nuclear Information System (INIS)

    Aytug, T; Paranthaman, M; Specht, E D; Zhang, Y; Kim, K; Zuev, Y L; Cantoni, C; Goyal, A; Christen, D K; Maroni, V A; Chen, Y; Selvamanickam, V

    2010-01-01

    Systematic effects of Zr additions on the structural and flux pinning properties of YBa 2 Cu 3 O 7-δ (YBCO) films deposited by metal-organic chemical vapor deposition (MOCVD) have been investigated. Detailed characterization, conducted by coordinated transport, x-ray diffraction, scanning and transmission electron microscopy analyses, and imaging Raman microscopy have revealed trends in the resulting property/performance correlations of these films with respect to varying mole percentages (mol%) of added Zr. For compositions ≤7.5 mol%, Zr additions lead to improved in-field critical current density, as well as extra correlated pinning along the c-axis direction of the YBCO films via the formation of columnar, self-assembled stacks of BaZrO 3 nanodots.

  5. Microscopic characterisation of suspended graphene grown by chemical vapour deposition

    NARCIS (Netherlands)

    Bignardi, L.; Dorp, W.F. van; Gottardi, S.; Ivashenko, O.; Dudin, P.; Barinov, A.; de Hosson, J.T.M.; Stöhr, M.; Rudolf, P.

    2013-01-01

    We present a multi-technique characterisation of graphene grown by chemical vapour deposition (CVD) and thereafter transferred to and suspended on a grid for transmission electron microscopy (TEM). The properties of the electronic band structure are investigated by angle-resolved photoelectron

  6. Metalorganic chemical vapor deposition of Er{sub 2}O{sub 3} thin films: Correlation between growth process and film properties

    Energy Technology Data Exchange (ETDEWEB)

    Giangregorio, Maria M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)], E-mail: michelaria.giangregorio@ba.imip.cnr.it; Losurdo, Maria; Sacchetti, Alberto; Capezzuto, Pio; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)

    2009-02-27

    Er{sub 2}O{sub 3} thin films have been grown by metalorganic chemical vapor deposition (MOCVD) at 600 deg. C on different substrates, including glass, Si (100) and sapphire (0001) using tris(isopropylcyclopentadienyl)erbium and O{sub 2}. The effects of growth parameters such as the substrate, the O{sub 2} plasma activation and the temperature of organometallic precursor injection, on the nucleation/growth kinetics and, consequently, on film properties have been investigated. Specifically, very smooth (111)-oriented Er{sub 2}O{sub 3} thin films (the root mean square roughness is 0.3 nm) are achieved on Si (100), {alpha}-Al{sub 2}O{sub 3} (0001) and amorphous glass by MOCVD. Growth under O{sub 2} remote plasma activation results in an increase in growth rate and in (100)-oriented Er{sub 2}O{sub 3} films with high refractive index and transparency in the visible photon energy range.

  7. HCl Flow-Induced Phase Change of α-, β-, and ε-Ga2O3 Films Grown by MOCVD

    KAUST Repository

    Sun, Haiding

    2018-03-06

    Precise control of the heteroepitaxy on a low-cost foreign substrate is often the key to drive the success of fabricating semiconductor devices in scale when a large low-cost native substrate is not available. Here, we successfully synthesized three different phases of Ga2O3 (α, β, and ε) films on c-plane sapphire by only tuning the flow rate of HCl along with other precursors in an MOCVD reactor. A 3-fold increase in the growth rate of pure β-Ga2O3 was achieved by introducing only 5 sccm of HCl flow. With continuously increased HCl flow, a mixture of β- and ε-Ga2O3 was observed, until the Ga2O3 film transformed completely to a pure ε-Ga2O3 with a smooth surface and the highest growth rate (∼1 μm/h) at a flow rate of 30 sccm. At 60 sccm, we found that the film tended to have a mixture of α- and ε-Ga2O3 with a dominant α-Ga2O3, while the growth rate dropped significantly (∼0.4 μm/h). The film became rough as a result of the mixture phases since the growth rate of ε-Ga2O3 is much higher than that of α-Ga2O3. In this HCl-enhanced MOCVD mode, the Cl impurity concentration was almost identical among the investigated samples. On the basis of our density functional theory calculation, we found that the relative energy between β-, ε-, and α-Ga2O3 became smaller, thus inducing the phase change by increasing the HCl flow in the reactor. Thus, it is plausible that the HCl acted as a catalyst during the phase transformation process. Furthermore, we revealed the microstructure and the epitaxial relationship between Ga2O3 with different phases and the c-plane sapphire substrates. Our HCl-enhanced MOCVD approach paves the way to achieving highly controllable heteroepitaxy of Ga2O3 films with different phases for device applications.

  8. Optical excitation of Er centers in GaN epilayers grown by MOCVD

    Science.gov (United States)

    George, D. K.; Hawkins, M. D.; Jiang, H. X.; Lin, J. Y.; Zavada, J. M.; Vinh, N. Q.

    2016-02-01

    In this paper we present results of photoluminescence (PL), photoluminescence excitation (PLE), and time resolved PL spectroscopy of the 4I13/2 → 4I15/2 transition in Er optical centers in GaN epilayers grown by metal-organic chemical vapor deposition. Under resonance excitation via the higher-lying inner 4f shell transitions and band-to-band excitation of the semiconductor host, the PL and PLE spectra reveal an existence of two types of Er optical centers from isolated and the defect-related Er centers in GaN epilayers. These centers have different PL spectra, local defect environments, decay dynamics, and excitation cross-sections. The isolated Er optical center, which can be excited by either excitation mechanism, has the same decay dynamics, but possesses a much higher cross-section under band-to-band excitation. In contrast, the defect-related Er center can only be observed through band-to-band excitation but has the largest crosssection. Our results indicate pathways for efficient optical excitation of Er-doped GaN semiconductors.

  9. Dislocation confinement in the growth of Na flux GaN on metalorganic chemical vapor deposition-GaN

    International Nuclear Information System (INIS)

    Takeuchi, S.; Asazu, H.; Nakamura, Y.; Sakai, A.; Imanishi, M.; Imade, M.; Mori, Y.

    2015-01-01

    We have demonstrated a GaN growth technique in the Na flux method to confine c-, (a+c)-, and a-type dislocations around the interface between a Na flux GaN crystal and a GaN layer grown by metalorganic chemical vapor deposition (MOCVD) on a (0001) sapphire substrate. Transmission electron microscopy (TEM) clearly revealed detailed interface structures and dislocation behaviors that reduced the density of vertically aligned dislocations threading to the Na flux GaN surface. Submicron-scale voids were formed at the interface above the dislocations with a c component in MOCVD-GaN, while no such voids were formed above the a-type dislocations. The penetration of the dislocations with a c component into Na flux GaN was, in most cases, effectively blocked by the presence of the voids. Although some dislocations with a c component in the MOCVD-GaN penetrated into the Na flux GaN, their propagation direction changed laterally through the voids. On the other hand, the a-type dislocations propagated laterally and collectively near the interface, when these dislocations in the MOCVD-GaN penetrated into the Na flux GaN. These results indicated that the dislocation propagation behavior was highly sensitive to the type of dislocation, but all types of dislocations were confined to within several micrometers region of the Na flux GaN from the interface. The cause of void formation, the role of voids in controlling the dislocation behavior, and the mechanism of lateral and collective dislocation propagation are discussed on the basis of TEM results

  10. A high critical current density MOCVD coated conductor with strong vortex pinning centers suitable for very high field use

    International Nuclear Information System (INIS)

    Chen, Z; Kametani, F; Larbalestier, D C; Chen, Y; Xie, Y; Selvamanickam, V

    2009-01-01

    We have made extensive low temperature and high field evaluations of a recent 2.1 μm thick coated conductor (CC) grown by metal-organic chemical vapor deposition (MOCVD) with a view to its use for high field magnet applications, for which its very strong Hastelloy substrate makes it very suitable. This conductor contains dense three-dimensional (Y,Sm) 2 O 3 nanoprecipitates, which are self-aligned in planes tilted ∼7 deg. from the tape plane. Very strong vortex pinning is evidenced by high critical current density J c values of ∼3.1 MA cm -2 at 77 K and ∼43 MA cm -2 at 4.2 K, and by a strongly enhanced irreversibility field H irr , which reaches that of Nb 3 Sn (∼28 T at 1.5 K) at 60 K, even in the inferior direction of H parallel c axis. At 4.2 K, J c values are ∼15% of the depairing current density J d , much the highest of any superconductor suitable for magnet construction.

  11. A high critical current density MOCVD coated conductor with strong vortex pinning centers suitable for very high field use

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Z; Kametani, F; Larbalestier, D C [National High Magnetic Field Laboratory, Florida State University, Tallahassee, FL 32310 (United States); Chen, Y; Xie, Y; Selvamanickam, V [SuperPower Incorporated, Schenectady, NY 12304 (United States)], E-mail: zhijun@asc.magnet.fsu.edu

    2009-05-15

    We have made extensive low temperature and high field evaluations of a recent 2.1 {mu}m thick coated conductor (CC) grown by metal-organic chemical vapor deposition (MOCVD) with a view to its use for high field magnet applications, for which its very strong Hastelloy substrate makes it very suitable. This conductor contains dense three-dimensional (Y,Sm){sub 2}O{sub 3} nanoprecipitates, which are self-aligned in planes tilted {approx}7 deg. from the tape plane. Very strong vortex pinning is evidenced by high critical current density J{sub c} values of {approx}3.1 MA cm{sup -2} at 77 K and {approx}43 MA cm{sup -2} at 4.2 K, and by a strongly enhanced irreversibility field H{sub irr}, which reaches that of Nb{sub 3}Sn ({approx}28 T at 1.5 K) at 60 K, even in the inferior direction of H parallel c axis. At 4.2 K, J{sub c} values are {approx}15% of the depairing current density J{sub d}, much the highest of any superconductor suitable for magnet construction.

  12. Investigation of ZnTe thin films grown by Pulsed Laser Deposition method

    International Nuclear Information System (INIS)

    Kotlyarchuk, B.; Savchuk, V.

    2007-01-01

    This paper is devoted to optimization of the Pulsed Laser Deposition (PLD) growth condition of ZnTe films on various substrates and subsequent investigation of relevant parameters of growth process, structural, optical and electrical properties of grown films. Studies of the effect of growth parameters on the structural quality and properties of grown films were carried out. X-ray diffraction measurements showed that the ZnTe films, which have been deposited at optimal substrate temperatures, were characterized by a (111) preferred orientation with large average grain size. The optical transmission and reflectance in the energy range 1.5-5.5 eV for films grown at various substrate temperatures were measured. We calculated the variation in the absorption coefficient with the photon energy from the transmittance spectrum for samples grown at various substrate temperatures. Obtained data were analyzed and the value of the absorption coefficient, for allowed direct transitions, has been determined as a function of photon energy. We found that the undoped ZnTe films, which were grown by the PLD method, are typically p-type and possess resistivity in the range of 10 3 Ωcm at room temperature. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Lg = 100 nm T-shaped gate AlGaN/GaN HEMTs on Si substrates with non-planar source/drain regrowth of highly-doped n+-GaN layer by MOCVD

    International Nuclear Information System (INIS)

    Huang Jie; Li Ming; Tang Chak-Wah; Lau Kei-May

    2014-01-01

    High-performance AlGaN/GaN high electron mobility transistors (HEMTs) grown on silicon substrates by metal—organic chemical-vapor deposition (MOCVD) with a selective non-planar n-type GaN source/drain (S/D) regrowth are reported. A device exhibited a non-alloyed Ohmic contact resistance of 0.209 Ω·mm and a comprehensive transconductance (g m ) of 247 mS/mm. The current gain cutoff frequency f T and maximum oscillation frequency f MAX of 100-nm HEMT with S/D regrowth were measured to be 65 GHz and 69 GHz. Compared with those of the standard GaN HEMT on silicon substrate, the f T and f MAX is 50% and 52% higher, respectively. (interdisciplinary physics and related areas of science and technology)

  14. As-grown deep-level defects in n-GaN grown by metal-organic chemical vapor deposition on freestanding GaN

    International Nuclear Information System (INIS)

    Chen Shang; Ishikawa, Kenji; Hori, Masaru; Honda, Unhi; Shibata, Tatsunari; Matsumura, Toshiya; Tokuda, Yutaka; Ueda, Hiroyuki; Uesugi, Tsutomu; Kachi, Tetsu

    2012-01-01

    Traps of energy levels E c -0.26 and E c -0.61 eV have been identified as as-grown traps in n-GaN grown by metal-organic chemical vapor deposition by using deep level transient spectroscopy of the Schottky contacts fabricated by resistive evaporation. The additional traps of E c -0.13 and E c -0.65 eV have been observed in samples whose contacts are deposited by electron-beam evaporation. An increase in concentration of the E c -0.13 and E c -0.65 eV traps when approaching the interface between the contact and the GaN film supports our argument that these traps are induced by electron-beam irradiation. Conversely, the depth profiles of as-grown traps show different profiles between several samples with increased or uniform distribution in the near surface below 50 nm. Similar profiles are observed in GaN grown on a sapphire substrate. We conclude that the growth process causes these large concentrations of as-grown traps in the near-surface region. It is speculated that the finishing step in the growth process should be an essential issue in the investigation of the surface state of GaN.

  15. Contribution towards ALD and MOCVD of rare earth oxides and hafnium oxide. From precursor evaluation to process development and thin film characterization

    International Nuclear Information System (INIS)

    Xu, Ke

    2013-01-01

    This PhD thesis is consisted of two major parts: precursor development for ALD and MOCVD applications as well as thin film deposition using ALD and MOCVD with self developed precursors. The first part of this work presents the synthesis, characterization and detailed thermal property investigations of different novel group IV and rare earth precursor classes (guandinate, guanidine and ketoiminate). The second part of this work presents the ALD and MOCVD depositions using various guanidinate precursors for forming corresponding metal oxide thin films. The overall motivation of this work is to fulfill the lack of precursors of rare earth and group IV elements for ALD and MOCVD applications that satisfy the stringent requirements for the modern microelectronic and optoelectronic technologies. The aspect of the precursor engineering part is focusing on influence of ligand sphere on precursors' chemical and thermal properties. In this way, we successfully introduced guanidine and ketoiminate as potential ligands for the precursor design. The thin film deposition part of this work is ALD of rare earth oxides and group IV oxides employing literature known compounds which were previously developed in our research group. The main focus was dedicated to the process optimization, the characterization of the structural, morphological, compositional and functional properties of the deposited thin films. Certain film properties were discussed comparatively with the corresponding thin films deposited with literature known precursors. It was already shortly demonstrated in Chapter 6 that the guanidine ligand showed potential interest as suitable ligand for precursor engineering. This titan guanidine precursor [Ti(NC(NMe 2 ) 2 ) 4 ] (GD1) possesses higher thermal stability compared to its parent amide, [Ti(NMe 2 ) 4 ], while reactivity against water is not significantly affected. It could be very interesting to transfer this ligand for the precursor development of rare earth

  16. Growth and electrical properties of AlOx grown by mist chemical vapor deposition

    Directory of Open Access Journals (Sweden)

    Toshiyuki Kawaharamura

    2013-03-01

    Full Text Available Aluminum oxide (AlOx thin films were grown using aluminum acetylacetonate (Al(acac3 as a source solute by mist chemical vapor deposition (mist CVD. The AlOx thin films grown at temperatures above 400°C exhibited a breakdown field (EBD over 6 MV/cm and a dielectric constant (κ over 6. It is suggested that residual OH bonding in the AlOx thin films grown at temperatures below 375°C caused degradation of the breakdown field (EBD. With FC type mist CVD, the reaction proceeded efficiently (Ea = 22–24 kJ/mol because the solvent, especially H2O, worked as a stronger oxygen source. The AlOx film could be grown at 450°C with a high deposition rate (23 nm/min and smooth surface (RMS = 1.5 nm. Moreover, the AlOx thin films grown by mist CVD had excellent practicality as insulators because the gate leakage current (IG of the oxide thin film transistor (TFT with an IGZO/AlOx stack was suppressed below 1 pA at a gate voltage (VG of 20 V.

  17. Enhanced flux pinning in MOCVD-YBCO films through Zr additions : systematic feasibility studies.

    Energy Technology Data Exchange (ETDEWEB)

    Aytug, T.; Paranthaman, M.; Specht, E. D.; Zhang, Y.; Kim, K.; Zuev, Y. L.; Cantoni, C.; Goyal, A.; Christen, D. K.; Maroni, V. A.; Chen, Y.; Selvamanickam, V.; ORNL; SuperPower, Inc.

    2010-01-01

    Systematic effects of Zr additions on the structural and flux pinning properties of YBa{sub 2}Cu{sub 3}O{sub 7-{delta}} (YBCO) films deposited by metal-organic chemical vapor deposition (MOCVD) have been investigated. Detailed characterization, conducted by coordinated transport, x-ray diffraction, scanning and transmission electron microscopy analyses, and imaging Raman microscopy have revealed trends in the resulting property/performance correlations of these films with respect to varying mole percentages (mol%) of added Zr. For compositions {le} 7.5 mol%, Zr additions lead to improved in-field critical current density, as well as extra correlated pinning along the c-axis direction of the YBCO films via the formation of columnar, self-assembled stacks of BaZrO{sub 3} nanodots.

  18. Enhanced flux pinning in MOCVD-YBCO films through Zr additions: systematic feasibility studies

    Energy Technology Data Exchange (ETDEWEB)

    Aytug, T; Paranthaman, M; Specht, E D; Zhang, Y; Kim, K; Zuev, Y L; Cantoni, C; Goyal, A; Christen, D K [Oak Ridge National Laboratory, Oak Ridge, TN 37831 (United States); Maroni, V A [Argonne National Laboratory, Argonne, IL 60439 (United States); Chen, Y; Selvamanickam, V, E-mail: aytugt@ornl.go [SuperPower, Incorporated, 450 Duane Avenue, Schenectady, NY 12304 (United States)

    2010-01-15

    Systematic effects of Zr additions on the structural and flux pinning properties of YBa{sub 2}Cu{sub 3}O{sub 7-{delta}} (YBCO) films deposited by metal-organic chemical vapor deposition (MOCVD) have been investigated. Detailed characterization, conducted by coordinated transport, x-ray diffraction, scanning and transmission electron microscopy analyses, and imaging Raman microscopy have revealed trends in the resulting property/performance correlations of these films with respect to varying mole percentages (mol%) of added Zr. For compositions {<=}7.5 mol%, Zr additions lead to improved in-field critical current density, as well as extra correlated pinning along the c-axis direction of the YBCO films via the formation of columnar, self-assembled stacks of BaZrO{sub 3} nanodots.

  19. MOCVD growth of transparent conducting Cd2SnO4 thin films

    International Nuclear Information System (INIS)

    Metz, A.W.; Poeppelmeier, K.R.; Marks, T.J.; Lane, M.A.; Kannewurt, C.R.

    2004-01-01

    The first preparation of transparent conducting Cd 2 SnO 4 thin films by a simple MOCVD process is described. As-deposited films using Cd(hfa) 2 (TMEDA) (Figure), at 365 C are found to be highly crystalline with a relatively wide range of grain size of 100-300 nm. XRD indicates a cubic spinel Cd 2 SnO 4 crystal structure and the possible presence of a small amount of CdO. The films exhibit conductivities of 2170 S/cm and a bandgap of 3.3 eV, rivaling those of commercial tin-doped indium oxide. (Abstract Copyright [2004], Wiley Periodicals, Inc.)

  20. Usability value and heavy metals accumulation in forage grasses grown on power station ash deposit

    Directory of Open Access Journals (Sweden)

    Simić Aleksandar S.

    2015-01-01

    Full Text Available The study of five forage grasses (Lolium multiflorum, Festuca rubra, Festuca arundinacea, Arrhenatherum elatius and Dactylis glomerata was conducted on an uncontaminated cultivated land, of leached chernozem type, and on “Nikola Tesla A” (TENT A thermal power station ash deposit. The concentrations of: As, Pb, Cd, Zn, Ni, Fe i Cu in grasses grown on two media were compared. Grass samples have been collected in tillering stage, when they were in full development. During the vegetative period three replications cut was conducted at about 3-5 cm height, imitating mowing and grazing. The concentrations of As and Ni were elevated in media samples collected from TENT A ash deposit, while the level of all studied elements in soil samples collected from cultivated land were within allowed limits. The variance of certain elements amounts in plant material collected from TENT A ash deposit was less homogeneous; the concentrations of As, Fe and Ni were higher in grasses collected from ash deposit, but Pb and Cu concentrations were higher in grasses grown on cultivated land. The concentrations of Zn were approximately the same in plants collected from the sites, whereas Cd concentrations were slightly increased in grasses grown on ash deposit. In general, it can be concluded from the results of this study that the concentrations of heavy metals in plants collected from both sites do not exceed maximal tolerant levels for fodder. The use of grasses grown on ash deposit for forage production should be taken with reserve. [Projekat Ministarstva nauke Republike Srbije, br. TR 31016: Unapređenje tehnologije gajenja krmnih biljaka na oranicama i travnjacima

  1. Auger electron spectroscopy analysis of high metal content micro-structures grown by electron beam induced deposition

    International Nuclear Information System (INIS)

    Cicoira, F.; Hoffmann, P.; Olsson, C.O.A.; Xanthopoulos, N.; Mathieu, H.J.; Doppelt, P.

    2005-01-01

    An auger electron spectroscopy study was carried out on Rh-containing micro-structures grown by electron beam induced deposition (EBID) of the iso-structural and iso-electronic precursors [RhCl(PF 3 ) 2 ] 2 and [RhCl(CO) 2 ] 2 . A material containing between 55 and 60 at.% Rh was obtained from both precursors. The chemical composition of structures grown from the two different precursors indicates a similar decomposition mechanism. Deposits grown from [RhCl(PF 3 ) 2 ] 2 showed a chemical composition independent of electron energy and electron dose in the investigated range of conditions

  2. A novel barium strontium titanate/nickel/titanium nitride/silicon structure for gigabit-scale DRAM capacitors

    Science.gov (United States)

    Ritums, Dwight Lenards

    A materials system has been developed for advanced oxide high permittivity capacitors for use in Dynamic Random Access Memory (DRAM) applications. A capacitor test structure has been fabricated, demonstrating the integration of this materials system onto Si. It is a 3-D stacked electrode structure which uses the high-K dielectric material Ba1- xSrxTiO 3 (BST) and a novel Ni/TiN bottom electrode system. The structure was grown using pulsed laser deposition (PLD), photo-assisted metal-organic chemical vapor deposition (PhA-MOCVD), and electron beam deposition, and resulted in thin film capacitors with dielectric constants over 500. Other advanced oxides, principally SrVO3, were also investigated for use as electrode materials. The fabricated test structure is 3 μgm wide and 1 μm thick. RIE was used to generate the 3-D structure, and an etch gas recipe was developed to pattern the 3-D electrode structure onto the TiN. The Ni was deposited by electron beam deposition, and the BST was grown by PLD and PhA-MOCVD. Conformal coating of the electrode by the BST was achieved. The film structure was analyzed with XRD, SEM, EDS, XPS, AES, and AFM, and the electronic properties of the devices were characterized. Permittivites of up to 500 were seen in the PLD-grown films, and values up to 700 were seen in the MOCVD- deposited films. The proof of concept of a high permittivity material directly integrated onto Si has been demonstrated for this capacitor materials system. With further lithographic developments, this system can be applied toward gigabit device fabrication.

  3. Wafer-level MOCVD growth of AlGaN/GaN-on-Si HEMT structures with ultra-high room temperature 2DEG mobility

    Directory of Open Access Journals (Sweden)

    Xiaoqing Xu

    2016-11-01

    Full Text Available In this work, we investigate the influence of growth temperature, impurity concentration, and metal contact structure on the uniformity and two-dimensional electron gas (2DEG properties of AlGaN/GaN high electron mobility transistor (HEMT structure grown by metal-organic chemical vapor deposition (MOCVD on 4-inch Si substrate. High uniformity of 2DEG mobility (standard deviation down to 0.72% across the radius of the 4-inch wafer has been achieved, and 2DEG mobility up to 1740.3 cm2/V⋅s at room temperature has been realized at low C and O impurity concentrations due to reduced ionized impurity scattering. The 2DEG mobility is further enhanced to 2161.4 cm2/V⋅s which is comparable to the highest value reported to date when the contact structure is switched from a square to a cross pattern due to reduced piezoelectric scattering at lower residual strain. This work provides constructive insights and promising results to the field of wafer-scale fabrication of AlGaN/GaN HEMT on Si.

  4. Conformity and structure of titanium oxide films grown by atomic layer deposition on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Jogi, Indrek [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia)], E-mail: indrek.jogi@ut.ee; Paers, Martti; Aarik, Jaan; Aidla, Aleks [University of Tartu, Institute of Physics, Riia 142, 51014, Tartu (Estonia); Laan, Matti [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia); Sundqvist, Jonas; Oberbeck, Lars; Heitmann, Johannes [Qimonda Dresden GmbH and Co. OHG, Koenigsbruecker Strasse 180, 01099, Dresden (Germany); Kukli, Kaupo [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia)

    2008-06-02

    Conformity and phase structure of atomic layer deposited TiO{sub 2} thin films grown on silicon substrates were studied. The films were grown using TiCl{sub 4} and Ti(OC{sub 2}H{sub 5}){sub 4} as titanium precursors in the temperature range from 125 to 500 {sup o}C. In all cases perfect conformal growth was achieved on patterned substrates with elliptical holes of 7.5 {mu}m depth and aspect ratio of about 1:40. Conformal growth was achieved with process parameters similar to those optimized for the growth on planar wafers. The dominant crystalline phase in the as-grown films was anatase, with some contribution from rutile at relatively higher temperatures. Annealing in the oxygen ambient resulted in (re)crystallization whereas the effect of annealing depended markedly on the precursors used in the deposition process. Compared to films grown from TiCl{sub 4}, the films grown from Ti(OC{sub 2}H{sub 5}){sub 4} were transformed into rutile in somewhat greater extent, whereas in terms of step coverage the films grown from Ti(OC{sub 2}H{sub 5}){sub 4} remained somewhat inferior compared to the films grown from TiCl{sub 4}.

  5. Morphological Evolution of a-GaN on r-Sapphire by Metalorganic Chemical Vapor Deposition

    International Nuclear Information System (INIS)

    Sang Ling; Liu Jian-Ming; Xu Xiao-Qing; Wang Jun; Zhao Gui-Juan; Liu Chang-Bo; Gu Cheng-Yan; Liu Gui-Peng; Wei Hong-Yuan; Liu Xiang-Lin; Yang Shao-Yan; Zhu Qin-Sheng; Wang Zhan-Guo

    2012-01-01

    The morphological evolution of a-GaN deposited by metalorganic chemical vapor deposition (MOCVD) on r-sapphire is studied. The influences of V/III ratio and growth temperature on surface morphology are investigated. V-pits and stripes are observed on the surface of a-GaN grown at 1050°C and 1100°C, respectively. The overall orientation and geometry of V-pits are uniform and independent on the V/III molar ratio in the samples grown at 1050°C, while in the samples grown at 1100°C, the areas of stripes decrease with the adding of V/III ratio. We deduce the origin of V-pits and stripes by annealing the buffer layers at different temperatures. Because of the existence of inclined (101-bar1) facets, V-pits are formed at 1050°C. The (101-bar1) plane is an N terminated surface, which is metastable at higher temperature, so stripes instead of V-pits are observed at 1100°C. Raman spectra suggest that the growth temperature of the first layer in the two-step process greatly affects the strain of the films. Hence, to improve the growth temperature of the first layer in the two-step method may be an effective way to obtain high quality a-GaN film on r-sapphire. (condensed matter: structure, mechanical and thermal properties)

  6. Surface characterization of ZnO nanorods grown by chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mbulanga, C.M., E-mail: crispin.mbulanga@nmmu.ac.za [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Urgessa, Z.N.; Tankio Djiokap, S.R.; Botha, J.R. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Duvenhage, M.M.; Swart, H.C. [Department of Physics, University of the Free State, P.O Box 77000, Bloemfontein ZA9300 (South Africa)

    2016-01-01

    The surface composition of as-grown and annealed ZnO nanorods (ZNs) grown by a two-step chemical bath deposition method is investigated by the following surface-sensitive techniques: Time-of-Flight Secondary Ion Mass Spectroscopy (TOF-SIMS), X-ray Photoelectron Spectroscopy (XPS) and Auger Electron Spectroscopy (AES). The presence of H on the surface and throughout the entire thickness of ZNs is confirmed by TOF-SIMS. Based on TOF-SIMS results, the O2 XPS peak mostly observable at ~531.5 is assigned to O bound to H. Furthermore, it is found that the near surface region of as-grown ZNs is Zn-rich, and annealing at high temperature (~850 °C) removes H-related defects from the surface of ZNs and affect the balance of zinc and oxygen concentrations.

  7. Post-annealing effects on pulsed laser deposition-grown GaN thin films

    International Nuclear Information System (INIS)

    Cheng, Yu-Wen; Wu, Hao-Yu; Lin, Yu-Zhong; Lee, Cheng-Che; Lin, Ching-Fuh

    2015-01-01

    In this work, the post-annealing effects on gallium nitride (GaN) thin films grown from pulsed laser deposition (PLD) are investigated. The as-deposited GaN thin films grown from PLD are annealed at different temperatures in nitrogen ambient. Significant changes of the GaN crystal properties are observed. Raman spectroscopy is used to observe the crystallinity, the change of residual stress, and the thermal decomposition of the annealed GaN thin films. X-ray diffraction is also applied to identify the crystal phase of GaN thin films, and the surface morphology of GaN thin films annealed at different temperatures is observed by scanning electron microscopy. Through the above analyses, the GaN thin films grown by PLD undergo three stages: phase transition, stress alteration, and thermal decomposition. At a low annealing temperature, the rock salt GaN in GaN films is transformed into wurtzite. The rock salt GaN diminishes with increasing annealing temperature. At a medium annealing temperature, the residual stress of the film changes significantly from compressive strain to tensile strain. As the annealing temperature further increases, the GaN undergoes thermal decomposition and the surface becomes granular. By investigating the annealing temperature effects and controlling the optimized annealing temperature of the GaN thin films, we are able to obtain highly crystalline and strain-free GaN thin films by PLD. - Highlights: • The GaN thin film is grown on sapphire by pulsed laser deposition. • The GaN film undergoes three stages with increasing annealing temperature. • In the first stage, the film transfers from rock salt to wurtzite phase. • In the second stage, the stress in film changes from compressive to tensile. • In the final stage, the film thermally decomposes and becomes granular

  8. Different defect levels configurations between double layers of nanorods and film in ZnO grown on c-Al2O3 by MOCVD

    International Nuclear Information System (INIS)

    Wu, Bin; Zhang, Yuantao; Shi, Zhifeng; Li, Xiang; Cui, Xijun; Zhuang, Shiwei; Zhang, Baolin; Du, Guotong

    2014-01-01

    Epitaxial ZnO structures with inherent two layers of nanorods layer on film layer were fabricated on c-Al 2 O 3 by metal-organic chemical vapor deposition (MOCVD) and studied by photoluminescence. Specially, photoluminescence spectra for the film layer were obtained by rendering the excitation from the substrate side. Different defect levels configurations between nanorods and film were revealed. Zinc vacancies tend to form in top nanorods layer, whereas abundant zinc–oxygen divacancies accumulate in bottom film layer. An acceptor state with activation energy of ∼200 meV is exclusive to the film layer. The stacking fault related acceptor and Al introduced donor are present in both layers. Besides, two other defect related donors contained in the nanorods layer perhaps also exist within the film layer. - Highlights: • Inherent double layer ZnO of nanorods on film layer were studied by PL. • V Zn tend to form in the nanorods layer, and V ZnO accumulate in the film layer. • An acceptor with activation energy of ∼200 meV is exclusive to the film layer. • Pure NBE emission without DLE in RT PL spectrum does not mean good crystallinity

  9. 10 GHz surface impedance measurements of (Y9Er)BaCuO films produced by MOCVD, laser ablation, and sputtering

    International Nuclear Information System (INIS)

    Luine, J.; Daly, K.; Hu, R.; Kain, A.; Lee, A.; Manasevit, H.; Pettiette-Hall, C.; Simon, R.; St John, D.; Wagner, M.

    1991-01-01

    This paper reports on a parallel-plate resonator technique previously used to measure microwave surface resistance R s (T) extended to also measure absolute penetration depth λ(T). Measurements of both quantities near 10 GHz from 4.2 K to Tc are reported for ErBaCuO thin films produced by metal-organic chemical vapor deposition (MOCVD) and YBaCuO think films produced by laser ablation and single-target off-axis sputtering. All the films were made at TRW. Each production method gives rise to films whose surface resistance is below 1 milliohm at temperatures below 40K. The low temperature penetration depths range from 250 nm for the laser ablation and sputtered films to 800 nm for the MOCVD films. The penetration depths in all cases increase with temperature according to the Gorter-Casimir temperature dependence

  10. Anisotropic Friction of Wrinkled Graphene Grown by Chemical Vapor Deposition.

    Science.gov (United States)

    Long, Fei; Yasaei, Poya; Yao, Wentao; Salehi-Khojin, Amin; Shahbazian-Yassar, Reza

    2017-06-21

    Wrinkle structures are commonly seen on graphene grown by the chemical vapor deposition (CVD) method due to the different thermal expansion coefficient between graphene and its substrate. Despite the intensive investigations focusing on the electrical properties, the nanotribological properties of wrinkles and the influence of wrinkle structures on the wrinkle-free graphene remain less understood. Here, we report the observation of anisotropic nanoscale frictional characteristics depending on the orientation of wrinkles in CVD-grown graphene. Using friction force microscopy, we found that the coefficient of friction perpendicular to the wrinkle direction was ∼194% compare to that of the parallel direction. Our systematic investigation shows that the ripples and "puckering" mechanism, which dominates the friction of exfoliated graphene, plays even a more significant role in the friction of wrinkled graphene grown by CVD. The anisotropic friction of wrinkled graphene suggests a new way to tune the graphene friction property by nano/microstructure engineering such as introducing wrinkles.

  11. ZnO based nanowires grown by chemical vapour deposition for selective hydrogenation of acetylene alcohols

    NARCIS (Netherlands)

    Protasova, L.N.; Rebrov, E.; Choy, K.L.; Pung, S.Y.; Engels, V.; Cabaj, M.; Wheatley, A.E.H.; Schouten, J.C.

    2011-01-01

    Vertically aligned ZnO nanowires (NWs) with a length of 1.5–10 µm and a mean diameter of ca. 150 nm were grown by chemical vapour deposition onto a c-oriented ZnO seed layer which was deposited by atomic layer deposition on Si substrates. The substrates were then spin-coated with an ethanol solution

  12. Model Research On Deposition Of Pure Aluminium Oxide Layers By MOCVD Method

    Directory of Open Access Journals (Sweden)

    Sawka A.

    2015-06-01

    Full Text Available The purpose of this research is to develop an optimal method for synthesizing of nanocrystalline Al2O3 monolayers at high growth rates on cemented carbides coated with an intermediate layer of pre-Al2O3-C (composite layers Al2O3-C/Al2O3. The use of quartz glass substrate allows for obtaining information about the quality of the layers such the thickness and density, because of its high transparency. The Al2O3 layers that do not containing carbon were synthesized on quartz glass by MOCVD using aluminum acetylacetonate and air as the reactants at temperatures of 700-1000°C. Argon was also a carrier gas. The resulting layers were transparent, as homogeneous nucleation did not occur during the synthesis process. The layers synthesized at lower temperatures were subjected to a crystallization process at temperatures above 900°C. The crystallization process was studied as a function of time and temperature. The obtained layers were characterized by their nanocrystalline microstructure.

  13. Zn-vacancy related defects in ZnO grown by pulsed laser deposition

    Science.gov (United States)

    Ling, F. C. C.; Luo, C. Q.; Wang, Z. L.; Anwand, W.; Wagner, A.

    2017-02-01

    Undoped and Ga-doped ZnO (002) films were grown c-sapphire using the pulsed laser deposition (PLD) method. Znvacancy related defects in the films were studied by different positron annihilation spectroscopy (PAS). These included Doppler broadening spectroscopy (DBS) employing a continuous monenergetic positron beam, and positron lifetime spectroscopy using a pulsed monoenergetic positron beam attached to an electron linear accelerator. Two kinds of Znvacancy related defects namely a monovacancy and a divacancy were identified in the films. In as-grown undoped samples grown with relatively low oxygen pressure P(O2)≤1.3 Pa, monovacancy is the dominant Zn-vacancy related defect. Annealing these samples at 900 oC induced Zn out-diffusion into the substrate and converted the monovacancy to divacancy. For the undoped samples grown with high P(O2)=5 Pa irrespective of the annealing temperature and the as-grown degenerate Ga-doped sample (n=1020 cm-3), divacancy is the dominant Zn-vacancy related defect. The clustering of vacancy will be discussed.

  14. Deuterium permeation of amorphous alumina coating on 316L prepared by MOCVD

    Science.gov (United States)

    Li, Shuai; He, Di; Liu, Xiaopeng; Wang, Shumao; Jiang, Lijun

    2012-01-01

    The deuterium permeation behavior of the alumina coating on 316L stainless steel prepared by metal organic chemical vapor deposition (MOCVD) was investigated. The alumina coating was also characterized by X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD) and scanning electron microscope (SEM). It was found that the as-prepared coating consisted of amorphous alumina. This alumina coating had a dense, crack-free and homogeneous morphology. Although the alumina coating was amorphous, effective suppression of deuterium permeation was demonstrated. The deuterium permeability of the alumina coating was 51-60 times less than that of the 316L stainless steel and 153-335 times less than that of the referred low activation martensitic steels at 860-960 K.

  15. Microstructural properties of over-doped GaN-based diluted magnetic semiconductors grown by MOCVD

    International Nuclear Information System (INIS)

    Tao Zhikuo; Zhang Rong; Xiu Xiangqian; Cui Xugao; Li Xin; Xie Zili; Zheng Youdou; Li Li; Zheng Rongkun; Ringer, Simon P

    2012-01-01

    We have grown transition metal (Fe, Mn) doped GaN thin films on c-oriented sapphire by metal-organic chemical vapor deposition. By varying the flow of the metal precursor, a series of samples with different ion concentrations are synthesized. Microstructural properties are characterized by using a high-resolution transmission electron microscope. For Fe over-doped GaN samples, hexagonal Fe 3 N clusters are observed with Fe 3 N(0002) parallel to GaN (0002) while for Mn over-doped GaN, hexagonal Mn 6 N 2.58 phases are observed with Mn 6 N 2.58 (0002) parallel to GaN(0002). In addition, with higher concentration ions doping into the lattice matrix, the partial lattice orientation is distorted, leading to the tilt of GaN(0002) planes. The magnetization of the Fe over-doped GaN sample is increased, which is ascribed to the participation of ferromagnetic iron and Fe 3 N. The Mn over-doped sample displays very weak ferromagnetic behavior, which probably originates from the Mn 6 N 2.58 . (semiconductor materials)

  16. Optical and mechanical properties of nanocrystalline ZrC thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Craciun, D., E-mail: doina.craciun@inflpr.ro [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania); Socol, G. [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania); Lambers, E. [Major Analytical Instrumentation Center, College of Engineering, University of Florida, Gainesville, FL 32611 (United States); McCumiskey, E.J.; Taylor, C.R. [Mechanical and Aerospace Engineering, University of Florida, Gainesville, FL 32611 (United States); Martin, C. [Ramapo College of New Jersey (United States); Argibay, N. [Materials Science and Engineering Center, Sandia National Laboratories, Albuquerque, NM 87123 (United States); Tanner, D.B. [Physics Department, University of Florida, Gainesville, FL 32611 (United States); Craciun, V. [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania)

    2015-10-15

    Highlights: • Nanocrystalline ZrC thin film were grown on Si by pulsed laser deposition technique. • Structural properties weakly depend on the CH{sub 4} pressure used during deposition. • The optimum deposition pressure for low resistivity is around 2 × 10{sup −5} mbar CH{sub 4}. • ZrC films exhibited friction coefficients around 0.4 and low wear rates. - Abstract: Thin ZrC films (<500 nm) were grown on (100) Si substrates at a substrate temperature of 500 °C by the pulsed laser deposition (PLD) technique using a KrF excimer laser under different CH{sub 4} pressures. Glancing incidence X-ray diffraction showed that films were nanocrystalline, while X-ray reflectivity studies found out films were very dense and exhibited a smooth surface morphology. Optical spectroscopy data shows that the films have high reflectivity (>90%) in the infrared region, characteristic of metallic behavior. Nanoindentation results indicated that films deposited under lower CH{sub 4} pressures exhibited slightly higher nanohardness and Young modulus values than films deposited under higher pressures. Tribological characterization revealed that these films exhibited relatively high wear resistance and steady-state friction coefficients on the order of μ = 0.4.

  17. Enhanced Ferromagnetism in Nanoscale GaN:Mn Wires Grown on GaN Ridges.

    Science.gov (United States)

    Cheng, Ji; Jiang, Shengxiang; Zhang, Yan; Yang, Zhijian; Wang, Cunda; Yu, Tongjun; Zhang, Guoyi

    2017-05-02

    The problem of weak magnetism has hindered the application of magnetic semiconductors since their invention, and on the other hand, the magnetic mechanism of GaN-based magnetic semiconductors has been the focus of long-standing debate. In this work, nanoscale GaN:Mn wires were grown on the top of GaN ridges by metalorganic chemical vapor deposition (MOCVD), and the superconducting quantum interference device (SQUID) magnetometer shows that its ferromagnetism is greatly enhanced. Secondary ion mass spectrometry (SIMS) and energy dispersive spectroscopy (EDS) reveal an obvious increase of Mn composition in the nanowire part, and transmission electron microscopy (TEM) and EDS mapping results further indicate the correlation between the abundant stacking faults (SFs) and high Mn doping. When further combined with the micro-Raman results, the magnetism in GaN:Mn might be related not only to Mn concentration, but also to some kinds of built-in defects introduced together with the Mn doping or the SFs.

  18. Electrical and mechanical stability of aluminum-doped ZnO films grown on flexible substrates by atomic layer deposition

    International Nuclear Information System (INIS)

    Luka, G.; Witkowski, B.S.; Wachnicki, L.; Jakiela, R.; Virt, I.S.; Andrzejczuk, M.; Lewandowska, M.; Godlewski, M.

    2014-01-01

    Highlights: • Transparent and conductive ZnO:Al films were grown by atomic layer deposition. • The films were grown on flexible substrates at low growth temperatures (110–140 °C). • So-obtained films have low resistivities, of the order of 10 −3 Ω cm. • Bending tests indicated a critical bending radius of ≈1.2 cm. • Possible sources of the film resistivity changes upon bending are proposed. - Abstract: Aluminum-doped zinc oxide (AZO) films were grown on polyethylene terephthalate (PET) substrates by atomic layer deposition (ALD) at low deposition temperatures (110–140 °C). The films have low resistivities, ∼10 −3 Ω cm, and high transparency (∼90%) in the visible range. Bending tests indicated a critical bending radius of ≈1.2 cm, below which the resistivity changes became irreversible. The films deposited on PET with additional buffer layer are more stable upon bending and temperature changes

  19. Yttria and ceria doped zirconia thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Saporiti, F.; Juarez, R. E., E-mail: cididi@fi.uba.ar [Grupo de Materiales Avanzados, Facultad de Ingenieria, Universidad de Buenos Aires (Argentina); Audebert, F. [Consejo Nacional de Investigaciones Cientificas y Tecnicas (CONICET) (Argentina); Boudard, M. [Laboratoire des Materiaux et du Genie Physique (CNRS), Grenoble (France)

    2013-11-01

    The Yttria stabilized Zirconia (YSZ) is a standard electrolyte for solid oxide fuel cells (SOFCs), which are potential candidates for next generation portable and mobile power sources. YSZ electrolyte thin films having a cubic single phase allow reducing the SOFC operating temperature without diminishing the electrochemical power density. Films of 8 mol% Yttria stabilized Zirconia (8YSZ) and films with addition of 4 weight% Ceria (8YSZ + 4CeO{sub 2}) were grown by pulsed laser deposition (PLD) technique using 8YSZ and 8YSZ + 4CeO{sub 2} targets and a Nd-YAG laser (355 nm). Films have been deposited on Soda-Calcia-Silica glass and Si(100) substrates at room temperature. The morphology and structural characteristics of the samples have been studied by means of X-ray diffraction and scanning electron microscopy. Films of a cubic-YSZ single phase with thickness in the range of 1-3 Micro-Sign m were grown on different substrates (author)

  20. Defects in zinc oxide grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ling, Francis C.C., E-mail: ccling@hku.hk [Department of Physics, The University of Hong Kong, Pokfulam Road, Hong Kong (China); Wang, Zilan; Ping Ho, Lok; Younas, M. [Department of Physics, The University of Hong Kong, Pokfulam Road, Hong Kong (China); Anwand, W.; Wagner, A. [Institute of Radiation Physics, Helmholtz-Zentrum Dresden-Rossendorf, Bautzner Landstr. 400, 01328 Dresden (Germany); Su, S.C. [Institute of Optoelectronic Material and Technology, South China Normal University, Guangzhou 510631 (China); Shan, C.X. [State Key Laboratory of Luminescence and Applications, Changchun Institute of Optics, Fine Mechanics and Physics, Chinese Academy of Sciences, Changchun 130033 (China)

    2016-01-01

    ZnO films are grown on c-plane sapphire using the pulsed laser deposition method. Systematic studies on the effects of annealing are performed to understand the thermal evolutions of the defects in the films. Particular attention is paid to the discussions of the ZnO/sapphire interface thermal stability, the Zn-vacancy related defects having different microstructures, the origins of the green luminescence (∼2.4–2.5 eV) and the near band edge (NBE) emission at 3.23 eV.

  1. Nanocomposite oxide thin films grown by pulsed energy beam deposition

    International Nuclear Information System (INIS)

    Nistor, M.; Petitmangin, A.; Hebert, C.; Seiler, W.

    2011-01-01

    Highly non-stoichiometric indium tin oxide (ITO) thin films were grown by pulsed energy beam deposition (pulsed laser deposition-PLD and pulsed electron beam deposition-PED) under low oxygen pressure. The analysis of the structure and electrical transport properties showed that ITO films with a large oxygen deficiency (more than 20%) are nanocomposite films with metallic (In, Sn) clusters embedded in a stoichiometric and crystalline oxide matrix. The presence of the metallic clusters induces specific transport properties, i.e. a metallic conductivity via percolation with a superconducting transition at low temperature (about 6 K) and the melting and freezing of the In-Sn clusters in the room temperature to 450 K range evidenced by large changes in resistivity and a hysteresis cycle. By controlling the oxygen deficiency and temperature during the growth, the transport and optical properties of the nanocomposite oxide films could be tuned from metallic-like to insulating and from transparent to absorbing films.

  2. Electrical properties of GaAs metal–oxide–semiconductor structure comprising Al2O3 gate oxide and AlN passivation layer fabricated in situ using a metal–organic vapor deposition/atomic layer deposition hybrid system

    Directory of Open Access Journals (Sweden)

    Takeshi Aoki

    2015-08-01

    Full Text Available This paper presents a compressive study on the fabrication and optimization of GaAs metal–oxide–semiconductor (MOS structures comprising a Al2O3 gate oxide, deposited via atomic layer deposition (ALD, with an AlN interfacial passivation layer prepared in situ via metal–organic chemical vapor deposition (MOCVD. The established protocol afforded self-limiting growth of Al2O3 in the atmospheric MOCVD reactor. Consequently, this enabled successive growth of MOCVD-formed AlN and ALD-formed Al2O3 layers on the GaAs substrate. The effects of AlN thickness, post-deposition anneal (PDA conditions, and crystal orientation of the GaAs substrate on the electrical properties of the resulting MOS capacitors were investigated. Thin AlN passivation layers afforded incorporation of optimum amounts of nitrogen, leading to good capacitance–voltage (C–V characteristics with reduced frequency dispersion. In contrast, excessively thick AlN passivation layers degraded the interface, thereby increasing the interfacial density of states (Dit near the midgap and reducing the conduction band offset. To further improve the interface with the thin AlN passivation layers, the PDA conditions were optimized. Using wet nitrogen at 600 °C was effective to reduce Dit to below 2 × 1012 cm−2 eV−1. Using a (111A substrate was also effective in reducing the frequency dispersion of accumulation capacitance, thus suggesting the suppression of traps in GaAs located near the dielectric/GaAs interface. The current findings suggest that using an atmosphere ALD process with in situ AlN passivation using the current MOCVD system could be an efficient solution to improving GaAs MOS interfaces.

  3. The MOCVD challenge a survey of GaInAsp-InP and GaInAsp-GaAs for photonic and electronic device applications

    CERN Document Server

    Razeghi, Manijeh

    2010-01-01

    Introduction to Semiconductor Compounds III-V semiconductor alloys III-V semiconductor devices Technology of multilayer growth Growth Technology Metalorganic chemical vapor deposition New non-equilibrium growth techniques In situ Characterization during MOCVD Reflectance anisotropy and ellipsometry Optimization of the growth of III-V binaries by RDS RDS investigation of III-V lattice-matched heterojunctions RDS investigation of III-V lattice-mismatched structures Insights on the growt

  4. Study of surface morphology and alignment of MWCNTs grown by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Shukrullah, S., E-mail: zshukrullah@gmail.com, E-mail: noranimuti-mohamed@petronas.com.my, E-mail: maizats@petronas.com.my; Mohamed, N. M., E-mail: zshukrullah@gmail.com, E-mail: noranimuti-mohamed@petronas.com.my, E-mail: maizats@petronas.com.my; Shaharun, M. S., E-mail: zshukrullah@gmail.com, E-mail: noranimuti-mohamed@petronas.com.my, E-mail: maizats@petronas.com.my [Department of Fundamental and Applied Sciences, Universiti Teknologi PETRONAS, 31750 Tronoh, Perak (Malaysia); Yasar, M., E-mail: Muhammad.yasar@ieee.org [Department of Electrical and Electronic Engineering, Universiti Teknologi PETRONAS, 31750 Tronoh, Perak (Malaysia)

    2014-10-24

    In this research work, Multiwalled Carbon Nanotubes (MWCNTs) have been synthesized successfully by using floating catalytic chemical vapor deposition (FCCVD) method. Different ferrocene amounts (0.1, 0.125 and 0.15 g) were used as catalyst and ethylene was used as a carbon precursor at reaction temperature of 800°C. Characterization of the grown MWCNTs was carried out by using scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The obtained data showed that the catalyst weight affects the nanotubes diameter, alignment, crystallinity and growth significantly, whereas negligible influence was noticed on CNTs forest length. The dense, uniform and meadow like patterns of grown CNTs were observed for 0.15 g ferrocene. The average diameter of the grown CNTs was found in the range of 32 to 75 nm. Close inspection of the TEM images also confirmed the defects in some of the grown CNTs, where few black spots were evident in CNTs structure.

  5. Study of surface morphology and alignment of MWCNTs grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Shukrullah, S.; Mohamed, N. M.; Shaharun, M. S.; Yasar, M.

    2014-01-01

    In this research work, Multiwalled Carbon Nanotubes (MWCNTs) have been synthesized successfully by using floating catalytic chemical vapor deposition (FCCVD) method. Different ferrocene amounts (0.1, 0.125 and 0.15 g) were used as catalyst and ethylene was used as a carbon precursor at reaction temperature of 800°C. Characterization of the grown MWCNTs was carried out by using scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The obtained data showed that the catalyst weight affects the nanotubes diameter, alignment, crystallinity and growth significantly, whereas negligible influence was noticed on CNTs forest length. The dense, uniform and meadow like patterns of grown CNTs were observed for 0.15 g ferrocene. The average diameter of the grown CNTs was found in the range of 32 to 75 nm. Close inspection of the TEM images also confirmed the defects in some of the grown CNTs, where few black spots were evident in CNTs structure

  6. Controlling the resistivity gradient in aluminum-doped zinc oxide grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Ponomarev, M.; Verheijen, M.A.; Keuning, W.; Sanden, van de M.C.M.; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO:Al layers by focusing on the control

  7. Nanostructured Diamond-Like Carbon Films Grown by Off-Axis Pulsed Laser Deposition

    Directory of Open Access Journals (Sweden)

    Seong Shan Yap

    2015-01-01

    Full Text Available Nanostructured diamond-like carbon (DLC films instead of the ultrasmooth film were obtained by pulsed laser ablation of pyrolytic graphite. Deposition was performed at room temperature in vacuum with substrates placed at off-axis position. The configuration utilized high density plasma plume arriving at low effective angle for the formation of nanostructured DLC. Nanostructures with maximum size of 50 nm were deposited as compared to the ultrasmooth DLC films obtained in a conventional deposition. The Raman spectra of the films confirmed that the films were diamond-like/amorphous in nature. Although grown at an angle, ion energy of >35 eV was obtained at the off-axis position. This was proposed to be responsible for subplantation growth of sp3 hybridized carbon. The condensation of energetic clusters and oblique angle deposition correspondingly gave rise to the formation of nanostructured DLC in this study.

  8. Effect of annealing time and NH3 flow on GaN films deposited on amorphous SiO2 by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Xu, Bingshe

    2018-05-01

    GaN polycrystalline films were successfully grown on amorphous SiO2 by metal-organic chemical vapour deposition to fabricate transferable devices using inorganic films. Field-emission scanning electron microscopy images show that by prolonging the annealing time, re-evaporation is enhanced, which reduced the uniformity of the nucleation layer and GaN films. X-ray diffraction patterns indicate that the decomposition rate of the nucleation layer increases when the annealing flow rate of NH3 is 500 sccm, which makes the unstable plane and amorphous domains decompose rapidly, thereby improving the crystallinity of the GaN films. Photoluminescence spectra also indicate the presence of fewer defects when the annealing flow rate of NH3 is 500 sccm. The excellent crystal structure of the GaN films grown under optimized conditions was revealed by transmission electron microscopy analysis. More importantly, the crystal structure and orientation of GaN grown on SiO2 are the same as that of GaN grown on conventional sapphire substrate when a buffer layer is used. This work can aid in the development of transferable devices using GaN films.

  9. Reduced thermal budget processing of Y-Ba-Cu-O films by rapid isothermal processing assisted metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Singh, R.; Sinha, S.; Hsu, N.J.; Ng, J.T.C.; Chou, P.; Thakur, R.P.S.; Narayan, J.

    1991-01-01

    Metalorganic chemical vapor deposition (MOCVD) has the potential of emerging as a viable technique to fabricate ribbons, tapes, coated wires, and the deposition of films of high-temperature superconductors, and related materials. As a reduced thermal budget processing technique, rapid isothermal processing (RIP) based on incoherent radiation as the source of energy can be usefully coupled to conventional MOCVD. In this paper we report on the deposition and characterization of high quality superconducting thin films of Y-Ba-Cu-O (YBCO) on yttrium stabilized zirconia substrates by RIP assisted MOCVD. Using O 2 gas as the source of oxygen, YBCO films deposited initially at 600 degree C for 1 min and at 745 degree C for 25 min followed by deposition at 780 degree C for 45 s are primarily c-axis oriented and zero resistance is observed at 89--90 K. The zero magnetic field current density at 53 and 77 K are 1.2x10 6 and 3x10 5 A/cm 2 , respectively. By using a mixture of N 2 O and O 2 as the oxygen source substrate temperature was further reduced in the deposition of YBCO films. The films deposited initially at 600 degree C for 1 min and than at 720 degree C for 30 min are c-axis oriented and with zero resistance being observed at 91 K. The zero magnetic field current densities at 53 and 77 K are 3.4x10 6 and 1.2x10 6 A/cm 2 , respectively. To the best of our knowledge this is the highest value of critical current density, J c for films deposited by MOCVD at a substrate temperature as low as 720 degree C. It is envisioned that high energy photons from the incoherent light source and the use of a mixture of N 2 O and O 2 as the oxygen source, assist chemical reactions and lower overall thermal budget for processing of these films

  10. As-grown enhancement of spinodal decomposition in spinel cobalt ferrite thin films by Dynamic Aurora pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Debnath, Nipa [Graduate School of Science and Technology, Shizuoka University, Hamamatsu 432-8561 (Japan); Department of Physics, Jagannath University, Dhaka 1100 (Bangladesh); Kawaguchi, Takahiko; Kumasaka, Wataru [Department of Electronics and Materials Science, Shizuoka University, Hamamatsu 432-8561 (Japan); Das, Harinarayan [Materials Science Division, Atomic Energy Centre, Dhaka 1000 (Bangladesh); Shinozaki, Kazuo [School of Materials and Chemical Technology, Tokyo Institute of Technology, Tokyo 152-8550 (Japan); Sakamoto, Naonori [Department of Electronics and Materials Science, Shizuoka University, Hamamatsu 432-8561 (Japan); Research Institute of Electronics, Shizuoka University, Hamamatsu 432-8561 (Japan); Suzuki, Hisao [Graduate School of Science and Technology, Shizuoka University, Hamamatsu 432-8561 (Japan); Department of Electronics and Materials Science, Shizuoka University, Hamamatsu 432-8561 (Japan); Research Institute of Electronics, Shizuoka University, Hamamatsu 432-8561 (Japan); Wakiya, Naoki, E-mail: wakiya.naoki@shizuoka.ac.jp [Graduate School of Science and Technology, Shizuoka University, Hamamatsu 432-8561 (Japan); Department of Electronics and Materials Science, Shizuoka University, Hamamatsu 432-8561 (Japan); Research Institute of Electronics, Shizuoka University, Hamamatsu 432-8561 (Japan)

    2017-06-15

    Highlights: • As-grown enhancement of spinodal decomposition (SD) in Co{sub x}Fe{sub 3−x}O{sub 4} film is observed. • Magnetic-field-induced ion-impingement enhances SD without any post-annealing. • The enhancement of SD is independent of the lattice-mismatch-induced strain. • This approach can promote SD in any thin film without post-deposition annealing. - Abstract: Cobalt ferrite Co{sub x}Fe{sub 3−x}O{sub 4} thin films with composition within the miscibility gap were grown using Dynamic Aurora pulsed laser deposition. X-ray diffraction patterns reveal as-grown phase separation to Fe-rich and Co-rich phases with no post-deposition annealing. The interconnected surface microstructure of thin film shows that this phase separation occurs through spinodal decomposition enhanced by magnetic-field-induced ion-impingement. The lattice parameter variation of the thin films with the magnetic field indicates that the composition fluctuations can be enhanced further by increasing the magnetic field. Results show that spinodal decomposition enhancement by magnetic-field-induced ion-impingement is independent of the lattice-mismatch-induced strain. This approach can promote spinodal decomposition in any thin film with no post-deposition annealing process.

  11. Urchin-like artificial gallium oxide nanowires grown by a novel MOCVD/CVD-based route for random laser application

    Energy Technology Data Exchange (ETDEWEB)

    Melo, Ronaldo P. de [Programa de Pós-Graduação em Ciências de Materiais, Universidade Federal de Pernambuco, Recife (Brazil); Colégio Militar do Recife, Exército Brasileiro, Recife PE 50730-120 (Brazil); Oliveira, Nathalia Talita C. [Programa de Pós-Graduação em Ciências de Materiais, Universidade Federal de Pernambuco, Recife (Brazil); Dominguez, Christian Tolentino; Gomes, Anderson S. L.; Araújo, Cid B. de [Departamento de Física, Universidade Federal de Pernambuco, 50670-901 Recife (Brazil); Falcão, Eduardo H. L.; Alves, Severino; Luz, Leonis L. da [Departamento de Química Fundamental, Universidade Federal de Pernambuco, 50670-901 Recife (Brazil); Chassagnon, Remi [Laboratoire Interdisciplinaire Carnot de Bourgogne, UMR 6303 CNRS-Université de Bourgogne, 9 Av. A. Savary, BP 47870, 21078 Dijon Cedex (France); Sacilotti, Marco [Departamento de Física, Universidade Federal de Pernambuco, 50670-901 Recife (Brazil); Nanoform Group, Laboratoire Interdisciplinaire Carnot de Bourgogne, Université de Bourgogne, Dijon (France)

    2016-04-28

    A novel procedure based on a two-step method was developed to obtain β-Ga{sub 2}O{sub 3} nanowires by the chemical vapor deposition (CVD) method. The first step consists in the gallium micro-spheres growth inside a metal-organic chemical vapor deposition environment, using an organometallic precursor. Nanoscale spheres covering the microspheres were obtained. The second step involves the CVD oxidization of the gallium micro-spheres, which allow the formation of β-Ga{sub 2}O{sub 3} nanowires on the micro-sphere surface, with the final result being a nanostructure mimicking nature's sea urchin morphology. The grown nanomaterial is characterized by several techniques, including X-ray diffraction, scanning electron microscopy, energy-dispersive X-ray, transmission electron microscopy, and photoluminescence. A discussion about the growth mechanism and the optical properties of the β-Ga{sub 2}O{sub 3} material is presented considering its unknown true bandgap value (extending from 4.4 to 5.68 eV). As an application, the scattering properties of the nanomaterial are exploited to demonstrate random laser emission (around 570 nm) when it is permeated with a laser dye liquid solution.

  12. Cu-Al alloy formation by thermal annealing of Cu/Al multilayer films deposited by cyclic metal organic chemical vapor deposition

    Science.gov (United States)

    Moon, Hock Key; Yoon, Jaehong; Kim, Hyungjun; Lee, Nae-Eung

    2013-05-01

    One of the most important issues in future Cu-based interconnects is to suppress the resistivity increase in the Cu interconnect line while decreasing the line width below 30 nm. For the purpose of mitigating the resistivity increase in the nanoscale Cu line, alloying Cu with traces of other elements is investigated. The formation of a Cu alloy layer using chemical vapor deposition or electroplating has been rarely studied because of the difficulty in forming Cu alloys with elements such as Al. In this work, Cu-Al alloy films were successfully formed after thermal annealing of Cu/Al multilayers deposited by cyclic metal-organic chemical vapor deposition (C-MOCVD). After the C-MOCVD of Cu/Al multilayers without gas phase reaction between the Cu and Al precursors in the reactor, thermal annealing was used to form Cu-Al alloy films with a small Al content fraction. The resistivity of the alloy films was dependent on the Al precursor delivery time and was lower than that of the aluminum-free Cu film. No presence of intermetallic compounds were detected in the alloy films by X-ray diffraction measurements and transmission electron spectroscopy.

  13. Structural and magnetic properties of Gd/Fe multilayers grown by pulsed laser deposition

    DEFF Research Database (Denmark)

    Kant, K. Mohan; Bahl, Christian Robert Haffenden; Pryds, Nini

    2010-01-01

    This work investigates the structural and the magnetic properties of Gd/Fe multilayered thin films grown by pulsed laser deposition onto Si (001) substrates at room temperature. he Fe layer thickness is varied from 70 to 150 nm and its effect on the structural and magnetic properties of Fe/Gd/Fe ...

  14. Strain and Structure Heterogeneity in MoS2 Atomic Layers Grown by Chemical Vapour Deposition

    Science.gov (United States)

    2014-11-18

    cture heterogeneity in MoS2 atomiclayers grown by chemical vapour deposition 6. AUTHORS Zheng Liu, Matin Amani, Sina Najmaei, Quan Xu, Xiaolong Zou...deposition Zheng Liu1•2•3·*, Matin Amani4·*, Sina Najmaei5·*, Quan Xu6•7, Xiaolong Zou5, Wu Zhou8, Ting Yu9, Caiyu Qiu9, A Glen Birdwell4, Frank J. Crowne4

  15. High quality self-separated GaN crystal grown on a novel nanoporous template by HVPE.

    Science.gov (United States)

    Huo, Qin; Shao, Yongliang; Wu, Yongzhong; Zhang, Baoguo; Hu, Haixiao; Hao, Xiaopeng

    2018-02-16

    In this study, a novel nanoporous template was obtained by a two-step etching process from MOCVD-GaN/Al 2 O 3 (MGA) with electrochemical etching sequentially followed by chemical wet etching. The twice-etched MOCVD-GaN/Al 2 O 3 (TEMGA) templates were utilized to grow GaN crystals by hydride vapor phase epitaxy (HVPE) method. The GaN crystals were separated spontaneously from the TEMGA template with the assistance of voids formed by the etched nanopores. Several techniques were utilized to characterize the quality of the free-standing GaN crystals obtained from the TEMGA template. Results showed that the quality of the as-obtained GaN crystals was improved obviously compared with those grown on the MGA. This convenient technique can be applied to grow high-quality free-standing GaN crystals.

  16. LSMO-STO(110) multilayered structure grown by metalorganic aerosol deposition

    International Nuclear Information System (INIS)

    Sapoval, Oleg; Belenchuk, Alexander; Canter, Valeriu; Zasavitsky, Efim; Moshnyaga, Vasily

    2013-01-01

    La 0.67 Sr 0.33 MnO 3 -SrTiO 3 multilayered structure was grown on SrTiO 3 (110) substrates by metalorganic aerosol deposition technique. The crystal structure was examined by X-ray analysis including simulation of diffraction and reflection patterns. The magneto transport properties of superlattice are presented. The critical thickness of (110)-oriented LSMO layers is lower than 7 perovskite unite cells. The oxygen stoichiometry provided due to high gas pressure conditions is responsible for reducing of critical thickness of LSMO layers at LSMO-STO(110) interfaces. (authors)

  17. Raman scattering studies of YBa2Cu3O7-x thin films grown by chemical vapor deposition and metal-organic deposition

    International Nuclear Information System (INIS)

    Lee, E.; Yoon, S.; Um, Y.M.; Jo, W.; Seo, C.W.; Cheong, H.; Kim, B.J.; Lee, H.G.; Hong, G.W.

    2007-01-01

    We present results of Raman scattering studies of superconducting YBa 2 Cu 3 O 7-x (YBCO) films grown by chemical vapor deposition and metal-organic deposition methods. It is shown by X-ray diffraction that all the as-grown YBCO films have a highly c-axis oriented and in-plane aligned texture. Raman scattering measurements were used to investigate optical phonon modes, oxygen contents, structural properties, and second-phases of the YBCO coated conductors. Raman spectra of YBCO films with lower-transport qualities exhibit additional phonon modes at ∼300 cm -1 , ∼600 cm -1 , and ∼630 cm -1 , which are related to second-phases such as Ba 2 Cu 3 O 5.9 and BaCuO 2 . Our results strongly suggest that Raman scattering be useful for optimizing YBCO film growth conditions

  18. Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition

    International Nuclear Information System (INIS)

    Shih, Huan-Yu; Chen, Miin-Jang; Lin, Ming-Chih; Chen, Liang-Yih

    2015-01-01

    The growth of uniform gallium nitride (GaN) thin films was reported on (100) Si substrate by remote plasma atomic layer deposition (RP-ALD) using triethylgallium (TEG) and NH 3 as the precursors. The self-limiting growth of GaN was manifested by the saturation of the deposition rate with the doses of TEG and NH 3 . The increase in the growth temperature leads to the rise of nitrogen content and improved crystallinity of GaN thin films, from amorphous at a low deposition temperature of 200 °C to polycrystalline hexagonal structures at a high growth temperature of 500 °C. No melting-back etching was observed at the GaN/Si interface. The excellent uniformity and almost atomic flat surface of the GaN thin films also infer the surface control mode of the GaN thin films grown by the RP-ALD technique. The GaN thin films grown by RP-ALD will be further applied in the light-emitting diodes and high electron mobility transistors on (100) Si substrate. (paper)

  19. The effects of ZnO buffer layers on the properties of phosphorus doped ZnO thin films grown on sapphire by pulsed laser deposition

    International Nuclear Information System (INIS)

    Kim, K-W; Lugo, F J; Lee, J H; Norton, D P

    2012-01-01

    The properties of phosphorus doped ZnO thin films grown on sapphire by pulsed laser deposition were examined, specifically focusing on the effects of undoped ZnO buffer layers. In particular, buffer layers were grown under different conditions; the transport properties of as-deposited and rapid thermal annealed ZnO:P films were then examined. As-deposited films showed n-type conductivity. After rapid thermal annealing, the film on buffer layer grown at a low temperature showed the conversion of carrier type to p-type for specific growth conditions while the films deposited on buffer layer grown at a high temperature remained n-type regardless of growth condition. The films deposited on buffer layer grown at a low temperature showed higher resistivity and more significant change of the transport properties upon rapid thermal annealing. These results suggest that more dopants are incorporated in films with higher defect density. This is consistent with high resolution x-ray diffraction results for phosphorus doped ZnO films on different buffer layers. In addition, the microstructure of phosphorus doped ZnO films is substantially affected by the buffer layer.

  20. Hardware Modifications to the US Army Research Laboratory’s Metalorganic Chemical Vapor Deposition (MOCVD) System for Optimization of Complex Oxide Thin Film Fabrication

    Science.gov (United States)

    2015-04-01

    the total absorbance, or the fraction of radiation absorbed at the measured wavelength; is the calculated molar extinction coefficient for the...of PZT thin films by liquid delivery MOCVD. Integrated Ferroelectrics. 2002;46:125–131. 14. Hiskes R, Dicarolis SA, Jacowitz RD, Lu Z, Feigelson RS

  1. Advanced Epi Tools for Gallium Nitride Light Emitting Diode Devices

    Energy Technology Data Exchange (ETDEWEB)

    Patibandla, Nag; Agrawal, Vivek

    2012-12-01

    Over the course of this program, Applied Materials, Inc., with generous support from the United States Department of Energy, developed a world-class three chamber III-Nitride epi cluster tool for low-cost, high volume GaN growth for the solid state lighting industry. One of the major achievements of the program was to design, build, and demonstrate the world’s largest wafer capacity HVPE chamber suitable for repeatable high volume III-Nitride template and device manufacturing. Applied Materials’ experience in developing deposition chambers for the silicon chip industry over many decades resulted in many orders of magnitude reductions in the price of transistors. That experience and understanding was used in developing this GaN epi deposition tool. The multi-chamber approach, which continues to be unique in the ability of the each chamber to deposit a section of the full device structure, unlike other cluster tools, allows for extreme flexibility in the manufacturing process. This robust architecture is suitable for not just the LED industry, but GaN power devices as well, both horizontal and vertical designs. The new HVPE technology developed allows GaN to be grown at a rate unheard of with MOCVD, up to 20x the typical MOCVD rates of 3{micro}m per hour, with bulk crystal quality better than the highest-quality commercial GaN films grown by MOCVD at a much cheaper overall cost. This is a unique development as the HVPE process has been known for decades, but never successfully commercially developed for high volume manufacturing. This research shows the potential of the first commercial-grade HVPE chamber, an elusive goal for III-V researchers and those wanting to capitalize on the promise of HVPE. Additionally, in the course of this program, Applied Materials built two MOCVD chambers, in addition to the HVPE chamber, and a robot that moves wafers between them. The MOCVD chambers demonstrated industry-leading wavelength yield for GaN based LED wafers and industry

  2. Effect of Different Catalyst Deposition Technique on Aligned Multiwalled Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Mohamed Shuaib Mohamed Saheed

    2014-01-01

    Full Text Available The paper reported the investigation of the substrate preparation technique involving deposition of iron catalyst by electron beam evaporation and ferrocene vaporization in order to produce vertically aligned multiwalled carbon nanotubes array needed for fabrication of tailored devices. Prior to the growth at 700°C in ethylene, silicon dioxide coated silicon substrate was prepared by depositing alumina followed by iron using two different methods as described earlier. Characterization analysis revealed that aligned multiwalled carbon nanotubes array of 107.9 µm thickness grown by thermal chemical vapor deposition technique can only be achieved for the sample with iron deposited using ferrocene vaporization. The thick layer of partially oxidized iron film can prevent the deactivation of catalyst and thus is able to sustain the growth. It also increases the rate of permeation of the hydrocarbon gas into the catalyst particles and prevents agglomeration at the growth temperature. Combination of alumina-iron layer provides an efficient growth of high density multiwalled carbon nanotubes array with the steady growth rate of 3.6 µm per minute for the first 12 minutes and dropped by half after 40 minutes. Thicker and uniform iron catalyst film obtained from ferrocene vaporization is attributed to the multidirectional deposition of particles in the gaseous form.

  3. MOCVD coating deposition of yttrium stabilized zirconia as backing for high-temperature superconductors on flexible substrates

    International Nuclear Information System (INIS)

    Jakschik, F.; Berger, W.; Seifert, L.; Nowick, W.; Leonhardt, G.

    1993-01-01

    The coating of carbon fibers with YSZ by means of the presented MOCVD process showed that in the bundle at temperatures between 500 - 600 C the coating thickness drops toward the center of the bundle. Sufficient homogeneity can be achieved only when the precipitation rate is selected slow enough to prevent the bundle edge from closing, or when the bundle is spread sufficiently open. The layers are on one hand ZrO 2 with incorporated carbon and on the other hand yttrium stabilized ZrO 2 with incorporated carbon. In both cases exclusively the cubic phase of the oxide was detected. The morphology of layers revealed only slight roughness with incorporation of relatively large nodules consisting of YSZ, caused by homogeneous gas phase reactions which are to be prevented. (orig.) [de

  4. Development of Y-BA-CU-O Coated Conductor Using Metal Organic Chemical Vapor Deposition

    National Research Council Canada - National Science Library

    Selvamanickam, V

    2003-01-01

    .... The program includes a study of the a) influence of MOCVD processing conditions such as the flow rate of precursor vapors, precursor vaporization temperatures, oxygen partial pressure, reactor pressure, and the deposition temperature...

  5. Thermogravimetric evaluation of the suitability of precursors for MOCVD

    International Nuclear Information System (INIS)

    Kunte, G V; Shivashankar, S A; Umarji, A M

    2008-01-01

    A method based on the Langmuir equation for the estimation of vapour pressure and enthalpy of sublimation of subliming compounds is described. The variable temperature thermogravimetric/differential thermogravimetric (TG/DTG) curve of benzoic acid is used to arrive at the instrument parameters. Employing these parameters, the vapour pressure–temperature curves are derived for salicylic acid and camphor from their TG/DTG curves. The values match well with vapour pressure data in the literature, obtained by effusion methods. By employing the Clausius–Clapeyron equation, the enthalpy of sublimation could be calculated. Extending the method further, two precursors for metal-organic chemical vapour deposition (MOCVD) of titanium oxide bis-isopropyl bis tert-butyl 2-oxobutanoato titanium, Ti(O i Pr) 2 (tbob) 2 , and bis-oxo-bis-tertbutyl 2-oxobutanoato titanium, [TiO(tbob) 2 ] 2 , have been evaluated. The complex Ti(O i Pr) 2 (tbob) 2 is found to be a more suitable precursor. This approach can be helpful in quickly screening for the suitability of a compound as a CVD precursor

  6. Characterization for rbs of layers de grown cdznse for chemical deposition (cbd)

    International Nuclear Information System (INIS)

    Pedrero, E.; Larramendi, E.; Calzadilla, O.

    1999-01-01

    Depth and composition of CdZnSe thin films grown by Chemical Bath Deposition were characterized by Rutherford Backscattering Spectrometry. Depth and the relative cuantitity of Se, both decrease when annealed in air. The films have a non-stoichiometric Cd:Zn:Se composition constant from the substrate to the surface , with vacancies of Se. After annealing all the films come up to a similar composition: Cd( Zn 0.2 )Se

  7. Metal-insulator transition in Pt-C nanowires grown by focused-ion-beam-induced deposition

    International Nuclear Information System (INIS)

    Fernandez-Pacheco, A.; Ibarra, M. R.; De Teresa, J. M.; Cordoba, R.

    2009-01-01

    We present a study of the transport properties of Pt-C nanowires created by focused-ion-beam (FIB)-induced deposition. By means of the measurement of the resistance while the deposit is being performed, we observe a progressive decrease in the nanowire resistivity with thickness, changing from 10 8 μΩ cm for thickness ∼20 nm to a lowest saturated value of 700 μΩ cm for thickness >150 nm. Spectroscopy analysis indicates that this dependence on thickness is caused by a gradient in the metal-carbon ratio as the deposit is grown. We have fabricated nanowires in different ranges of resistivity and studied their conduction mechanism as a function of temperature. A metal-insulator transition as a function of the nanowire thickness is observed. The results will be discussed in terms of the Mott-Anderson theory for noncrystalline materials. An exponential decrease in the conductance with the electric field is found for the most resistive samples, a phenomenon understood by the theory of hopping in lightly doped semiconductors under strong electric fields. This work explains the important discrepancies found in the literature for Pt-C nanostructures grown by FIB and opens the possibility to tune the transport properties of this material by an appropriate selection of the growth parameters.

  8. Zinc Cadmium Selenide Cladded Quantum Dot Based Electroluminescent and Nonvolatile Memory Devices

    Science.gov (United States)

    Al-Amody, Fuad H.

    This dissertation presents electroluminescent (EL) and nonvolatile memory devices fabricated using pseudomorphic ZnCdSe-based cladded quantum dots (QDs). These dots were grown using our own in-school built novel reactor. The EL device was fabricated on a substrate of ITO (indium tin oxide) coated glass with the quantum dots sandwiched between anode and cathode contacts with a small barrier layer on top of the QDs. The importance of these cladded dots is to increase the quantum yield of device. This device is unique as they utilize quantum dots that are pseudomorphic (nearly lattice-matched core and the shell of the dot). In the case of floating quantum dot gate nonvolatile memory, cladded ZnCdSe quantum dots are deposited on single crystalline gate insulator (ZnMgS/ZnMgSe), which is grown using metal-organic chemical vapor deposition (MOCVD). The control gate dielectric layer of the nonvolatile memory is Si3N4 or SiO2 and is grown using plasma enhanced chemical vapor deposition (PECVD). The cladded dots are grown using an improved methodology of photo-assisted microwave plasma metal-organic chemical vapor deposition (PMP-MOCVD) enhanced reactor. The cladding composition of the core and shell of the dots was engineered by the help of ultraviolet light which changed the incorporation of zinc (and hence composition of ZnCdSe). This makes ZnxCd1--xSe-ZnyCd1--y Se QDs to have a low composition of zinc in the core than the cladding (x

  9. Horizontal Assembly of Single Nanowire Diode Fabricated by p-n Junction GaN NW Grown by MOCVD

    Directory of Open Access Journals (Sweden)

    Ji-Hyeon Park

    2014-01-01

    Full Text Available Uniaxially p-n junction gallium nitride nanowires have been synthesized via metal-organic chemical vapor deposition method. Nanowires prepared on Si(111 substrates were found to grow perpendicular to the substrate, and the transmission electron microscopy studies demonstrated that the nanowires had singlecrystalline structures with a growth axis. The parallel assembly of the p-n junction nanowire was prepared on a Si substrate with a thermally grown SiO2 layer. The transport studies of horizontal gallium nitride nanowire structures assembled from p- and n-type materials show that these junctions correspond to well-defined p-n junction diodes. The p-n junction devices based on GaN nanowires suspended over the electrodes were fabricated and their electrical properties were investigated. The horizontally assembled gallium nitride nanowire diodes suspended over the electrodes exhibited a substantial increase in conductance under UV light exposure. Apart from the selectivity to different light wavelengths, high responsivity and extremely short response time have also been obtained.

  10. Preparation of Anatase TiO2 Thin Films with (OiPr)2Ti(CH3COCHCONEt2)2 Precursor by MOCVD

    International Nuclear Information System (INIS)

    Bae, Byoung Jae; Seo, Won Seok; Miah, Arzu; Park, Joon T.; Lee, Kwang Yeol; Kim, Keun Chong

    2004-01-01

    The reaction of titanium tetraisopropoxide with 2 equiv of N,N-diethyl acetoacetamide affords Ti(O i Pr) 2 (CH 3 COCHCONEt 2 ) 2 (1) as colorless crystals in 80% yield. Compound 1 is characterized by spectroscopic (Mass and 1 H/ 13 C NMR) and microanalytical data. Molecular structure of 1 has been determined by a single crystal X-ray diffraction study, which reveals that it is a monomeric, cis-diisopropoxide and contains a six coordinate Ti(IV) atom with a cis(CONEt 2 ), trans(COCH 3 ) configuration (1a) in a distorted octahedral environment. Variable-temperature 1 H NMR spectra of 1 indicate that it exists as an equilibrium mixture of cis, trans (1a) and cis, cis (1b) isomers in a 0.57 : 0.43 ratio at -20 .deg. C in toluene-d 8 solution. Thermal properties of 1 as a MOCVD precursor for titanium dioxide films have been evaluated by thermal gravimetric analysis and vapor pressure measurement. Thin films of pure anatase titanium dioxide (after annealing above 500 .deg. C under oxygen) have been grown on Si(100) with precursor 1 in the substrate temperature range of 350- 500 .deg. C using a bubbler-based MOCVD method

  11. Thermal evolution of defects in undoped zinc oxide grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Zilan; Su, Shichen; Ling, Francis Chi-Chung, E-mail: ccling@hku.hk [Department of Physics, The University of Hong Kong, Pokfulam Road, Hong Kong (China); Anwand, W.; Wagner, A. [Institute of Radiation Physics, Helmholtz-Zentrum Dresden-Rossendorf, Bautzner Landstr. 400, 01328 Dresden (Germany)

    2014-07-21

    Undoped ZnO films are grown by pulsed laser deposition on c-plane sapphire with different oxygen pressures. Thermal evolutions of defects in the ZnO films are studied by secondary ion mass spectroscopy (SIMS), Raman spectroscopy, and positron annihilation spectroscopy (PAS), and with the electrical properties characterized by the room temperature Hall measurement. Oxygen deficient defect related Raman lines 560 cm{sup −1} and 584 cm{sup −1} are identified and their origins are discussed. Thermal annealing induces extensive Zn out-diffusion at the ZnO/sapphire interface and leaves out Zn-vacancy in the ZnO film. Two types of Zn-vacancy related defects with different microstructures are identified in the films. One of them dominates in the samples grown without oxygen. Annealing the sample grown without oxygen or growing the samples in oxygen would favor the Zn-vacancy with another microstructure, and this Zn-vacancy defect persists after 1100 °C annealing.

  12. Thermal evolution of defects in undoped zinc oxide grown by pulsed laser deposition

    Science.gov (United States)

    Wang, Zilan; Su, Shichen; Ling, Francis Chi-Chung; Anwand, W.; Wagner, A.

    2014-07-01

    Undoped ZnO films are grown by pulsed laser deposition on c-plane sapphire with different oxygen pressures. Thermal evolutions of defects in the ZnO films are studied by secondary ion mass spectroscopy (SIMS), Raman spectroscopy, and positron annihilation spectroscopy (PAS), and with the electrical properties characterized by the room temperature Hall measurement. Oxygen deficient defect related Raman lines 560 cm-1 and 584 cm-1 are identified and their origins are discussed. Thermal annealing induces extensive Zn out-diffusion at the ZnO/sapphire interface and leaves out Zn-vacancy in the ZnO film. Two types of Zn-vacancy related defects with different microstructures are identified in the films. One of them dominates in the samples grown without oxygen. Annealing the sample grown without oxygen or growing the samples in oxygen would favor the Zn-vacancy with another microstructure, and this Zn-vacancy defect persists after 1100 °C annealing.

  13. Thermal evolution of defects in undoped zinc oxide grown by pulsed laser deposition

    International Nuclear Information System (INIS)

    Wang, Zilan; Su, Shichen; Ling, Francis Chi-Chung; Anwand, W.; Wagner, A.

    2014-01-01

    Undoped ZnO films are grown by pulsed laser deposition on c-plane sapphire with different oxygen pressures. Thermal evolutions of defects in the ZnO films are studied by secondary ion mass spectroscopy (SIMS), Raman spectroscopy, and positron annihilation spectroscopy (PAS), and with the electrical properties characterized by the room temperature Hall measurement. Oxygen deficient defect related Raman lines 560 cm −1 and 584 cm −1 are identified and their origins are discussed. Thermal annealing induces extensive Zn out-diffusion at the ZnO/sapphire interface and leaves out Zn-vacancy in the ZnO film. Two types of Zn-vacancy related defects with different microstructures are identified in the films. One of them dominates in the samples grown without oxygen. Annealing the sample grown without oxygen or growing the samples in oxygen would favor the Zn-vacancy with another microstructure, and this Zn-vacancy defect persists after 1100 °C annealing.

  14. Monolithically integrated InGaAs/GaAs/AlGaAs quantum well laser grown by MOCVD on exact Ge/Si(001) substrate

    Energy Technology Data Exchange (ETDEWEB)

    Aleshkin, V. Ya.; Dubinov, A. A.; Krasilnik, Z. F.; Kudryavtsev, K. E.; Novikov, A. V.; Yurasov, D. V., E-mail: Inquisitor@ipm.sci-nnov.ru [Institute for Physics of Microstructures of the Russian Academy of Sciences, 603950 Nizhny Novgorod (Russian Federation); Lobachevsky State University of Nizhny Novgorod, 603950 Nizhny Novgorod (Russian Federation); Baidus, N. V.; Samartsev, I. V. [Physical-Technical Research Institute of Lobachevsky State University of Nizhny Novgorod, 603950 Nizhny Novgorod (Russian Federation); Fefelov, A. G. [FGUE “Salut,” 603950 Nizhny Novgorod (Russian Federation); Nekorkin, S. M. [Institute for Physics of Microstructures of the Russian Academy of Sciences, 603950 Nizhny Novgorod (Russian Federation); Physical-Technical Research Institute of Lobachevsky State University of Nizhny Novgorod, 603950 Nizhny Novgorod (Russian Federation); Pavlov, D. A.; Sushkov, A. A. [Lobachevsky State University of Nizhny Novgorod, 603950 Nizhny Novgorod (Russian Federation); Skorokhodov, E. V.; Shaleev, M. V.; Yablonskiy, A. N.; Yunin, P. A. [Institute for Physics of Microstructures of the Russian Academy of Sciences, 603950 Nizhny Novgorod (Russian Federation)

    2016-08-08

    We report on realization of the InGaAs/GaAs/AlGaAs quantum well laser grown by metallorganic chemical vapor deposition on a virtual Ge-on-Si(001) substrate. The Ge buffer layer has been grown on a nominal Si(001) substrate by solid-source molecular beam epitaxy. Such Ge buffer possessed rather good crystalline quality and smooth surface and so provided the subsequent growth of the high-quality A{sub 3}B{sub 5} laser structure. The laser operation has been demonstrated under electrical pumping at 77 K in the continuous wave mode and at room temperature in the pulsed mode. The emission wavelengths of 941 nm and 992 nm have been obtained at 77 K and 300 K, respectively. The corresponding threshold current densities were estimated as 463 A/cm{sup 2} at 77 K and 5.5 kA/cm{sup 2} at 300 K.

  15. MOCVD Growth and Characterization of n-type Zinc Oxide Thin Films

    Science.gov (United States)

    Ben-Yaacov, Tammy

    In the past decade, there has been widespread effort in the development of zinc oxide as a II-V1 semiconductor material. ZnO has potential advantages in optoelectronip device applications due to its unique electrical and optical properties. What stands out among these properties is its wide direct bandgap of 3.37 eV and its high electrical conductivity and transparency in the visible and near-UV regions of the spectrum. ZnO can be grown heteroepitaxially on GaN under near lattice-matched conditions and homoepitaxially as well, as high-quality bulk ZnO substrates are commercially available. This dissertation focuses on the development of the growth of high-quality, single crystal n-type ZnO films, control of n-type conductivity, as well as its application as a transparent contact material in GaN-based devices. The first part of this dissertation is an extensive heteroepitaxial and homoepitaxial growth study presenting the properties of ZnO(0001) layers grown on GaN(0001) templates and ZnO(0001) substrates. We show that deposition on GaN requires a two-step growth technique involving the growth of a low temperature nucleation layer before growing a high temperature epitaxial layer in order to obtain smooth ZnO films with excellent crystal quality and step-flow surface morphology. We obtained homoepitaxial ZnO(0001) films of structural quality and surface morphology that is comparable to the as-received substrates, and showed that a high growth temperature (≥1000°C) is needed in order to achieve step-flow growth mode. We performed n-type doping experiments, and established the conditions for which Indium effectively controls the n-type conductivity of ZnO films grown on GaN(0001) templates. A peak carrier concentration of 3.22x 10 19cm-3 and minimum sheet resistance of 97 O/square was achieved, while simultaneously maintaining good morphology and crystal quality. Finally, we present In-doped ZnO films implemented as p-contacts for GaN-based solar cells and LEDs

  16. Reduced thermal budget processing of Y--Ba--Cu--O high temperature superconducting thin films by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Singh, R.; Sinha, S.; Hsu, N.J.; Ng, J.T.C.; Chou, P.; Thakur, R.P.S.; Narayan, J.

    1991-01-01

    Metalorganic chemical vapor deposition (MOCVD) has the potential of emerging as a viable technique to fabricate ribbons, tapes, coated wires, and the deposition of films of high temperature superconductors, and related materials. As a reduced thermal budget processing technique, rapid isothermal processing (RIP) based on incoherent radiation as the source of energy can be usefully coupled to conventional MOCVD. In this paper we report on the deposition and characterization of high quality superconducting thin films of Y--Ba--Cu--O (YBCO) on MgO and SrTiO 3 substrates by RIP assisted MOCVD. By using a mixture of N 2 O and O 2 as the oxygen source films deposited initially at 600 degree C for 1 min and then at 740 degree C for 30 min are primarily c-axis oriented and with zero resistance being observed at 84 and 89 K for MgO and SrTiO 3 substrates, respectively. The zero magnetic field current densities at 77 K for MgO and SrTiO 3 substrates are 1.2x10 6 and 1.5x10 6 A/cm 2 , respectively. It is envisaged that high energy photons from the incoherent light source and the use of a mixture of N 2 O and O 2 as the oxygen source, assist chemical reactions and lower overall thermal budget for processing of these films

  17. Metalorganic chemical vapor deposition of gallium nitride on sacrificial substrates

    Science.gov (United States)

    Fenwick, William Edward

    into the subsequent GaN layer was studied in more detail. Several approaches were investigated---for example, transition layers such as Al2O3 and Al xGa1-xN/GaN---to minimize diffusion of these impurities into the GaN layer. Silicon, due to its prevalence, is the most promising material for the development of an inexpensive, large-area substrate technology. The challenge in MOCVD growth of GaN on Si is the tensile strain induced by the lattice and thermal mismatch between GaN and Si and the formation of anti-phase boundaries. Typical approaches to solve these problems involve complicated and multiple buffer layer structures, which lead to relatively slow growth rates. In this work, a thin atomic layer deposition (ALD)-grown Al2O3 interlayer was employed to relieve strain and increase material quality while also simplifying the growth process. While some residual strain was still observed in the GaN material by XRD and PL, the use of this oxide interlayer leads to an improvement in thin film quality as seen by a reduction in both crack density (assigned to the difference in indium compositions. These results show a great promise toward an inexpensive, large-area, silicon-based substrate technology for MOCVD growth of the next generation of GaN-based optoelectronic devices for SSL and other applications.

  18. Synthesis and microstructural characterization of growth direction controlled ZnO nanorods using a buffer layer

    International Nuclear Information System (INIS)

    Park, Dong Jun; Kim, Dong Chan; Lee, Jeong Yong; Cho, Hyung Koun

    2006-01-01

    The growth direction and morphology of one-dimensional ZnO nanostructures grown by metal-organic chemical vapour deposition (MOCVD) were modulated by changing the growth temperature of previously deposited ZnO buffer layers that were used as a template. The ZnO nanorods grown on the low-temperature deposited buffer layer were regularly inclined with respect to the substrate surface and show in-plane alignment with azimuthally six-fold symmetry. In contrast, deposition of the buffer layer at higher growth temperature led to the formation of vertically well-aligned ZnO nanorods. In addition, the ZnO nanorods grown on the buffer layer deposited at low growth temperature show a growth direction of [1 0 1-bar 0], unlike the conventional ZnO nanorods showing a growth direction of [0001]. The microstructural analysis and atomic modelling of the formation of regularly inclined nanorods using transmission electron microscopy are presented

  19. ZnO nanowall network grown by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Mukherjee, Amrita, E-mail: but.then.perhaps@gmail.com; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology Bombay, Powai, Mumbai-400076 (India)

    2015-06-24

    Network of wedge shaped ZnO nanowalls are grown on c-sapphire by Chemical Vapor Deposition (CVD) technique. Structural studies using x-ray diffraction show much better crystallinity in the nanowall sample as compared to the continuous film. Moreover, the defect related broad green luminescence is found to be suppressed in the nanowall sample. The low temperature photoluminescence study also suggests the quantum confinement of carriers in nanowall sample. Electrical studies performed on the nanowalls show higher conductivity, which has been explained in terms of the reduction of scattering cross-section as a result of 1D quantum confinement of carriers on the tip of the nanowalls.

  20. Photocatalysis in the visible range of sub-stoichiometric anatase films prepared by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Justicia, I. [ICMAB/CSIC, Campus UAB, 08193 Bellaterra (Spain); Garcia, G. [ICMAB/CSIC, Campus UAB, 08193 Bellaterra (Spain)]. E-mail: gemma@icmab.es; Battiston, G.A. [ICIS/CNR, Corso Stati Uniti 4, 35127 Padova (Italy); Gerbasi, R. [ICIS/CNR, Corso Stati Uniti 4, 35127 Padova (Italy); Ager, F. [CNA/CSIC Parque Tecnologico Cartuja 93, Avda Thomas A, Edison, 41092 Sevilla (Spain); Guerra, M. [IIQAB/CSIC Jordi Girona, 18 08034 Barcelona (Spain); Caixach, J. [IIQAB/CSIC Jordi Girona, 18 08034 Barcelona (Spain); Pardo, J.A. [ICMAB/CSIC, Campus UAB, 08193 Bellaterra (Spain); Rivera, J. [IIQAB/CSIC Jordi Girona, 18 08034 Barcelona (Spain); Figueras, A. [ICMAB/CSIC, Campus UAB, 08193 Bellaterra (Spain); Instituto de Fisica, UNAM, Campus UNAM Juriquilla, 76230 Queretaro (Mexico)

    2005-08-25

    Anatase phase of titanium oxide is the most promising photocatalyst material for organic pollutant degradation. However, due to its large band gap energy (3.2 eV) it is not viable to use sunlight as an energy source for the photocatalysis activation, and so, ultraviolet (UV) radiation below the wavelength of 380 nm is required. This paper focuses on the experimental demonstration of the reduction of this large band gap energy by inducing defects in the anatase structure under the form of oxygen sub-stoichiometry. TiO{sub 2} thin films were prepared in a metal organic chemical vapour deposition (MOCVD) reactor. The samples stoichiometry was measured by the Rutherford backscattering spectrometry (RBS) technique. Optical characterisation was also performed and the photodegradation activity in the visible range was tested using nonylphenol, which is one of the most harmful pollutants present in waste waters.

  1. MOCVD process technology for affordable, high-yield, high-performance MESFET structures. Phase 3: MIMIC

    Science.gov (United States)

    1993-01-01

    Under the MIMIC Program, Spire has pursued improvements in the manufacturing of low cost, high quality gallium arsenide MOCVD wafers for advanced MIMIC FET applications. As a demonstration of such improvements, Spire was tasked to supply MOCVD wafers for comparison to MBE wafers in the fabrication of millimeter and microwave integrated circuits. In this, the final technical report for Spire's two-year MIMIC contract, we report the results of our work. The main objectives of Spire's MIMIC Phase 3 Program, as outlined in the Statement of Work, were as follows: Optimize the MOCVD growth conditions for the best possible electrical and morphological gallium arsenide. Optimization should include substrate and source qualification as well as determination of the optimum reactor growth conditions; Perform all work on 75 millimeter diameter wafers, using a reactor capable of at least three wafers per run; and Evaluate epitaxial layers using electrical, optical, and morphological tests to obtain thickness, carrier concentration, and mobility data across wafers.

  2. In situ growth monitoring of AlGaN/GaN distributed Bragg reflectors at 530 nm using a 633 nm laser

    Energy Technology Data Exchange (ETDEWEB)

    Wen Feng; Huang Lirong; Jiang Bo; Tong Liangzhu; Xu Wei; Liu Deming, E-mail: hlr5649@163.co [Wuhan National Laboratory for Optoelectronics, College of Opto-Electronics Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China)

    2010-09-15

    The metal-organic chemical vapor deposition (MOCVD) growth of AlGaN/GaN distributed Bragg reflectors (DBR) with a reflection peak at 530 nm was in situ monitored using 633 nm laser reflectometry. Evolutions of in situ reflected reflectivity for different kinds of AlGaN/GaN DBR were simulated by the classical transfer matrix method. Two DBR samples, which have the same parameters as the simulated structures, were grown by MOCVD. The simulated and experimental results show that it is possible to evaluate the DBR parameters from the envelope shape of the in situ reflectivity spectrum. With the help of the 633 nm laser reflectometry, a DBR light emitting diode (LED) was grown. The room temperature photoluminescence spectra show that the reflection peak of the DBR in the LED is within the design region. (semiconductor devices)

  3. Electronic and Mechanical Properties of GrapheneGermanium Interfaces Grown by Chemical Vapor Deposition

    Science.gov (United States)

    2015-10-27

    that graphene acts as a diffusion barrier to ambient contaminants, as similarly prepared bare Ge exposed to ambient conditions possesses a much...in-plane order underneath the graphene (Figure 1b,f). The stabilization of Ge terraces with half-step heights indicates that the graphene modifies the...Electronic and Mechanical Properties of Graphene −Germanium Interfaces Grown by Chemical Vapor Deposition Brian Kiraly,†,‡ Robert M. Jacobberger

  4. Curvature evolution of 200 mm diameter GaN-on-insulator wafer fabricated through metalorganic chemical vapor deposition and bonding

    Science.gov (United States)

    Zhang, Li; Lee, Kwang Hong; Kadir, Abdul; Wang, Yue; Lee, Kenneth E.; Tan, Chuan Seng; Chua, Soo Jin; Fitzgerald, Eugene A.

    2018-05-01

    Crack-free 200 mm diameter N-polar GaN-on-insulator (GaN-OI) wafers are demonstrated by the transfer of metalorganic chemical vapor deposition (MOCVD)-grown Ga-polar GaN layers from Si(111) wafers onto SiO2/Si(100) wafers. The wafer curvature of the GaN-OI wafers after the removal of the original Si(111) substrate is correlated with the wafer curvature of the starting GaN-on-Si wafers and the voids on the GaN-on-Si surface that evolve into cracks on the GaN-OI wafers. In crack-free GaN-OI wafers, the wafer curvature during the removal of the AlN nucleation layer, AlGaN strain-compensation buffer layers and GaN layers is correlated with the residual stress distribution within individual layers in the GaN-OI wafer.

  5. Stoichiometry and characterization of aluminum oxynitride thin films grown by ion-beam-assisted pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zabinski, J.S. [Materials and Manufacturing Directorate, Air Force Research Laboratory (AFRL), Wright-Patterson Air Force Base, Dayton, Ohio 45433 (United States); Hu, J.J. [Materials and Manufacturing Directorate, Air Force Research Laboratory (AFRL), Wright-Patterson Air Force Base, Dayton, Ohio 45433 (United States)], E-mail: Jianjun.Hu@WPAFB.AF.MIL; Bultman, J.E. [Materials and Manufacturing Directorate, Air Force Research Laboratory (AFRL), Wright-Patterson Air Force Base, Dayton, Ohio 45433 (United States); Pierce, N.A. [Propulsion Directorate, Air Force Research Laboratory (AFRL), Wright-Patterson Air Force Base, Dayton, Ohio 45433 (United States); Voevodin, A.A. [Materials and Manufacturing Directorate, Air Force Research Laboratory (AFRL), Wright-Patterson Air Force Base, Dayton, Ohio 45433 (United States)

    2008-07-31

    Oxides are inherently stable in air at elevated temperatures and may serve as wear resistant matrices for solid lubricants. Aluminum oxide is a particularly good candidate for a matrix because it has good diffusion barrier properties and modest hardness. Most thin film deposition techniques that are used to grow alumina require high temperatures to impart crystallinity. Crystalline films are about twice as hard as amorphous ones. Unfortunately, the mechanical properties of most engineering steels are degraded at temperatures above 250-350 deg. C. This work is focused on using energetic reactive ion bombardment during simultaneous pulsed laser deposition to enhance film crystallization at low temperatures. Alumina films were grown at several background gas pressures and temperatures, with and without Ar ion bombardment. The films were nearly stoichiometric except for depositions in vacuum. Using nitrogen ion bombardment, nitrogen was incorporated into the films and formed the Al-O-N matrix. Nitrogen concentration could be controlled through selection of gas pressure and ion energy. Crystalline Al-O-N films were grown at 330 deg. C with a negative bias voltage to the substrate, and showed improved hardness in comparison to amorphous films.

  6. Metalorganic atomic layer deposition of TiN thin films using TDMAT and NH3

    International Nuclear Information System (INIS)

    Kim, Hyo Kyeom; Kim, Ju Youn; Park, Jin Yong; Kim, Yang Do; Kim, Young Do; Jeon, Hyeong Tag; Kim, Won Mok

    2002-01-01

    TiN films were deposited by using the metalorganic atomic layer deposition (MOALD) method using tetrakis-dimethyl-amino-titanium (TDMAT) as the titanium precursor and ammonia (NH 3 ) as the reactant gas. Two saturated TiN film growth regions were observed in the temperature ranges from 175 and 190 .deg. C and from 200 and 210 .deg. C. TiN films deposited by the MOALD technique showed relatively lower carbon content than films deposited by metalorganic chemical vapor deposition (MOCVD) method. TiN films deposited at around 200 .deg. C under standard conditions showed the resistivity values as low as 500 μΩ-cm, which is about one order lower than the values for TiN films deposited by MOCVD using TDMAT or TDMAT with NH 3 . Also, the carbon incorporation and the resistivity were further decreased with increasing Ar purge time and flow rate. TiN films deposited at temperature below 300 .deg. C showed amorphous characteristics. TiN film deposited on contact holes, about 0.4-μm wide and 0.8-μm deep, by using the MOALD method showed excellent conformal deposition with almost 100% step coverage. This study demonstrates that the processing parameters need to be carefully controlled to optimize the film properties that the processing parameters need to be carefully controlled to optimize the film properties when using the ALD technique and that TiN films deposited by using the MOALD method exhibited excellent film properties compared to those of films deposited by using other CVD methods

  7. Inverted fractal analysis of TiO{sub x} thin layers grown by inverse pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Égerházi, L., E-mail: egerhazi.laszlo@gmail.com [University of Szeged, Faculty of Medicine, Department of Medical Physics and Informatics, Korányi fasor 9., H-6720 Szeged (Hungary); Smausz, T. [University of Szeged, Faculty of Science, Department of Optics and Quantum Electronics, Dóm tér 9., H-6720 Szeged (Hungary); Bari, F. [University of Szeged, Faculty of Medicine, Department of Medical Physics and Informatics, Korányi fasor 9., H-6720 Szeged (Hungary)

    2013-08-01

    Inverted fractal analysis (IFA), a method developed for fractal analysis of scanning electron microscopy images of cauliflower-like thin films is presented through the example of layers grown by inverse pulsed laser deposition (IPLD). IFA uses the integrated fractal analysis module (FracLac) of the image processing software ImageJ, and an objective thresholding routine that preserves the characteristic features of the images, independently of their brightness and contrast. IFA revealed f{sub D} = 1.83 ± 0.01 for TiO{sub x} layers grown at 5–50 Pa background pressures. For a series of images, this result was verified by evaluating the scaling of the number of still resolved features on the film, counted manually. The value of f{sub D} not only confirms the fractal structure of TiO{sub x} IPLD thin films, but also suggests that the aggregation of plasma species in the gas atmosphere may have only limited contribution to the deposition.

  8. Scaling behavior of the surface roughness of platinum films grown by oblique angle deposition

    Science.gov (United States)

    Dolatshahi-Pirouz, A.; Hovgaard, M. B.; Rechendorff, K.; Chevallier, J.; Foss, M.; Besenbacher, F.

    2008-03-01

    Thin platinum films with well-controlled rough surface morphologies are grown by e-gun evaporation at an oblique angle of incidence between the deposition flux and the substrate normal. Atomic force microscopy is used to determine the root-mean-square value w of the surface roughness on the respective surfaces. From the scaling behavior of w , we find that while the roughness exponent α remains nearly unchanged at about 0.90, the growth exponent β changes from 0.49±0.04 to 0.26±0.01 as the deposition angle approaches grazing incidence. The values of the growth exponent β indicate that the film growth is influenced by both surface diffusion and shadowing effects, while the observed change from 0.49 to 0.26 can be attributed to differences in the relative importance of diffusion and shadowing with the deposition angle.

  9. Study of the optical properties and structure of ZnSe/ZnO thin films grown by MOCVD with varying thicknesses

    Energy Technology Data Exchange (ETDEWEB)

    Jabri, S., E-mail: slaheddine.jabri@fst.rnu.tn [Unité des nanomatériaux et photoniques, Faculté des Sciences de Tunis, Campus Universitaire Ferhat Hachad, El Manar, 2092 Tunis (Tunisia); Amiri, G.; Sallet, V. [Groupe d’Etude de la Matière Condensée, CNRS-Université de Versailles St Quentin, Université Paris-Saclay, 45 avenue des Etats Unis, 78035 Versailles Cedex (France); Souissi, A. [Laboratoire de Photovoltaïque, Centre de Recherches et des Technologies de l’Energie, Technopole Borj Cedria, B.P. 95, Hammammlif 2050 (Tunisia); Meftah, A. [Unité des nanomatériaux et photoniques, Faculté des Sciences de Tunis, Campus Universitaire Ferhat Hachad, El Manar, 2092 Tunis (Tunisia); Galtier, P. [Groupe d’Etude de la Matière Condensée, CNRS-Université de Versailles St Quentin, Université Paris-Saclay, 45 avenue des Etats Unis, 78035 Versailles Cedex (France); Oueslati, M. [Unité des nanomatériaux et photoniques, Faculté des Sciences de Tunis, Campus Universitaire Ferhat Hachad, El Manar, 2092 Tunis (Tunisia)

    2016-05-15

    ZnSe layers were grown on ZnO substrates by the metal organic chemical vapor deposition technique. A new structure appeared at lower thicknesses films. The structural properties of the thin films were studied by the X-ray diffraction (XRD) and Raman spectroscopy methods. First, Raman selection rules are explicitly put forward from a theoretical viewpoint. Second, experimentally-retrieved-intensities of the Raman signal as a function of polarization angle of incident light are fitted to the obtained theoretical dependencies in order to confirm the crystallographic planes of zinc blend ZnSe thin film, and correlate with DRX measurements. Raman spectroscopy has been used to characterize the interfacial disorder that affects energy transport phenomena at ZnSe/ZnO interfaces and the Photoluminescence (PL) near the band edge of ZnSe thin films.

  10. Effects of GaN capping on the structural and the optical properties of InN nanostructures grown by using MOCVD

    International Nuclear Information System (INIS)

    Sun, Yuanping; Cho, Yonghoon; Wang, Hui; Wang, Lili; Zhang, Shuming; Yang, Hui

    2010-01-01

    InN nanostructures with and without GaN capping layers were grown by using metal-organic chemical vapor deposition. Morphological, structural, and optical properties were systematically studied by using atomic force microscopy, X-ray diffraction (XRD) and temperature-dependent photoluminescence (PL). XRD results show that an InGaN structure is formed for the sample with a GaN capping layer, which will reduce the quality and the IR PL emission of the InN. The lower emission peak at ∼0.7 eV was theoretically fitted and assigned as the band edge emission of InN. Temperature-dependent PL shows a good quantum efficiency for the sample without a GaN capping layers; this corresponds to a lower density of dislocations and a small activation energy.

  11. Synthesis and properties of barium diketonates as precursors for MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Drozdov, A.A. (Dept. of Chemistry, Moscow State Univ. (Russian Federation)); Troyanov, S.I. (Dept. of Chemistry, Moscow State Univ. (Russian Federation)); Kuzmina, N.P. (Dept. of Chemistry, Moscow State Univ. (Russian Federation)); Martynenko, L.I. (Dept. of Chemistry, Moscow State Univ. (Russian Federation)); Alikhanyan, A.S. (Dept. of Chemistry, Moscow State Univ. (Russian Federation)); Malkerova, I.P. (Dept. of Chemistry, Moscow State Univ. (Russian Federation))

    1993-08-01

    The structures of barium diketonates depend on the way in which they are synthesized. It is shown that there is a correlation between the volatility of these compounds and their crystal structures. A new volatile mononuclear adduct of barium dipivaloylmethanate suitable for MOCVD is suggested. (orig.).

  12. Synthesis and properties of barium diketonates as precursors for MOCVD

    International Nuclear Information System (INIS)

    Drozdov, A.A.; Troyanov, S.I.; Kuzmina, N.P.; Martynenko, L.I.; Alikhanyan, A.S.; Malkerova, I.P.

    1993-01-01

    The structures of barium diketonates depend on the way in which they are synthesized. It is shown that there is a correlation between the volatility of these compounds and their crystal structures. A new volatile mononuclear adduct of barium dipivaloylmethanate suitable for MOCVD is suggested. (orig.)

  13. Properties of alumina films prepared by metal-organic chemical vapour deposition at atmospheric pressure in hte presence of small amounts of water

    NARCIS (Netherlands)

    Haanappel, V.A.C.; Haanappel, V.A.C.; van Corbach, H.D.; Rem, J.B.; Fransen, T.; Gellings, P.J.

    1995-01-01

    Thin alumina films were deposited on stainless steel, type AISI 304. The deposition process was carried out in nitrogen with low partial pressures of water (0–2.6 × 10−2 kPa (0−0.20 mmHg)) by metal-organic chemical vapour deposition (MOCVD) with aluminium-tri-sec-butoxide (ATSB) as the precursor.

  14. The effect of thermal history on microstructure of Er_2O_3 coating layer prepared by MOCVD process

    International Nuclear Information System (INIS)

    Tanaka, Masaki; Takezawa, Makoto; Hishinuma, Yoshimitsu; Tanaka, Teruya; Muroga, Takeo; Ikeno, Susumu; Lee, Seungwon; Matsuda, Kenji

    2016-01-01

    Er_2O_3 is a high potential candidate material for tritium permeation barrier and electrical insulator coating for advanced breeding blanket systems with liquid metal or molten-salt types. Recently, Hishinuma et al. reported to form homogeneous Er_2O_3 coating layer on the inner surface of metal pipe using Metal Organic Chemical Vapor Deposition (MOCVD) process. In this study, the influence of thermal history on microstructure of Er_2O_3 coating layer on stainless steel 316 (SUS 316) substrate by MOCVD process was investigated using SEM, TEM and XRD. The ring and net shape selected-area electron diffraction (SAED) patterns of Er_2O_3 coating were obtained each SUS substrates, revealed that homogeneous Er_2O_3 coating had been formed on SUS substrate diffraction patterns. Close inspection of SEM images of the surface on the Er_2O_3 coating before and after thermal cycling up to 700degC in argon atmosphere, it is confirmed that the Er_2O_3 particles were refined by thermal history. The column-like Er_2O_3 grains were promoted to change to granular structure by thermal history. >From the cross-sectional plane of TEM observations, the formation of interlayer between Er_2O_3 coating and SUS substrate was also confirmed. (author)

  15. Microstructure Characteristics of High Lift Factor MOCVD REBCO Coated Conductors With High Zr Content

    Energy Technology Data Exchange (ETDEWEB)

    Galstyan, E; Gharahcheshmeh, MH; Delgado, L; Xu, AX; Majkic, G; Selvamanickam, V

    2015-06-01

    We report the microstructural characteristics of high levels of Zr-added REBa2Cu3O7-x (RE = Gd, Y rare earth) coated conductors fabricated by Metal Organic Chemical Vapor Deposition (MOCVD). The enhancements of the lift factor defined as a ratio of the in-field (3 T, B parallel to c-axis) critical current density (J(c)) at 30 K and self-field J(c) at 77 K have been achieved for Zr addition levels of 20 and 25 mol% via optimization of deposition parameters. The presence of strong flux pinning is attributed to the aligned nanocolumns of BaZrO3 and nanoprecipitates embedded in REBa2Cu3O7-x matrix with good crystal quality. A high density of BZO nanorods with a typical size 6-8 nm and spacing of 20 nm has been observed. Moreover, the high Zr content was found to induce a high density of intrinsic defects, including stacking faults and dislocations. The correlation between in-field performance along the c-axis and microstructure of (Gd, Y) BCO film with a high level of Zr addition is discussed.

  16. MOCVD growth of CdTe and HgTe on GaAs in a vertical, high-speed, rotating-disc reactor

    International Nuclear Information System (INIS)

    Tompa, G.S.; Nelson, C.R.; Reinert, P.D.; Saracino, M.A.; Terrill, L.A.; Colter, P.C.

    1989-01-01

    The metalorganic chemical vapor deposition (MOCVD) growth of CdTe and HgTe on GaAs (111) and (100) substrates in a vertical, high-speed, rotating-disc reactor was investigated. A range of total reactor pressure, carrier gas flow rate, chemical concentrations, deposition temperature, and rotation rate have been investigated in an attempt to optimize growth conditions. Diisopropyltelluride (DIPTe) and Dimethylcadmium (DMCd) were used as growth precursors. Thickness uniformity varies less than +/- 1.5% over 50 mm diameter wafers. Films having FWHM X-ray rocking curves less than 90 arcsec were obtained on GaAs (111) substrates. The films have excellent surface morphology, exhibiting less than 5 x 10 4 cm - 2 orange peel dents which are much-lt 1 μm in size. An elemental mercury source was added to the growth system. Initial results for the growth of HgTe and HgCdTe are discussed

  17. Single crystal diamond detectors grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Tuve, C.; Angelone, M.; Bellini, V.; Balducci, A.; Donato, M.G.; Faggio, G.; Marinelli, M.; Messina, G.; Milani, E.; Morgada, M.E.; Pillon, M.; Potenza, R.; Pucella, G.; Russo, G.; Santangelo, S.; Scoccia, M.; Sutera, C.; Tucciarone, A.; Verona-Rinati, G.

    2007-01-01

    The detection properties of heteropitaxial (polycrystalline, pCVD) and homoepitaxial (single crystal, scCVD) diamond films grown by microwave chemical vapor deposition (CVD) in the Laboratories of Roma 'Tor Vergata' University are reported. The pCVD diamond detectors were tested with α-particles from different sources and 12 C ions produced by 15MV Tandem accelerator at Southern National Laboratories (LNS) in Catania (Italy). pCVDs were also used to monitor 14MeV neutrons produced by the D-T plasma at Joint European Torus (JET), Culham, U.K. The limit of pCVDs is the poor energy resolution. To overcome this problem, we developed scCVD diamonds using the same reactor parameters that optimized pCVD diamonds. scCVD were grown on a low cost (100) HPHT single crystal substrate. A detector 110μm thick was tested under α-particles and under 14MeV neutron irradiation. The charge collection efficiency spectrum measured under irradiation with a triple α-particle source shows three clearly resolved peaks, with an energy resolution of about 1.1%. The measured spectra under neutron irradiation show a well separated C(n,α 0 ) 9 Be12 reaction peak with an energy spread of 0.5MeV for 14.8MeV neutrons and 0.3MeV for 14.1MeV neutrons, which are fully compatible with the energy spread of the incident neutron beams

  18. Morphology and photoresponse of crystalline antimony film grown on mica by physical vapor deposition

    Directory of Open Access Journals (Sweden)

    Shafa Muhammad

    2016-09-01

    Full Text Available Antimony is a promising material for the fabrication of photodetectors. This study deals with the growth of a photosensitive thin film by the physical vapor deposition (PVD of antimony onto mica surface in a furnace tube. The geometry of the grown structures was studied via scanning electron microscopy (SEM, X-ray diffraction (XRD, energy-dispersive X-ray spectroscopy (EDX and elemental diffraction analysis. XRD peaks of the antimony film grown on mica mostly matched with JCPDF Card. The formation of rhombohedral crystal structures in the film was further confirmed by SEM micrographs and chemical composition analysis. The Hall measurements revealed good electrical conductivity of the film with bulk carrier concentration of the order of 1022 Ω·cm-3 and mobility of 9.034 cm2/Vs. The grown film was successfully tested for radiation detection. The photoresponse of the film was evaluated using its current-voltage characteristics. These investigations revealed that the photosensitivity of the antimony film was 20 times higher than that of crystalline germanium.

  19. Characterization of TiO{sub 2} thin films obtained by metal-organic chemical vapour deposition; Caracterizacao de filmes finos de TiO{sub 2} obtidos por deposicao quimica em fase vapor

    Energy Technology Data Exchange (ETDEWEB)

    Carriel, Rodrigo Crociati

    2015-07-01

    Titanium dioxide (TiO{sub 2}) thin films were grown on silicon substrate (100) by MOCVD process (chemical deposition of organometallic vapor phase). The films were grown at 400, 500, 600 and 700 ° C in a conventional horizontal equipment. Titanium tetraisopropoxide was used as source of both oxygen and titanium. Nitrogen was used as carrier and purge gas. X-ray diffraction technique was used for the characterization of the crystalline structure. Scanning electron microscopy with field emission gun was used to evaluate the morphology and thickness of the films. The films grown at 400 and 500°C presented anatase phase. The film grown at 600ºC presented rutile besides anatase phase, while the film grown at 700°C showed, in addition to anatase and rutile, brookite phase. In order to evaluate the electrochemical behavior of the films cyclic voltammetry technique was used. The tests revealed that the TiO2 films formed exclusively by the anatase phase exhibit strong capacitive character. The anodic current peak is directly proportional to the square root of the scanning rate for films grown at 500ºC, suggesting that linear diffusion is the predominant mechanism of cations transport. It was observed that in the film grown during 60 minutes the Na+ ions intercalation and deintercalation easily. The films grown in the other conditions did not present the anodic current peak, although charge was accumulated in the film. (author)

  20. Industrial metalorganic chemical vapor deposition technology for the growth of YBa2Cu3O7-∂

    International Nuclear Information System (INIS)

    Schulte, B.; Richards, B.C.; Cook, S.L.

    1997-01-01

    MOCVD is the established technology for the mass production of compound semiconductors for e.g. opto-electronic devices. To transfer the MOCVD technology for HTS films to the standard MOCVD technology used in semiconductor production two major challenges have to be solved: 1. the Ba-precursor instability and 2. the demonstration of uniform deposition of HTS films onto large area substrates. This paper presents an industrial MOCVD process solving these challenges using a new stable fluorinated Ba-precursor and a gas foil rotation trademark susceptor. On a 2 inch diameter substrate area state-of-the-art YBCO thin films were fabricated having a thickness uniformity of 1% and compositional uniformity of 2% and 5% for Y/Ba and Cu/Ba, respectively. The films show a surface morphology with low defect density ( 2 ) and excellent superconducting properties (T c (50%) > 90 K, j c (T=77 K, B=0T) > 5 x 10 6 A cm -2 ). The residual contamination by fluorine was determined by SIMS to be less than 250 ppm. This gives the strong evidence that this industrial process can be transferred to the multiwafer planetary reactors trademark for mass production. (orig.)

  1. Defects in silicon carbide grown by fluorinated chemical vapor deposition chemistry

    Science.gov (United States)

    Stenberg, Pontus; Booker, Ian D.; Karhu, Robin; Pedersen, Henrik; Janzén, Erik; Ivanov, Ivan G.

    2018-04-01

    Point defects in n- and p-type 4H-SiC grown by fluorinated chemical vapor deposition (CVD) have been characterized optically by photoluminescence (PL) and electrically by deep-level transient spectroscopy (DLTS) and minority carrier transient spectroscopy (MCTS). The results are considered in comparison with defects observed in non-fluorinated CVD growth (e.g., using SiH4 instead of SiF4 as silicon precursor), in order to investigate whether specific fluorine-related defects form during the fluorinated CVD growth, which might prohibit the use of fluorinated chemistry for device-manufacturing purposes. Several new peaks identifying new defects appear in the PL of fluorinated-grown samples, which are not commonly observed neither in other halogenated chemistries, nor in the standard CVD chemistry using silane (SiH4). However, further investigation is needed in order to determine their origin and whether they are related to incorporation of F in the SiC lattice, or not. The electric characterization does not find any new electrically-active defects that can be related to F incorporation. Thus, we find no point defects prohibiting the use of fluorinated chemistry for device-making purposes.

  2. Enhanced Light Scattering by Preferred Orientation Control of Ga Doped ZnO Films Prepared through MOCVD

    Directory of Open Access Journals (Sweden)

    Long Giang Bach

    2016-01-01

    Full Text Available We have explored the effective approach to fabricate GZO/ZnO films that can make the pyramidal surface structures of GZO films for effective light scattering by employing a low temperature ZnO buffer layer prior to high temperature GZO film growth. The GZO thin films exhibit the typical preferred growth orientations along the (002 crystallographic direction at deposition temperature of 400°C and SEM showed that column-like granule structure with planar surface was formed. In contrast, GZO films with a pyramidal texture surface were successfully developed by the control of (110 preferred orientation. We found that the light diffuse transmittance of the film with a GZO (800 nm/ZnO (766 nm exhibited 13% increase at 420 nm wavelength due to the formed large grain size of the pyramidal texture surface. Thus, the obtained GZO films deposited over ZnO buffer layer have high potential for use as front TCO layers in Si-based thin film solar cells. These results could develop the potential way to fabricate TCO based ZnO thin film using MOCVD or sputtering techniques by depositing a low temperature ZnO layer to serve as a template for high temperature GZO film growth. The GZO films exhibited satisfactory optoelectric properties.

  3. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.; Smith, Casey; Hussain, Muhammad Mustafa

    2014-01-01

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.

    2014-05-15

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Surface characterization of III-V MOCVD films from heterocyclic single-source precursors; Oberflaechencharakterisierung von III-V MOCVD-Filmen aus heterozyklischen Single Source Precursoren

    Energy Technology Data Exchange (ETDEWEB)

    Seemayer, Andreas

    2009-07-13

    In the present thesis the sublimation and evaporation properties of heterocyclic gallium and antimony containing single-source precursors as well as the chemical composition and morphology of the films fabricated from this were studied. The single-source precursors available by a new synthesis route were characterized concerning their evaporation properties and the obtained films studied surface-physically. By this way the process parameters were optimized and the applicability of the single-source precursors in HV-MOCVD processes studied. By evaporation experiments in the UHV it could be shown that thereby lighter ligands like ethyl- and methyl-groups lead to a lower contamination of the reaction space with carbon containing molecules. Furthermore it was expected that the 6-rings synthetized with short ligands exhibit a high stability. This however could not be confirmed. By unwanted parasitary reactions in the gaseous phase respectively dissociative sublimation in the gaseous phase a deposition of GaSb with these precursors was not possible. The 4-ring stabilized with tertiary-butyl and ethyl-groups caused in the evaporation the largest contamination of the gaseous phase, becauselonger-chain hydrocarbons exhibil only a bad pump cross section. By parasitary reactions originating elementary antimony is detectable in the gaseous phase. The films were studied concerning their chemical composition and their transport- respectively storage-conditioned surface contamination. Furthermore it has become clear that not only a purely synthetized precursor substance but also the reactor design is deciding for a successful deposition and a high film quality. First by successive optimization of the evaporation geometry it was possible to reduce the roughness of the produced GaSb films down to about 10 nm-30 nm.

  6. Silica Deposition on the Leaves of Mir- and Earth-Grown Super Dwarf Wheat

    Science.gov (United States)

    Campbell, William F.; Bubenheim, David L.; Salisbury, Frank B.; Bingham, Gail E.; McManus, William R.; Biesinger, H. D.; Strickland, D. T.; Levinskikh, Maragarita; Sytchev, Vladimir N.; Podolsky, Igor

    2000-01-01

    Scanning electron microscopy (SEM) and energy dispersive X-ray (EDX) microanalysis were used to investigate the nature of crystals deposited on leaves of Mir- and Earth-grown Super Dwarf wheat (Triticum aestivum L.) plants. Leaves from these plants exhibited dense and uniformly distributed crystals on leaf abaxial surfaces when viewed by SEM. Young leaves showed that crystals initially accumulated around the stomata on the adaxial surface, but became more dense and uniformly distributed as the leaves aged. EDX microanalyses of the Balkanine (a nutrient charged clinoptilolite zeolite) medium in which the wheat plants were grown showed an elemental pattern similar to that observed on the wheat leaves. The absence of N and P in the Balkanine suggests that they were completely utilized by the plants. Only Si and O were evident in the drying agent, Sorb-it-Silica (trademark), and perhaps could have accounted for some of the Si observed on the plant tissue.

  7. Preparation of YBa2Cu3O7 films by low pressure MOCVD using liquid solution sources

    International Nuclear Information System (INIS)

    Weiss, F.; Froehlich, K.; Haase, R.; Labeau, M.; Selbmann, D.; Senateur, J.P.; Thomas, O.

    1993-01-01

    A hybrid low pressure MOCVD process is described for reproducible preparation of superconducting thin films of YBa 2 Cu 3 O 7 . The process uses a single solution source of Y, Ba, and Cu β-diketonates dissolved in suitable organic solvents. This liquid precursor is atomized using an ultrasonic aerosol generator and transported as small droplets (∼1μm) into a CVD reactor where solvent and precursor are first evaporated before deposition takes place at low pressure on heated substrates in a cold wall geometry. This process allows, with stable evaporation rates for all three precursors, to grow in-situ superconducting films with constant composition from film to film. Thin and thick films with high critical temperatures and critical currents have been obtained (Tc>80K, Jc>10 4 A/cm 2 at 77K in self field) which are highly c-axis oriented. Experimental details of this new process are described and the effects of different process parameters are studied in order to improve the quality of the deposited layers. (orig.)

  8. GaN thin films growth and their application in photocatalytic removal of sulforhodamine B from aqueous solution under UV pulsed laser irradiation.

    Science.gov (United States)

    Gondal, Mohammed A; Chang, Xiao F; Yamani, Zain H; Yang, Guo F; Ji, Guang B

    2011-01-01

    Single-crystalline Gallium Nitride (GaN) thin films were fabricated and grown by metal organic chemical vapor deposition (MOCVD) method on c-plane sapphire substrates and then characterized by high resolution-X-ray diffraction (HR-XRD) and photoluminescence (PL) measurements. The photocatalytic decomposition of Sulforhodamine B (SRB) molecules on GaN thin films was investigated under 355 nm pulsed UV laser irradiation. The results demonstrate that as-grown GaN thin films exhibited efficient degradation of SRB molecules and exhibited an excellent photocatalytic-activity-stability under UV pulsed laser exposure.

  9. Half-sandwich cobalt complexes in the metal-organic chemical vapor deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Georgi, Colin [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Hapke, Marko; Thiel, Indre [Leibniz-Institut für Katalyse e.V. an der Universität Rostock (LIKAT), Albert-Einstein-Straße 29a, Rostock 18059 (Germany); Hildebrandt, Alexander [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Waechtler, Thomas; Schulz, Stefan E. [Fraunhofer Institute of Electronic Nano Systems (ENAS), Technologie-Campus 3, Chemnitz 09126 (Germany); Technische Universität Chemnitz, Center for Microtechnologies (ZfM), Chemnitz 09107 (Germany); Lang, Heinrich, E-mail: heinrich.lang@chemie.tu-chemnitz.de [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany)

    2015-03-02

    A series of cobalt half-sandwich complexes of type [Co(η{sup 5}-C{sub 5}H{sub 5})(L)(L′)] (1: L, L′ = 1,5-hexadiene; 2: L = P(OEt){sub 3}, L′ = H{sub 2}C=CHSiMe{sub 3}; 3: L = L′ = P(OEt){sub 3}) has been studied regarding their physical properties such as the vapor pressure, decomposition temperature and applicability within the metal-organic chemical vapor deposition (MOCVD) process, with a focus of the influence of the phosphite ligands. It could be shown that an increasing number of P(OEt){sub 3} ligands increases the vapor pressure and thermal stability of the respective organometallic compound. Complex 3 appeared to be a promising MOCVD precursor with a high vapor pressure and hence was deposited onto Si/SiO{sub 2} (100 nm) substrates. The resulting reflective layer is closed, dense and homogeneous, with a slightly granulated surface morphology. X-ray photoelectron spectroscopy (XPS) studies demonstrated the formation of metallic cobalt, cobalt phosphate, cobalt oxide and cobalt carbide. - Highlights: • Thermal studies and vapor pressure measurements of cobalt half-sandwich complexes was carried out. • Chemical vapor deposition with cobalt half-sandwich complexes is reported. • The use of Co-phosphites results in significant phosphorous-doped metallic layers.

  10. Annealing-induced near-surface ordering in disordered Ga0.5In0.5P

    International Nuclear Information System (INIS)

    Luo, J.S.; Olson, J.M.; Wu, M.

    1995-01-01

    Most samples of Ga 0.5 In 0.5 P grown by metalorganic chemical vapor deposition (MOCVD) on (001)-like surfaces are partially ordered and exhibit distinctive reflectance difference spectral (RDS) features associated with the anisotropic properties of the ordered bulk structure. It is known that the ordering is not a ground-state property of the bulk but is surface-induced during growth. On the other hand, Ga 0.5 In 0.5 P grown by liquid-phase epitaxy (LPE) is completely disordered, and it has been shown that its RD spectrum is essentially featureless. In this article, we present a study of the effects of annealing (in a PH 3 /H 2 atmosphere) on LPE-grown Ga 0.5 In 0.5 P using ex situ and in situ RDS. The annealing temperatures and times used in this study (650 degree C and tens of minutes) have virtually no effect on the bulk optical or structural properties of MOCVD-grown Ga 0.5 In 0.5 P. For LPE-grown Ga 0.5 In 0.5 P, we find that annealing induces bulk-like RDS features at both E 0 and E 1 with line shapes similar to those observed for MOCVD-grown ordered Ga 0.5 In 0.5 P. These bulk-like spectral features are, however, due to near-surface reconstruction of Ga and In because they are effectively quenched by exposure to air. Also, the E 0 feature becomes sharper and both the E 0 and the E 1 features red-shift as the annealing process is prolonged. This indicates that this reconstruction is kinetically limited, presumably by the slow interdiffusion of Ga and In necessary to achieve the ordered bulk-like structure. copyright 1995 American Vacuum SocietyGa 0.5 In 0.5 P

  11. SrZnO nanostructures grown on templated Al2O3 substrates by pulsed laser deposition

    Science.gov (United States)

    Labis, Joselito P.; Alanazi, Anwar Q.; Albrithen, Hamad A.; El-Toni, Ahmed Mohamed; Hezam, Mahmoud; Elafifi, Hussein Elsayed; Abaza, Osama M.

    2017-09-01

    The parameters of pulsed laser deposition (PLD) have been optimized to design different nanostructures of Strontium-alloyed zinc oxide (SrZnO). In this work, SrZnO nanostructures are grown on Al2O3 substrates via two-step templating/seeding approach. In the temperature range between 300 - 750 oC and O2 background pressures between 0.01 and 10 Torr, the growth conditions have been tailored to grow unique pointed leaf-like- and pitted olive-like nanostructures. Prior to the growth of the nanostructures, a thin SrZnO layer that serves as seed layer/template is first deposited on the Al2O3 substrates at ˜300oC and background oxygen pressure of 10 mTorr. The optical properties of the nanostructures were examined by UV/Vis spectroscopy and photoluminescence (PL), while the structures/morphologies were examined by SEM, TEM, and XRD. The alloyed SrZnO nanostructures, grown by ablating ZnO targets with 5, 10, 25% SrO contents, have in common a single-crystal hexagonal nanostructure with (0002) preferential orientation and have shown remarkable changes in the morphological and optical properties of the materials. To date, this is the only reported work on optimization of laser ablation parameters to design novel SrZnO nanostructures in the 5-25% alloying range, as most related Sr-doped ZnO studies were done below 7% doping. Although the physical properties of ZnO are modified via Sr doping, the mechanism remains unclear. The PLD-grown SrZnO nanostructures were directly grown onto the Al2O3 substrates; thus making these nanomaterials very promising for potential applications in biosensors, love-wave filters, solar cells, and ultrasonic oscillators.

  12. SrZnO nanostructures grown on templated Al2O3 substrates by pulsed laser deposition

    Directory of Open Access Journals (Sweden)

    Joselito P. Labis

    2017-09-01

    Full Text Available The parameters of pulsed laser deposition (PLD have been optimized to design different nanostructures of Strontium-alloyed zinc oxide (SrZnO. In this work, SrZnO nanostructures are grown on Al2O3 substrates via two-step templating/seeding approach. In the temperature range between 300 - 750 oC and O2 background pressures between 0.01 and 10 Torr, the growth conditions have been tailored to grow unique pointed leaf-like- and pitted olive-like nanostructures. Prior to the growth of the nanostructures, a thin SrZnO layer that serves as seed layer/template is first deposited on the Al2O3 substrates at ∼300oC and background oxygen pressure of 10 mTorr. The optical properties of the nanostructures were examined by UV/Vis spectroscopy and photoluminescence (PL, while the structures/morphologies were examined by SEM, TEM, and XRD. The alloyed SrZnO nanostructures, grown by ablating ZnO targets with 5, 10, 25% SrO contents, have in common a single-crystal hexagonal nanostructure with (0002 preferential orientation and have shown remarkable changes in the morphological and optical properties of the materials. To date, this is the only reported work on optimization of laser ablation parameters to design novel SrZnO nanostructures in the 5-25% alloying range, as most related Sr-doped ZnO studies were done below 7% doping. Although the physical properties of ZnO are modified via Sr doping, the mechanism remains unclear. The PLD-grown SrZnO nanostructures were directly grown onto the Al2O3 substrates; thus making these nanomaterials very promising for potential applications in biosensors, love-wave filters, solar cells, and ultrasonic oscillators.

  13. Micro-light-emitting diodes with III–nitride tunnel junction contacts grown by metalorganic chemical vapor deposition

    KAUST Repository

    Hwang, David

    2017-12-13

    Micro-light-emitting diodes (µLEDs) with tunnel junction (TJ) contacts were grown entirely by metalorganic chemical vapor deposition. A LED structure was grown, treated with UV ozone and hydrofluoric acid, and reloaded into the reactor for TJ regrowth. The silicon doping level of the n++-GaN TJ was varied to examine its effect on voltage. µLEDs from 2.5 × 10−5 to 0.01 mm2 in area were processed, and the voltage penalty of the TJ for the smallest µLED at 20 A/cm2 was 0.60 V relative to that for a standard LED with indium tin oxide. The peak external quantum efficiency of the TJ LED was 34%.

  14. Nano-Crystalline Diamond Films with Pineapple-Like Morphology Grown by the DC Arcjet vapor Deposition Method

    Science.gov (United States)

    Li, Bin; Zhang, Qin-Jian; Shi, Yan-Chao; Li, Jia-Jun; Li, Hong; Lu, Fan-Xiu; Chen, Guang-Chao

    2014-08-01

    A nano-crystlline diamond film is grown by the dc arcjet chemical vapor deposition method. The film is characterized by scanning electron microscopy, high-resolution transmission electron microscopy (HRTEM), x-ray diffraction (XRD) and Raman spectra, respectively. The nanocrystalline grains are averagely with 80 nm in the size measured by XRD, and further proven by Raman and HRTEM. The observed novel morphology of the growth surface, pineapple-like morphology, is constructed by cubo-octahedral growth zones with a smooth faceted top surface and coarse side surfaces. The as-grown film possesses (100) dominant surface containing a little amorphous sp2 component, which is far different from the nano-crystalline film with the usual cauliflower-like morphology.

  15. Micro-light-emitting diodes with III–nitride tunnel junction contacts grown by metalorganic chemical vapor deposition

    KAUST Repository

    Hwang, David; Mughal, Asad J.; Wong, Matthew S.; Alhassan, Abdullah I.; Nakamura, Shuji; DenBaars, Steven P.

    2017-01-01

    Micro-light-emitting diodes (µLEDs) with tunnel junction (TJ) contacts were grown entirely by metalorganic chemical vapor deposition. A LED structure was grown, treated with UV ozone and hydrofluoric acid, and reloaded into the reactor for TJ regrowth. The silicon doping level of the n++-GaN TJ was varied to examine its effect on voltage. µLEDs from 2.5 × 10−5 to 0.01 mm2 in area were processed, and the voltage penalty of the TJ for the smallest µLED at 20 A/cm2 was 0.60 V relative to that for a standard LED with indium tin oxide. The peak external quantum efficiency of the TJ LED was 34%.

  16. Sb-related defects in Sb-doped ZnO thin film grown by pulsed laser deposition

    Science.gov (United States)

    Luo, Caiqin; Ho, Lok-Ping; Azad, Fahad; Anwand, Wolfgang; Butterling, Maik; Wagner, Andreas; Kuznetsov, Andrej; Zhu, Hai; Su, Shichen; Ling, Francis Chi-Chung

    2018-04-01

    Sb-doped ZnO films were fabricated on c-plane sapphire using the pulsed laser deposition method and characterized by Hall effect measurement, X-ray photoelectron spectroscopy, X-ray diffraction, photoluminescence, and positron annihilation spectroscopy. Systematic studies on the growth conditions with different Sb composition, oxygen pressure, and post-growth annealing were conducted. If the Sb doping concentration is lower than the threshold ˜8 × 1020 cm-3, the as-grown films grown with an appropriate oxygen pressure could be n˜4 × 1020 cm-3. The shallow donor was attributed to the SbZn related defect. Annealing these samples led to the formation of the SbZn-2VZn shallow acceptor which subsequently compensated for the free carrier. For samples with Sb concentration exceeding the threshold, the yielded as-grown samples were highly resistive. X-ray diffraction results showed that the Sb dopant occupied the O site rather than the Zn site as the Sb doping exceeded the threshold, whereas the SbO related deep acceptor was responsible for the high resistivity of the samples.

  17. Gold coated metal nanostructures grown by glancing angle deposition and pulsed electroplating

    Science.gov (United States)

    Grüner, Christoph; Reeck, Pascal; Jacobs, Paul-Philipp; Liedtke, Susann; Lotnyk, Andriy; Rauschenbach, Bernd

    2018-05-01

    Nickel based nanostructures are grown by glancing angle deposition (GLAD) on flat and pre-patterned substrates. These fabricated porous thin films were subsequently coated by pulsed electroplating with gold. The morphology and conformity of the gold coating were investigated by scanning electron microscopy and X-ray diffraction. Controlled growth of closed gold layers on the nanostructures could be achieved, while the open-pore structure of the nanosculptured thin films was preserved. Such gold coated nanostructures are a candidate for optical sensing and catalysis applications. The demonstrated method can be applied for numerous material combinations, allowing to provide GLAD thin films with new surface properties.

  18. Top-gated chemical vapor deposition grown graphene transistors with current saturation.

    Science.gov (United States)

    Bai, Jingwei; Liao, Lei; Zhou, Hailong; Cheng, Rui; Liu, Lixin; Huang, Yu; Duan, Xiangfeng

    2011-06-08

    Graphene transistors are of considerable interest for radio frequency (rf) applications. In general, transistors with large transconductance and drain current saturation are desirable for rf performance, which is however nontrivial to achieve in graphene transistors. Here we report high-performance top-gated graphene transistors based on chemical vapor deposition (CVD) grown graphene with large transconductance and drain current saturation. The graphene transistors were fabricated with evaporated high dielectric constant material (HfO(2)) as the top-gate dielectrics. Length scaling studies of the transistors with channel length from 5.6 μm to 100 nm show that complete current saturation can be achieved in 5.6 μm devices and the saturation characteristics degrade as the channel length shrinks down to the 100-300 nm regime. The drain current saturation was primarily attributed to drain bias induced shift of the Dirac points. With the selective deposition of HfO(2) gate dielectrics, we have further demonstrated a simple scheme to realize a 300 nm channel length graphene transistors with self-aligned source-drain electrodes to achieve the highest transconductance of 250 μS/μm reported in CVD graphene to date.

  19. Influence of deposition temperature on the structural and morphological properties of Be3N2 thin films grown by reactive laser ablation

    International Nuclear Information System (INIS)

    Chale-Lara, F.; Farias, M.H.; De la Cruz, W.; Zapata-Torres, M.

    2010-01-01

    Be 3 N 2 thin films have been grown on Si(1 1 1) substrates using the pulsed laser deposition method at different substrate temperatures: room temperature (RT), 200 deg. C, 400 deg. C, 600 deg. C and 700 deg. C. Additionally, two samples were deposited at RT and were annealed after deposition in situ at 600 deg. C and 700 deg. C. In order to obtain the stoichiometry of the samples, they have been characterized in situ by X-ray photoelectron (XPS) and reflection electron energy loss spectroscopy (REELS). The influence of the substrate temperature on the morphological and structural properties of the films was investigated using scanning electron microscopy (SEM), atomic force microscopy (AFM) and X-ray diffraction (XRD). The results show that all prepared films presented the Be 3 N 2 stoichiometry. Formation of whiskers with diameters of 100-200 nm appears at the surface of the films prepared with a substrate temperature of 600 deg. C or 700 deg. C. However, the samples grown at RT and annealed at 600 deg. C or 700 deg. C do not show whiskers on the surface. The average root mean square (RMS) roughness and the average grain size of the samples grown with respect the substrate temperature is presented. The films grown with a substrate temperature between the room temperature to 400 deg. C, and the sample annealed in situ at 600 deg. C were amorphous; while the αBe 3 N 2 phase was presented on the samples with a substrate temperature of 600 deg. C, 700 deg. C and that deposited with the substrate at RT and annealed in situ at 700 deg. C.

  20. Adhesion strength study of IBAD-MOCVD-based 2G HTS wire using a peel test

    International Nuclear Information System (INIS)

    Zhang, Y.; Hazelton, D.W.; Knoll, A.R.; Duval, J.M.; Brownsey, P.; Repnoy, S.; Soloveichik, S.; Sundaram, A.; McClure, R.B.; Majkic, G.; Selvamanickam, V.

    2012-01-01

    A peel test was used to study the adhesion strength of a commercial grade 2G HTS wire which features a characteristic multilayer structure with the rare earth-based MOCVD superconducting film deposited on an IBAD-MgO template. The peel test could be carried out at various peeling angles (from 90° to 180°) and the peel strength of a wire was defined as the steady-state peeling load determined from a load-displacement curve. The test results had good reproducibility and accuracy, making the test a reliable and useful method for studying the adhesion strength of the wire. By characterizing the peeled surfaces the weakest interface in a wire could be identified. The peel strength data of the wire was analyzed together with the performance of the experimental magnet coils fabricated using the wire. The effect of the silver contact layer annealing on the peel strength is discussed.

  1. Ellipsometric study of metal-organic chemically vapor deposited III-V semiconductor structures

    Science.gov (United States)

    Alterovitz, Samuel A.; Sekula-Moise, Patricia A.; Sieg, Robert M.; Drotos, Mark N.; Bogner, Nancy A.

    1992-01-01

    An ellipsometric study of MOCVD-grown layers of AlGaAs and InGaAs in thick films and strained layer complex structures is presented. It is concluded that the ternary composition of thick nonstrained layers can be accurately determined to within experimental errors using numerical algorithms. In the case of complex structures, thickness of all layers and the alloy composition of nonstrained layers can be determined simultaneously, provided that the correlations between parameters is no higher than 0.9.

  2. Stoichiometry-, phase- and orientation-controlled growth of polycrystalline pyrite (FeS 2) thin films by MOCVD

    Science.gov (United States)

    Höpfner, C.; Ellmer, K.; Ennaoui, A.; Pettenkofer, C.; Fiechter, S.; Tributsch, H.

    1995-06-01

    The growth process of polycrystalline pyrite thin films employing low pressure metalorganic chemical vapor deposition (LP-MOCVD) in a vertical cold wall reactor has been investigated. Iron pentacarbonyl (IPC) and t-butyldisulfide (TBDS) were utilized as precursors. Study of the growth rate as a function of temperature reveals a kinetically controlled growth process with an activation energy of 73 kJ / mol over the temperature range from 250 to 400°C. From 500 to 630°C, the growth rate is mainly mass transport limited. Decomposition of the films into pyrrhotite (Fe 1 - xS) occurs at higher growth temperatures. The {S}/{Fe} ratio in the films has been controlled from 1.23 up to 2.03 by changing the TBDS partial pressure. With increasing deposition temperature, the crystallites in the films show the tendency to grow [100]-oriented on amorphous substrates at a growth rate of 2.5 Å / s. The grains show a preferential orientation in the [111] direction upon lowering the growth rate down to 0.3 Å / s. Temperatures above 550°C are beneficial in enhancing the grain size in the columnar structured films up to 1.0 μm.

  3. Hydrogen plasma enhanced alignment on CNT-STM tips grown by liquid catalyst-assisted microwave plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Tung, Fa-Kuei; Yoshimura, Masamichi; Ueda, Kazuyuki; Ohira, Yutaka; Tanji, Takayoshi

    2008-01-01

    Carbon nanotubes are grown directly on a scanning tunneling microscopy tip by liquid catalyst-assisted microwave-enhanced chemical vapor deposition, and effects of hydrogen plasma treatment on the tip have been investigated in detail by field-emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM) and Raman spectroscopy. The unaligned CNTs on the as-grown tip apex have been realigned and reshaped by subsequent hydrogen plasma treatment. The diameter of CNTs is enlarged mainly due to amorphous layers being re-sputtered over their outer shells

  4. HfO2 as gate dielectric on Ge: Interfaces and deposition techniques

    International Nuclear Information System (INIS)

    Caymax, M.; Van Elshocht, S.; Houssa, M.; Delabie, A.; Conard, T.; Meuris, M.; Heyns, M.M.; Dimoulas, A.; Spiga, S.; Fanciulli, M.; Seo, J.W.; Goncharova, L.V.

    2006-01-01

    To fabricate MOS gate stacks on Ge, one can choose from a multitude of metal oxides as dielectric material which can be deposited by many chemical or physical vapor deposition techniques. As a few typical examples, we will discuss here the results from atomic layer deposition (ALD), metal organic CVD (MOCVD) and molecular beam deposition (MBD) using HfO 2 /Ge as materials model system. It appears that a completely interface layer free HfO 2 /Ge combination can be made in MBD, but this results in very bad capacitors. The same bad result we find if HfGe y (Hf germanides) are formed like in the case of MOCVD on HF-dipped Ge. A GeO x interfacial layer appears to be indispensable (if no other passivating materials are applied), but the composition of this interfacial layer (as determined by XPS, TOFSIMS and MEIS) is determining for the C/V quality. On the other hand, the presence of Ge in the HfO 2 layer is not the most important factor that can be responsible for poor C/V, although it can still induce bumps in C/V curves, especially in the form of germanates (Hf-O-Ge). We find that most of these interfacial GeO x layers are in fact sub-oxides, and that this could be (part of) the explanation for the high interfacial state densities. In conclusion, we find that the Ge surface preparation is determining for the gate stack quality, but it needs to be adapted to the specific deposition technique

  5. Preparation of Anatase TiO{sub 2} Thin Films with (O{sup i}Pr){sub 2}Ti(CH{sub 3}COCHCONEt{sub 2}){sub 2} Precursor by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Bae, Byoung Jae; Seo, Won Seok; Miah, Arzu; Park, Joon T. [Korea Advanced Institute of Science and Technology, Daejeon (Korea, Republic of); Lee, Kwang Yeol [Korea University, Seoul (Korea, Republic of); Kim, Keun Chong [Hong-Ik University, Chochiwon (Korea, Republic of)

    2004-11-15

    The reaction of titanium tetraisopropoxide with 2 equiv of N,N-diethyl acetoacetamide affords Ti(O{sup i}Pr){sub 2}(CH{sub 3}COCHCONEt{sub 2}){sub 2} (1) as colorless crystals in 80% yield. Compound 1 is characterized by spectroscopic (Mass and {sup 1}H/{sup 13}C NMR) and microanalytical data. Molecular structure of 1 has been determined by a single crystal X-ray diffraction study, which reveals that it is a monomeric, cis-diisopropoxide and contains a six coordinate Ti(IV) atom with a cis(CONEt{sub 2}), trans(COCH{sub 3}) configuration (1a) in a distorted octahedral environment. Variable-temperature {sup 1}H NMR spectra of 1 indicate that it exists as an equilibrium mixture of cis, trans (1a) and cis, cis (1b) isomers in a 0.57 : 0.43 ratio at -20 .deg. C in toluene-d{sub 8} solution. Thermal properties of 1 as a MOCVD precursor for titanium dioxide films have been evaluated by thermal gravimetric analysis and vapor pressure measurement. Thin films of pure anatase titanium dioxide (after annealing above 500 .deg. C under oxygen) have been grown on Si(100) with precursor 1 in the substrate temperature range of 350- 500 .deg. C using a bubbler-based MOCVD method.

  6. Preparation of SmBCO layer for the surface optimization of GdYBCO film by MOCVD process based on a simple self-heating technology

    Science.gov (United States)

    Zhao, Ruipeng; Zhang, Fei; Liu, Qing; Xia, Yudong; Lu, Yuming; Cai, Chuanbing; Tao, Bowan; Li, Yanrong

    2018-07-01

    The MOCVD process was adopted to grow the REBa2Cu3O7-δ ((REBCO), RE = rare earth elements) films on the LaMnO3 (LMO) templates. Meanwhile, the LMO-template tapes are heated by the joule effect after applying a heating current through the Hastelloy metal substrates. The surface of GdYBCO films prepared by MOCVD method is prone to form outgrowths. So the surface morphology of GdYBCO film is optimized by depositing the SmBCO layer, which is an important process method for the preparation of high-quality multilayer REBCO films. At last, the GdYBCO/SmBCO/GdYBCO multilayer films were successfully prepared on the LMO templates based on the simple self-heating method. It is demonstrated that the GdYBCO surface was well improved by the characterization analysis of scanning electron microscope. And the Δω of REBCO (005) and Δφ of REBCO (103), which were performed by an X-ray diffraction system, are respectively 1.3° and 3.3° What's more, the critical current density (Jc) has been more than 3 MA/cm2 (77 K, 0 T) and the critical current (Ic) basically shows a trend of good linear increase with the increase of the number of REBCO layers.

  7. Raman spectroscopy of ZnMnO thin films grown by pulsed laser deposition

    Science.gov (United States)

    Orozco, S.; Riascos, H.; Duque, S.

    2016-02-01

    ZnMnO thin films were grown by Pulsed Laser Deposition (PLD) technique onto Silicon (100) substrates at different growth conditions. Thin films were deposited varying Mn concentration, substrate temperature and oxygen pressure. ZnMnO samples were analysed by using Raman Spectroscopy that shows a red shift for all vibration modes. Raman spectra revealed that nanostructure of thin films was the same of ZnO bulk, wurzite hexagonal structure. The structural disorder was manifested in the line width and shape variations of E2(high) and E2(low) modes located in 99 and 434cm-1 respectively, which may be due to the incorporation of Mn ions inside the ZnO crystal lattice. Around 570cm-1 was found a peak associated to E1(LO) vibration mode of ZnO. 272cm-1 suggest intrinsic host lattice defects. Additional mode centred at about 520cm-1 can be overlap of Si and Mn modes.

  8. Stoichiometry, thickness and crystallinity of MOCVD grown Hg{sub 1x-y}Cd{sub x}Mn{sub y}Te determined by nuclear techniques of analysis

    Energy Technology Data Exchange (ETDEWEB)

    Studd, W.B.; Johnston, P.N.; Bubb, I.F. [Royal Melbourne Inst. of Tech., VIC (Australia); Leech, P.W. [Applied Research and Developement, Telecom Australia, Clayton, VIC (Australia)

    1993-12-31

    The quaternary semi-conductor Hg{sub 1-x-y}Cd{sub x}Mn{sub y}Te has been grown by Metal Organic Chemical Vapour Deposition using the Interdiffused Multi-layer Process. The layers have been analysed by Ion beam (PIXE, RBS, channeling) and related analytical techniques (EDXRF, XRD, RHEED) to obtain stoichiometric and structural information. The analysis shows that all four elements are present throughout the layer and that the elemental concentrations and thickness of the layer vary considerably over the film. Channeling, XRD and RHEED have been combined to show that the layer is polycrystalline. 14 refs., 3 figs.

  9. Stoichiometry, thickness and crystallinity of MOCVD grown Hg{sub 1x-y}Cd{sub x}Mn{sub y}Te determined by nuclear techniques of analysis

    Energy Technology Data Exchange (ETDEWEB)

    Studd, W B; Johnston, P N; Bubb, I F [Royal Melbourne Inst. of Tech., VIC (Australia); Leech, P W [Applied Research and Developement, Telecom Australia, Clayton, VIC (Australia)

    1994-12-31

    The quaternary semi-conductor Hg{sub 1-x-y}Cd{sub x}Mn{sub y}Te has been grown by Metal Organic Chemical Vapour Deposition using the Interdiffused Multi-layer Process. The layers have been analysed by Ion beam (PIXE, RBS, channeling) and related analytical techniques (EDXRF, XRD, RHEED) to obtain stoichiometric and structural information. The analysis shows that all four elements are present throughout the layer and that the elemental concentrations and thickness of the layer vary considerably over the film. Channeling, XRD and RHEED have been combined to show that the layer is polycrystalline. 14 refs., 3 figs.

  10. Structural characterization of ZnO thin films grown on various substrates by pulsed laser deposition

    International Nuclear Information System (INIS)

    Novotný, M; Bulíř, J; Lančok, J; Čížek, J; Kužel, R; Connolly, J; McCarthy, E; Krishnamurthy, S; Mosnier, J-P; Anwand, W; Brauer, G

    2012-01-01

    ZnO thin films were grown by pulsed laser deposition on three different substrates: sapphire (0 0 0 1), MgO (1 0 0) and fused silica (FS). The structure and morphology of the films were characterized by x-ray diffraction and scanning electron microscopy and defect studies were carried out using slow positron implantation spectroscopy (SPIS). Films deposited on all substrates studied in this work exhibit the wurtzite ZnO structure and are characterized by an average crystallite size of 20-100 nm. However, strong differences in the microstructure of films deposited on various substrates were found. The ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit local epitaxy, i.e. a well-defined relation between film crystallites and the substrate. Domains with different orientation relationships with the substrate were found in both films. On the other hand, the film deposited on the FS substrate exhibits fibre texture with random lateral orientation of crystallites. Extremely high compressive in-plane stress of σ ∼ 14 GPa was determined in the film deposited on the MgO substrate, while the film deposited on sapphire is virtually stress-free, and the film deposited on the FS substrate exhibits a tensile in-plane stress of σ ∼ 0.9 GPa. SPIS investigations revealed that the concentration of open-volume defects in the ZnO films is substantially higher than that in a bulk ZnO single crystal. Moreover, the ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit a significantly higher density of defects than the film deposited on the amorphous FS substrate. (paper)

  11. Exploring the potential of laser assisted flow deposition grown ZnO for photovoltaic applications

    Energy Technology Data Exchange (ETDEWEB)

    Rodrigues, J., E-mail: joana.catarina@ua.pt [Departamento de Física & I3N, Universidade de Aveiro, Campus Universitário de Santiago, 3810-193 Aveiro (Portugal); Cerqueira, A.F.R.; Sousa, M.G.; Santos, N.F. [Departamento de Física & I3N, Universidade de Aveiro, Campus Universitário de Santiago, 3810-193 Aveiro (Portugal); Pimentel, A.; Fortunato, E. [CENIMAT/I3N, Departamento de Ciência dos Materiais, Faculdade de Ciências e Tecnologia, Universidade Nova de Lisboa, 2829-516 Caparica (Portugal); Cunha, A.F. da; Monteiro, T.; Costa, F.M. [Departamento de Física & I3N, Universidade de Aveiro, Campus Universitário de Santiago, 3810-193 Aveiro (Portugal)

    2016-07-01

    Zinc oxide (ZnO) is a widely studied wide band gap semiconductor with applications in several fields, namely to enhance solar cells efficiency. Its ability to be grown in a wide variety of nanostructured morphologies, allowing the designing of the surface area architecture constitutes an important advantage over other semiconductors. Laser assisted flow deposition (LAFD) is a recently developed growth method, based on a vapour-solid mechanism, which proved to be a powerful approach in the production of ZnO micro/nanostructures with different morphologies as well as high crystallinity and optical quality. In the present work we report the use of the LAFD technique to grow functional ZnO nanostructures (nanoparticles and tetrapods) working as nano templates to improve the dye-sensitized solar cells (DSSCs) efficiency. The structural and morphological characterization of the as-grown ZnO crystals were performed by X-ray diffraction and electron microscopy, respectively, and the optical quality was assessed by photoluminescence spectroscopy. DSSCs were produced using a combination of these nanostructures, which were subsequently sensitized with N719 dye. An efficiency of ∼3% was achieved under simulated AM 1.5 illumination conditions for a dye loading time of 1 h. - Highlights: • Laser assisted flow deposition proved to be an efficient technique to produce high quality ZnO. • Active layer formed by an interconnected network of tetrapods and a small amount of nanoparticles. • Efficiency of ∼3% obtained under simulated AM 1.5 illumination conditions.

  12. Structural, microstructural and transport properties study of lanthanum lithium titanium perovskite thin films grown by Pulsed Laser Deposition

    International Nuclear Information System (INIS)

    Maqueda, O.; Sauvage, F.; Laffont, L.; Martinez-Sarrion, M.L.; Mestres, L.; Baudrin, E.

    2008-01-01

    Lanthanum lithium titanate thin films were grown by Pulsed Laser Deposition. La 0.57 Li 0.29 TiO 3 dense films with smooth surfaces were obtained after optimization of the growth parameters. Such films deposited at 700 deg. C under 15 Pa are nano-crystalline with domains corresponding to the cubic and tetragonal modifications of this phase. In relation to the measured conductivities/activation energy and to previous works, we clearly underlined that the films of practical interest, prepared at relatively low temperature, are predominantly formed from the tetragonal ordered phase

  13. Adhesion strength study of IBAD-MOCVD-based 2G HTS wire using a peel test

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Y., E-mail: yzhang@superpower-inc.com [SuperPower Inc., 450 Duane Avenue, Schenectady, NY 12304 (United States); Hazelton, D.W.; Knoll, A.R.; Duval, J.M.; Brownsey, P.; Repnoy, S.; Soloveichik, S.; Sundaram, A.; McClure, R.B. [SuperPower Inc., 450 Duane Avenue, Schenectady, NY 12304 (United States); Majkic, G.; Selvamanickam, V. [University of Houston, 4800 Calhoun Road, Houston, TX 77204 (United States)

    2012-02-15

    A peel test was used to study the adhesion strength of a commercial grade 2G HTS wire which features a characteristic multilayer structure with the rare earth-based MOCVD superconducting film deposited on an IBAD-MgO template. The peel test could be carried out at various peeling angles (from 90 Degree-Sign to 180 Degree-Sign) and the peel strength of a wire was defined as the steady-state peeling load determined from a load-displacement curve. The test results had good reproducibility and accuracy, making the test a reliable and useful method for studying the adhesion strength of the wire. By characterizing the peeled surfaces the weakest interface in a wire could be identified. The peel strength data of the wire was analyzed together with the performance of the experimental magnet coils fabricated using the wire. The effect of the silver contact layer annealing on the peel strength is discussed.

  14. Stoichiometry-, phase- and orientation-controlled growth of polycrystalline pyrite (FeS{sub 2}) thin films by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Hoepfner, C.; Ellmer, K.; Ennaoui, A.; Pettenkofer, C.; Fiechter, S.; Tributsch, H. [Hahn-Meitner-Institut Berlin, Abteilung Solare Energetik, Berlin (Germany)

    1995-06-01

    The growth process of polycrystalline pyrite thin films employing low pressure metalorganic chemical vapor deposition (LP-MOCVD) in a vertical cold wall reactor has been investigated. Iron pentacarbonyl (IPC) and t-butyldisulfide (TBDS) were utilized as precursors. Study of the growth rate as a function of temperature reveals a kinetically controlled growth process with an activation energy of 73 kJ/mol over the temperature range from 250 to 400C. From 500 to 630C, the growth rate is mainly mass transport limited. Decomposition of the films into pyrrhotite (Fe{sub 1-x}S) occurs at higher growth temperatures. The S/Fe ratio in the films has been controlled from 1.23 up to 2.03 by changing the TBDS partial pressure. With increasing deposition temperature, the crystallites in the films show the tendency to grow [100]-oriented on amorphous substrates at a growth rate of 2.5 A/s. The grains show a preferential orientation in the [111] direction upon lowering the growth rate down to 0.3 A/s. Temperatures above 550C are beneficial in enhancing the grain size in the columnar structured films up to 1.0 {mu}m

  15. Hybrid Organic/ZnO p-n Junctions with n-Type ZnO Grown by Atomic Layer Deposition

    Science.gov (United States)

    Łuka, G.; Krajewski, T.; Szczerbakow, A.; Łusakowska, E.; Kopalko, K.; Guziewicz, E.; Wachnicki, Ł.; Szczepanik, A.; Godlewski, M.; Fidelus, J. D.

    2008-11-01

    We report on fabrication of hybrid inorganic-on-organic thin film structures with polycrystalline zinc oxide films grown by atomic layer deposition technique. ZnO films were deposited on two kinds of thin organic films, i.e. pentacene and poly(dimethylosiloxane) elastomer with a carbon nanotube content (PDMS:CNT). Surface morphology as well as electrical measurements of the films and devices were analyzed. The current density versus voltage (I-V) characteristics of ITO/pentacene/ZnO/Au structure show a low-voltage switching phenomenon typical of organic memory elements. The I-V studies of ITO/PDMS:CNT/ZnO/Au structure indicate some charging effects in the system under applied voltages.

  16. Characterization of homoepitaxial and heteroepitaxial ZnO films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Z.Q. [Japan Atomic Energy Research Institute, 1233 Watanuki, Takasaki, Gunma 370-1292 (Japan)]. E-mail: chenzq@taka.jaeri.go.jp; Yamamoto, S. [Japan Atomic Energy Research Institute, 1233 Watanuki, Takasaki, Gunma 370-1292 (Japan); Kawasuso, A. [Japan Atomic Energy Research Institute, 1233 Watanuki, Takasaki, Gunma 370-1292 (Japan); Xu, Y. [Japan Atomic Energy Research Institute, 1233 Watanuki, Takasaki, Gunma 370-1292 (Japan); Sekiguchi, T. [National Institute for Materials Science, 1-2-1 Sengen, Tsukuba, Ibaraki 305-0047 (Japan)

    2005-05-15

    Homo- and heteroepitaxial ZnO films were grown on ZnO (0001) and Al{sub 2}O{sub 3} (1-bar 1-bar 2-bar -bar 0) substrates by using pulsed laser deposition. The X-ray diffraction and Raman measurements for these films show good correspondence with the bulk ZnO substrate, which confirms successful growth of c-axis oriented ZnO layer. Strong UV emission was also observed in these films, indicating good optical quality. However, the surface roughness differs very much for the homo- and heteroepitaxial film, that is, much less for the homoepitaxial layer. Positron annihilation measurements reveal a higher vacancy concentration in the homoepitaxial layer.

  17. High surface hole concentration p-type GaN using Mg implantation

    International Nuclear Information System (INIS)

    Long Tao; Yang Zhijian; Zhang Guoyi

    2001-01-01

    Mg ions were implanted on Mg-doped GaN grown by metalorganic chemical vapor deposition (MOCVD). The p-type GaN was achieved with high hole concentration (8.28 x 10 17 cm -3 ) conformed by Van derpauw Hall measurement after annealing at 800 degree C for 1 h. this is the first experimental report of Mg implantation on Mg-doped GaN and achieving p-type GaN with high surface hole concentration

  18. Quality of YBCO thin films grown on LAO substrates exposed to the film deposition - film removal processes

    Energy Technology Data Exchange (ETDEWEB)

    Blagoev, B; Nurgaliev, T [Institute of Electronics, Bulgarian Academy of Sciences, 72 Tzarigradsko Chaussee, 1784 Sofia (Bulgaria); Mozhaev, P B [Institute of Physics and Technology, Russian Academy of Sciences, 117218 Moscow (Russian Federation); Sardela, M; Donchev, T [Materials Research Laboratory, University of Illinois, 104 South Goodwin Ave., Urbana, IL 61801 (United States)], E-mail: blago_sb@yahoo.com

    2008-05-01

    The characteristics are investigated of high temperature superconducting YBa{sub 2}Cu{sub 3}O{sub 7} (YBCO) films grown on LaAlO{sub 3} (LAO) substrates being exposed a different number of times to YBCO film deposition and acid-solution-based cleaning procedures. Possible mechanisms of degradation of the substrate surface quality reflecting on the growing YBCO film parameters are discussed and analyzed.

  19. Impact of post deposition annealing in the electrically active traps at the interface between Ge(001) substrates and LaGeO{sub x} films grown by molecular beam deposition

    Energy Technology Data Exchange (ETDEWEB)

    Molle, Alessandro [Laboratorio MDM, CNR-IMM, via C. Olivetti 2, Agrate Brianza (MB) I-20864 (Italy); Baldovino, Silvia; Fanciulli, Marco [Laboratorio MDM, CNR-IMM, via C. Olivetti 2, Agrate Brianza (MB) I-20864 (Italy); Dipartimento di Scienza dei Materiali, Universita degli Studi di Milano Bicocca, Milano (Italy); Tsoutsou, Dimitra; Golias, Evangelos; Dimoulas, Athanasios [MBE Laboratory, Institute of Materials Science, NCSR DEMOKRITOS, Athens 153 10 (Greece)

    2011-10-15

    Changes in the electron trapping at the interface between Ge substrates and LaGeO{sub x} films grown by atomic O assisted molecular beam deposition are inferred upon post deposition annealing treatment on the as-deposited films from electrically detected magnetic resonance (EDMR) spectroscopy and from the electrical response of Pt/LaGeO{sub x}/Ge metal oxide semiconductor (MOS) capacitors. The improved electrical performance of the MOS capacitors upon annealing is consistent with the EDMR detected reduction of oxide defects which are associated with GeO species in the LaGeO{sub x} layer as evidenced by x-ray photoelectron spectroscopy.

  20. Characterization of RuO sub 2 electrodes for ferroelectric thin films prepared by metal-organic chemical-vapor deposition using Ru(C sub 1 sub 1 H sub 1 sub 9 O sub 2) sub 3

    CERN Document Server

    Lee, J M; Shin, J C; Hwang, C S; Kim, H J; Suk, C G

    1999-01-01

    Pure and conducting RuO sub 2 thin films were deposited on Si substrates at 250 approx 450 .deg. C using Ru(C sub 1 sub 1 H sub 1 sub 9 O sub 2) sub 3 as a precursor by low-pressure metal-organic chemical-vapor deposition (LP-MOCVD). At a lower deposition temperature,smoother and denser RuO sub 2 thin films were deposited. The RuO sub 2 thin films, which were crack free, adhered well onto the substrates and showed very low resistivities around 45 approx 60 mu OMEGA cm. RuO sub 2 thin films on (Ba, Sr)/TiO sub 3 /Pt/SiO sub 2 /Si showed good properties, indicating that MOCVD RuO sub 2 thin films from Ru(C sub 1 sub 1 H sub 1 sub 9 O sub 2) sub 3 can be applied as electrodes of high-dielectric thin films for capacitors in ultra-large-scale DRAMs.

  1. Photoluminescence study of aligned ZnO nanorods grown using chemical bath deposition

    International Nuclear Information System (INIS)

    Urgessa, Z.N.; Oluwafemi, O.S.; Dangbegnon, J.K.; Botha, J.R.

    2012-01-01

    The photoluminescence study of self-assembled ZnO nanorods grown on a pre-treated Si substrate by a simple chemical bath deposition method at a temperature of 80 °C is hereby reported. By annealing in O 2 environment the UV emission is enhanced with diminishing deep level emission suggesting that most of the deep level emission is due to oxygen vacancies. The photoluminescence was investigated from 10 K to room temperature. The low temperature photoluminescence spectrum is dominated by donor-bound exciton. The activation energy and binding energy of shallow donors giving rise to bound exciton emission were calculated to be around 13.2 meV, 46 meV, respectively. Depending on these energy values and nature of growth environment, hydrogen is suggested to be the possible contaminating element acting as a donor.

  2. Stable, highly-responsive and broadband photodetection based on large-area multilayered WS2 films grown by pulsed-laser deposition

    Science.gov (United States)

    Yao, J. D.; Zheng, Z. Q.; Shao, J. M.; Yang, G. W.

    2015-09-01

    The progress in the field of graphene has aroused a renaissance of keen research interest in layered transition metal dichalcogenides (TMDs). Tungsten disulfide (WS2), a typical TMD with favorable semiconducting band gap and strong light-matter interaction, exhibits great potential for highly-responsive photodetection. However, WS2-based photodetection is currently unsatisfactory due to the low optical absorption (2%-10%) and poor carrier mobility (0.01-0.91 cm2 V-1 s-1) of the thin WS2 layers grown by chemical vapor deposition (CVD). Here, we introduce pulsed-laser deposition (PLD) to prepare multilayered WS2 films. Large-area WS2 films of the magnitude of cm2 are achieved. Comparative measurements of a WS2-based photoresistor demonstrate its stable broadband photoresponse from 370 to 1064 nm, the broadest range demonstrated in WS2 photodetectors. Benefiting from the large optical absorbance (40%-85%) and high carrier mobility (31 cm2 V-1 s-1), the responsivity of the device approaches a high value of 0.51 A W-1 in an ambient environment. Such a performance far surpasses the CVD-grown WS2-based photodetectors (μA W-1). In a vacuum environment, the responsivity is further enhanced to 0.70 A W-1 along with an external quantum efficiency of 137% and a photodetectivity of 2.7 × 109 cm Hz1/2 W-1. These findings stress that the PLD-grown WS2 film may constitute a new paradigm for the next-generation stable, broadband and highly-responsive photodetectors.The progress in the field of graphene has aroused a renaissance of keen research interest in layered transition metal dichalcogenides (TMDs). Tungsten disulfide (WS2), a typical TMD with favorable semiconducting band gap and strong light-matter interaction, exhibits great potential for highly-responsive photodetection. However, WS2-based photodetection is currently unsatisfactory due to the low optical absorption (2%-10%) and poor carrier mobility (0.01-0.91 cm2 V-1 s-1) of the thin WS2 layers grown by chemical vapor

  3. Influence of deposition temperature on the structural and morphological properties of Be{sub 3}N{sub 2} thin films grown by reactive laser ablation

    Energy Technology Data Exchange (ETDEWEB)

    Chale-Lara, F., E-mail: fabio_chale@yahoo.com.mx [Centro de Investigacion Cientifica y de Educacion Superior de Ensenada, Apartado Postal 2681, Ensenada, Baja California, C.P. 22860 (Mexico); Centro de Nanociencias y Nanotecnologia, Universidad Nacional Autonoma de Mexico, Apartado Postal 14, Ensenada CP 22860, Baja California (Mexico); Centro de Investigacion en Ciencia Aplicada y Tecnologia Avanzada-IPN, Unidad Altamira, Km. 14.5 Carretera Tampico-Puerto Industrial, Altamira, Tamaulipas (Mexico); Farias, M.H.; De la Cruz, W. [Centro de Nanociencias y Nanotecnologia, Universidad Nacional Autonoma de Mexico, Apartado Postal 14, Ensenada CP 22860, Baja California (Mexico); Zapata-Torres, M. [Centro de Investigacion en Ciencia Aplicada y Tecnologia Avanzada-IPN, Legaria 694, Col. Irrigacion, Del. Miguel Hidalgo, Mexico D.F. (Mexico)

    2010-10-01

    Be{sub 3}N{sub 2} thin films have been grown on Si(1 1 1) substrates using the pulsed laser deposition method at different substrate temperatures: room temperature (RT), 200 deg. C, 400 deg. C, 600 deg. C and 700 deg. C. Additionally, two samples were deposited at RT and were annealed after deposition in situ at 600 deg. C and 700 deg. C. In order to obtain the stoichiometry of the samples, they have been characterized in situ by X-ray photoelectron (XPS) and reflection electron energy loss spectroscopy (REELS). The influence of the substrate temperature on the morphological and structural properties of the films was investigated using scanning electron microscopy (SEM), atomic force microscopy (AFM) and X-ray diffraction (XRD). The results show that all prepared films presented the Be{sub 3}N{sub 2} stoichiometry. Formation of whiskers with diameters of 100-200 nm appears at the surface of the films prepared with a substrate temperature of 600 deg. C or 700 deg. C. However, the samples grown at RT and annealed at 600 deg. C or 700 deg. C do not show whiskers on the surface. The average root mean square (RMS) roughness and the average grain size of the samples grown with respect the substrate temperature is presented. The films grown with a substrate temperature between the room temperature to 400 deg. C, and the sample annealed in situ at 600 deg. C were amorphous; while the {alpha}Be{sub 3}N{sub 2} phase was presented on the samples with a substrate temperature of 600 deg. C, 700 deg. C and that deposited with the substrate at RT and annealed in situ at 700 deg. C.

  4. Understanding and optimization of InN and high indium containing InGaN alloys by metal organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tuna, Oecal

    2013-07-18

    Among the III-nitride semiconductors (Ga,Al,In)N, InN is the most attractive one due to having the narrowest bandgap of 0.64 eV. The revision in the bandgap of InN makes the InGaN more important since one can cover the whole solar spectrum by only changing In composition in an InGaN layer. The comparison of quality of InN and InGaN layers grown using a metal organic chemical vapor deposition (MOCVD) and a molecular beam epitaxy (MBE) methods indicate that growth with MOCVD is the more challenging, again due to the high dissociation temperature of NH{sub 3} relative to the low decomposition temperature of InN (560-570 C). However, there is significant interest in developing an MOCVD process for InN and InGaN growth since MOCVD technology is the technology currently in use for commercial fabrication of group III nitride thin films. This thesis is therefore focused on a study of MOCVD growth of n- and p-type InN and In-rich InGaN films with the goal of providing new information on the influence of growth conditions on the film properties. Initially, a detailed investigation of MOCVD of InN is given. It is shown that MOCVD growth parameters (growth temperature and V/III ratio) have impacts on the layer properties such as In droplet formation on the surface as well as on its electrical and optical properties. PAS is employed for point defect analyzation. It is shown that In vacancies isolated by nitrogen vacancies are the dominant vacancy-type positron traps in InN. A decrease in the N vacancy concentration in InN is observed as a result of the growth temperature increase from 500 to 550 C. This is an indication of a reduction of N vacancy concentration by enhancing NH{sub 3} dissociation at high growth temperature. Results obtained from optical techniques (Raman and PL) are used to estimate the free carrier concentrations in InN. Electrical characterizations are also carried out using Hall measurements. Carrier concentration values obtained by these three techniques

  5. Understanding and optimization of InN and high indium containing InGaN alloys by metal organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Tuna, Oecal

    2013-01-01

    Among the III-nitride semiconductors (Ga,Al,In)N, InN is the most attractive one due to having the narrowest bandgap of 0.64 eV. The revision in the bandgap of InN makes the InGaN more important since one can cover the whole solar spectrum by only changing In composition in an InGaN layer. The comparison of quality of InN and InGaN layers grown using a metal organic chemical vapor deposition (MOCVD) and a molecular beam epitaxy (MBE) methods indicate that growth with MOCVD is the more challenging, again due to the high dissociation temperature of NH 3 relative to the low decomposition temperature of InN (560-570 C). However, there is significant interest in developing an MOCVD process for InN and InGaN growth since MOCVD technology is the technology currently in use for commercial fabrication of group III nitride thin films. This thesis is therefore focused on a study of MOCVD growth of n- and p-type InN and In-rich InGaN films with the goal of providing new information on the influence of growth conditions on the film properties. Initially, a detailed investigation of MOCVD of InN is given. It is shown that MOCVD growth parameters (growth temperature and V/III ratio) have impacts on the layer properties such as In droplet formation on the surface as well as on its electrical and optical properties. PAS is employed for point defect analyzation. It is shown that In vacancies isolated by nitrogen vacancies are the dominant vacancy-type positron traps in InN. A decrease in the N vacancy concentration in InN is observed as a result of the growth temperature increase from 500 to 550 C. This is an indication of a reduction of N vacancy concentration by enhancing NH 3 dissociation at high growth temperature. Results obtained from optical techniques (Raman and PL) are used to estimate the free carrier concentrations in InN. Electrical characterizations are also carried out using Hall measurements. Carrier concentration values obtained by these three techniques revealed a

  6. ZnO homoepitaxy on the O polar face of hydrothermal and melt-grown substrates by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Rogers, D.J. [Nanovation SARL, Orsay (France); Technical Univ. of Troyes (France); CNRS, Troyes (France); Hosseini Teherani, F. [Nanovation SARL, Orsay (France); Largeteau, A.; Demazeau, G. [ICMCB-CNRS, Bordeaux 1 University (Science and Technology), Pessac (France); Moisson, C.; Turover, D. [Novasic, Savoie Technolac, Arche Bat. 4, BP 267, Le Bourget du Lac (France); Nause, J. [Cermet Inc., Atlanta, GA (United States); Garry, G. [Thales Research, Domaine de Corbeville, Orsay (France); Kling, R.; Gruber, T. [Ulm University, Department of Semiconductor Physics, Ulm (Germany); Waag, A. [Braunschweig Technical University, Institute of Semiconductor Technology, Braunschweig (Germany); Jomard, F.; Galtier, P.; Lusson, A. [LPSC-CNRS, Meudon (France); Monteiro, T.; Soares, M.J.; Neves, A.; Carmo, M.C.; Peres, M. [University of Aveiro, Physics Department, Aveiro (Portugal); Lerondel, G.; Hubert, C. [Technical University of Troyes-CNRS (FRE2671), 12 rue Marie Curie, BP 2060, Troyes (France)

    2007-07-15

    2 cm diameter hydrothermal ZnO crystals were grown and then made into substrates using both mechanical and chemical-mechanical polishing (CMP). CMP polishing showed superior results with an (0002) {omega} scan full width half maximum (FWHM) of 67 arcsec and an root mean square (RMS) roughness of 2 Aa. In comparison, commercial melt-grown substrates exhibited broader X-ray diffraction (XRD) linewidths with evidence of sub-surface crystal damage due to polishing, including a downward shift of c-lattice parameter. Secondary ion mass spectroscopy revealed strong Li, Fe, Co, Al and Si contamination in the hydrothermal crystals as opposed to the melt-grown substrates, for which glow discharge mass spectroscopy studies had reported high levels of Pb, Fe, Cd and Si. Low temperature photoluminescence (PL) studies indicated that the hydrothermal crystal had high defect and/or impurity concentrations compared with the melt-grown substrate. The dominant bound exciton for the melt-grown substrate was indexed to Al. ZnO films were grown using pulsed laser deposition. The melt-grown substrates gave superior results with XRD (0002) {omega} and 2{theta}/{omega} WHM of 124 and 34 arcsec, respectively. Atomic force microscope measurements indicated a low RMS roughness (1.9 nm) as confirmed by fringes in the XRD 2{theta}/{omega} scan. It was suggested that the improvement in XRD response relative to the substrate might be due to ''healing'' of sub-surface polishing damage due to the elevated T{sub s} used for the growth. Indeed the c-lattice parameter for the homoepitaxial layer on the melt-grown substrate had become that which would be expected for strain-free ZnO. Furthermore, the stability of the PL peak positions relative to bulk ZnO, confirmed that the films appear practically strain free. (orig.)

  7. Impact of deposition temperature on the properties of SnS thin films grown over silicon substrate—comparative study of structural and optical properties with films grown on glass substrates

    Science.gov (United States)

    Assili, Kawther; Alouani, Khaled; Vilanova, Xavier

    2017-11-01

    Tin sulfide (SnS) thin films were chemically deposited over silicon substrate in a temperature range of 250 °C-400 °C. The effects of deposition temperature on the structural, morphological and optical properties of the films were evaluated. All films present an orthorhombic SnS structure with a preferred orientation along (040). High absorption coefficients (in the range of 105 cm-1) were found for all obtained films with an increase in α value when deposition temperature decreases. Furthermore, the effects of substrate type were investigated based on comparison between the present results and those obtained for SnS films grown under the same deposition conditions but over glass substrate. The results suggest that the formation of SnS films onto glass substrate is faster than onto silicon substrate. It is found that the substrate nature affects the orientation growth of the films and that SnS films deposited onto Si present more defects than those deposited onto glass substrate. The optical transmittance is also restricted by the substrate type, mostly below 1000 nm. The obtained results for SnS films onto silicon suggest their promising integration within optoelectronic devices.

  8. α-Ga2O3 grown by low temperature atomic layer deposition on sapphire

    Science.gov (United States)

    Roberts, J. W.; Jarman, J. C.; Johnstone, D. N.; Midgley, P. A.; Chalker, P. R.; Oliver, R. A.; Massabuau, F. C.-P.

    2018-04-01

    α-Ga2O3 is a metastable phase of Ga2O3 of interest for wide bandgap engineering since it is isostructural with α-In2O3 and α-Al2O3. α-Ga2O3 is generally synthesised under high pressure (several GPa) or relatively high temperature (∼500 °C). In this study, we report the growth of α-Ga2O3 by low temperature atomic layer deposition (ALD) on sapphire substrate. The film was grown at a rate of 0.48 Å/cycle, and predominantly consists of α-Ga2O3 in the form of (0001) -oriented columns originating from the interface with the substrate. Some inclusions were also present, typically at the tips of the α phase columns and most likely comprising ε-Ga2O3. The remainder of the Ga2O3 film - i.e. nearer the surface and between the α-Ga2O3 columns, was amorphous. The film was found to be highly resistive, as is expected for undoped material. This study demonstrates that α-Ga2O3 films can be grown by low temperature ALD and suggests the possibility of a new range of ultraviolet optoelectronic and power devices grown by ALD. The study also shows that scanning electron diffraction is a powerful technique to identify the different polymorphs of Ga2O3 present in multiphase samples.

  9. The structure of Ta nanopillars grown by glancing angle deposition

    International Nuclear Information System (INIS)

    Zhou, C.M.; Gall, D.

    2006-01-01

    Regular arrays of Ta nanopillars, 200 nm wide and 500 nm tall, were grown on SiO 2 nanosphere patterns by glancing angle sputter deposition (GLAD). Plan-view and cross-sectional scanning electron microscopy analyses show dramatic changes in the structure and morphology of individual nanopillars as a function of growth temperature T s ranging from 200 to 700 deg. C. At low temperatures, T s ≤ 300 deg. C, single nanopillars develop on each sphere and branch into subpillars near the pillar top. In contrast, T s ≥ 500 deg. C leads to branching during the nucleation stage at the pillar bottom. The top branching at low T s is associated with surface mounds on a growing pillar that, due to atomic shadowing, develop into separated subpillars. At high T s , the branching occurs during the nucleation stage where multiple nuclei on a single SiO 2 sphere develop into subpillars during a competitive growth mode which, in turn, leads to intercolumnar competition and the extinction of some nanopillars

  10. High surface hole concentration p-type GaN using Mg implantation

    CERN Document Server

    Long Tao; Zhang Guo Yi

    2001-01-01

    Mg ions were implanted on Mg-doped GaN grown by metalorganic chemical vapor deposition (MOCVD). The p-type GaN was achieved with high hole concentration (8.28 x 10 sup 1 sup 7 cm sup - sup 3) conformed by Van derpauw Hall measurement after annealing at 800 degree C for 1 h. this is the first experimental report of Mg implantation on Mg-doped GaN and achieving p-type GaN with high surface hole concentration

  11. Digital growth of thick N-polar InGaN films on relaxed InGaN pseudosubstrates

    Science.gov (United States)

    Lund, Cory; Hestroffer, Karine; Hatui, Nirupam; Nakamura, Shuji; DenBaars, Steven P.; Mishra, Umesh K.; Keller, Stacia

    2017-11-01

    Smooth relaxed N-polar InGaN films were grown by metal-organic CVD (MOCVD) on N-polar InGaN pseudosubstrates (PSs) using a novel digital approach consisting of a constant In precursor flow with the pulsed injection of H2 carrier gas. InGaN layers grown on PSs exhibited an In composition of about 50% higher than those of the layers grown on N-polar GaN templates, assuming the in-plane lattice constant of the relaxed PSs, corresponding to In0.11Ga0.89N. Additionally, the luminescence recorded from InGaN layers grown on PSs at 490 nm was twice as intense as that obtained from the layers deposited on coloaded GaN-on-sapphire templates, which emitted at 430 nm.

  12. Chemical resistance of thin film materials based on metal oxides grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Sammelselg, Väino; Netšipailo, Ivan; Aidla, Aleks; Tarre, Aivar; Aarik, Lauri; Asari, Jelena; Ritslaid, Peeter; Aarik, Jaan

    2013-01-01

    Etching rate of technologically important metal oxide thin films in hot sulphuric acid was investigated. The films of Al-, Ti-, Cr-, and Ta-oxides studied were grown by atomic layer deposition (ALD) method on silicon substrates from different precursors in large ranges of growth temperatures (80–900 °C) in order to reveal process parameters that allow deposition of coatings with higher chemical resistance. The results obtained demonstrate that application of processes that yield films with lower concentration of residual impurities as well as crystallization of films in thermal ALD processes leads to significant decrease of etching rate. Crystalline films of materials studied showed etching rates down to values of < 5 pm/s. - Highlights: • Etching of atomic layer deposited thin metal oxide films in hot H 2 SO 4 was studied. • Smallest etching rates of < 5 pm/s for TiO 2 , Al 2 O 3 , and Cr 2 O 3 were reached. • Highest etching rate of 2.8 nm/s for Al 2 O 3 was occurred. • Remarkable differences in etching of non- and crystalline films were observed

  13. Modelling of MOCVD Reactor: New 3D Approach

    Science.gov (United States)

    Raj, E.; Lisik, Z.; Niedzielski, P.; Ruta, L.; Turczynski, M.; Wang, X.; Waag, A.

    2014-04-01

    The paper presents comparison of two different 3D models of vertical, rotating disc MOCVD reactor used for 3D GaN structure growth. The first one is based on the reactor symmetry, while the second, novel one incorporates only single line of showerhead nozzles. It is shown that both of them can be applied interchangeably regarding the phenomena taking place within the processing area. Moreover, the importance of boundary conditions regarding proper modelling of showerhead cooling and the significance of thermal radiation on temperature field within the modelled structure are presented and analysed. The last phenomenon is erroneously neglected in most of the hitherto studies.

  14. Modelling of MOCVD reactor: new 3D approach

    International Nuclear Information System (INIS)

    Raj, E; Lisik, Z; Niedzielski, P; Ruta, L; Turczynski, M; Wang, X; Waag, A

    2014-01-01

    The paper presents comparison of two different 3D models of vertical, rotating disc MOCVD reactor used for 3D GaN structure growth. The first one is based on the reactor symmetry, while the second, novel one incorporates only single line of showerhead nozzles. It is shown that both of them can be applied interchangeably regarding the phenomena taking place within the processing area. Moreover, the importance of boundary conditions regarding proper modelling of showerhead cooling and the significance of thermal radiation on temperature field within the modelled structure are presented and analysed. The last phenomenon is erroneously neglected in most of the hitherto studies.

  15. Effect of e-beam irradiation on graphene layer grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Iqbal, M. Z.; Kumar Singh, Arun; Iqbal, M. W.; Seo, Sunae; Eom, Jonghwa

    2012-01-01

    We have grown graphene by chemical vapor deposition (CVD) and transferred it onto Si/SiO 2 substrates to make tens of micron scale devices for Raman spectroscopy study. The effect of electron beam (e-beam) irradiation of various doses (600 to 12 000 μC/cm 2 ) on CVD grown graphene has been examined by using Raman spectroscopy. It is found that the radiation exposures result in the appearance of the strong disorder D band attributed the damage to the lattice. The evolution of peak frequencies, intensities, and widths of the main Raman bands of CVD graphene is analyzed as a function of defect created by e-beam irradiation. Especially, the D and G peak evolution with increasing radiation dose follows the amorphization trajectory, which suggests transformation of graphene to the nanocrystalline and then to amorphous form. We have also estimated the strain induced by e-beam irradiation in CVD graphene. These results obtained for CVD graphene are in line with previous findings reported for the mechanically exfoliated graphene [D. Teweldebrhan and A. A. Balandin, Appl. Phys. Lett. 94, 013101 (2009)]. The results have important implications for CVD graphene characterization and device fabrication, which rely on the electron microscopy.

  16. MOCVD growth of InP-related materials using TBA and TBP

    International Nuclear Information System (INIS)

    Czub, M.; Strupinski, W.

    1995-01-01

    High quality epitaxial layers of GaAs, InP, AlAs, InGaAs, InGaP, InGaAlP have been grown by low-pressure metalorganic chemical vapor deposition using TMIn, TMGa, TMAl and the less hazardous group V precursors, temperature ranges of 570-650 C and 520-650 C, respectively. The V/III ratio as low as 1.5 was used to grow epilayers of InP. The 77 K mobility of InGaAs lattice matched to InP (grown with TBA) was 72360 cm 2 /(Vs) for n = 1.5 x 10 1 5/cm 3 and the thickness of 2 μm. Comparable photoluminescence parameters of InGaAlP between layers grown with TBP and PH 3 were achieved, but for InGaAlP (TBP) photoluminescence intensity was significantly lower than for InGaAlP (PH 3 ). The promising results allow one to apply of TBA and TBP for developing of device structures. (author)

  17. Microstructural and superconducting properties of high current metal-organic chemical vapor deposition YBa2Cu3O7-δ coated conductor wires

    International Nuclear Information System (INIS)

    Holesinger, T G; Maiorov, B; Ugurlu, O; Civale, L; Chen, Y; Xiong, X; Xie, Y; Selvamanickam, V

    2009-01-01

    Metal-organic chemical vapor deposition (MOCVD) on flexible, ion beam assisted deposition MgO templates has been used to produce high critical current density (J c ) (Y,Sm) 1 Ba 2 Cu 3 O y (REBCO) films suitable for use in producing practical high temperature superconducting (HTS) coated conductor wires. Thick films on tape were produced with sequential additions of 0.7 μm of REBCO via a reel-to-reel progression through a custom-designed MOCVD reactor. Multi-pass processing for thick film deposition is critically dependent upon minimizing surface secondary phase formation. Critical currents (I c s) of up to 600 A/cm width (t = 2.8 μm, J c = 2.6 MA cm -2 , 77 K, self-field) were obtained in short lengths of HTS wires. These high performance MOCVD films are characterized by closely spaced (Y,Sm) 2 O 3 nanoparticle layers that may be tilted relative to the film normal and REBCO orientation. Small shifts in the angular dependence of J c in low and intermediate applied magnetic fields can be associated with the tilted nanoparticle layers. Also present in these films were YCuO 2 nanoplates aligned with the YBCO matrix (short dimension perpendicular to the film normal), threading dislocations, and oriented composite defects (OCDs). The latter structures consist of single or multiple a-axis oriented grains coated on each side with insulating (Y,Sm) 2 O 3 or CuO. The OCDs formed a connected network of insulating phases by the end of the fourth pass. Subsequent attempts at adding additional layers did not increase I c . There is an inconsistency between the measured J c and the observed microstructural degradation that occurs with each additional layer, suggesting that previously deposited layers are improving with each repeated reactor pass. These dynamic changes suggest a role for post-processing to optimize superconducting properties of as-deposited films, addressing issues associated with reproducibility and manufacturing yield.

  18. Electrothermal evaluation of thick GaN epitaxial layers and AlGaN/GaN high-electron-mobility transistors on large-area engineered substrates

    Science.gov (United States)

    Anderson, Travis J.; Koehler, Andrew D.; Tadjer, Marko J.; Hite, Jennifer K.; Nath, Anindya; Mahadik, Nadeemullah A.; Aktas, Ozgur; Odnoblyudov, Vladimir; Basceri, Cem; Hobart, Karl D.; Kub, Francis J.

    2017-12-01

    AlGaN/GaN high-electron-mobility transistor (HEMT) device layers were grown by metal organic chemical vapor deposition (MOCVD) on commercial engineered QST™ substrates to demonstrate a path to scalable, cost-effective foundry processing while supporting the thick epitaxial layers required for power HEMT structures. HEMT structures on 150 mm Si substrates were also evaluated. The HEMTs on engineered substrates exhibited material quality, DC performance, and forward blocking performance superior to those of the HEMT on Si. GaN device layers up to 15 µm were demonstrated with a wafer bow of 1 µm, representing the thickest films grown on 150-mm-diameter substrates with low bow to date.

  19. Broad Temperature Pinning Study of 15 mol.% Zr-Added (Gd, Y)-Ba-Cu-O MOCVD Coated Conductors

    Energy Technology Data Exchange (ETDEWEB)

    Xu, AX; Khatri, N; Liu, YH; Majkic, G; Galstyan, E; Selvamanickam, V; Chen, YM; Lei, CH; Abraimov, D; Hu, XB; Jaroszynski, J; Larbalestier, D

    2015-06-01

    BaZrO3 (BZO) nanocolumns have long been shown to be very effective for raising the pinning force F-p of REBa2Cu3Ox (REBCO, where RE = rare earth) films at high temperatures and recently at low temperatures too. We have successfully incorporated a high density of BZO nanorods into metal organic chemical vapor deposited (MOCVD) REBCO coated conductors via Zr addition. We found that, compared to the 7.5% Zr-added coated conductor, dense BZO nanorod arrays in the 15% Zr-added conductor are effective over the whole temperature range from 77 K down to 4.2 K. We attribute the substantially enhanced J(c) at 30 K to the weak uncorrelated pinning as well as the strong correlated pinning. Meanwhile, by tripling the REBCO layer thickness to similar to 2.8 mu m, the engineering critical current density J(e) at 30 K exceeds J(e) of optimized Nb-Ti wires at 4.2 K.

  20. GaInAsP-InP Double Heterostructure Lasers on Si Substrate Grown by LP-MOCVD

    National Research Council Canada - National Science Library

    Razeghi, M

    1993-01-01

    ... #N00014-93-1-0176 'GaInAsP-InP double heterostructure lasers on Si substrate grown by MOVCD'. In order to achieve this goal of the contract, the CQD research group split the divided research work into three phases (with specific tasks...

  1. Optical, Electrical, and Crystal Properties of TiO2 Thin Films Grown by Atomic Layer Deposition on Silicon and Glass Substrates

    Science.gov (United States)

    Kupa, I.; Unal, Y.; Cetin, S. S.; Durna, L.; Topalli, K.; Okyay, A. K.; Ates, H.

    2018-05-01

    TiO2 thin films have been deposited on glass and Si(100) by atomic layer deposition (ALD) technique using tetrakis(diethylamido)titanium(IV) and water vapor as reactants. Thorough investigation of the properties of the TiO2/glass and TiO2/Si thin films was carried out, varying the deposition temperature in the range from 100°C to 250°C while keeping the number of reaction cycles fixed at 1000. Physical and material property analyses were performed to investigate optical and electrical properties, composition, structure, and morphology. TiO2 films grown by ALD may represent promising materials for future applications in optoelectronic devices.

  2. Photoluminescence study of aligned ZnO nanorods grown using chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Urgessa, Z.N. [Department of Physics, P.O. Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth 6031 (South Africa); Oluwafemi, O.S. [Department of Chemistry and Chemical Technology, Walter Sisulu University, Mthatha Campus, Private Bag XI, 5117 (South Africa); Dangbegnon, J.K. [Department of Physics, P.O. Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth 6031 (South Africa); Botha, J.R., E-mail: Reinhardt.Botha@nmmu.ac.za [Department of Physics, P.O. Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth 6031 (South Africa)

    2012-05-15

    The photoluminescence study of self-assembled ZnO nanorods grown on a pre-treated Si substrate by a simple chemical bath deposition method at a temperature of 80 Degree-Sign C is hereby reported. By annealing in O{sub 2} environment the UV emission is enhanced with diminishing deep level emission suggesting that most of the deep level emission is due to oxygen vacancies. The photoluminescence was investigated from 10 K to room temperature. The low temperature photoluminescence spectrum is dominated by donor-bound exciton. The activation energy and binding energy of shallow donors giving rise to bound exciton emission were calculated to be around 13.2 meV, 46 meV, respectively. Depending on these energy values and nature of growth environment, hydrogen is suggested to be the possible contaminating element acting as a donor.

  3. Deposition and Characterization of CVD-Grown Ge-Sb Thin Film Device for Phase-Change Memory Application

    Directory of Open Access Journals (Sweden)

    C. C. Huang

    2012-01-01

    Full Text Available Germanium antimony (Ge-Sb thin films with tuneable compositions have been fabricated on SiO2/Si, borosilicate glass, and quartz glass substrates by chemical vapour deposition (CVD. Deposition takes place at atmospheric pressure using metal chloride precursors at reaction temperatures between 750 and 875°C. The compositions and structures of these thin films have been characterized by micro-Raman, scanning electron microscope (SEM with energy dispersive X-ray analysis (EDX and X-ray diffraction (XRD techniques. A prototype Ge-Sb thin film phase-change memory device has been fabricated and reversible threshold and phase-change switching demonstrated electrically, with a threshold voltage of 2.2–2.5 V. These CVD-grown Ge-Sb films show promise for applications such as phase-change memory and optical, electronic, and plasmonic switching.

  4. Dry transfer of chemical-vapor-deposition-grown graphene onto liquid-sensitive surfaces for tunnel junction applications

    International Nuclear Information System (INIS)

    Feng, Ying; Chen, Ke

    2015-01-01

    We report a dry transfer method that can tranfer chemical vapor deposition (CVD) grown graphene onto liquid-sensitive surfaces. The graphene grown on copper (Cu) foil substrate was first transferred onto a freestanding 4 μm thick sputtered Cu film using the conventional wet transfer process, followed by a dry transfer process onto the target surface using a polydimethylsiloxane stamp. The dry-transferred graphene has similar properties to traditional wet-transferred graphene, characterized by scanning electron microscopy, atomic force microscopy, Raman spectroscopy, and electrical transport measurements. It has a sheet resistance of 1.6 ∼ 3.4 kΩ/□, hole density of (4.1 ∼ 5.3) × 10 12 cm −2 , and hole mobility of 460 ∼ 760 cm 2 V −1 s −1 without doping at room temperature. The results suggest that large-scale CVD-grown graphene can be transferred with good quality and without contaminating the target surface by any liquid. Mg/MgO/graphene tunnel junctions were fabricated using this transfer method. The junctions show good tunneling characteristics, which demonstrates the transfer technique can also be used to fabricate graphene devices on liquid-sensitive surfaces. (paper)

  5. Impact of the substrate misorientation and its preliminary etching on the structural and optical properties of integrated GaAs/Si MOCVD heterostructures

    Science.gov (United States)

    Seredin, P. V.; Lenshin, A. S.; Zolotukhin, D. S.; Arsentyev, I. N.; Zhabotinskiy, A. V.; Nikolaev, D. N.

    2018-03-01

    This is the first attempt to make a report regarding the control of the structural and optical functional characteristics of integrated GaAs/Si heterostructures owing to the employment of preliminary etched misoriented Si substrates. The epitaxial GaAs layer on silicon substrates with no formation of the antiphase domains can be grown using substrates deviating less than 4°-6° from the singular (100) plane or without the use of a transition layer of GaAs nano-stakes. Preliminary etching of the Si substrate made it easier to acquire an epitaxial GaAs film in a single-crystalline state with a significantly less relaxation factor MOCVD, which positively influences on the structural performance of the film. These data agree with the results of Infrared reflection spectroscopy as well as Photoluminescence and UV-Vis spectroscopy. The optical properties of the integrated GaAs/Si (100) heterostructures in the IR and UV spectral regions were also identified by means of the relaxation coefficients.

  6. Real time ellipsometry for monitoring plasma-assisted epitaxial growth of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Losurdo, Maria [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy)]. E-mail: maria.losurdo@ba.imip.cnr.it; Giangregorio, Maria M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Capezzuto, Pio [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Brown, April S. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Kim, Tong-Ho [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Choi, Soojeong [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States)

    2006-10-31

    GaN is grown on Si-face 4H-SiC(0 0 0 1) substrates using remote plasma-assisted methods including metalorganic chemical vapour deposition (RP-MOCVD) and molecular beam epitaxy (MBE). Real time spectroscopic ellipsometry is used for monitoring all the steps of substrate pre-treatments and the heteroepitaxial growth of GaN on SiC. Our characterization emphasis is on understanding the nucleation mechanism and the GaN growth mode, which depend on the SiC surface preparation.

  7. P-doped strontium titanate grown using two target pulsed laser deposition for thin film solar cells

    Science.gov (United States)

    Man, Hamdi

    Thin-film solar cells made of Mg-doped SrTiO3 p-type absorbers are promising candidates for clean energy generation. This material shows p-type conductivity and also demonstrates reasonable absorption of light. In addition, p-type SrTiO3 can be deposited as thin films so that the cost can be lower than the competing methods. In this work, Mg-doped SrTiO3 (STO) thin-films were synthesized and analyzed in order to observe their potential to be employed as the base semiconductor in photovoltaic applications. Mg-doped STO thin-films were grown by using pulsed laser deposition (PLD) using a frequency quadrupled Yttrium Aluminum Garnet (YAG) laser and with a substrate that was heated by back surface absorption of infrared (IR) laser light. The samples were characterized using X-ray photoelectron spectroscopy (XPS) and it was observed that Mg atoms were doped successfully in the stoichiometry. Reflection high energy electron diffraction (RHEED) spectroscopy proved that the thin films were polycrystalline. Kelvin Probe work function measurements indicated that the work function of the films were 4.167 eV after annealing. UV/Vis Reflection spectroscopy showed that Mg-doped STO thin-films do not reflect significantly except in the ultraviolet region of the spectrum where the reflection percentage increased up to 80%. Self-doped STO thin-films, Indium Tin Oxide (ITO) thin films and stainless steel foil (SSF) were studied in order to observe their characteristics before employing them in Mg-doped STO based solar cells. Self-doped STO thin films were grown using PLD and the results showed that they are capable of serving as the n-type semiconductor in solar cell applications with oxygen vacancies in their structure and low reflectivity. Indium Tin Oxide thin-films grown by PLD system showed low 25-50 ?/square sheet resistance and very low reflection features. Finally, commercially available stainless steel foil substrates were excellent substrates for the inexpensive growth of

  8. Tuning of electrical and structural properties of indium oxide films grown by metal organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Wang, Ch.Y.; Cimalla, V.; Romanus, H.; Kups, Th.; Niebelschuetz, M.; Ambacher, O.

    2007-01-01

    Tuning of structural and electrical properties of indium oxide (In 2 O 3 ) films by means of metal organic chemical vapor deposition is demonstrated. Phase selective growth of rhombohedral In 2 O 3 (0001) and body-centered cubic In 2 O 3 (001) polytypes on (0001) sapphire substrates was obtained by adjusting the substrate temperature and trimethylindium flow rate. The specific resistance of the as-grown films can be tuned by about two orders of magnitude by varying the growth conditions

  9. Electronic and surface properties of pentacene films deposited on SiO2 prepared by the sol–gel and thermally grown methods

    International Nuclear Information System (INIS)

    Dai, Chi-Jie; Tsao, Hou-Yen; Lin, Yow-Jon; Liu, Day-Shan

    2014-01-01

    This study investigates the effect of different types of SiO 2 on the electronic and surface properties of pentacene films. Developing better contacts on dielectrics is one of the main challenges for pentacene-based transistor technology. The water contact angle variation indicates more hydrophobic thermally grown SiO 2 surfaces than sol–gel SiO 2 surfaces, suggesting that the thermally grown SiO 2 dielectric enables a better molecular arrangement as the pentacene layer is deposited. It is found that the carrier mobility in pentacene on thermally grown SiO 2 dielectrics is higher than that in pentacene on sol–gel SiO 2 dielectrics. The Hall-effect analysis by using the polaron theory revealed that the enhanced carrier mobility is due to the increased spacing between molecules. - Highlights: • The carrier mobility of pentacene on thermally grown and sol–gel SiO 2 was researched. • The enhanced carrier mobility of pentacene on thermally grown SiO2 was observed. • The dominance of tunneling (hopping) at low (high) temperatures was observed. • The carrier mobility is correlated with the morphology of pentacene films

  10. Amorphous indium gallium zinc oxide thin film grown by pulse laser deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Mistry, Bhaumik V., E-mail: bhaumik-phy@yahoo.co.in; Joshi, U. S. [Department of Physics, University School of Sciences, Gujarat University, Ahmedabad-380 009 (India)

    2016-05-23

    Highly electrically conducting and transparent in visible light IGZO thin film were grown on glass substrate at substrate temperature of 400 C by a pulse laser deposition techniques. Structural, surface, electrical, and optical properties of IGZO thin films were investigated at room temperature. Smooth surface morphology and amorphous nature of the film has been confirmed from the AFM and GIXRD analysis. A resistivity down to 7.7×10{sup −3} V cm was reproducibly obtained while maintaining optical transmission exceeding 70% at wavelengths from 340 to 780 nm. The carrier densities of the film was obtain to the value 1.9×10{sup 18} cm{sup 3}, while the Hall mobility of the IGZO thin film was 16 cm{sup 2} V{sup −1}S{sup −1}.

  11. Demonstration of high-responsivity epitaxial β-Ga2O3/GaN metal–heterojunction-metal broadband UV-A/UV-C detector

    Science.gov (United States)

    Kalra, Anisha; Vura, Sandeep; Rathkanthiwar, Shashwat; Muralidharan, Rangarajan; Raghavan, Srinivasan; Nath, Digbijoy N.

    2018-06-01

    We demonstrate epitaxial β-Ga2O3/GaN-based vertical metal–heterojunction-metal (MHM) broadband UV-A/UV-C photodetectors with high responsivity (3.7 A/W) at 256 and 365 nm, UV-to-visible rejection >103, and a photo-to-dark current ratio of ∼100. A small (large) conduction (valence) band offset at the heterojunction of pulsed laser deposition (PLD)-grown β-Ga2O3 on metal organic chemical vapor deposition (MOCVD)-grown GaN-on-silicon with epitaxial registry, as confirmed by X-ray diffraction (XRD) azimuthal scanning, is exploited to realize detectors with an asymmetric photoresponse and is explained with one-dimensional (1D) band diagram simulations. The demonstrated novel vertical MHM detectors on silicon are fully scalable and promising for enabling focal plane arrays for broadband ultraviolet sensing.

  12. High index of refraction films for dielectric mirrors prepared by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Brusasco, R.M.

    1989-01-01

    A wide variety of metal oxides with high index of refraction can be prepared by Metal-Organic Chemical Vapor Deposition. We present some recent optical and laser damage results on oxide films prepared by MOCVD which could be used in a multilayer structure for highly reflecting (HR) dielectric mirror applications. The method of preparation affects both optical properties and laser damage threshold. 10 refs., 8 figs., 4 tabs

  13. Benefits of carbon addition on the hydrogen absorption properties of Mg-based thin films grown by Pulsed Laser Deposition

    International Nuclear Information System (INIS)

    Darok, X.; Rougier, A.; Bhat, V.; Aymard, L.; Dupont, L.; Laffont, L.; Tarascon, J.-M.

    2006-01-01

    Mg-Ni thin films were grown using Pulsed Laser Deposition. In situ optical changes from shiny metallic to transparent states were observed for films deposited in vacuum and under an Ar/H 2 gas mixture (93/7%), respectively. Optical changes were also achieved by ex situ hydrogenation under hydrogen gas pressure of 15 bars at 200 deg. C. However, after ex situ hydrogenation, the optical transmittance of the Mg-based hydrogenated thin films did not exceed 25%. Such limitation was attributed to oxygen contamination, as deduced by High Resolution Transmission Electron Microscopy observations, showing the co-existence of both Mg-based and MgO phases for as-deposited films. A significant decrease in oxygen contamination was successfully achieved with the addition of carbon, leading to the preparation of (Mg-based)-C x (x < 20%) thin films showing a faster and easier hydrogenation

  14. Raman Spectroscopic Study of As-Deposited and Exfoliated Defected Graphene Grown on (001 Si Substrates by CVD

    Directory of Open Access Journals (Sweden)

    T. I. Milenov

    2017-01-01

    Full Text Available We present here results on a Raman spectroscopic study of the deposited defected graphene on Si substrates by chemical vapor deposition (thermal decomposition of acetone. The graphene films are not deposited on the (001 Si substrate directly but on two types of interlayers of mixed phases unintentionally deposited on the substrates: а diamond-like carbon (designated here as DLC and amorphous carbon (designated here as αC are dominated ones. The performed thorough Raman spectroscopic study of as-deposited as well as exfoliated specimens by two different techniques using different excitation wavelengths (488, 514, and 613 nm as well as polarized Raman spectroscopy establishes that the composition of the designated DLC layers varies with depth: the initial layers on the Si substrate consist of DLC, nanodiamond species, and C70 fullerenes while the upper ones are dominated by DLC with an occasional presence of C70 fullerenes. The αC interlayer is dominated by turbostratic graphite and contains a larger quantity of C70 than the DLC-designated interlayers. The results of polarized and unpolarized Raman spectroscopic studies of as-grown and exfoliated graphene films tend to assume that single- to three-layered defected graphene is deposited on the interlayers. It can be concluded that the observed slight upshift of the 2D band as well as the broadening of 2D band should be related to the strain and doping.

  15. 3D magnetic nanostructures grown by focused electron and ion beam induced deposition

    Science.gov (United States)

    Fernandez-Pacheco, Amalio

    Three-dimensional nanomagnetism is an emerging research area, where magnetic nanostructures extend along the whole space, presenting novel functionalities not limited to the substrate plane. The development of this field could have a revolutionary impact in fields such as electronics, the Internet of Things or bio-applications. In this contribution, I will show our recent work on 3D magnetic nanostructures grown by focused electron and ion beam induced deposition. This 3D nano-printing techniques, based on the local chemical vapor deposition of a gas via the interaction with electrons and ions, makes the fabrication of complex 3D magnetic nanostructures possible. First, I will show how by exploiting different growth regimes, suspended Cobalt nanowires with modulated diameter can be patterned, with potential as domain wall devices. Afterwards, I will show recent results where the synthesis of Iron-Gallium alloys can be exploited in the field of artificial multiferroics. Moreover, we are developing novel methodologies combining physical vapor deposition and 3D nano-printing, creating Permalloy 3D nanostrips with controllable widths and lengths up to a few microns. This approach has been extended to more complex geometries by exploiting advanced simulation growth techniques combining Monte Carlo and continuum model methods. Throughout the talk, I will show the methodology we are following to characterize 3D magnetic nanostructures, by combining magneto-optical Kerr effect, scanning probe microscopy and electron and X-R magnetic imaging, and I will highlight some of the challenges and opportunities when studying these structures. I acknowledge funding from EPSRC and the Winton Foundation.

  16. Valorization of GaN based metal-organic chemical vapor deposition dust a semiconductor power device industry waste through mechanochemical oxidation and leaching: A sustainable green process

    Energy Technology Data Exchange (ETDEWEB)

    Swain, Basudev, E-mail: Swain@iae.re.kr [Institute for Advanced Engineering (IAE), Advanced Materials & Processing Center, Yongin-Si 449-863 (Korea, Republic of); Mishra, Chinmayee; Lee, Chan Gi; Park, Kyung-Soo [Institute for Advanced Engineering (IAE), Advanced Materials & Processing Center, Yongin-Si 449-863 (Korea, Republic of); Lee, Kun-Jae [Department of Energy Engineering, Dankook University, Cheonan 330-714 (Korea, Republic of)

    2015-07-15

    Dust generated during metal organic vapor deposition (MOCVD) process of GaN based semiconductor power device industry contains significant amounts of gallium and indium. These semiconductor power device industry wastes contain gallium as GaN and Ga{sub 0.97}N{sub 0.9}O{sub 0.09} is a concern for the environment which can add value through recycling. In the present study, this waste is recycled through mechanochemical oxidation and leaching. For quantitative recovery of gallium, two different mechanochemical oxidation leaching process flow sheets are proposed. In one process, first the Ga{sub 0.97}N{sub 0.9}O{sub 0.09} of the MOCVD dust is leached at the optimum condition. Subsequently, the leach residue is mechanochemically treated, followed by oxidative annealing and finally re-leached. In the second process, the MOCVD waste dust is mechanochemically treated, followed by oxidative annealing and finally leached. Both of these treatment processes are competitive with each other, appropriate for gallium leaching and treatment of the waste MOCVD dust. Without mechanochemical oxidation, 40.11 and 1.86 w/w% of gallium and Indium are leached using 4 M HCl, 100 °C and pulp density of 100 kg/m{sup 3,} respectively. After mechanochemical oxidation, both these processes achieved 90 w/w% of gallium and 1.86 w/w% of indium leaching at their optimum condition. - Highlights: • Waste MOCVD dust is treated through mechanochemical leaching. • GaN is hardly leached, and converted to NaGaO{sub 2} through ball milling and annealing. • Process for gallium recovery from waste MOCVD dust has been developed. • Thermal analysis and phase properties of GaN to Ga{sub 2}O{sub 3} and GaN to NaGaO{sub 2} is revealed. • Solid-state chemistry involved in this process is reported.

  17. Microstructural and superconducting properties of high current metal-organic chemical vapor deposition YBa{sub 2}Cu{sub 3}O{sub 7-{delta}} coated conductor wires

    Energy Technology Data Exchange (ETDEWEB)

    Holesinger, T G; Maiorov, B; Ugurlu, O; Civale, L [Los Alamos National Laboratory, Los Alamos, NM 87545 (United States); Chen, Y; Xiong, X; Xie, Y; Selvamanickam, V [SuperPower, Inc., Schenectady, NY 12304 (United States)

    2009-04-15

    Metal-organic chemical vapor deposition (MOCVD) on flexible, ion beam assisted deposition MgO templates has been used to produce high critical current density (J{sub c}) (Y,Sm){sub 1}Ba{sub 2}Cu{sub 3}O{sub y} (REBCO) films suitable for use in producing practical high temperature superconducting (HTS) coated conductor wires. Thick films on tape were produced with sequential additions of 0.7 {mu}m of REBCO via a reel-to-reel progression through a custom-designed MOCVD reactor. Multi-pass processing for thick film deposition is critically dependent upon minimizing surface secondary phase formation. Critical currents (I{sub c}s) of up to 600 A/cm width (t = 2.8 {mu}m, J{sub c} = 2.6 MA cm{sup -2}, 77 K, self-field) were obtained in short lengths of HTS wires. These high performance MOCVD films are characterized by closely spaced (Y,Sm){sub 2}O{sub 3} nanoparticle layers that may be tilted relative to the film normal and REBCO orientation. Small shifts in the angular dependence of J{sub c} in low and intermediate applied magnetic fields can be associated with the tilted nanoparticle layers. Also present in these films were YCuO{sub 2} nanoplates aligned with the YBCO matrix (short dimension perpendicular to the film normal), threading dislocations, and oriented composite defects (OCDs). The latter structures consist of single or multiple a-axis oriented grains coated on each side with insulating (Y,Sm){sub 2}O{sub 3} or CuO. The OCDs formed a connected network of insulating phases by the end of the fourth pass. Subsequent attempts at adding additional layers did not increase I{sub c}. There is an inconsistency between the measured J{sub c} and the observed microstructural degradation that occurs with each additional layer, suggesting that previously deposited layers are improving with each repeated reactor pass. These dynamic changes suggest a role for post-processing to optimize superconducting properties of as-deposited films, addressing issues associated with

  18. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    Science.gov (United States)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-04-01

    In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and Ba(OH)2 it was ∼15 min. KOH and LiOH peeled off graphene very efficiently as compared to NaOH and Ba(OH)2 from the Pt electrode. In case of copper, the peeling time is ∼3-5 min. Different characterizations like optical microscopy, Raman spectroscopy, X-ray photoelectron spectroscopy and atomic force microscopy were done to analyze the as grown and transferred graphene samples.

  19. CVD synthesis of HTSC films using volatile coordination compounds

    International Nuclear Information System (INIS)

    Volkov, S.V.; Zub, V.Y.; Balakshina, O.N.; Mazurenko, E.A.

    1995-01-01

    Thin HTSC films of YBa 2 Cu 3 O 7-x with high c-axis orientation have been grown using PE MOCVD technique and adducts of copper, yttrium and barium acetylacetonate with α,α'- dipyridyl as precursors. In-situ films were deposited in N 2 and O 2 gas reactant mixture at reduced substrate temperatures. HTSC films prepared on SrTiO 3 , ZrO 2 (Y) and MgO substrates have rather high electric characteristics (e.g. j c ∼10 4 - 10 5 A/cm 2 ). The problem of β-diketonate adducts using as precursors for plasma enhanced chemical vapor deposition of superconductive films was discussed. (orig.)

  20. Effect of annealing on structural and optical properties of Cu_2ZnSnS_4 thin films grown by pulsed laser deposition

    International Nuclear Information System (INIS)

    Surgina, G.D.; Nevolin, V.N.; Sipaylo, I.P.; Teterin, P.E.; Medvedeva, S.S.; Lebedinsky, Yu.Yu.; Zenkevich, A.V.

    2015-01-01

    In this work, we compare the effect of different types of thermal annealing on the morphological, structural and optical properties of Cu_2ZnSnS_4 (CZTS) thin films grown by reactive Pulsed Laser Deposition in H_2S flow. Rutherford backscattering spectrometry, atomic force microscopy, X-ray diffraction, Raman spectroscopy and optical spectrophotometry data reveal dramatic increase of the band gap and the crystallite size without the formation of secondary phases upon annealing in N_2 at the optimized conditions. - Highlights: • Cu_2ZnSnS_4 (CZTS) thin films were grown at room temperature. • Reactive Pulsed Laser Deposition in H_2S flow was used as a growth method. • Effect of annealing conditions on CZTS structural and optical properties is revealed. • Both the grain size and the band gap of CZTS film increase following the annealing. • Annealing in N_2 effectively inhibits the formation of Sn_xS secondary phases.

  1. Processing of CuInSe{sub 2}-based solar cells: Characterization of deposition processes in terms of chemical reaction analyses. Phase 2 Annual Report, 6 May 1996--5 May 1997

    Energy Technology Data Exchange (ETDEWEB)

    Anderson, T.

    1999-10-20

    This report describes research performed by the University of Florida during Phase 2 of this subcontract. First, to study CIGS, researchers adapted a contactless, nondestructive technique previously developed for measuring photogenerated excess carrier lifetimes in SOI wafers. This dual-beam optical modulation (DBOM) technique was used to investigate the differences between three alternative methods of depositing CdS (conventional chemical-bath deposition [CBD], metal-organic chemical vapor deposition [MOCVD], and sputtering). Second, a critical assessment of the Cu-In-Se thermochemical and phase diagram data using standard CALPHAD procedures is being performed. The outcome of this research will produce useful information on equilibrium vapor compositions (required annealing ambients, Sex fluxes from effusion cells), phase diagrams (conditions for melt-assisted growth), chemical potentials (driving forces for diffusion and chemical reactions), and consistent solution models (extents of solid solutions and extending phase diagrams). Third, an integrated facility to fabricate CIS PV devices was established that includes migration-enhanced epitaxy (MEE) for deposition of CIS, a rapid thermal processing furnace for absorber film formation, sputtering of ZnO, CBD or MOCVD of CdS, metallization, and pattern definition.

  2. Silicon transport in sputter-deposited tantalum layers grown under ion bombardment

    International Nuclear Information System (INIS)

    Gallais, P.; Hantzpergue, J.J.; Remy, J.C.; Roptin, D.

    1988-01-01

    Tantalum was sputter deposited on (111) Si substrate under low-energy ion bombardment in order to study the effects of the ion energy on the silicon transport into the Ta layer. The Si substrate was heated up to 500 0 C during growth. For ion energies up to 180 eV silicon is not transported into tantalum and the growth temperature has no effect. An ion bombardment energy of 280 eV enhances the transport of silicon throughout the tantalum layer. Growth temperatures up to 300 0 C have no effect on the silicon transport which is mainly enhanced by the ion bombardment. For growth temperatures between 300 and 500 0 C, the silicon transport is also enhanced by the thermal diffusion. The experimental depth distribution of silicon is similar to the theoretical depth distribution calculated for the case of an interdiffusion. The ion-enhanced process of silicon transport is characterized by an activation energy of 0.4 eV. Silicon into the layers as-grown at 500 0 C is in both states, amorphous silicide and microcrystalline cubic silicon

  3. Physical properties characterization of WO3 films grown by hot-filament metal oxide deposition

    International Nuclear Information System (INIS)

    Diaz-Reyes, J.; Delgado-Macuil, R.J.; Dorantes-Garcia, V.; Perez-Benitez, A.; Balderas-Lopez, J.A.; Ariza-Ortega, J.A.

    2010-01-01

    WO 3 is grown by hot-filament metal oxide deposition (HFMOD) technique under atmospheric pressure and an oxygen atmosphere. By X-ray diffraction obtains that WO 3 presents mainly monoclinic crystalline phase. The chemical stoichiometry is obtained by X-ray Photoelectron Spectroscopy (XPS). The IR spectrum of the as-grown WO 3 presents broad peaks in the range of 1100 to 3600 cm -1 . A broad band in the 2200 to 3600 cm -1 region and the peaks sited at 1645 and 1432 cm -1 are well resolved, which are originated from moisture and are assigned to ν(OH) and δ(OH) modes of adsorbed water and the corresponding tungsten oxide vibrations are in infrared region from 400 to 1453 cm -1 and around 3492 cm -1 , which correspond to tungsten-oxygen (W-O) stretching, bending and lattice modes. The Raman spectrum shows intense peaks at 801, 710, 262 and 61 cm -1 that are typical Raman peaks of crystalline WO 3 (m-phase) that correspond to stretching vibrations of the bridging oxygen, which are assigned to W-O stretching (ν) and W-O bending (δ) modes, respectively. By transmittance measurements obtains that the WO 3 band gap can be varied from 2.92 to 3.13 eV in the investigated annealing temperature range.

  4. The compositional, structural, and magnetic properties of a Fe{sub 3}O{sub 4}/Ga{sub 2}O{sub 3}/GaN spin injecting hetero-structure grown by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Zhonghua; Huang, Shimin [Nanjing National Laboratory of Microstructures and School of Electronic Science and Engineering, Nanjing University, Nanjing 210023 (China); Tang, Kun, E-mail: ktang@nju.edu.cn [Nanjing National Laboratory of Microstructures and School of Electronic Science and Engineering, Nanjing University, Nanjing 210023 (China); Gu, Shulin, E-mail: slgu@nju.edu.cn [Nanjing National Laboratory of Microstructures and School of Electronic Science and Engineering, Nanjing University, Nanjing 210023 (China); Zhu, Shunming [Nanjing National Laboratory of Microstructures and School of Electronic Science and Engineering, Nanjing University, Nanjing 210023 (China); Ye, Jiandong [Nanjing National Laboratory of Microstructures and School of Electronic Science and Engineering, Nanjing University, Nanjing 210023 (China); Nanjing University Institute of Optoelectronics at Yangzhou, Yangzhou 225009 (China); Xu, Mingxiang [Department of Physics, Southeast University, Nanjing 210096 (China); Wang, Wei; Zheng, Youdou [Nanjing National Laboratory of Microstructures and School of Electronic Science and Engineering, Nanjing University, Nanjing 210023 (China)

    2016-12-01

    Highlights: • The Fe{sub 3}O{sub 4}/Ga{sub 2}O{sub 3}/GaN hetero-structure has been fabricated by MOCVD successfully. • The formation mechanism of different layers in sample was revealed in details. • The properties of the hetero-structure have been presented and discussed extensively. • The effect of Ga diffusion on the magnetic properties of Fe{sub 3}O{sub 4} film has been shown. - Abstract: In this article, the authors have designed and fabricated a Fe{sub 3}O{sub 4}/Ga{sub 2}O{sub 3}/GaN spin injecting hetero-structure by metal-organic chemical vapor deposition. The compositional, structural, and magnetic properties of the hetero-structure have been characterized and discussed. From the characterizations, the hetero-structure has been successfully grown generally. However, due to the unintentional diffusion of Ga ions from Ga{sub 2}O{sub 3}/GaN layers, the most part of the nominal Fe{sub 3}O{sub 4} layer is actually in the form of Ga{sub x}Fe{sub 3−x}O{sub 4} with gradually decreased x values from the Fe{sub 3}O{sub 4}/Ga{sub 2}O{sub 3} interface to the Fe{sub 3}O{sub 4} surface. Post-annealing process can further aggravate the diffusion. Due to the similar ionic radius of Ga and Fe, the structural configuration of the Ga{sub x}Fe{sub 3−x}O{sub 4} does not differ from that of pure Fe{sub 3}O{sub 4}. However, the ferromagnetism has been reduced with the incorporation of Ga into Fe{sub 3}O{sub 4}, which has been explained by the increased Yafet-Kittel angles in presence of considerable amount of Ga incorporation. A different behavior of the magnetoresistance has been found on the as-grown and annealed samples, which could be modelled and explained by the competition between the spin-dependent and spin-independent conduction channels. This work has provided detailed information on the interfacial properties of the Fe{sub 3}O{sub 4}/Ga{sub 2}O{sub 3}/GaN spin injecting hetero-structure, which is the solid basis for further improvement and application of

  5. Slanted n-ZnO/p-GaN nanorod arrays light-emitting diodes grown by oblique-angle deposition

    Directory of Open Access Journals (Sweden)

    Ya-Ju Lee

    2014-05-01

    Full Text Available High-efficient ZnO-based nanorod array light-emitting diodes (LEDs were grown by an oblique-angle deposition scheme. Due to the shadowing effect, the inclined ZnO vapor-flow was selectively deposited on the tip surfaces of pre-fabricated p-GaN nanorod arrays, resulting in the formation of nanosized heterojunctions. The LED architecture composed of the slanted n-ZnO film on p-GaN nanorod arrays exhibits a well-behaving current rectification of junction diode with low turn-on voltage of 4.7 V, and stably emits bluish-white luminescence with dominant peak of 390 nm under the operation of forward injection currents. In general, as the device fabrication does not involve passivation of using a polymer or sophisticated material growth techniques, the revealed scheme might be readily applied on other kinds of nanoscale optoelectronic devices.

  6. Excitation intensity dependent photoluminescence of annealed two-dimensional MoS_2 grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Kaplan, D.; Swaminathan, V.; Mills, K.; Lee, J.; Torrel, S.

    2016-01-01

    Here, we present detailed results of Raman and photoluminescence (PL) characterization of monolayers of MoS_2 grown by chemical vapor deposition (CVD) on SiO_2/Si substrates after thermal annealing at 150 °C, 200 °C, and 250 °C in an argon atmosphere. In comparison to the as-grown monolayers, annealing in the temperature range of 150–250 °C brings about significant changes in the band edge luminescence. It is observed that annealing at 150 °C gives rise to a 100-fold increase in the PL intensity and produces a strong band at 1.852 eV attributed to a free-to-bound transition that dominates over the band edge excitonic luminescence. This band disappears for the higher annealing temperatures. The improvement in PL after the 200 °C anneal is reduced in comparison to that obtained after the 150 °C anneal; this is suggested to arise from a decrease in the non-radiative lifetime caused by the creation of sulfur di-vacancies. Annealing at 250 °C degrades the PL in comparison to the as-grown sample because of the onset of disorder/decomposition of the sample. It is clear that the PL features of the CVD-grown MoS_2 monolayer are profoundly affected by thermal annealing in Ar atmosphere. However, further detailed studies are needed to identify, unambiguously, the role of native defects and/or adsorbed species in defining the radiative channels in annealed samples so that the beneficial effect of improvement in the optical efficiency of the MoS_2 monolayers can be leveraged for various device applications.

  7. Direct observation of 0.57 eV trap-related RF output power reduction in AlGaN/GaN high electron mobility transistors

    Science.gov (United States)

    Arehart, A. R.; Sasikumar, A.; Rajan, S.; Via, G. D.; Poling, B.; Winningham, B.; Heller, E. R.; Brown, D.; Pei, Y.; Recht, F.; Mishra, U. K.; Ringel, S. A.

    2013-02-01

    This paper reports direct evidence for trap-related RF output power loss in GaN high electron mobility transistors (HEMTs) grown by metal organic chemical vapor deposition (MOCVD) through increased concentration of a specific electron trap at EC-0.57 eV that is located in the drain access region, as a function of accelerated life testing (ALT). The trap is detected by constant drain current deep level transient spectroscopy (CID-DLTS) and the CID-DLTS thermal emission time constant precisely matches the measured drain lag. Both drain lag and CID-DLTS measurements show this state to already exist in pre-stressed devices, which coupled with its strong increase in concentration as a function of stress in the absence of significant increases in concentrations of other detected traps, imply its role in causing degradation, in particular knee walkout. This study reveals EC-0.57 eV trap concentration tracks degradation induced by ALT for MOCVD-grown HEMTs supplied by several commercial and university sources. The results suggest this defect has a common source and may be a key degradation pathway in AlGaN/GaN HEMTs and/or an indicator to predict device lifetime.

  8. Effect of the sulfur and fluorine concentration on physical properties of CdS films grown by chemical bath deposition

    Directory of Open Access Journals (Sweden)

    K.E. Nieto-Zepeda

    Full Text Available Undoped and F-doped CdS thin films were grown on glass slides by chemical bath deposition using thiourea, cadmium acetate and ammonium fluoride as sulfur, cadmium, and fluorine sources, respectively. Undoped CdS films were deposited varying the concentration of thiourea. Once the optimal thiourea concentration was determined, based on the crystalline quality of the samples, this concentration was maintained and ammonium fluoride was added at different concentrations in order to explore the effect of the F nominal concentration on properties of CdS films. Undoped and F-doped CdS films were characterized by X-ray diffraction, UV–Vis, room temperature photoluminescence, and four probe resistivity measurements. Results showed highly transparent F-doped CdS films with strong PL and low resistivity were obtained. Keywords: CdS films, F-doped CdS films, Chemical bath deposition, Optical properties, Room temperature photoluminescence

  9. Effects of oxygen gas pressure on properties of iron oxide films grown by pulsed laser deposition

    International Nuclear Information System (INIS)

    Guo, Qixin; Shi, Wangzhou; Liu, Feng; Arita, Makoto; Ikoma, Yoshifumi; Saito, Katsuhiko; Tanaka, Tooru; Nishio, Mitsuhiro

    2013-01-01

    Highlights: ► Pulsed laser deposition is a promising technique for growing iron oxide films. ► Crystal structure of the iron oxide films strongly depends on oxygen gas pressure. ► Optimum of the oxygen gas pressure leads single phase magnetite films with high crystal quality. -- Abstract: Iron oxide films were grown on sapphire substrates by pulsed laser deposition at oxygen gas pressures between 1 × 10 −5 and 1 × 10 −1 Pa with a substrate temperature of 600 °C. Atomic force microscope, X-ray diffraction, Raman spectroscopy, X-ray absorption fine structure, and vibrational sample magnetometer analysis revealed that surface morphology and crystal structure of the iron oxide films strongly depend on the oxygen gas pressure during the growth and the optimum oxygen gas pressure range is very narrow around 1 × 10 −3 Pa for obtaining single phase magnetite films with high crystal quality

  10. Driving Down HB-LED Costs. Implementation of Process Simulation Tools and Temperature Control Methods of High Yield MOCVD Growth

    Energy Technology Data Exchange (ETDEWEB)

    Quinn, William [Veeco Process Equipment, Inc., Plainview, NY (United States)

    2012-04-30

    . Programmatically, improvements made in Phase I are applied to developments of Phase II when applicable. Phase three is the culmination of the individual tasks from both phases one and two applied to proposed production platforms. We selectively combine previously demonstrated tasks and other options to develop a high-volume production-worthy MOCVD system demonstrating >3x throughput, 1.3x capital efficiency, and 0.7x cost of ownership. In a parallel demonstration we validate the concept of an improved, larger deposition system which utilizes the predictive modeling of chemistry-based flow analysis and extensions of the improvements demonstrated on the current platforms. This validation includes the build and testing of a prototype version of the hardware and demonstration of 69% reduction in the cost of ownership. Also, in this phase we present a stand-alone project to develop a high-temperature system which improves source efficiency by 30% while concurrently increasing growth rate by 1.3x. The material quality is held to the same material quality specifications of our existing baseline processes. The merits of other line item tasks in phase three are discussed for inclusion on next-generation platforms.

  11. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Karamat, S., E-mail: shumailakaramat@gmail.com [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey); COMSATS Institute of Information Technology, Islamabad 54000 (Pakistan); Sonuşen, S. [Sabancı Üniversitesi (SUNUM), İstanbul 34956 (Turkey); Çelik, Ü. [Nanomagnetics Instruments, Ankara (Turkey); Uysallı, Y. [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey); Oral, A., E-mail: orahmet@metu.edu.tr [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey)

    2016-04-15

    Graphical abstract: - Highlights: • Graphene layers were grown on Pt and Cu foil via ambient pressure chemical vapor deposition method and for the delicate removal of graphene from metal catalysts, electrolysis method was used by using different alkaline (sodium hydroxide, potassium hydroxide, lithium hydroxide and barium hydroxide). • The delamination speed of PMMA/graphene stack was higher during the KOH and LiOH electrolysis as compare to NaOH and Ba(OH){sub 2}. Ba(OH){sub 2} is not advisable because of the residues left on the graphene surface which would further trapped in between graphene and SiO{sub 2}/Si surface after transfer. The average peeling time in case of Pt electrode is ∼6 min for KOH and LiOH and ∼15 min for NaOH and Ba(OH){sub 2}. • Electrolysis method also works for the Cu catalyst. The peeling of graphene was faster in the case of Cu foil due to small size of bubbles which moves faster between the stack and the electrode surface. The average peeling time was ∼3–5 min. • XPS analysis clearly showed that the Pt substrates can be re-used again. Graphene layer was transferred to SiO{sub 2}/Si substrates and to the flexible substrate by using the same peeling method. - Abstract: In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH){sub 2} for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and Li

  12. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    International Nuclear Information System (INIS)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-01-01

    Graphical abstract: - Highlights: • Graphene layers were grown on Pt and Cu foil via ambient pressure chemical vapor deposition method and for the delicate removal of graphene from metal catalysts, electrolysis method was used by using different alkaline (sodium hydroxide, potassium hydroxide, lithium hydroxide and barium hydroxide). • The delamination speed of PMMA/graphene stack was higher during the KOH and LiOH electrolysis as compare to NaOH and Ba(OH)_2. Ba(OH)_2 is not advisable because of the residues left on the graphene surface which would further trapped in between graphene and SiO_2/Si surface after transfer. The average peeling time in case of Pt electrode is ∼6 min for KOH and LiOH and ∼15 min for NaOH and Ba(OH)_2. • Electrolysis method also works for the Cu catalyst. The peeling of graphene was faster in the case of Cu foil due to small size of bubbles which moves faster between the stack and the electrode surface. The average peeling time was ∼3–5 min. • XPS analysis clearly showed that the Pt substrates can be re-used again. Graphene layer was transferred to SiO_2/Si substrates and to the flexible substrate by using the same peeling method. - Abstract: In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)_2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and

  13. Physical properties of SnS thin films grown by hot wall deposition

    International Nuclear Information System (INIS)

    Gremenok, V.; Ivanov, V.; Bashkirov, S.; Unuchak, D.; Lazenka, V.; Bente, K.; Tashlykov, I.; Turovets, A.

    2010-01-01

    Full text : Recently, considerable effort has been invested to gain a better and deeper knowledge of structural and physical properties of metal chalcogenide semiconductors because of their potential application in electrical and photonic devices. Among them, tin sulphide (SnS) has attracted attention because of band gap of 1.3 eV and an absorption coefficient greater than 10 4 cm - 1. Additionally, by using tin sulfide compounds for photovoltaic devices, the production costs are decreased, because these materials are cheap and abundant in nature. For the sythesis of SnS thin films by hot wall deposition, SnS ingots were used as the source materials synthesized from high purity elements (99.999 percent). The thin films were grown onto glass at substrate temperatures between 220 and 380 degrees Celsium. The thickness of the films was in the range of 1.0 - 2.5 μm. The crystal structure and crystalline phases of the materials were studied by XRD using a Siemens D-5000 diffractometer with CuK α (λ = 1.5418 A) radiation. In order to consider instrumental error, the samples were coated by Si powder suspended in acetone. The composition and surface morphology of thin films were investigated by electron probe microanalysis (EPMA) using a CAMECA SX-100, a scanning electron microscope JEOL 6400 and an atomic force microscope (AFM, Model: NT 206), respectively. Depth profiling was performed by Auger electron spectroscopy (AES) using a Perkin Elmer Physical Electronics 590. The electrical resistivity was studied by van der Pauw four-probe technique using silver paste contact. The optical transmittance was carried out using a Varian Cary 50 UV - VIS spectrophotometer in the range 500 - 2000 nm. The as-grown films exhibited a composition with a Sn/S at. percent ratio of 1.06. The AES depth profiles revealed relatively uniform composition through the film thickness. The XRD analysis of the SnS films showed that they were monophase (JCPDS 39-0354), polycrystalline with

  14. Growth and characterization of polar and nonpolar ZnO film grown on sapphire substrates by using atomic layer deposition

    International Nuclear Information System (INIS)

    Kim, Ki-Wook; Son, Hyo-Soo; Choi, Nak-Jung; Kim, Jihoon; Lee, Sung-Nam

    2013-01-01

    We investigated the electrical and the optical properties of polar and nonpolar ZnO films grown on sapphire substrates with different crystallographic planes. High resolution X-ray results revealed that polar c-plane (0001), nonpolar m-plane (10-10) and a-plane (11-20) ZnO thin films were grown on c-plane, m- and r-sapphire substrates by atomic layer deposition, respectively. Compared with the c-plane ZnO film, nonpolar m-plane and a-plane ZnO films showed smaller surface roughness and anisotropic surface structures. Regardless of ZnO crystal planes, room temperature photoluminescence spectra represented two emissions which consisted of the near bandedge (∼ 380 nm) and the deep level emission (∼ 500 nm). The a-plane ZnO films represented better optical and electrical properties than c-plane ZnO, while m-plane ZnO films exhibited poorer optical and electrical properties than c-plane ZnO. - Highlights: • Growth and characterization of a-, c- and m-plane ZnO film by atomic layer deposition. • The a-plane ZnO represented better optical and electrical properties than c-plane ZnO. • The m-plane ZnO exhibited poorer optical and electrical properties than c-plane ZnO

  15. Electronic and surface properties of pentacene films deposited on SiO{sub 2} prepared by the sol–gel and thermally grown methods

    Energy Technology Data Exchange (ETDEWEB)

    Dai, Chi-Jie [Department of Physics, National Changhua University of Education, Changhua 500, Taiwan (China); Tsao, Hou-Yen [Institute of Photonics, National Changhua University of Education, Changhua 500, Taiwan (China); Lin, Yow-Jon, E-mail: rzr2390@yahoo.com.tw [Institute of Photonics, National Changhua University of Education, Changhua 500, Taiwan (China); Liu, Day-Shan [Graduate Institute of Electro-Optical and Materials Science, National Formosa University, Huwei 632, Taiwan (China)

    2014-02-03

    This study investigates the effect of different types of SiO{sub 2} on the electronic and surface properties of pentacene films. Developing better contacts on dielectrics is one of the main challenges for pentacene-based transistor technology. The water contact angle variation indicates more hydrophobic thermally grown SiO{sub 2} surfaces than sol–gel SiO{sub 2} surfaces, suggesting that the thermally grown SiO{sub 2} dielectric enables a better molecular arrangement as the pentacene layer is deposited. It is found that the carrier mobility in pentacene on thermally grown SiO{sub 2} dielectrics is higher than that in pentacene on sol–gel SiO{sub 2} dielectrics. The Hall-effect analysis by using the polaron theory revealed that the enhanced carrier mobility is due to the increased spacing between molecules. - Highlights: • The carrier mobility of pentacene on thermally grown and sol–gel SiO{sub 2} was researched. • The enhanced carrier mobility of pentacene on thermally grown SiO2 was observed. • The dominance of tunneling (hopping) at low (high) temperatures was observed. • The carrier mobility is correlated with the morphology of pentacene films.

  16. Liquid-phase exfoliation of chemical vapor deposition-grown single layer graphene and its application in solution-processed transparent electrodes for flexible organic light-emitting devices

    International Nuclear Information System (INIS)

    Wu, Chaoxing; Li, Fushan; Wu, Wei; Chen, Wei; Guo, Tailiang

    2014-01-01

    Efficient and low-cost methods for obtaining high performance flexible transparent electrodes based on chemical vapor deposition (CVD)-grown graphene are highly desirable. In this work, the graphene grown on copper foil was exfoliated into micron-size sheets through controllable ultrasonication. We developed a clean technique by blending the exfoliated single layer graphene sheets with conducting polymer to form graphene-based composite solution, which can be spin-coated on flexible substrate, forming flexible transparent conducting film with high conductivity (∼8 Ω/□), high transmittance (∼81% at 550 nm), and excellent mechanical robustness. In addition, CVD-grown-graphene-based polymer light emitting diodes with excellent bendable performances were demonstrated

  17. Eu{sup 3+} activated GaN thin films grown on sapphire by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Perea-Lopez, Nestor; Tao, Jonathan H. [Materials Science and Engineering Program, University of California at San Diego, La Jolla, CA 92093 (United States); McKittrick, Joanna [Materials Science and Engineering Program, University of California at San Diego, La Jolla, CA 92093 (United States); Department of Mechanical and Aerospace Engineering, University of California at San Diego, La Jolla, CA 92093 (United States); Talbot, Jan B. [Materials Science and Engineering Program, University of California at San Diego, La Jolla, CA 92093 (United States); Department of Nanoengineering, University of California at San Diego, La Jolla, CA 92093 (United States); Raukas, M.; Laski, J.; Mishra, K.C. [OSRAM SYLVANIA Central Research, Beverly, MA 01915-1068 (United States); Hirata, Gustavo [CCMC-UNAM, Km. 107 Carretera Tijuana-Ensenada, C. P. 22800 Ensenada Baja California (Mexico)

    2008-07-01

    By means of pulsed laser deposition, polycrystalline thin films of GaN doped with Eu{sup 3+} were grown on sapphire. The PLD target was formed in three steps. First, stoichiometric amounts of Ga{sub 2}O{sub 3} and Eu{sub 2}O{sub 3} were dissolved in nitric acid, which produces Ga{sub (1-x)}Eu{sub x} (NO{sub 3}){sub 3}. Next, the nitrates were oxidized in a tubular furnace with O{sub 2} flow forming Ga{sub 2(1-x)}Eu{sub 2x}O{sub 3}. Finally, the oxide powder was flushed with anhydrous ammonia to produce the desired nitride product: Ga{sub (1-x)}Eu{sub x}N. Film growth was done in a stainless steel vacuum chamber partially filled with N{sub 2} (400 mTorr). For the deposit, the 3{sup rd} harmonic of a Nd:YAG laser ({lambda}=355 nm) was focused on the surface of the target. After deposition, annealing in NH{sub 3} was required to produce films with pure GaN hexagonal phase. The luminescence of the film was characterized by photo- and cathodoluminescence. In addition, the chemical and structural properties were analyzed by X-ray diffraction, scanning electron microscopy and energy dispersive spectroscopy. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. Uncooled middle wavelength infrared photoconductors based on (111) and (100) oriented HgCdTe

    Science.gov (United States)

    Madejczyk, Paweł; Kębłowski, Artur; Gawron, Waldemar; Martyniuk, Piotr; Kopytko, Małgorzata; Stępień, Dawid; Rutkowski, Jarosław; Piotrowski, Józef; Piotrowski, Adam; Rogalski, Antoni

    2017-09-01

    We present progress in metal organic chemical vapor deposition (MOCVD) growth of (100) HgCdTe epilayers achieved recently at the Institute of Applied Physics, Military University of Technology and Vigo System S.A. It is shown that MOCVD technology is an excellent tool for the fabrication of different HgCdTe detector structures with a wide range of composition, donor/acceptor doping, and without post grown ex-situ annealing. Surface morphology, residual background concentration, and acceptor doping efficiency are compared in (111) and (100) oriented HgCdTe epilayers. At elevated temperatures, the carrier lifetime in measured p-type photoresistors is determined by Auger 7 process with about one order of magnitude difference between theoretical and experimental values. Particular progress has been achieved in the growth of (100) HgCdTe epilayers for medium wavelength infrared photoconductors operated in high-operating temperature conditions.

  19. Sukanya Dhar

    Indian Academy of Sciences (India)

    Thermodynamic modeling to analyse composition of carbonaceous coatings of MnO and other oxides of manganese grown by MOCVD · Sukanya Dhar A Varade S A Shivashankar · More Details Abstract Fulltext PDF. Equilibrium thermodynamic analysis has been applied to the low-pressure MOCVD process using ...

  20. Influence of oxygen pressure and aging on LaAlO3 films grown by pulsed laser deposition on SrTiO3 substrates

    KAUST Repository

    Park, Jihwey; Soh, Yeong-Ah; Aeppli, Gabriel; David, Adrian; Lin, Weinan; Wu, Tao

    2014-01-01

    The crystal structures of LaAlO3 films grown by pulsed laser deposition on SrTiO3 substrates at oxygen pressure of 10−3 millibars or 10−5 millibars, where kinetics of ablated species hardly depend on oxygen background pressure, are compared. Our

  1. Electrical properties of MIS devices on CdZnTe/HgCdTe

    Science.gov (United States)

    Lee, Tae-Seok; Jeoung, Y. T.; Kim, Hyun Kyu; Kim, Jae Mook; Song, Jinhan; Ann, S. Y.; Lee, Ji Y.; Kim, Young Hun; Kim, Sun-Ung; Park, Mann-Jang; Lee, S. D.; Suh, Sang-Hee

    1998-10-01

    In this paper, we report the capacitance-voltage (C-V) properties of metal-insulator-semiconductor (MIS) devices on CdTe/HgCdTe by the metalorganic chemical vapor deposition (MOCVD) and CdZnTe/HgCdTe by thermal evaporation. In MOCVD, CdTe layers are directly grown on HgCdTe using the metal organic sources of DMCd and DiPTe. HgCdTe layers are converted to n-type and the carrier concentration, ND is low 1015 cm-3 after Hg-vacancy annealing at 260 degrees Celsius. In thermal evaporation, CdZnTe passivation layers were deposited on HgCdTe surfaces after the surfaces were etched with 0.5 - 2.0% bromine in methanol solution. To investigate the electrical properties of the MIS devices, the C-V measurement is conducted at 80 K and 1 MHz. C-V curve of MIS devices on CdTe/HgCdTe by MOCVD has shown nearly flat band condition and large hysteresis, which is inferred to result from many defects in CdTe layer induced during Hg-vacancy annealing process. A negative flat band voltage (VFB approximately equals -2 V) and a small hysteresis have been observed for MIS devices on CdZnTe/HgCdTe by thermal evaporation. It is inferred that the negative flat band voltage results from residual Te4+ on the surface after etching with bromine in methanol solution.

  2. Valorization of GaN based metal-organic chemical vapor deposition dust a semiconductor power device industry waste through mechanochemical oxidation and leaching: A sustainable green process.

    Science.gov (United States)

    Swain, Basudev; Mishra, Chinmayee; Lee, Chan Gi; Park, Kyung-Soo; Lee, Kun-Jae

    2015-07-01

    Dust generated during metal organic vapor deposition (MOCVD) process of GaN based semiconductor power device industry contains significant amounts of gallium and indium. These semiconductor power device industry wastes contain gallium as GaN and Ga0.97N0.9O0.09 is a concern for the environment which can add value through recycling. In the present study, this waste is recycled through mechanochemical oxidation and leaching. For quantitative recovery of gallium, two different mechanochemical oxidation leaching process flow sheets are proposed. In one process, first the Ga0.97N0.9O0.09 of the MOCVD dust is leached at the optimum condition. Subsequently, the leach residue is mechanochemically treated, followed by oxidative annealing and finally re-leached. In the second process, the MOCVD waste dust is mechanochemically treated, followed by oxidative annealing and finally leached. Both of these treatment processes are competitive with each other, appropriate for gallium leaching and treatment of the waste MOCVD dust. Without mechanochemical oxidation, 40.11 and 1.86 w/w% of gallium and Indium are leached using 4M HCl, 100°C and pulp density of 100 kg/m(3,) respectively. After mechanochemical oxidation, both these processes achieved 90 w/w% of gallium and 1.86 w/w% of indium leaching at their optimum condition. Copyright © 2015 Elsevier Inc. All rights reserved.

  3. Photo-conductive detection of continuous THz waves via manipulated ultrafast process in nanostructures

    Science.gov (United States)

    Moon, Kiwon; Lee, Eui Su; Lee, Il-Min; Park, Dong Woo; Park, Kyung Hyun

    2018-01-01

    Time-domain and frequency-domain terahertz (THz) spectroscopy systems often use materials fabricated with exotic and expensive methods that intentionally introduce defects to meet short carrier lifetime requirements. In this study, we demonstrate the development of a nano-photomixer that meets response speed requirements without using defect-incorporated, low-temperature-grown (LTG) semiconductors. Instead, we utilized a thin InGaAs layer grown on a semi-insulating InP substrate by metal-organic chemical vapor deposition (MOCVD) combined with nano-electrodes to manipulate local ultrafast photo-carrier dynamics via a carefully designed field-enhancement and plasmon effect. The developed nano-structured photomixer can detect continuous-wave THz radiation up to a frequency of 2 THz with a peak carrier collection efficiency of 5%, which is approximately 10 times better than the reference efficiency of 0.4%. The better efficiency results from the high carrier mobility of the MOCVD-grown InGaAs thin layer with the coincidence of near-field and plasmon-field distributions in the nano-structure. Our result not only provides a generally applicable methodology for manipulating ultrafast carrier dynamics by means of nano-photonic techniques to break the trade-off relation between the carrier lifetime and mobility in typical LTG semiconductors but also contributes to mass-producible photo-conductive THz detectors to facilitate the widespread application of THz technology.

  4. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Bollani, M; Fedorov, A; Chrastina, D; Sordan, R; Picco, A; Bonera, E

    2010-01-01

    Si 1-x Ge x islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si 1-x Ge x islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s -1 ) and low temperature (650 deg. C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  5. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition.

    Science.gov (United States)

    Bollani, M; Chrastina, D; Fedorov, A; Sordan, R; Picco, A; Bonera, E

    2010-11-26

    Si(1-x)Ge(x) islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si(1-x)Ge(x) islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s(-1)) and low temperature (650 °C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  6. Electronic properties of single Ge/Si quantum dot grown by ion beam sputtering deposition.

    Science.gov (United States)

    Wang, C; Ke, S Y; Yang, J; Hu, W D; Qiu, F; Wang, R F; Yang, Y

    2015-03-13

    The dependence of the electronic properties of a single Ge/Si quantum dot (QD) grown by the ion-beam sputtering deposition technique on growth temperature and QD diameter is investigated by conductive atomic force microscopy (CAFM). The Si-Ge intermixing effect is demonstrated to be important for the current distribution of single QDs. The current staircase induced by the Coulomb blockade effect is observed at higher growth temperatures (>700 °C) due to the formation of an additional barrier between dislocated QDs and Si substrate for the resonant tunneling of holes. According to the proposed single-hole-tunneling model, the fact that the intermixing effect is observed to increase as the incoherent QD size decreases may explain the increase in the starting voltage of the current staircase and the decrease in the current step width.

  7. Effect of oxygen plasma on field emission characteristics of single-wall carbon nanotubes grown by plasma enhanced chemical vapour deposition system

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Avshish; Parveen, Shama; Husain, Samina; Ali, Javid; Zulfequar, Mohammad [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Harsh [Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia, New Delhi 110025 (India); Husain, Mushahid, E-mail: mush-reslab@rediffmail.com [Department of Physics, Jamia Millia Islamia (A Central University), New Delhi 110025 (India); Centre for Nanoscience and Nanotechnology, Jamia Millia Islamia, New Delhi 110025 (India)

    2014-02-28

    Field emission properties of single wall carbon nanotubes (SWCNTs) grown on iron catalyst film by plasma enhanced chemical vapour deposition system were studied in diode configuration. The results were analysed in the framework of Fowler-Nordheim theory. The grown SWCNTs were found to be excellent field emitters, having emission current density higher than 20 mA/cm{sup 2} at a turn-on field of 1.3 V/μm. The as grown SWCNTs were further treated with Oxygen (O{sub 2}) plasma for 5 min and again field emission characteristics were measured. The O{sub 2} plasma treated SWCNTs have shown dramatic improvement in their field emission properties with emission current density of 111 mA/cm{sup 2} at a much lower turn on field of 0.8 V/μm. The as grown as well as plasma treated SWCNTs were also characterized by various techniques, such as scanning electron microscopy, high resolution transmission electron microscopy, Raman spectroscopy, and Fourier transform infrared spectroscopy before and after O{sub 2} plasma treatment and the findings are being reported in this paper.

  8. Induction-heating MOCVD reactor with significantly improved heating efficiency and reduced harmful magnetic coupling

    KAUST Repository

    Li, Kuang-Hui; Alotaibi, Hamad S.; Sun, Haiding; Lin, Ronghui; Guo, Wenzhe; Torres-Castanedo, Carlos G.; Liu, Kaikai; Galan, Sergio V.; Li, Xiaohang

    2018-01-01

    In a conventional induction-heating III-nitride metalorganic chemical vapor deposition (MOCVD) reactor, the induction coil is outside the chamber. Therefore, the magnetic field does not couple with the susceptor well, leading to compromised heating efficiency and harmful coupling with the gas inlet and thus possible overheating. Hence, the gas inlet has to be at a minimum distance away from the susceptor. Because of the elongated flow path, premature reactions can be more severe, particularly between Al- and B-containing precursors and NH3. Here, we propose a structure that can significantly improve the heating efficiency and allow the gas inlet to be closer to the susceptor. Specifically, the induction coil is designed to surround the vertical cylinder of a T-shaped susceptor comprising the cylinder and a top horizontal plate holding the wafer substrate within the reactor. Therefore, the cylinder coupled most magnetic field to serve as the thermal source for the plate. Furthermore, the plate can block and thus significantly reduce the uncoupled magnetic field above the susceptor, thereby allowing the gas inlet to be closer. The results show approximately 140% and 2.6 times increase in the heating and susceptor coupling efficiencies, respectively, as well as a 90% reduction in the harmful magnetic flux on the gas inlet.

  9. Induction-heating MOCVD reactor with significantly improved heating efficiency and reduced harmful magnetic coupling

    KAUST Repository

    Li, Kuang-Hui

    2018-02-23

    In a conventional induction-heating III-nitride metalorganic chemical vapor deposition (MOCVD) reactor, the induction coil is outside the chamber. Therefore, the magnetic field does not couple with the susceptor well, leading to compromised heating efficiency and harmful coupling with the gas inlet and thus possible overheating. Hence, the gas inlet has to be at a minimum distance away from the susceptor. Because of the elongated flow path, premature reactions can be more severe, particularly between Al- and B-containing precursors and NH3. Here, we propose a structure that can significantly improve the heating efficiency and allow the gas inlet to be closer to the susceptor. Specifically, the induction coil is designed to surround the vertical cylinder of a T-shaped susceptor comprising the cylinder and a top horizontal plate holding the wafer substrate within the reactor. Therefore, the cylinder coupled most magnetic field to serve as the thermal source for the plate. Furthermore, the plate can block and thus significantly reduce the uncoupled magnetic field above the susceptor, thereby allowing the gas inlet to be closer. The results show approximately 140% and 2.6 times increase in the heating and susceptor coupling efficiencies, respectively, as well as a 90% reduction in the harmful magnetic flux on the gas inlet.

  10. Methods and systems for fabricating high quality superconducting tapes

    Science.gov (United States)

    Majkic, Goran; Selvamanickam, Venkat

    2018-02-13

    An MOCVD system fabricates high quality superconductor tapes with variable thicknesses. The MOCVD system can include a gas flow chamber between two parallel channels in a housing. A substrate tape is heated and then passed through the MOCVD housing such that the gas flow is perpendicular to the tape's surface. Precursors are injected into the gas flow for deposition on the substrate tape. In this way, superconductor tapes can be fabricated with variable thicknesses, uniform precursor deposition, and high critical current densities.

  11. Atomic structure of defects in GaN:Mg grown with Ga polarity

    International Nuclear Information System (INIS)

    Liliental-Weber, Z.; Tomaszewicz, T.; Zakharov, D.; Jasinski, J.; O'Keefe, M.A.; Hautakangas, S.; Laakso, A.; Saarinen, K.

    2003-01-01

    Electron microscope phase images, produced by direct reconstruction of the scattered electron wave from a focal series of high-resolution images, were used to determine the nature of defects formed in GaN:Mg crystals. We studied bulk crystals grown from dilute solutions of atomic nitrogen in liquid gallium at high pressure and thin films grown by the MOCVD method. All the crystals were grown with Ga-polarity. In both types of samples the majority of defects were three dimensional Mg-rich hexagonal pyramids with bases on the (0001) plane and six walls on {11(und 2)3} planes seen in cross-section as triangulars. Some other defects appear in cross-section as trapezoidal (rectangular) defects as a result of presence of truncated pyramids. Both type of defects have hollow centers. They are decorated by Mg on all six side walls and a base. The GaN which grows inside on the defect walls shows polarity inversion. It is shown that change of polarity starts from the defect tip and propagates to the base, and that the stacking sequence changes from ab in the matrix to bc inside the defect. Exchange of the Ga sublattice with the N sublattice within the defect leads to 0.6 ± 0.2(angstrom) displacement between Ga sublattices outside and inside the defects. It is proposed that lateral overgrowth of the cavities formed within the defect takes place to restore matrix polarity on the defect base

  12. Electronic excitation induced structural and optical modifications in InGaN/GaN quantum well structures grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Prabakaran, K.; Ramesh, R.; Jayasakthi, M.; Surender, S.; Pradeep, S. [Crystal Growth Centre, Anna University, Chennai (India); Balaji, M. [National Centre for Nanoscience and Nanotechnology, University of Madras, Guindy Campus, Chennai (India); Asokan, K. [Inter-University Accelerator Centre, New Delhi (India); Baskar, K., E-mail: drbaskar2009@gmail.com [Crystal Growth Centre, Anna University, Chennai (India); Manonmaniam Sundaranar University, Tirunelveli (India)

    2017-03-01

    Highlights: • Effects on InGaN/GaN QW structures by Au{sup 7+} (100 MeV) ion have been investigated. • Structural defects of the irradiated InGaN/GaN QW structures are determined. • The intermixing effect in irradiated InGaN/GaN QW structures were understood. • Modified luminescence was observed in the PL spectra due to heavy ion irradiation. • Surface modification was observed due to the heavy ion irradiation. - Abstract: The present study focuses on the electronic excitation induced structural and optical properties of InGaN/GaN quantum well (QW) structures grown by metal organic chemical vapor deposition technique. These excitations were produced using Au{sup 7+} ion irradiation with 100 MeV energy. The X-ray rocking curves intensity and full width at half-maximum values corresponding to the planes of (0 0 0 2) and (1 0 −1 5) of the irradiated QW structures show the modifications in the screw and edge-type dislocation densities vary with the ion fluences. The structural characteristics using the reciprocal space mapping indicate the intermixing effects in InGaN/GaN QW structures. Atomic force microscopy images confirmed the presence of nanostructures and the surface modification due to heavy ion irradiation. The irradiated QW structures exhibited degraded photoluminescence intensity and a subsequent decrease in the yellow luminescence band intensity with the fluences of 1 × 10{sup 11} and 5 × 10{sup 12} ions/cm{sup 2} compared to the pristine QW structures.

  13. Growth behavior of Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} thin films on graphene substrate grown by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Chang Wan [Thin Film Materials Research Group, Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); School of Electrical and Electronic Engineering, Yonsei University, Seoul (Korea, Republic of); Kim, Gun Hwan; Kang, Min A.; An, Ki-Seok; Lee, Young Kuk [Thin Film Materials Research Group, Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); Kang, Seong Gu [School of Electrical Engineering and Computer Science, Gwangju Institute of Science and Technology, Gwangju (Korea, Republic of); Kim, Hyungjun [School of Electrical and Electronic Engineering, Yonsei University, Seoul (Korea, Republic of)

    2017-03-15

    A comparative study of the substrate effect on the growth mechanism of chalcogenide Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} thin films was carried out. Obvious microstructural discrepancy in both the as-deposited Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} thin films was observed when grown on graphene or SiO{sub 2}/Si substrate. Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} thin films deposited on the graphene substrate were observed to be grown epitaxially along c-axis and show very smooth surface compared to that on SiO{sub 2}/Si substrate. Based on the experimental results of this study, the initial adsorption sites on graphene substrate during deposition process, which had been discussed theoretically, could be demonstrated empirically. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  14. Conduction and stability of holmium titanium oxide thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Castán, H., E-mail: helena@ele.uva.es [Department of Electronic, University of Valladolid, 47011 Valladolid (Spain); García, H.; Dueñas, S.; Bailón, L. [Department of Electronic, University of Valladolid, 47011 Valladolid (Spain); Miranda, E. [Departament d' Enginyería Electrònica, Universitat Autónoma de Barcelona, 08193 Bellaterra (Spain); Kukli, K. [Department of Chemistry, University of Helsinki, FI-00014 Helsinki (Finland); Institute of Physics, University of Tartu, EE-50411,Tartu (Estonia); Kemell, M.; Ritala, M.; Leskelä, M. [Department of Chemistry, University of Helsinki, FI-00014 Helsinki (Finland)

    2015-09-30

    Holmium titanium oxide (HoTiO{sub x}) thin films of variable chemical composition grown by atomic layer deposition are studied in order to assess their suitability as dielectric materials in metal–insulator–metal electronic devices. The correlation between thermal and electrical stabilities as well as the potential usefulness of HoTiO{sub x} as a resistive switching oxide are also explored. It is shown that the layer thickness and the relative holmium content play important roles in the switching behavior of the devices. Cycled current–voltage measurements showed that the resistive switching is bipolar with a resistance window of up to five orders of magnitude. In addition, it is demonstrated that the post-breakdown current–voltage characteristics in HoTiO{sub x} are well described by a power-law model in a wide voltage and current range which extends from the soft to the hard breakdown regimes. - Highlights: • Gate and memory suitabilities of atomic layer deposited holmium titanium oxide. • Holmium titanium oxide exhibits resistive switching. • Layer thickness and holmium content influence the resistive switching. • Low and high resistance regimes follow a power-law model. • The power-law model can be extended to the hard breakdown regime.

  15. Transmission electron microscopy studies of HfO{sub 2} thin films grown by chloride-based atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Institute of Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia)]. E-mail: drm@ansto.gov.au; Aidla, A. [Institute of Physics, University of Tartu, Taehe 4, EE-51010 Tartu (Estonia); Aarik, J. [Institute of Physics, University of Tartu, Taehe 4, EE-51010 Tartu (Estonia)

    2006-11-15

    Detailed transmission electron microscopy characterization of HfO{sub 2} films deposited on Si(1 0 0) using atomic layer deposition has been carried out. The influence of deposition temperature has been investigated. At 226 deg. C, a predominantly quasi-amorphous film containing large grains of cubic HfO{sub 2} (a {sub 0} = 5.08 A) was formed. Grain morphology enabled the nucleation sites to be determined. Hot stage microscopy showed that both the cubic phase and the quasi-amorphous phase were very resistant to thermal modification up to 500 deg. C. These observations suggest that nucleation sites for the growth of the crystalline cubic phase form at the growing surface of the film, rather homogeneously within the film. The films grown at higher temperatures (300-750 deg. C) are crystalline and monoclinic. The principal effects of deposition temperature were on: grain size, which coarsens at the highest temperature; roughness with increases at the higher temperatures due to the prismatic faceting, and texture, with texturing being strongest at intermediate temperatures. Detailed interfacial characterization shows that interfacial layers of SiO{sub 2} form at low and high temperatures. However, at intermediate temperatures, interfaces devoid of SiO{sub 2} were formed.

  16. Shift of the gap energy and thermal conductivity in BGaAs/GaAs alloys

    Energy Technology Data Exchange (ETDEWEB)

    Ilahi, S., E-mail: ilehi_soufiene@yahoo.fr [Unité de Recherche de Caractérisation Photothermique, Institut Préparatoire aux Etudes d' Ingénieurs de Nabeul (IPEIN), Université de Carthage (Tunisia); Saidi, F.; Hamila, R. [Université de Monastir, Laboratoire de Micro-Optoélectronique et Nanostructures, Faculté des Sciences de Monastir, Avenue de l' Environnement, Monastir 5019 (Tunisia); Yacoubi, N. [Unité de Recherche de Caractérisation Photothermique, Institut Préparatoire aux Etudes d' Ingénieurs de Nabeul (IPEIN), Université de Carthage (Tunisia); Maaref, H. [Université de Monastir, Laboratoire de Micro-Optoélectronique et Nanostructures, Faculté des Sciences de Monastir, Avenue de l' Environnement, Monastir 5019 (Tunisia); Auvray, L. [Laboratoire Multimateriaux et Interfaces, Université Claude Bernard Lyon I, 43, Boulevard du 11 Novembre 1918, 69622 Villeurbanne Cedex (France)

    2013-07-15

    Optical and thermal properties of BGaAs/GaAs alloys grown by metal organic chemical vapor deposition (MOCVD) have been studied using both photothermal deflection spectroscopy (PDS) and photoluminescence spectroscopy (PL). It is found that gap energy decrease when increasing the boron composition. Then, the difference between the measured values of gap energies from PDS and PL is linked to the band tails above the conduction band formed by boron clustering in this structure. Indeed, a decrease in thermal conductivity with increasing the boron composition have been also shown and discussed.

  17. Pre-fabricated nanorods in RE–Ba–Cu–O superconductors

    International Nuclear Information System (INIS)

    Khatri, N D; Majkic, G; Shi, T; Selvamanickam, V; Chen, Y

    2013-01-01

    Pre-fabrication of metallic nanorods on biaxially textured templates has been explored in this study to introduce flux pinning centers in RE–Ba–Cu–O (REBCO, RE =rare earth) based superconductors. Pt nanorods were deposited by an electron beam assisted deposition method on LaMnO 3 -capped biaxially textured IBAD-(ion beam assisted deposition) substrates. Well-controlled nanorods with varying diameter (50–120 nm), length (up to 1 μm), orientation and unit cell size were grown over an area of 120–150 μm 2 . The nanorod-decorated samples were then deposited with Gd–Y–Ba–Cu–O ((Gd, Y)BCO) by metal organic chemical vapor deposition (MOCVD). The Pt nanorods remain in their positions during MOCVD and become embedded in the (Gd, Y)BCO matrix, although they suffer creep-induced shape deformation due to exposure to elevated temperature. Higher unit cell size, longer nanorods, and nanorods oriented at an angle to the substrate normal adversely affect the epitaxy of the (Gd, Y)BCO film due to formation of a-axis grains. The observed current-carrying capacity of the Pt nanorod sample is lower than its corresponding reference sample without any nanorods and processed under identical conditions, but it decreases at a slower rate with increasing magnetic field. Potential routes to improve the performance while retaining the desirable characteristics of controlled nanorod direction and density are discussed. (paper)

  18. Hydrogen-related defects in Al2O3 layers grown on n-type Si by the atomic layer deposition technique

    Science.gov (United States)

    Kolkovsky, Vl.; Stübner, R.

    2018-04-01

    The electrical properties of alumina films with thicknesses varying from 15 nm to 150 nm, grown by the atomic layer deposition technique on n-type Si, were investigated. We demonstrated that the annealing of the alumina layers in argon (Ar) or hydrogen (H) atmosphere at about 700 K resulted in the introduction of negatively charged defects irrespective of the type of the substrate. These defects were also observed in samples subjected to a dc H plasma treatment at temperatures below 400 K, whereas they were not detected in as-grown samples and in samples annealed in Ar atmosphere at temperatures below 400 K. The concentration of these defects increased with a higher H content in the alumina films. In good agreement with theory we assigned these defects to interstitial H-related defects.

  19. Effect of the annealing environment on the optical properties of ZnO/GaAs grown by MOCVD

    International Nuclear Information System (INIS)

    Dangbegnon, J.K.; Talla, K.; Botha, J.R.

    2011-01-01

    The optical properties of ZnO grown on (1 0 0) GaAs substrate using metalorganic chemical vapor deposition are investigated by photoluminescence (PL) spectroscopy. Postgrowth annealing in nitrogen and oxygen was performed for different times and temperatures in order to incorporate As from the substrate into the ZnO thin films. The PL spectra of the samples annealed in different ambients reveal that the effect of As diffusion into the ZnO thin films is more pronounced when the annealing is performed in oxygen at 550 o C. The 11 K PL spectra show the appearance of a transition at ∼3.35 eV after annealing in oxygen at 550 deg. C for 1 h. A further increase in the annealing temperature leads to the disappearance of this line, while for annealing times longer than 2 h at 550 o C, it is no longer prominent. The increase in intensity of this new transition is also accompanied by the enhancement of radiative centers related to structural defects, such as the stacking fault-related transition at 3.31 eV and the Y-line. Temperature dependent PL illustrates the excitonic nature of the new transition at ∼3.35 eV, which is therefore assigned to (A 0 , X) transition, where the acceptor is possibly the 2V Zn -As Zn complex, with an activation energy E A in the range of 160-240 meV. Furthermore, the enhancement of the radiative centers related to structural defects is regarded as evidence that As atoms tend to segregate in the vicinity of structural defects to relieve local strain. - Highlights: → (A 0 , X) and DAP transitions at 3.35 and 3.26 eV related to arsenic acceptor. → Oxygen annealing ambient promotes arsenic acceptor into ZnO films. → Increase in structural defect lines is related to arsenic incorporation. → Annealing at optimal temperature of around 550 deg. C is necessary for arsenic incorporation.

  20. Structural characterization of epitaxial LiFe_5O_8 thin films grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Loukya, B.; Negi, D.S.; Sahu, R.; Pachauri, N.; Gupta, A.; Datta, R.

    2016-01-01

    We report on detailed microstructural and atomic ordering characterization by transmission electron microscopy in epitaxial LiFe_5O_8 (LFO) thin films grown by chemical vapor deposition (CVD) on MgO (001) substrates. The experimental results of LFO thin films are compared with those for bulk LFO single crystal. Electron diffraction studies indicate weak long-range ordering in LFO (α-phase) thin films in comparison to bulk crystal where strong ordering is observed in optimally annealed samples. The degree of long-range ordering depends on the growth conditions and the thickness of the film. Annealing experiment along with diffraction study confirms the formation of α-Fe_2O_3 phase in some regions of the films. This suggests that under certain growth conditions γ-Fe_2O_3-like phase forms in some pockets in the as-grown LFO thin films that then convert to α-Fe_2O_3 on annealing. - Highlights: • Atomic ordering in LiFe_5O_8 bulk single crystal and epitaxial thin films. • Electron diffraction studies reveal different level of ordering in the system. • Formation of γ-Fe_2O_3 like phase has been observed.

  1. Phase-coherent electron transport in (Zn, Al)Ox thin films grown by atomic layer deposition

    Science.gov (United States)

    Saha, D.; Misra, P.; Ajimsha, R. S.; Joshi, M. P.; Kukreja, L. M.

    2014-11-01

    A clear signature of disorder induced quantum-interference phenomena leading to phase-coherent electron transport was observed in (Zn, Al)Ox thin films grown by atomic layer deposition. The degree of static-disorder was tuned by varying the Al concentration through periodic incorporation of Al2O3 sub-monolayer in ZnO. All the films showed small negative magnetoresistance due to magnetic field suppressed weak-localization effect. The temperature dependence of phase-coherence length ( l φ ∝ T - 3 / 4 ), as extracted from the magnetoresistance measurements, indicated electron-electron scattering as the dominant dephasing mechanism. The persistence of quantum-interference at relatively higher temperatures up to 200 K is promising for the realization of ZnO based phase-coherent electron transport devices.

  2. α Fe2O3 films grown by the spin-on sol-gel deposition method

    International Nuclear Information System (INIS)

    Avila G, A.; Carbajal F, G.; Tiburcio S, A.; Barrera C, E.; Andrade I, E.

    2003-01-01

    α-Fe 2 O 3 polycrystalline films with grains larger than 31 nm were grown by the spin-on sol-gel deposition method. The particular sol used was prepared starting from two distinct precursor reagents. Both precursors leaded to similar films. Order within the films was altered by adding tin to the samples. Transmittance measurements confirmed that the hematite phase is obtained by annealing the samples above 400 C and yielded an optical gap of about 2.2 eV, but additional transitions at 2.7 eV were also observed. From RBS measurements it was found that tin inclusion decreases iron content as expected, but also increases oxygen concentration within the films. This last observation was associated to the disorder rise when introducing tin atoms. (Author)

  3. New III-V cell design approaches for very high efficiency. Annual subcontract report, 1 August 1990--31 July 1991

    Energy Technology Data Exchange (ETDEWEB)

    Lundstrom, M.S.; Melloch, M.R.; Lush, G.B.; O`Bradovich, G.J.; Young, M.P. [Purdue Univ., Lafayette, IN (United States)

    1993-01-01

    This report describes progress during the first year of a three-year project. The objective of the research is to examine new design approaches for achieving very high conversion efficiencies. The program is divided into two areas. The first centers on exploring new thin-film approaches specifically designed for III-V semiconductors. The second area centers on exploring design approaches for achieving high conversion efficiencies without requiring extremely high quality material. Research activities consisted of an experimental study of minority carrier recombination in n-type, metal-organic chemical vapor deposition (MOCVD)-deposited GaAs, an assessment of the minority carrier lifetimes in n-GaAs grown by molecular beam epitaxy, and developing a high-efficiency cell fabrication process.

  4. Ultra-Smooth ZnS Films Grown on Silicon via Pulsed Laser Deposition

    Science.gov (United States)

    Reidy, Christopher; Tate, Janet

    2011-10-01

    Ultra-smooth, high quality ZnS films were grown on (100) and (111) oriented Si wafers via pulsed laser deposition with a KrF excimer laser in UHV (10-9 Torr). The resultant films were examined with optical spectroscopy, electron diffraction, and electron probe microanalysis. The films have an rms roughness of ˜1.5 nm, and the film stoichiometry is approximately Zn:S :: 1:0.87. Additionally, each film exhibits an optical interference pattern which is not a function of probing location on the sample, indicating excellent film thickness uniformity. Motivation for high-quality ZnS films comes from a proposed experiment to measure carrier amplification via impact ionization at the boundary between a wide-gap and a narrow-gap semiconductor. If excited charge carriers in a sufficiently wide-gap harvester can be extracted into a narrow-gap host material, impact ionization may occur. We seek near-perfect interfaces between ZnS, with a direct gap between 3.3 and 3.7 eV, and Si, with an indirect gap of 1.1 eV.

  5. Effect of annealing on structural and optical properties of Cu{sub 2}ZnSnS{sub 4} thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Surgina, G.D., E-mail: silvereye@bk.ru [National Research Nuclear University “Moscow Engineering Physics Institute”, Moscow 115409 (Russian Federation); Moscow Institute of Physics and Technology, Dolgoprudny, Moscow region 141700 (Russian Federation); Nevolin, V.N. [National Research Nuclear University “Moscow Engineering Physics Institute”, Moscow 115409 (Russian Federation); P.N. Lebedev Physical Institute of the Russian Academy of Sciences, Moscow 119991 (Russian Federation); Sipaylo, I.P.; Teterin, P.E. [National Research Nuclear University “Moscow Engineering Physics Institute”, Moscow 115409 (Russian Federation); Medvedeva, S.S. [Immanuel Kant Baltic Federal University, Kaliningrad 236041 (Russian Federation); Lebedinsky, Yu.Yu.; Zenkevich, A.V. [National Research Nuclear University “Moscow Engineering Physics Institute”, Moscow 115409 (Russian Federation); Moscow Institute of Physics and Technology, Dolgoprudny, Moscow region 141700 (Russian Federation)

    2015-11-02

    In this work, we compare the effect of different types of thermal annealing on the morphological, structural and optical properties of Cu{sub 2}ZnSnS{sub 4} (CZTS) thin films grown by reactive Pulsed Laser Deposition in H{sub 2}S flow. Rutherford backscattering spectrometry, atomic force microscopy, X-ray diffraction, Raman spectroscopy and optical spectrophotometry data reveal dramatic increase of the band gap and the crystallite size without the formation of secondary phases upon annealing in N{sub 2} at the optimized conditions. - Highlights: • Cu{sub 2}ZnSnS{sub 4} (CZTS) thin films were grown at room temperature. • Reactive Pulsed Laser Deposition in H{sub 2}S flow was used as a growth method. • Effect of annealing conditions on CZTS structural and optical properties is revealed. • Both the grain size and the band gap of CZTS film increase following the annealing. • Annealing in N{sub 2} effectively inhibits the formation of Sn{sub x}S secondary phases.

  6. Methods and systems for fabricating high quality superconducting tapes

    Energy Technology Data Exchange (ETDEWEB)

    Majkic, Goran; Selvamanickam, Venkat

    2018-02-13

    An MOCVD system fabricates high quality superconductor tapes with variable thicknesses. The MOCVD system can include a gas flow chamber between two parallel channels in a housing. A substrate tape is heated and then passed through the MOCVD housing such that the gas flow is perpendicular to the tape's surface. Precursors are injected into the gas flow for deposition on the substrate tape. In this way, superconductor tapes can be fabricated with variable thicknesses, uniform precursor deposition, and high critical current densities.

  7. In situ synchrotron X-ray studies during metal-organic chemical vapor deposition of semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Thompson, Carol [Northern Illinois Univ., DeKalb, IL (United States); Argonne National Lab., Argonne, IL (United States); Highland, Matthew J.; Perret, Edith; Fuoss, Paul H.; Streiffer, Stephen K.; Stephenson, G. Brian [Argonne National Lab., Argonne, IL (United States); Richard, Marie-Ingrid [Universite Paul Cezanne Aix-Marseille, Marseille (France)

    2012-07-01

    In-situ, time-resolved techniques provide valuable insight into the complex interplay of surface structural and chemical evolution occurring during materials synthesis and processing of semiconductors. Our approach is to observe the evolution of surface structure and morphology at the atomic scale in real-time during metal organic vapor phase deposition (MOCVD) by using grazing incidence x-ray scattering and X-ray fluorescence, coupled with visible light scattering. Our vertical-flow MOCVD chamber is mounted on a 'z-axis' surface diffractometer designed specifically for these studies of the film growth, surface evolution and the interactions within a controlled growth environment. These techniques combine the ability of X-rays to penetrate a complex environment for measurements during growth and processing, with the sensitivity of surface scattering techniques to atomic and nanoscale structure. In this talk, we outline our program and discuss examples from our in-situ and real-time X-ray diffraction and fluorescence studies of InN, GaN, and InGaN growth on GaN(0001).

  8. Atomic layer deposition grown composite dielectric oxides and ZnO for transparent electronic applications

    International Nuclear Information System (INIS)

    Gieraltowska, S.; Wachnicki, L.; Witkowski, B.S.; Godlewski, M.; Guziewicz, E.

    2012-01-01

    In this paper, we report on transparent transistor obtained using laminar structure of two high-k dielectric oxides (hafnium dioxide, HfO 2 and aluminum oxide, Al 2 O 3 ) and zinc oxide (ZnO) layer grown at low temperature (60 °C–100 °C) using Atomic Layer Deposition (ALD) technology. Our research was focused on the optimization of technological parameters for composite layers Al 2 O 3 /HfO 2 /Al 2 O 3 for thin film transistor structures with ZnO as a channel and a gate layer. We elaborate on the ALD growth of these oxides, finding that the 100 nm thick layers of HfO 2 and Al 2 O 3 exhibit fine surface flatness and required amorphous microstructure. Growth parameters are optimized for the monolayer growth mode and maximum smoothness required for gating.

  9. Engineering the mechanical properties of ultrabarrier films grown by atomic layer deposition for the encapsulation of printed electronics

    International Nuclear Information System (INIS)

    Bulusu, A.; Singh, A.; Kim, H.; Wang, C. Y.; Dindar, A.; Fuentes-Hernandez, C.; Kippelen, B.; Cullen, D.; Graham, S.

    2015-01-01

    Direct deposition of barrier films by atomic layer deposition (ALD) onto printed electronics presents a promising method for packaging devices. Films made by ALD have been shown to possess desired ultrabarrier properties, but face challenges when directly grown onto surfaces with varying composition and topography. Challenges include differing nucleation and growth rates across the surface, stress concentrations from topography and coefficient of thermal expansion mismatch, elastic constant mismatch, and particle contamination that may impact the performance of the ALD barrier. In such cases, a polymer smoothing layer may be needed to coat the surface prior to ALD barrier film deposition. We present the impact of architecture on the performance of aluminum oxide (Al 2 O 3 )/hafnium oxide (HfO 2 ) ALD nanolaminate barrier films deposited on fluorinated polymer layer using an optical calcium (Ca) test under damp heat. It is found that with increasing polymer thickness, the barrier films with residual tensile stress are prone to cracking resulting in rapid failure of the Ca sensor at 50 °C/85% relative humidity. Inserting a SiN x layer with residual compressive stress between the polymer and ALD layers is found to prevent cracking over a range of polymer thicknesses with more than 95% of the Ca sensor remaining after 500 h of testing. These results suggest that controlling mechanical properties and film architecture play an important role in the performance of direct deposited ALD barriers

  10. Engineering the mechanical properties of ultrabarrier films grown by atomic layer deposition for the encapsulation of printed electronics

    Energy Technology Data Exchange (ETDEWEB)

    Bulusu, A.; Singh, A.; Kim, H. [Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Wang, C. Y.; Dindar, A.; Fuentes-Hernandez, C.; Kippelen, B. [School of Electrical and Computer Engineering, Georgia Institute of Technology, and Center for Organic Photonics and Electronics, Atlanta, Georgia 30332 (United States); Cullen, D. [Oak Ridge National Laboratory, P.O. Box 2008 MS-6064, Oak Ridge, Tennessee 37831 (United States); Graham, S., E-mail: sgraham@gatech.edu [Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Oak Ridge National Laboratory, P.O. Box 2008 MS-6064, Oak Ridge, Tennessee 37831 (United States)

    2015-08-28

    Direct deposition of barrier films by atomic layer deposition (ALD) onto printed electronics presents a promising method for packaging devices. Films made by ALD have been shown to possess desired ultrabarrier properties, but face challenges when directly grown onto surfaces with varying composition and topography. Challenges include differing nucleation and growth rates across the surface, stress concentrations from topography and coefficient of thermal expansion mismatch, elastic constant mismatch, and particle contamination that may impact the performance of the ALD barrier. In such cases, a polymer smoothing layer may be needed to coat the surface prior to ALD barrier film deposition. We present the impact of architecture on the performance of aluminum oxide (Al{sub 2}O{sub 3})/hafnium oxide (HfO{sub 2}) ALD nanolaminate barrier films deposited on fluorinated polymer layer using an optical calcium (Ca) test under damp heat. It is found that with increasing polymer thickness, the barrier films with residual tensile stress are prone to cracking resulting in rapid failure of the Ca sensor at 50 °C/85% relative humidity. Inserting a SiN{sub x} layer with residual compressive stress between the polymer and ALD layers is found to prevent cracking over a range of polymer thicknesses with more than 95% of the Ca sensor remaining after 500 h of testing. These results suggest that controlling mechanical properties and film architecture play an important role in the performance of direct deposited ALD barriers.

  11. Performance optimization of AlGaN-based LEDs by use of ultraviolet-transparent indium tin oxide: Effect of in situ contact treatment

    Science.gov (United States)

    Tu, Wenbin; Chen, Zimin; Zhuo, Yi; Li, Zeqi; Ma, Xuejin; Wang, Gang

    2018-05-01

    Ultraviolet (UV)-transparent indium tin oxide (ITO) grown by metal–organic chemical vapor deposition (MOCVD) is used as the current-spreading layer for 368 nm AlGaN-based light-emitting diodes (LEDs). By performing in situ contact treatment on the LED/ITO interface, the morphology, resistivity, and contact resistance of electrodes become controllable. Resistivity of 2.64 × 10‑4 Ω cm and transmittance at 368 nm of 95.9% are realized for an ITO thin film grown with Sn-purge in situ treatment. Therefore, the high-power operating voltage decreases from 3.94 V (without treatment) to 3.83 V (with treatment). The improved performance is attributed to the lowering of the tunneling barrier at the LED/ITO interface.

  12. High-power pure blue laser diodes

    Energy Technology Data Exchange (ETDEWEB)

    Ohta, M.; Ohizumi, Y.; Hoshina, Y.; Tanaka, T.; Yabuki, Y.; Goto, S.; Ikeda, M. [Development Center, Sony Shiroishi Semiconductor Inc., Miyagi (Japan); Funato, K. [Materials Laboratories, Sony Corporation, Kanagawa (Japan); Tomiya, S. [Materials Analysis Laboratory, Sony Corporation, Kanagawa (Japan)

    2007-06-15

    We successfully developed high-power and long-lived pure blue laser diodes (LDs) having an emission wavelength of 440-450 nm. The pure-blue LDs were grown by metalorganic chemical vapor deposition (MOCVD) on GaN substrates. The dislocation density was successfully reduced to {proportional_to}10{sup 6} cm{sup -2} by optimizing the MOCVD growth conditions and the active layer structure. The vertical layer structure was designed to have an absorption loss of 4.9 cm{sup -1} and an internal quantum efficiency of 91%. We also reduced the operating current density to 6 kA/cm{sup 2} under 750 mW continuous-wave operation at 35 C by optimizing the stripe width to 12 {mu}m and the cavity length to 2000 {mu}m. The half lifetimes in constant current mode are estimated to be longer than 10000 h. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Microstructures and growth mechanisms of GaN films epitaxially grown on AlN/Si hetero-structures by pulsed laser deposition at different temperatures.

    Science.gov (United States)

    Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Zhou, Shizhong; Li, Guoqiang

    2015-11-13

    2 inch-diameter GaN films with homogeneous thickness distribution have been grown on AlN/Si(111) hetero-structures by pulsed laser deposition (PLD) with laser rastering technique. The surface morphology, crystalline quality, and interfacial property of as-grown GaN films are characterized in detail. By optimizing the laser rastering program, the ~300 nm-thick GaN films grown at 750 °C show a root-mean-square (RMS) thickness inhomogeneity of 3.0%, very smooth surface with a RMS surface roughness of 3.0 nm, full-width at half-maximums (FWHMs) for GaN(0002) and GaN(102) X-ray rocking curves of 0.7° and 0.8°, respectively, and sharp and abrupt AlN/GaN hetero-interfaces. With the increase in the growth temperature from 550 to 850 °C, the surface morphology, crystalline quality, and interfacial property of as-grown ~300 nm-thick GaN films are gradually improved at first and then decreased. Based on the characterizations, the corresponding growth mechanisms of GaN films grown on AlN/Si hetero-structures by PLD with various growth temperatures are hence proposed. This work would be beneficial to understanding the further insight of the GaN films grown on Si(111) substrates by PLD for the application of GaN-based devices.

  14. Impact of post-deposition annealing on interfacial chemical bonding states between AlGaN and ZrO2 grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Ye, Gang; Arulkumaran, Subramaniam; Ng, Geok Ing; Li, Yang; Ang, Kian Siong; Wang, Hong; Ng, Serene Lay Geok; Ji, Rong; Liu, Zhi Hong

    2015-01-01

    The effect of post-deposition annealing on chemical bonding states at interface between Al 0.5 Ga 0.5 N and ZrO 2 grown by atomic layer deposition (ALD) is studied by angle-resolved x-ray photoelectron spectroscopy and high-resolution transmission electron microscopy. It has been found that both of Al-O/Al 2p and Ga-O/Ga 3d area ratio decrease at annealing temperatures lower than 500 °C, which could be attributed to “clean up” effect of ALD-ZrO 2 on AlGaN. Compared to Ga spectra, a much larger decrease in Al-O/Al 2p ratio at a smaller take-off angle θ is observed, which indicates higher effectiveness of the passivation of Al-O bond than Ga-O bond through “clean up” effect near the interface. However, degradation of ZrO 2 /AlGaN interface quality due to re-oxidation at higher annealing temperature (>500 °C) is also found. The XPS spectra clearly reveal that Al atoms at ZrO 2 /AlGaN interface are easier to get oxidized as compared with Ga atoms

  15. Chemical vapor deposited fiber coatings and chemical vapor infiltrated ceramic matrix composites

    Energy Technology Data Exchange (ETDEWEB)

    Kmetz, M.A.

    1992-01-01

    Conventional Chemical Vapor Deposition (CVD) and Organometallic Chemical Vapor Deposition (MOCVD) were employed to deposit a series of interfacial coatings on SiC and carbon yarn. Molybdenum, tungsten and chromium hexacarbonyls were utilized as precursors in a low temperature (350[degrees]C) MOCVD process to coat SiC yarn with Mo, W and Cr oxycarbides. Annealing studies performed on the MoOC and WOC coated SiC yarns in N[sub 2] to 1,000[degrees]C establish that further decomposition of the oxycarbides occurred, culminating in the formation of the metals. These metals were then found to react with Si to form Mo and W disilicide coatings. In the Cr system, heating in N[sub 2] above 800[degrees]C resulted in the formation of a mixture of carbides and oxides. Convention CVD was also employed to coat SiC and carbon yarn with C, Bn and a new interface designated BC (a carbon-boron alloy). The coated tows were then infiltrated with SiC, TiO[sub 2], SiO[sub 2] and B[sub 4]C by a chemical vapor infiltration process. The B-C coatings were found to provide advantageous interfacial properties over carbon and BN coatings in several different composite systems. The effectiveness of these different coatings to act as a chemically inert barrier layer and their relationship to the degree of interfacial debonding on the mechanical properties of the composites were examined. The effects of thermal stability and strength of the coated fibers and composites were also determined for several difference atmospheres. In addition, a new method for determining the tensile strength of the as-received and coated yarns was also developed. The coated fibers and composites were further characterized by AES, SEM, XPS, IR and X-ray diffraction analysis.

  16. Cr2O3 thin films grown at room temperature by low pressure laser chemical vapour deposition

    International Nuclear Information System (INIS)

    Sousa, P.M.; Silvestre, A.J.; Conde, O.

    2011-01-01

    Chromia (Cr 2 O 3 ) has been extensively explored for the purpose of developing widespread industrial applications, owing to the convergence of a variety of mechanical, physical and chemical properties in one single oxide material. Various methods have been used for large area synthesis of Cr 2 O 3 films. However, for selective area growth and growth on thermally sensitive materials, laser-assisted chemical vapour deposition (LCVD) can be applied advantageously. Here we report on the growth of single layers of pure Cr 2 O 3 onto sapphire substrates at room temperature by low pressure photolytic LCVD, using UV laser radiation and Cr(CO) 6 as chromium precursor. The feasibility of the LCVD technique to access selective area deposition of chromia thin films is demonstrated. Best results were obtained for a laser fluence of 120 mJ cm -2 and a partial pressure ratio of O 2 to Cr(CO) 6 of 1.0. Samples grown with these experimental parameters are polycrystalline and their microstructure is characterised by a high density of particles whose size follows a lognormal distribution. Deposition rates of 0.1 nm s -1 and mean particle sizes of 1.85 μm were measured for these films.

  17. Excitation intensity dependent photoluminescence of annealed two-dimensional MoS{sub 2} grown by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kaplan, D.; Swaminathan, V. [U.S. Army RDECOM-ARDEC, Fuze Precision Armaments and Technology Directorate, Picatinny Arsenal, New Jersey 07806 (United States); Mills, K. [U.S. Army RDECOM-ARDEC, Energetics, Warheads and Manufacturing Technology Directorate, Picatinny Arsenal, New Jersey 07806 (United States); Lee, J. [Agency for Defense Development, Yuseong, P.O. Box 35, Daejeon, 305-600 (Korea, Republic of); Torrel, S. [Department of Materials Science and Engineering, Piscataway, Rutgers, The State University of New Jersey, New Jersey 08854 (United States)

    2016-06-07

    Here, we present detailed results of Raman and photoluminescence (PL) characterization of monolayers of MoS{sub 2} grown by chemical vapor deposition (CVD) on SiO{sub 2}/Si substrates after thermal annealing at 150 °C, 200 °C, and 250 °C in an argon atmosphere. In comparison to the as-grown monolayers, annealing in the temperature range of 150–250 °C brings about significant changes in the band edge luminescence. It is observed that annealing at 150 °C gives rise to a 100-fold increase in the PL intensity and produces a strong band at 1.852 eV attributed to a free-to-bound transition that dominates over the band edge excitonic luminescence. This band disappears for the higher annealing temperatures. The improvement in PL after the 200 °C anneal is reduced in comparison to that obtained after the 150 °C anneal; this is suggested to arise from a decrease in the non-radiative lifetime caused by the creation of sulfur di-vacancies. Annealing at 250 °C degrades the PL in comparison to the as-grown sample because of the onset of disorder/decomposition of the sample. It is clear that the PL features of the CVD-grown MoS{sub 2} monolayer are profoundly affected by thermal annealing in Ar atmosphere. However, further detailed studies are needed to identify, unambiguously, the role of native defects and/or adsorbed species in defining the radiative channels in annealed samples so that the beneficial effect of improvement in the optical efficiency of the MoS{sub 2} monolayers can be leveraged for various device applications.

  18. Reduction of Polarization Field Strength in Fully Strained c-Plane InGaN/(In)GaN Multiple Quantum Wells Grown by MOCVD.

    Science.gov (United States)

    Zhang, Feng; Ikeda, Masao; Zhang, Shu-Ming; Liu, Jian-Ping; Tian, Ai-Qin; Wen, Peng-Yan; Cheng, Yang; Yang, Hui

    2016-12-01

    The polarization fields in c-plane InGaN/(In)GaN multiple quantum well (MQW) structures grown on sapphire substrate by metal-organic chemical vapor deposition are investigated in this paper. The indium composition in the quantum wells varies from 14.8 to 26.5% for different samples. The photoluminescence wavelengths are calculated theoretically by fully considering the related effects and compared with the measured wavelengths. It is found that when the indium content is lower than 17.3%, the measured wavelengths agree well with the theoretical values. However, when the indium content is higher than 17.3%, the measured ones are much shorter than the calculation results. This discrepancy is attributed to the reduced polarization field in the MQWs. For the MQWs with lower indium content, 100% theoretical polarization can be maintained, while, when the indium content is higher, the polarization field decreases significantly. The polarization field can be weakened down to 23% of the theoretical value when the indium content is 26.5%. Strain relaxation is excluded as the origin of the polarization reduction because there is no sign of lattice relaxation in the structures, judging by the X-ray diffraction reciprocal space mapping. The possible causes of the polarization reduction are discussed.

  19. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  20. Physical properties characterization of WO{sub 3} films grown by hot-filament metal oxide deposition

    Energy Technology Data Exchange (ETDEWEB)

    Diaz-Reyes, J., E-mail: jdiazr2001@yahoo.com [Centro de Investigacion en Biotecnologia Aplicada del Instituto Politecnico Nacional, Ex-Hacienda de San Juan Molino, Km. 1.5, Tepetitla, Tlaxcala, 90700 (Mexico); Delgado-Macuil, R.J. [Centro de Investigacion en Biotecnologia Aplicada del Instituto Politecnico Nacional, Ex-Hacienda de San Juan Molino, Km. 1.5, Tepetitla, Tlaxcala, 90700 (Mexico); Dorantes-Garcia, V. [Preparatoria ' Simon Bolivar' de la Benemerita Universidad Autonoma de Puebla, 4 Oriente 408, Col. Centro, Atlixco, Puebla, C. P. 74200 (Mexico); Perez-Benitez, A. [Facultad de Ciencias Quimicas de la Benemerita Universidad Autonoma Puebla, 14 Sur y Av. San Claudio, Col. San Manuel, Puebla, Puebla, C. P. 72570 (Mexico); Balderas-Lopez, J.A. [Unidad Profesional Interdisciplinaria de Biotecnologia del Instituto Politecnico Nacional, Avenida Acueducto S/N, Col. Barrio la Laguna, Ticoman, Del. Gustavo A. Madero, Mexico, D.F. 07340 (Mexico); Ariza-Ortega, J.A. [Centro de Investigacion en Biotecnologia Aplicada del Instituto Politecnico Nacional, Ex-Hacienda de San Juan Molino, Km. 1.5, Tepetitla, Tlaxcala, 90700 (Mexico)

    2010-10-25

    WO{sub 3} is grown by hot-filament metal oxide deposition (HFMOD) technique under atmospheric pressure and an oxygen atmosphere. By X-ray diffraction obtains that WO{sub 3} presents mainly monoclinic crystalline phase. The chemical stoichiometry is obtained by X-ray Photoelectron Spectroscopy (XPS). The IR spectrum of the as-grown WO{sub 3} presents broad peaks in the range of 1100 to 3600 cm{sup -1}. A broad band in the 2200 to 3600 cm{sup -1} region and the peaks sited at 1645 and 1432 cm{sup -1} are well resolved, which are originated from moisture and are assigned to {nu}(OH) and {delta}(OH) modes of adsorbed water and the corresponding tungsten oxide vibrations are in infrared region from 400 to 1453 cm{sup -1} and around 3492 cm{sup -1}, which correspond to tungsten-oxygen (W-O) stretching, bending and lattice modes. The Raman spectrum shows intense peaks at 801, 710, 262 and 61 cm{sup -1} that are typical Raman peaks of crystalline WO{sub 3} (m-phase) that correspond to stretching vibrations of the bridging oxygen, which are assigned to W-O stretching ({nu}) and W-O bending ({delta}) modes, respectively. By transmittance measurements obtains that the WO{sub 3} band gap can be varied from 2.92 to 3.13 eV in the investigated annealing temperature range.

  1. VLS-grown diffusion doped ZnO nanowires and their luminescence properties

    International Nuclear Information System (INIS)

    Roy, Pushan Guha; Dutta, Amartya; Das, Arpita; Bhattacharyya, Anirban; Sen, Sayantani; Pramanik, Pallabi

    2015-01-01

    Zinc Oxide (ZnO) nanowires were deposited by vapor–liquid–solid (VLS) method on to aluminum doped ZnO (AZO) thin films grown by sol-gel technique. For various device applications, current injection into such nanowires is critical. This is expected to be more efficient for ZnO nanowires deposited on to AZO compared to those deposited on to a foreign substrate such as silicon. In this work we compare the morphological and optical properties of nanowires grown on AZO with those grown under similar conditions on silicon (Si) wafers. For nanowires grown on silicon, diameters around 44 nm with heights around 2.2 μm were obtained. For the growth on to AZO, the diameters were around 90 nm while the heights were around 520 nm. Room temperature photoluminescence (RT-PL) measurements show improved near band-edge emission for nanowires grown on to AZO, indicating higher material quality. This is further established by low temperature photoluminescence (LT-PL) measurements where excitonic transitions with width as small as 14 meV have been obtained at 4 K for such structures. Electron energy loss spectroscopy (EELS) studies indicate the presence of Al in the nanowires, indicating a new technique for introduction of dopants into these structures. These results indicate that ZnO nanowires on sol-gel grown AZO thin films show promise in the development of various optoelectronic devices. (paper)

  2. Structural and optical characteristics of InN/GaN multiple quantum wells grown by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Je Won; Lee, Kyu Han; Hong, Sangsu

    2007-01-01

    The structural and electrical properties of InN/GaN multiple quantum wells, which were grown by metalorganic chemical vapor deposition, were characterized by transmission electron microscopy (TEM) and electroluminescence measurements. From the TEM micrographs, it was shown that the well layer was grown like a quantum dot. The well layer is expected to be the nano-size structures in the InN multiple quantum well layers. The multi-photon confocal laser scanning microscopy was used to investigate the optical properties of the light emitting diode (LED) structures with InN active layers. It was found that the two-photon excitation was possible in InN system. The pit density was measured by using the far-field optical technique. In the varied current conditions, the blue LED with the InN multiple quantum well structures did not have the wavelength shift. With this result, we can expect that the white LEDs with the InN multiple quantum well structures do not show the color temperature changes with the variations of applied currents

  3. Influence of in-situ deposited SiNx interlayer on crystal quality of GaN epitaxial films

    Science.gov (United States)

    Fan, Teng; Jia, Wei; Tong, Guangyun; Zhai, Guangmei; Li, Tianbao; Dong, Hailiang; Xu, Bingshe

    2018-05-01

    GaN epitaxial films with SiNx interlayers were prepared by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The influences of deposition times and locations of SiNx interlayers on crystal quality of GaN epitaxial films were studied. Under the optimal growth time of 120 s for the SiNx interlayer, the dislocation density of GaN film is reduced to 4.05 × 108 cm-2 proved by high resolution X-ray diffraction results. It is found that when the SiNx interlayer deposits on the GaN nucleation islands, the subsequent GaN film has the lowest dislocation density of only 2.89 × 108 cm-2. Moreover, a model is proposed to illustrate the morphological evolution and associated propagation processes of TDs in GaN epi-layers with SiNx interlayers for different deposition times and locations.

  4. Investigations of p-type signal for ZnO thin films grown on (100)GaAs substrates by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Rogers, D.J. [Nanovation SARL, Orsay (France); Univ. de Technologie de Troyes, Troyes (France); Hosseini Teherani, F. [Nanovation SARL, Orsay (France); Monteiro, T.; Soares, M.; Neves, A.; Carmo, M.; Correia, M.R. [Physics Dept., Univ. of Aveiro (Portugal); Pereira, S. [Physics Dept., Univ. of Aveiro (Portugal); Inst. Tecnologico e Nuclear, Sacavem (Portugal); Lusson, A. [Inst. d' Electronique Fondamentale, Orsay Univ. (France); LPSC - CNRS, Meudon (France); Alves, E.; Barradas, N.P. [Inst. Tecnologico e Nuclear, Sacavem (Portugal); Morrod, J.K.; Prior, K.A. [Physics Dept., Heriot Watt Univ., Edinburgh Scotland (United Kingdom); Kung, P.; Yasan, A.; Razeghi, M. [Center for Quantum Devices, Dept. of Electrical and Computer Engineering, Northwestern Univ., Evanston, IL (United States)

    2006-03-15

    In this work we investigated ZnO films grown on semi-insulating (100)GaAs substrates by pulsed laser deposition. Samples were studied using techniques including X-ray diffraction (XRD), scanning electron microscopy, atomic force microscopy, Raman spectroscopy, temperature dependent photoluminescence, C-V profiling and temperature dependent Hall measurements. The Hall measurements showed a clear p-type response with a relatively high mobility ({proportional_to}260 cm{sup 2}/Vs) and a carrier concentration of {proportional_to}1.8 x 10{sup 19} cm{sup -3}. C-V profiling confirmed a p-type response. XRD and Raman spectroscopy indicated the presence of (0002) oriented wurtzite ZnO plus secondary phase(s) including (101) oriented Zn{sub 2}As{sub 2}O{sub 7}. The results suggest that significant atomic mixing was occurring at the film/substrate interface for films grown at substrate temperatures of 450 C (without post-annealing). (orig.)

  5. High-quality graphene grown on polycrystalline PtRh{sub 20} alloy foils by low pressure chemical vapor deposition and its electrical transport properties

    Energy Technology Data Exchange (ETDEWEB)

    Yang, He; Shen, Chengmin, E-mail: cmshen@iphy.ac.cn; Tian, Yuan; Bao, Lihong; Chen, Peng; Yang, Rong; Yang, Tianzhong; Li, Junjie; Gu, Changzhi; Gao, Hong-Jun [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China)

    2016-02-08

    High-quality continuous uniform monolayer graphene was grown on polycrystalline PtRh{sub 20} alloy foils by low pressure chemical vapor deposition. The morphology of graphene was investigated by Raman spectroscopy, scanning electron microscopy, and atomic force microscopy. Analysis results confirm that high quality single-layer graphene was fabricated on PtRh{sub 20} foil at 1050 °C using a lower flux of methane under low pressure. Graphene films were transferred onto the SiO{sub 2}/Si substrate by the bubbling transfer method. The mobility of a test field effect transistor made of the graphene grown on PtRh{sub 20} was measured and reckoned at room temperature, showing that the carrier mobility was about 4000 cm{sup 2} V{sup −1} s{sup −1}. The results indicate that desired quality of single-layer graphene grown on PtRh{sub 20} foils can be obtained by tuning reaction conditions.

  6. Photoelectrochemical Properties of CuO Grown by Using a Modified Chemical Bath Deposition Method

    Energy Technology Data Exchange (ETDEWEB)

    Ha, Jin-wook; Ryu, Hyukhyun [Inje University, Gimhae (Korea, Republic of); Lee, Won-Jae [Dong-Eui University, Busan (Korea, Republic of)

    2016-06-15

    In this study, cupric oxide (CuO) nanorods were grown on the fluorine-doped tin oxide (FTO) glass substrate using a modified-chemical bath deposition (M-CBD) method. We investigated the morphology, structural, optical and photoelectrochemical properties of the cupric oxide nanorods with various growth durations by using field-emission scanning-electron microscopy (FE-SEM), X-ray diffraction (XRD), UV-visible spectroscopy (UV-vis) and three-electrode potentiostat, respectively. In this work, we found that the morphologies, thickness, growth rate, crystallinities, grain sizes and optical bandgap were controllable on the growth duration, which affected photocurrent density and photo-stability. The highest growth rate of CuO nanorods was 126 nm/min. From the XRD measurement, we also confirmed that (020) directional growth affected the growth of the CuO nanorods. A maximum photocurrent density of-1.88 mA/cm² at -0.55 V (vs. SCE) and high photo-stability value about 40% was obtained with 10 minutes growth duration.

  7. Effects of process parameters on sheet resistance uniformity of fluorine-doped tin oxide thin films

    Science.gov (United States)

    Hudaya, Chairul; Park, Ji Hun; Lee, Joong Kee

    2012-01-01

    An alternative indium-free material for transparent conducting oxides of fluorine-doped tin oxide [FTO] thin films deposited on polyethylene terephthalate [PET] was prepared by electron cyclotron resonance - metal organic chemical vapor deposition [ECR-MOCVD]. One of the essential issues regarding metal oxide film deposition is the sheet resistance uniformity of the film. Variations in process parameters, in this case, working and bubbler pressures of ECR-MOCVD, can lead to a change in resistance uniformity. Both the optical transmittance and electrical resistance uniformity of FTO film-coated PET were investigated. The result shows that sheet resistance uniformity and the transmittance of the film are affected significantly by the changes in bubbler pressure but are less influenced by the working pressure of the ECR-MOCVD system.

  8. Thermal stability of an InAlN/GaN heterostructure grown on silicon by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Watanabe, Arata, E-mail: a.watanabe.106@nitech.jp; Freedsman, Joseph J.; Urayama, Yuya; Christy, Dennis [Research Center for Nano Devices and Advanced Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466 8555 (Japan); Egawa, Takashi, E-mail: egawa.takashi@nitech.ac.jp [Research Center for Nano Devices and Advanced Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466 8555 (Japan); Innovation Center for Multi-Business of Nitride Semiconductors, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466 8555 (Japan)

    2015-12-21

    The thermal stabilities of metal-organic chemical vapor deposition-grown lattice-matched InAlN/GaN/Si heterostructures have been reported by using slower and faster growth rates for the InAlN barrier layer in particular. The temperature-dependent surface and two-dimensional electron gas (2-DEG) properties of these heterostructures were investigated by means of atomic force microscopy, photoluminescence excitation spectroscopy, and electrical characterization. Even at the annealing temperature of 850 °C, the InAlN layer grown with a slower growth rate exhibited a smooth surface morphology that resulted in excellent 2-DEG properties for the InAlN/GaN heterostructure. As a result, maximum values for the drain current density (I{sub DS,max}) and transconductance (g{sub m,max}) of 1.5 A/mm and 346 mS/mm, respectively, were achieved for the high-electron-mobility transistor (HEMT) fabricated on this heterostructure. The InAlN layer grown with a faster growth rate, however, exhibited degradation of the surface morphology at an annealing temperature of 850 °C, which caused compositional in-homogeneities and impacted the 2-DEG properties of the InAlN/GaN heterostructure. Additionally, an HEMT fabricated on this heterostructure yielded lower I{sub DS,max} and g{sub m,max} values of 1 A/mm and 210 mS/mm, respectively.

  9. Use of B{sub 2}O{sub 3} films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Kalkofen, Bodo, E-mail: bodo.kalkofen@ovgu.de; Amusan, Akinwumi A.; Bukhari, Muhammad S. K.; Burte, Edmund P. [Institute of Micro and Sensor Systems, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Garke, Bernd [Institute for Experimental Physics, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Lisker, Marco [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Gargouri, Hassan [SENTECH Instruments GmbH, Schwarzschildstraße 2, 12489 Berlin (Germany)

    2015-05-15

    Plasma-assisted atomic layer deposition (PALD) was carried for growing thin boron oxide films onto silicon aiming at the formation of dopant sources for shallow boron doping of silicon by rapid thermal annealing (RTA). A remote capacitively coupled plasma source powered by GaN microwave oscillators was used for generating oxygen plasma in the PALD process with tris(dimethylamido)borane as boron containing precursor. ALD type growth was obtained; growth per cycle was highest with 0.13 nm at room temperature and decreased with higher temperature. The as-deposited films were highly unstable in ambient air and could be protected by capping with in-situ PALD grown antimony oxide films. After 16 weeks of storage in air, degradation of the film stack was observed in an electron microscope. The instability of the boron oxide, caused by moisture uptake, suggests the application of this film for testing moisture barrier properties of capping materials particularly for those grown by ALD. Boron doping of silicon was demonstrated using the uncapped PALD B{sub 2}O{sub 3} films for RTA processes without exposing them to air. The boron concentration in the silicon could be varied depending on the source layer thickness for very thin films, which favors the application of ALD for semiconductor doping processes.

  10. Surface plasmon resonances of Ag-Au alloy nanoparticle films grown by sequential pulsed laser deposition at different compositions and temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Verma, Shweta, E-mail: shwetaverma@rrcat.gov.in; Rao, B. T.; Detty, A. P.; Kukreja, L. M. [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India); Ganesan, V.; Phase, D. M. [UGC-DAE Consortium for Scientific Research, Indore 452 001 (India); Rai, S. K. [Indus Synchrotons Utilization Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India); Bose, A.; Joshi, S. C. [Proton Linac and Superconducting Cavities Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India)

    2015-04-07

    We studied localized surface plasmon resonances (LSPR) at different compositions, substrate temperatures, and mass thicknesses of Ag-Au alloy nanoparticle films grown by sequential pulsed laser deposition. The LSPRs were pronounced at all compositions of the films grown at high substrate temperature of about 300 °C as compared to those grown at room temperature. The alloy formation and composition of the films were determined using X-ray photoelectron and energy dispersive spectroscopy. Films' mass thickness and compositional uniformity along the thickness were determined using X-ray reflectometry and secondary ion mass spectroscopy. Atomic force microscopic analysis revealed the formation of densely packed nanoparticles of increasing size with the number of laser ablation pulses. The LSPR wavelength red shifted with increasing either Au percentage or film mass thickness and corresponding LSPR tuning was obtained in the range of 450 to 690 nm. The alloy dielectric functions obtained from three different models were compared and the optical responses of the nanoparticle films were calculated from modified Yamaguchi effective medium theory. The tuning of LSPR was found to be due to combined effect of change in intrinsic and extrinsic parameters mainly the composition, morphology, particle-particle, and particle-substrate interactions.

  11. Selective epitaxial growth of Ge1-xSnx on Si by using metal-organic chemical vapor deposition

    Science.gov (United States)

    Washizu, Tomoya; Ike, Shinichi; Inuzuka, Yuki; Takeuchi, Wakana; Nakatsuka, Osamu; Zaima, Shigeaki

    2017-06-01

    Selective epitaxial growth of Ge and Ge1-xSnx layers on Si substrates was performed by using metal-organic chemical vapor deposition (MOCVD) with precursors of tertiary-butyl-germane (t-BGe) and tri-butyl-vinyl-tin (TBVSn). We investigated the effects of growth temperature and total pressure during growth on the selectivity and the crystallinity of the Ge and Ge1-xSnx epitaxial layers. Under low total pressure growth conditions, the dominant mechanism of the selective growth of Ge epitaxial layers is the desorption of the Ge precursors. At a high total pressure case, it is needed to control the surface migration of precursors to realize the selectivity because the desorption of Ge precursors was suppressed. The selectivity of Ge growth was improved by diffusion of the Ge precursors on the SiO2 surfaces when patterned substrates were used at a high total pressure. The selective epitaxial growth of Ge1-xSnx layer was also realized using MOCVD. We found that the Sn precursors less likely to desorb from the SiO2 surfaces than the Ge precursors.

  12. Bonding structure and morphology of chromium oxide films grown by pulsed-DC reactive magnetron sputter deposition

    Energy Technology Data Exchange (ETDEWEB)

    Gago, R., E-mail: rgago@icmm.csic.es [Instituto de Ciencia de Materiales de Madrid, Consejo Superior de Investigaciones Científicas, E-28049 Madrid (Spain); Vinnichenko, M. [Fraunhofer-Institut für Keramische Technologien und Systeme IKTS, D-01277 Dresden (Germany); Hübner, R. [Helmholtz-Zentrum Dresden – Rossendorf, Institute of Ion Beam Physics and Materials Research, Bautzner Landstraße 400, 01328 Dresden (Germany); Redondo-Cubero, A. [Departamento de Física Aplicada and Centro de Microanálisis de Materiales, Universidad Autónoma de Madrid, E-28049 Madrid (Spain)

    2016-07-05

    Chromium oxide (CrO{sub x}) thin films were grown by pulsed-DC reactive magnetron sputter deposition in an Ar/O{sub 2} discharge as a function of the O{sub 2} fraction in the gas mixture (ƒ) and for substrate temperatures, T{sub s}, up to 450 °C. The samples were analysed by Rutherford backscattering spectrometry (RBS), spectroscopic ellipsometry (SE), atomic force microscopy (AFM), scanning (SEM) and transmission (TEM) electron microscopy, X-ray diffraction (XRD), and X-ray absorption near-edge structure (XANES). On unheated substrates, by increasing ƒ the growth rate is higher and the O/Cr ratio (x) rises from ∼2 up to ∼2.5. Inversely, by increasing T{sub s} the atomic incorporation rate drops and x falls to ∼1.8. XRD shows that samples grown on unheated substrates are amorphous and that nanocrystalline Cr{sub 2}O{sub 3} (x = 1.5) is formed by increasing T{sub s}. In amorphous CrO{sub x}, XANES reveals the presence of multiple Cr environments that indicate the growth of mixed-valence oxides, with progressive promotion of hexavalent states with ƒ. XANES data also confirms the formation of single-phase nanocrystalline Cr{sub 2}O{sub 3} at elevated T{sub s}. These structural changes also reflect on the optical and morphological properties of the films. - Highlights: • XANES of CrO{sub x} thin films grown by pulsed-DC reactive magnetron sputtering. • Identification of mixed-valence amorphous CrO{sub x} oxides on unheated substrates. • Promotion of amorphous chromic acid (Cr{sup VI}) by increasing O{sub 2} partial pressure. • Production of single-phase Cr{sub 2}O{sub 3} films by increasing substrate temperature. • Correlation of bonding structure with morphological and optical properties.

  13. Influence of oxygen pressure and aging on LaAlO3 films grown by pulsed laser deposition on SrTiO3 substrates

    KAUST Repository

    Park, Jihwey

    2014-02-24

    The crystal structures of LaAlO3 films grown by pulsed laser deposition on SrTiO3 substrates at oxygen pressure of 10−3 millibars or 10−5 millibars, where kinetics of ablated species hardly depend on oxygen background pressure, are compared. Our results show that the interface between LaAlO3 and SrTiO3 is sharper when the oxygen pressure is lower. Over time, the formation of various crystalline phases is observed while the crystalline thickness of the LaAlO3 layer remains unchanged. X-ray scattering as well as atomic force microscopy measurements indicate three-dimensional growth of such phases, which appear to be fed from an amorphous capping layer present in as-grown samples.

  14. Photoconduction efficiencies and dynamics in GaN nanowires grown by chemical vapor deposition and molecular beam epitaxy: A comparison study

    International Nuclear Information System (INIS)

    Chen, R. S.; Tsai, H. Y.; Huang, Y. S.; Chen, Y. T.; Chen, L. C.; Chen, K. H.

    2012-01-01

    The normalized gains, which determines the intrinsic photoconduction (PC) efficiencies, have been defined and compared for the gallium nitride (GaN) nanowires (NWs) grown by chemical vapor deposition (CVD) and molecular beam epitaxy (MBE). By excluding the contributions of experimental parameters and under the same light intensity, the CVD-grown GaN NWs exhibit the normalized gain which is near two orders of magnitude higher than that of the MBE-ones. The temperature-dependent time-resolved photocurrent measurement further indicates that the higher photoconduction efficiency in the CVD-GaN NWs is originated from the longer carrier lifetime induced by the higher barrier height (φ B = 160 ± 30 mV) of surface band bending. In addition, the experimentally estimated barrier height at 20 ± 2 mV for the MBE-GaN NWs, which is much lower than the theoretical value, is inferred to be resulted from the lower density of charged surface states on the non-polar side walls.

  15. Resistive switching and synaptic properties of fully atomic layer deposition grown TiN/HfO{sub 2}/TiN devices

    Energy Technology Data Exchange (ETDEWEB)

    Matveyev, Yu.; Zenkevich, A. [Moscow Institute of Physics and Technology, 141700 Moscow Region (Russian Federation); NRNU “Moscow Engineering Physics Institute”, 115409 Moscow (Russian Federation); Egorov, K.; Markeev, A. [Moscow Institute of Physics and Technology, 141700 Moscow Region (Russian Federation)

    2015-01-28

    Recently proposed novel neural network hardware designs imply the use of memristors as electronic synapses in 3D cross-bar architecture. Atomic layer deposition (ALD) is the most feasible technique to fabricate such arrays. In this work, we present the results of the detailed investigation of the gradual resistive switching (memristive) effect in nanometer thick fully ALD grown TiN/HfO{sub 2}/TiN stacks. The modelling of the I-V curves confirms interface limited trap-assisted-tunneling mechanism along the oxygen vacancies in HfO{sub 2} in all conduction states. The resistivity of the stack is found to critically depend upon the distance from the interface to the first trap in HfO{sub 2}. The memristive properties of ALD grown TiN/HfO{sub 2}/TiN devices are correlated with the demonstrated neuromorphic functionalities, such as long-term potentiation/depression and spike-timing dependent plasticity, thus indicating their potential as electronic synapses in neuromorphic hardware.

  16. Thermal stability of amorphous carbon films grown by pulsed laser deposition

    Science.gov (United States)

    Friedmann, T. A.; McCarty, K. F.; Barbour, J. C.; Siegal, M. P.; Dibble, Dean C.

    1996-03-01

    The thermal stability in vacuum of amorphous tetrahedrally coordinated carbon (a-tC) films grown on Si has been assessed by in situ Raman spectroscopy. Films were grown in vacuum on room-temperature substrates using laser fluences of 12, 22, and 45 J/cm2 and in a background gas of either hydrogen or nitrogen using a laser fluence of 45 J/cm2. The films grown in vacuum at high fluence (≳20J/cm2) show little change in the a-tC Raman spectra with temperature up to 800 °C. Above this temperature the films convert to glassy carbon (nanocrystalline graphite). Samples grown in vacuum at lower fluence or in a background gas (H2 or N2) at high fluence are not nearly as stable. For all samples, the Raman signal from the Si substrate (observed through the a-tC film) decreases in intensity with annealing temperature indicating that the transparency of the a-tC films is decreasing with temperature. These changes in transparency begin at much lower temperatures (˜200 °C) than the changes in the a-tC Raman band shape and indicate that subtle changes are occurring in the a-tC films at lower temperatures.

  17. Structural and electronic properties of InN epitaxial layer grown on c-plane sapphire by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Barick, Barun Kumar, E-mail: bkbarick@gmail.com; Prasad, Nivedita; Saroj, Rajendra Kumar; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology, Bombay, Mumbai 400076 (India)

    2016-09-15

    Growth of InN epilayers on c-plane sapphire substrate by chemical vapor deposition technique using pure indium metal and ammonia as precursors has been systematically explored. It has been found that [0001] oriented indium nitride epitaxial layers with smooth surface morphology can be grown on c-plane sapphire substrates by optimizing the growth conditions. Bandgap of the film is observed to be Burstein–Moss shifted likely to be due to high background electron concentration. It has been found that the concentration of this unintentional doping decreases with the increase in the growth temperature and the ammonia flux. Epitaxial quality on the other hand deteriorates as the growth temperature increases. Moreover, the morphology of the deposited layer has been found to change from flat top islands to faceted mounds as the flow rate of ammonia increases. This phenomenon is expected to be related to the difference in surface termination character at low and high ammonia flow rates.

  18. Effects of TiO{sub 2} buffer layer on the photoelectrochemical properties of TiO{sub 2} Nano rods grown by modified chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Tae-hyun; Ha, Jin-wook; Ryu, Hyukhyun [Inje University, Gimhae (Korea, Republic of); Lee, Won-Jae [Dong-Eui University, Busan (Korea, Republic of)

    2015-08-15

    In this study, we grew TiO{sub 2} nano rods on TiO{sub 2}-film buffered FTO substrate using modified chemical bath deposition (M-CBD). The TiO{sub 2} buffer layer was grown by spin coating method with different RPM (revolutions per minute) values and deposition cycles. We investigated the effects of the RPM values and the deposition cycles on the morphological, structural and photoelectrochemical properties of TiO{sub 2} nano rods. In this work, we have also found that the morphological and structural properties of TiO{sub 2} nano rods affected the photoelectrochemical properties of TiO{sub 2} nano rods. And the maximum photocurrent density of 0.34 mA/cm{sup 2} at 0.6V (vs.SCE) was obtained from the buffer layer deposition process condition of 4,000 RPM and two-times buffer layer depositions.

  19. Structural and nanomechanical properties of InN films grown on Si(1 0 0) by femtosecond pulsed laser deposition

    International Nuclear Information System (INIS)

    Hafez, M A; Mamun, M A; Elmustafa, A A; Elsayed-Ali, H E

    2013-01-01

    The structural and nanomechanical properties of InN films grown on Si(1 0 0) using femtosecond pulsed laser deposition were studied for different growth conditions. Atomic nitrogen was generated by either thermal cracking or laser-induced breakdown (LIB) of ammonia. Optical emission spectroscopy was conducted on the laser plasma and used to observe atomic nitrogen formation. An indium buffer layer was initially grown on the Si substrate at low temperature. The surface structure and morphology were investigated by in situ reflection high-energy electron diffraction, ex situ atomic force microscopy and x-ray diffraction (XRD). The results show that the initial buffer indium layers were terminated with the In(2 × 1) structure and had a smooth surface. With increased coverage, the growth mode developed from two-dimensional layers to three-dimensional islands. At room temperature (RT), formation of submicrometre islands resulted in mixed crystal structure of In and InN. As the substrate temperature was increased to 250–350 °C, the crystal structure was found to be dominated by fewer In and more InN, with only InN formed at 350 °C. The XRD patterns show that the grown InN films have wurtzite crystal structure. The film hardness near the surface was observed to increase from less than 1 GPa, characteristic of In for the sample grown at RT using the thermal cracker, to a hardness of 11 GPa at 30 nm from surface, characteristic of InN for samples grown at 350 °C by LIB. The hardness at deep indents reaches the hardness of the Si substrate of ∼12 GPa. (paper)

  20. Indium gallium nitride/gallium nitride quantum wells grown on polar and nonpolar gallium nitride substrates

    Science.gov (United States)

    Lai, Kun-Yu

    Nonpolar (m-plane or a-plane) gallium nitride (GaN) is predicted to be a potential substrate material to improve luminous efficiencies of nitride-based quantum wells (QWs). Numerical calculations indicated that the spontaneous emission rate in a single In0.15Ga0.85N/GaN QW could be improved by ˜2.2 times if the polarization-induced internal field was avoided by epitaxial deposition on nonpolar substrates. A challenge for nonpolar GaN is the limited size (less than 10x10 mm2) of substrates, which was addressed by expansion during the regrowth by Hydride Vapor Phase Epitaxy (HVPE). Subsurface damage in GaN substrates were reduced by annealing with NH3 and N2 at 950°C for 60 minutes. It was additionally found that the variation of m-plane QWs' emission properties was significantly increased when the substrate miscut toward a-axis was increased from 0° to 0.1°. InGaN/GaN QWs were grown by Metalorganic Chemical Vapor Deposition (MOCVD) on c-plane and m-plane GaN substrates. The QWs were studied by cathodoluminescence spectroscopy with different incident electron beam probe currents (0.1 nA ˜ 1000 nA). Lower emission intensities and longer peak wavelengths from c-plane QWs were attributed to the Quantum-confined Stark Effect (QCSE). The emission intensity ratios of m-plane QWs to c-plane QWs decreased from 3.04 at 1 nA to 1.53 at 1000 nA. This was identified as the stronger screening effects of QCSE at higher current densities in c-plane QWs. To further investigate these effects in a fabricated structure, biased photoluminescence measurements were performed on m-plane InGaN/GaN QWs. The purpose was to detect the possible internal fields induced by the dot-like structure in the InGaN layer through the response of these internal fields under externally applied fields. No energy shifts of the QWs were observed, which was attributed to strong surface leakage currents.

  1. High quality aluminide and thermal barrier coatings deposition for new and service exposed parts by CVD techniques

    Energy Technology Data Exchange (ETDEWEB)

    Pedraza, F.; Tuohy, C.; Whelan, L.; Kennedy, A.D. [SIFCO Turbine Components, Carrigtwohill, Cork (Ireland)

    2004-07-01

    In this work, the performance of CVD aluminide coatings is compared to that of coatings deposited by the classical pack cementation technique using standard SIFCO procedures. The CVD coatings always seem to behave better upon exposure to isothermal and cyclic oxidation conditions. This is explained by a longer term stability of CVD coatings, with higher Al amounts in the diffusion zone and less refractory element precipitation in the additive layer. The qualities of Pt/Al coatings by out-of-pack and CVD are also compared as a previous step for further thermal barrier coating deposition. As an example, YSZ thermal barrier coatings are deposited by MO-CVD on Pt/Al CVD bond coats rendering adherent and thick coatings around the surface of turbine blades. This process under development does not require complex manipulation of the component to be coated. (orig.)

  2. Present and future applications of magnetic nanostructures grown by FEBID

    Energy Technology Data Exchange (ETDEWEB)

    Teresa, J.M. de [CSIC-Universidad de Zaragoza, Departamento de Fisica de la Materia Condensada, Instituto de Ciencia de Materiales de Aragon (ICMA), Saragossa (Spain); Universidad de Zaragoza, Laboratorio de Microscopias Avanzadas (LMA), Instituto de Nanociencia de Aragon (INA), Saragossa (Spain); Fernandez-Pacheco, A. [University of Cambridge, TFM Group, Cavendish Laboratory, Cambridge (United Kingdom)

    2014-12-15

    Currently, magnetic nanostructures are routinely grown by focused electron beam induced deposition (FEBID). In the present article, we review the milestones produced in the topic in the past as well as the future applications of this technology. Regarding past milestones, we highlight the achievement of high-purity cobalt and iron deposits, the high lateral resolution obtained, the growth of 3D magnetic deposits, the exploration of magnetic alloys and the application of magnetic deposits for Hall sensing and in domain-wall conduit and magnetologic devices. With respect to future perspectives of the topic, we emphasize the potential role of magnetic nanostructures grown by FEBID for applications related to highly integrated 2D arrays, 3D nanowires devices, fabrication of advanced scanning-probe systems, basic studies of magnetic structures and their dynamics, small sensors (including biosensors) and new applications brought by magnetic alloys and even exchange biased systems. (orig.)

  3. Positive magnetoresistance in ferromagnetic Nd-doped In2O3 thin films grown by pulse laser deposition

    KAUST Repository

    Xing, G. Z.

    2014-05-23

    We report the magnetic and magnetotransport properties of (In 0.985Nd0.015)2O2.89 thin films grown by pulse laser deposition. The clear magnetization hysteresis loops with the complementary magnetic domain structure reveal the intrinsic room temperature ferromagnetism in the as-prepared films. The strong sp-f exchange interaction as a result of the rare earth doping is discussed as the origin of the magnetotransport behaviours. A positive magnetoresistance (∼29.2%) was observed at 5 K and ascribed to the strong ferromagnetic sp-f exchange interaction in (In0.985Nd0.015)2O 2.89 thin films due to a large Zeeman splitting in an external magnetic field of 50 KOe. © 2014 AIP Publishing LLC.

  4. An evaluation of absorption spectroscopy to monitor YBa2Cu3O7-x precursors for metal organics chemical vapor deposition processing

    International Nuclear Information System (INIS)

    Matthew Edward Thomas

    1999-01-01

    Absorption spectroscopy was evaluated as a technique to monitor the metal organics chemical vapor deposition (MOCVD) process for forming YBa 2 Cu 3 O 7-x superconducting coated conductors. Specifically, this study analyzed the feasibility of using absorption spectroscopy to monitor the MOCVD supply vapor concentrations of the organic ligand 2,2,6,6-tetramethyl-3,5-heptanedionate (TMHD) metal chelates of barium, copper, and yttrium. Ba(TMHD) 2 , Cu(TMHD) 2 , and Y(TMHD) 3 compounds have successfully been vaporized in the MOCVD processing technique to form high temperature superconducting ''coated conductors,'' a promising technology for wire fabrication. The absorption study of the barium, copper, and yttrium (TMHD) precursors was conducted in the ultraviolet wavelength region from 200nm to 400nm. To simulate the MOCVD precursor flows the Ba(TMHD) 2 , Cu(TMHD) 2 , and Y(TMHD) 3 complexes were vaporized at vacuum pressures of (0.03--10)Torr. Spectral absorption scans of each precursor were conducted to examine potential measurement wavelengths for determining vapor concentrations of each precursor via Beer's law. The experimental results show that under vacuum conditions the barium, copper, and yttrium (TMHD) precursors begin to vaporize between 90 C and 135 C, which are considerably lower vaporization temperatures than atmospheric thermal gravimetric analyses indicate. Additionally, complete vaporization of the copper and yttrium (TMHD) precursors occurred during rapid heating at temperatures between 145 C and 195 C and after heating at constant temperatures between 90 C and 125 C for approximately one hour, whereas the Ba(TMHD) 2 precursor did not completely vaporize. At constant temperatures, near constant vaporization levels for each precursor were observed for extended periods of time. Detailed spectroscopic scans at stable vaporization conditions were conducted

  5. Effect of nitrogen environment on NdFeB thin films grown by radio frequency plasma beam assisted pulsed laser deposition

    International Nuclear Information System (INIS)

    Constantinescu, C.; Patroi, E.; Codescu, M.; Dinescu, M.

    2013-01-01

    Highlights: ► NdFeB thin films grown by PLD, in vacuum and in nitrogen, are presented. ► Nitrogen inclusion in thin film structures is related to improved coercitivity. ► Magnetical, optical and morphological properties of the thin films are discussed. - Abstract: NdFeB is a very attractive material for applications in electrical engineering and in electronics, for high-tech devices where high coercive field and high remanence are needed. In this paper we demonstrate that the deposition of nitrogen doped NdFeB thin films by pulsed laser deposition, in the presence of a nitrogen radiofrequency plasma beam, exhibit improved magnetic properties and surface morphology, when compared to vacuum deposited NdFeB layers. A Nd:YAG pulsed laser (3ω and 4ω) was focused on a NdFeB target, in vacuum, or in the presence of a nitrogen plasma beam. Substrate temperature (RT-850 °C), nitrogen gas pressure, and radiofrequency power (75–150 W), were particularly varied. The thin films were investigated by means of X-ray diffraction, atomic force microscopy, scanning electron microscopy, spectroscopic-ellipsometry, and vibrating sample magnetometry.

  6. Effect of nitrogen environment on NdFeB thin films grown by radio frequency plasma beam assisted pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Constantinescu, C., E-mail: catalin.constantinescu@inflpr.ro [National Institute for Laser, Plasma and Radiation Physics, 409 Atomistilor bd., Magurele, RO-077125, Bucharest (Romania); Patroi, E.; Codescu, M. [National Institute for Research and Development in Electrical Engineering - Advanced Research, 313 Spl. Unirii, Sector 3, RO-030138, Bucharest (Romania); Dinescu, M. [National Institute for Laser, Plasma and Radiation Physics, 409 Atomistilor bd., Magurele, RO-077125, Bucharest (Romania)

    2013-03-01

    Highlights: Black-Right-Pointing-Pointer NdFeB thin films grown by PLD, in vacuum and in nitrogen, are presented. Black-Right-Pointing-Pointer Nitrogen inclusion in thin film structures is related to improved coercitivity. Black-Right-Pointing-Pointer Magnetical, optical and morphological properties of the thin films are discussed. - Abstract: NdFeB is a very attractive material for applications in electrical engineering and in electronics, for high-tech devices where high coercive field and high remanence are needed. In this paper we demonstrate that the deposition of nitrogen doped NdFeB thin films by pulsed laser deposition, in the presence of a nitrogen radiofrequency plasma beam, exhibit improved magnetic properties and surface morphology, when compared to vacuum deposited NdFeB layers. A Nd:YAG pulsed laser (3{omega} and 4{omega}) was focused on a NdFeB target, in vacuum, or in the presence of a nitrogen plasma beam. Substrate temperature (RT-850 Degree-Sign C), nitrogen gas pressure, and radiofrequency power (75-150 W), were particularly varied. The thin films were investigated by means of X-ray diffraction, atomic force microscopy, scanning electron microscopy, spectroscopic-ellipsometry, and vibrating sample magnetometry.

  7. Effect of high temperature deposition on CoSi2 phase formation

    International Nuclear Information System (INIS)

    Comrie, C. M.; Ahmed, H.; Smeets, D.; Demeulemeester, J.; Vantomme, A.; Turner, S.; Van Tendeloo, G.; Detavernier, C.

    2013-01-01

    This paper discusses the nucleation behaviour of the CoSi to CoSi 2 transformation from cobalt silicide thin films grown by deposition at elevated substrate temperatures ranging from 375 °C to 600 °C. A combination of channelling, real-time Rutherford backscattering spectrometry, real-time x-ray diffraction, and transmission electron microscopy was used to investigate the effect of the deposition temperature on the subsequent formation temperature of CoSi 2 , its growth behaviour, and the epitaxial quality of the CoSi 2 thus formed. The temperature at which deposition took place was observed to exert a significant and systematic influence on both the formation temperature of CoSi 2 and its growth mechanism. CoSi films grown at the lowest temperatures were found to increase the CoSi 2 nucleation temperature above that of CoSi 2 grown by conventional solid phase reaction, whereas the higher deposition temperatures reduced the nucleation temperature significantly. In addition, a systematic change in growth mechanism of the subsequent CoSi 2 growth occurs as a function of deposition temperature. First, the CoSi 2 growth rate from films grown at the lower reactive deposition temperatures is substantially lower than that grown at higher reactive deposition temperatures, even though the onset of growth occurs at a higher temperature, Second, for deposition temperatures below 450 °C, the growth appears columnar, indicating nucleation controlled growth. Elevated deposition temperatures, on the other hand, render the CoSi 2 formation process layer-by-layer which indicates enhanced nucleation of the CoSi 2 and diffusion controlled growth. Our results further indicate that this observed trend is most likely related to stress and changes in microstructure introduced during reactive deposition of the CoSi film. The deposition temperature therefore provides a handle to tune the CoSi 2 growth mechanism.

  8. Cr{sub 2}O{sub 3} thin films grown at room temperature by low pressure laser chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sousa, P.M. [Universidade de Lisboa, Faculdade de Ciencias, Departamento de Fisica and ICEMS, Campo Grande, Ed. C8, 1749-016 Lisboa (Portugal); Silvestre, A.J., E-mail: asilvestre@deq.isel.ipl.p [Instituto Superior de Engenharia de Lisboa and ICEMS, R. Conselheiro Emidio Navarro 1, 1959-007 Lisboa (Portugal); Conde, O. [Universidade de Lisboa, Faculdade de Ciencias, Departamento de Fisica and ICEMS, Campo Grande, Ed. C8, 1749-016 Lisboa (Portugal)

    2011-03-31

    Chromia (Cr{sub 2}O{sub 3}) has been extensively explored for the purpose of developing widespread industrial applications, owing to the convergence of a variety of mechanical, physical and chemical properties in one single oxide material. Various methods have been used for large area synthesis of Cr{sub 2}O{sub 3} films. However, for selective area growth and growth on thermally sensitive materials, laser-assisted chemical vapour deposition (LCVD) can be applied advantageously. Here we report on the growth of single layers of pure Cr{sub 2}O{sub 3} onto sapphire substrates at room temperature by low pressure photolytic LCVD, using UV laser radiation and Cr(CO){sub 6} as chromium precursor. The feasibility of the LCVD technique to access selective area deposition of chromia thin films is demonstrated. Best results were obtained for a laser fluence of 120 mJ cm{sup -2} and a partial pressure ratio of O{sub 2} to Cr(CO){sub 6} of 1.0. Samples grown with these experimental parameters are polycrystalline and their microstructure is characterised by a high density of particles whose size follows a lognormal distribution. Deposition rates of 0.1 nm s{sup -1} and mean particle sizes of 1.85 {mu}m were measured for these films.

  9. Structural Properties Characterized by the Film Thickness and Annealing Temperature for La2O3 Films Grown by Atomic Layer Deposition.

    Science.gov (United States)

    Wang, Xing; Liu, Hongxia; Zhao, Lu; Fei, Chenxi; Feng, Xingyao; Chen, Shupeng; Wang, Yongte

    2017-12-01

    La 2 O 3 films were grown on Si substrates by atomic layer deposition technique with different thickness. Crystallization characteristics of the La 2 O 3 films were analyzed by grazing incidence X-ray diffraction after post-deposition rapid thermal annealing treatments at several annealing temperatures. It was found that the crystallization behaviors of the La 2 O 3 films are affected by the film thickness and annealing temperatures as a relationship with the diffusion of Si substrate. Compared with the amorphous La 2 O 3 films, the crystallized films were observed to be more unstable due to the hygroscopicity of La 2 O 3 . Besides, the impacts of crystallization characteristics on the bandgap and refractive index of the La 2 O 3 films were also investigated by X-ray photoelectron spectroscopy and spectroscopic ellipsometry, respectively.

  10. A Systematic Study of the Relationship among the Morphological, Structural and Photoelectrochemical Properties of ZnO Nanorods Grown Using the Microwave Chemical Bath Deposition Method

    Energy Technology Data Exchange (ETDEWEB)

    Oh, Sungjin; Ryu, Hyukhyun [Inje University, Gimhae (Korea, Republic of); Lee, Won-Jae [Dong-Eui University, Busan (Korea, Republic of)

    2017-08-15

    In this study, zinc oxide (ZnO) nanostructures were grown on a ZnO seed layer/fluorine-doped tin oxide (FTO) substrate for different growth durations ranging from 5 to 40 min using the microwave chemical bath deposition method. We studied the effect of growth duration on the morphological, structural, optical and photoelectrochemical properties of the ZnO nanostructures. From this study, we found that the photoelectrochemical properties of the ZnO nanostructures were largely affected by their morphological and structural properties. As a result, we obtained the highest photocurrent density of 0.46 mA/cm{sup 2} (at 1.5 V vs. SCE) from the sample grown for 30 min.

  11. Controlling the resistivity gradient in chemical vapor deposition-deposited aluminum-doped zinc oxide

    NARCIS (Netherlands)

    Ponomarev, M. V.; Verheijen, M. A.; Keuning, W.; M. C. M. van de Sanden,; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO: Al layers by focusing on the control

  12. Improving the characteristics of Sn-doped In2O2 grown at room temperature with oxygen radical-assisted electron beam deposition

    Science.gov (United States)

    Oh, Min-Suk; Seo, Inseok

    2017-07-01

    Sn-doped In2O3 (Indium tin oxide, ITO) is widely utilized in numerous industrial applications due to its high electrical conductivity and high optical transmittance in the visible region. High quality ITO thin-films have been grown at room temperature by oxygen radical assisted e-beam evaporation without any post annealing or plasma treatment. The introduction of oxygen radicals during e-beam growth greatly improved the surface morphology and structural properties of the ITO films. The obtained ITO film exhibits higher carrier mobility of 43.2 cm2/V·s and larger optical transmittance of 84.6%, resulting in a higher figure of merit of ˜ 2.8 × 10-2 Ω-1, which are quite comparable to the ITO film deposited by conventional e-beam evaporation. These results show that ITO films grown by oxygen radical assisted e-beam evaporation at room temperature with high optical transmittance and high electron conductivity have a great potential for organic optoelectronic devices.

  13. Photoluminescence transient study of surface defects in ZnO nanorods grown by chemical bath deposition

    Science.gov (United States)

    Barbagiovanni, E. G.; Strano, V.; Franzò, G.; Crupi, I.; Mirabella, S.

    2015-03-01

    Two deep level defects (2.25 and 2.03 eV) associated with oxygen vacancies (Vo) were identified in ZnO nanorods (NRs) grown by low cost chemical bath deposition. A transient behaviour in the photoluminescence (PL) intensity of the two Vo states was found to be sensitive to the ambient environment and to NR post-growth treatment. The largest transient was found in samples dried on a hot plate with a PL intensity decay time, in air only, of 23 and 80 s for the 2.25 and 2.03 eV peaks, respectively. Resistance measurements under UV exposure exhibited a transient behaviour in full agreement with the PL transient, indicating a clear role of atmospheric O2 on the surface defect states. A model for surface defect transient behaviour due to band bending with respect to the Fermi level is proposed. The results have implications for a variety of sensing and photovoltaic applications of ZnO NRs.

  14. Optimization of the low-temperature MOCVD process for PZT thin films

    CERN Document Server

    Wang, C H; Choi, D J

    2000-01-01

    Pb(Zr sub X Ti sub 1 sub - sub X)O sub 3 (PZT) thin films of about 0.34 nm were successfully grown at a low temperature of 500 .deg. C by metalorganic chemical vapor deposition with a beta-diketonate complex of Pb(tmhd) sub 2 , zirconium t-butoxide, and titanium isopropoxide as source precursors. Ferroelectric capacitors of a Pt/PZT/Pt configuration were fabricated, and their structural and electrical properties were investigated as a function of the input Pb/(Zr+Ti) and Zr/(Zr+Ti) source ratios. The structure of the as-grown films at 500 .deg. C changed from tetragonal to pseudocubic with increasing the Zr/(Zr+Ti) ratio above an input Pb/(Zr+Ti) source ratio of 5.0 while a 2nd phase of ZrO sub 2 was only observed below Pb/(Zr+Ti) ratio of 5.0, regardless of the Zr/(Zr+Ti) ratio. The dielectric constant and loss of the PZT films were 150-1200 and 0.01-0.04 at 100 kHz, respectively, Leakage current densities decreased with increasing the Zr/(Zr+Ti) ratio. The process window for growing a single phase PZT is ve...

  15. Sequential multiple-step europium ion implantation and annealing of GaN

    KAUST Repository

    Miranda, S. M C; Edwards, Paul R.; O'Donnell, Kevin Peter; Boćkowski, Michał X.; Alves, Eduardo Jorge; Roqan, Iman S.; Vantomme, André ; Lorenz, Katharina

    2014-01-01

    Sequential multiple Eu ion implantations at low fluence (1×1013 cm-2 at 300 keV) and subsequent rapid thermal annealing (RTA) steps (30 s at 1000 °C or 1100 °C) were performed on high quality nominally undoped GaN films grown by metal organic chemical vapour deposition (MOCVD) and medium quality GaN:Mg grown by hydride vapour phase epitaxy (HVPE). Compared to samples implanted in a single step, multiple implantation/annealing shows only marginal structural improvement for the MOCVD samples, but a significant improvement of crystal quality and optical activation of Eu was achieved in the HVPE films. This improvement is attributed to the lower crystalline quality of the starting material, which probably enhances the diffusion of defects and acts to facilitate the annealing of implantation damage and the effective incorporation of the Eu ions in the crystal structure. Optical activation of Eu3+ ions in the HVPE samples was further improved by high temperature and high pressure annealing (HTHP) up to 1400 °C. After HTHP annealing the main room temperature cathodo- and photoluminescence line in Mg-doped samples lies at ∼ 619 nm, characteristic of a known Mg-related Eu3+ centre, while after RTA treatment the dominant line lies at ∼ 622 nm, typical for undoped GaN:Eu. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Photoionization spectroscopy of deep defects responsible for current collapse in nitride-based field effect transistors

    International Nuclear Information System (INIS)

    Klein, P B; Binari, S C

    2003-01-01

    This review is concerned with the characterization and identification of the deep centres that cause current collapse in nitride-based field effect transistors. Photoionization spectroscopy is an optical technique that has been developed to probe the characteristics of these defects. Measured spectral dependences provide information on trap depth, lattice coupling and on the location of the defects in the device structure. The spectrum of an individual trap may also be regarded as a 'fingerprint' of the defect, allowing the trap to be followed in response to the variation of external parameters. The basis for these measurements is derived through a modelling procedure that accounts quantitatively for the light-induced drain current increase in the collapsed device. Applying the model to fit the measured variation of drain current increase with light illumination provides an estimate of the concentrations and photoionization cross-sections of the deep defects. The results of photoionization studies of GaN metal-semiconductor field effect transistors and AlGaN/GaN high electron mobility transistors (HEMTs) grown by metal-organic chemical vapour deposition (MOCVD) are presented and the conclusions regarding the nature of the deep traps responsible are discussed. Finally, recent photoionization studies of current collapse induced by short-term (several hours) bias stress in AlGaN/GaN HEMTs are described and analysed for devices grown by both MOCVD and molecular beam epitaxy. (topical review)

  17. Sequential multiple-step europium ion implantation and annealing of GaN

    KAUST Repository

    Miranda, S. M C

    2014-01-20

    Sequential multiple Eu ion implantations at low fluence (1×1013 cm-2 at 300 keV) and subsequent rapid thermal annealing (RTA) steps (30 s at 1000 °C or 1100 °C) were performed on high quality nominally undoped GaN films grown by metal organic chemical vapour deposition (MOCVD) and medium quality GaN:Mg grown by hydride vapour phase epitaxy (HVPE). Compared to samples implanted in a single step, multiple implantation/annealing shows only marginal structural improvement for the MOCVD samples, but a significant improvement of crystal quality and optical activation of Eu was achieved in the HVPE films. This improvement is attributed to the lower crystalline quality of the starting material, which probably enhances the diffusion of defects and acts to facilitate the annealing of implantation damage and the effective incorporation of the Eu ions in the crystal structure. Optical activation of Eu3+ ions in the HVPE samples was further improved by high temperature and high pressure annealing (HTHP) up to 1400 °C. After HTHP annealing the main room temperature cathodo- and photoluminescence line in Mg-doped samples lies at ∼ 619 nm, characteristic of a known Mg-related Eu3+ centre, while after RTA treatment the dominant line lies at ∼ 622 nm, typical for undoped GaN:Eu. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Granular and layered ferroelectric–ferromagnetic thin-film nanocomposites as promising materials with high magnetotransmission effect

    Energy Technology Data Exchange (ETDEWEB)

    Akbashev, A.R. [Department of Materials Science, Moscow State University, 119992 Moscow (Russian Federation); Telegin, A.V., E-mail: telegin@imp.uran.ru [M.N. Miheev Institute of Metal Physics of Ural Branch of RAS, 620990 Ekaterinburg (Russian Federation); Kaul, A.R. [Department of Chemistry, Moscow State University, 119992 Moscow (Russian Federation); Sukhorukov, Yu.P. [M.N. Miheev Institute of Metal Physics of Ural Branch of RAS, 620990 Ekaterinburg (Russian Federation)

    2015-06-15

    Epitaxial thin films of granular and layered nanocomposites consisting of ferromagnetic perovskite Pr{sub 1–x}Sr{sub x}MnO{sub 3} and ferroelectric hexagonal LuMnO{sub 3} were grown on ZrO{sub 2}(Y{sub 2}O{sub 3}) substrates using metal-organic chemical vapor deposition (MOCVD). A self-organized growth of the granular composite took place in situ as a result of phase separation of the Pr–Sr–Lu–Mn–O system into the perovskite and hexagonal phases. Optical transmission measurements revealed a large negative magnetotransmission effect in the layered nanocomposite over a wide spectral and temperature range. The granular nanocomposite unexpectedly showed an even larger, but positive, magnetotransmission effect at room temperature. - Highlights: • Thin-film ferromagnetic–ferroelectric nanocomposites have been prepared by MOCVD. • Giant change of optical transparency of nanocomposites in magnetic field was detected. • Positive magnetotransmission in the granular nanocomposite was discovered in the IR. • Negative magnetotransmission in the layered nanocomposite was revealed in the IR. • Ferroelectric–ferromangetic nanocomposite is a promising material for optoelectronics.

  19. Granular and layered ferroelectric–ferromagnetic thin-film nanocomposites as promising materials with high magnetotransmission effect

    International Nuclear Information System (INIS)

    Akbashev, A.R.; Telegin, A.V.; Kaul, A.R.; Sukhorukov, Yu.P.

    2015-01-01

    Epitaxial thin films of granular and layered nanocomposites consisting of ferromagnetic perovskite Pr 1–x Sr x MnO 3 and ferroelectric hexagonal LuMnO 3 were grown on ZrO 2 (Y 2 O 3 ) substrates using metal-organic chemical vapor deposition (MOCVD). A self-organized growth of the granular composite took place in situ as a result of phase separation of the Pr–Sr–Lu–Mn–O system into the perovskite and hexagonal phases. Optical transmission measurements revealed a large negative magnetotransmission effect in the layered nanocomposite over a wide spectral and temperature range. The granular nanocomposite unexpectedly showed an even larger, but positive, magnetotransmission effect at room temperature. - Highlights: • Thin-film ferromagnetic–ferroelectric nanocomposites have been prepared by MOCVD. • Giant change of optical transparency of nanocomposites in magnetic field was detected. • Positive magnetotransmission in the granular nanocomposite was discovered in the IR. • Negative magnetotransmission in the layered nanocomposite was revealed in the IR. • Ferroelectric–ferromangetic nanocomposite is a promising material for optoelectronics

  20. Application of a substrate bias to control the droplet density on Cu(In,Ga)Se{sub 2} thin films grown by Pulsed Electron Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Rampino, S. [IMEM-CNR Institute, Parco Area delle Scienze 37/A, 43124 Parma (Italy); Pattini, F., E-mail: rampino@imem.cnr.it [IMEM-CNR Institute, Parco Area delle Scienze 37/A, 43124 Parma (Italy); Malagù, C.; Pozzetti, L. [Department of Physics and Earth Sciences, University of Ferrara, Via Saragat, 1-44122 Ferrara (Italy); Stefancich, M. [LENS Laboratory, Masdar Institute of Science and Technology, Masdar City, PO Box 54224, Abu Dhabi (United Arab Emirates); Bronzoni, M. [IMEM-CNR Institute, Parco Area delle Scienze 37/A, 43124 Parma (Italy)

    2014-07-01

    One of the main shortcomings in the fabrication of thin-film solar cells by pulsed high-energy deposition techniques (i.e. Pulsed Laser Deposition or Pulsed Electron Deposition — PED), is the presence of a significant number of particulates on the film surface. This affects the morphological properties of the cell active layers and, ultimately, the performance of the final device. To reduce the density of these defects, we deposited a Cu(In,Ga)Se{sub 2} (CIGS) thin film by PED and studied the effect on the film morphology when a DC bias was applied between the substrate and the target. Our results show that a negative substrate voltage, comprised between 0 and − 300 V, can not only reduce the droplet density on the CIGS film surface of about one order of magnitude with respect to the standard unbiased case (from 6 × 10{sup 5} to 5 × 10{sup 4} cm{sup −2}), but also lower the maximum particulate size and the surface smoothness. When a positive voltage is applied, we observed that a significant increase in the droplet surface density (up to 10{sup 8} cm{sup −2}) occurs. The abrupt change in the preferred crystal orientation (switching from (112) to (220)/(204) by applying negative and positive biases, respectively) is also a direct consequence of the applied DC voltage. These results confirm that the external DC bias could be used as an additional parameter to control the physical properties of thin films grown by PED. - Highlights: • Cu(In,Ga)Se{sub 2} (CIGS) films were grown by Pulsed Electron Deposition (PED). • Positive and negative DC biases were applied between the target and the substrate. • The droplet density can be reduced by one order of magnitude by DC negative bias. • Chemical composition and grain orientation of CIGS are influenced by the DC bias. • The DC bias can be an additional parameter of PED for controlling the film properties.

  1. Formation of aluminum films on silicon by ion beam deposition: a comparison with ionized cluster beam deposition

    International Nuclear Information System (INIS)

    Zuhr, R.A.; Haynes, T.E.; Galloway, M.D.; Tanaka, S.; Yamada, A.; Yamada, I.

    1991-01-01

    The direct ion beam deposition (IBD) technique has been used to study the formation of oriented aluminum films on single crystal silicon substrates. In the IBD process, thin film growth is accomplished by decelerating a magnetically analyzed ion beam to low energies (10-200 eV) for direct deposition onto the substrate under UHV conditions. The aluminum-on-silicon system is one which has been studied extensively by ionized cluster beam (ICB) deposition. This technique has produced intriguing results for aluminum, with oriented crystalline films being formed at room temperature in spite of the 25% mismatch in lattice constant between aluminum and silicon. In this work, we have studied the formation of such films by IBD, with emphasis on the effects of ion energy, substrate temperature, and surface cleanliness. Oriented films have been grown on Si(111) at temperatures from 40 to 300degC and with ion energies of 30-120 eV per ion. Completed films were analyzed by ion scattering, X-ray diffraction, scanning-electron microscopy, and optical microscopy. Results achieved for thin films grown by IBD are comparable to those for similar films grown by ICB deposition. (orig.)

  2. Interwell coupling effect in Si/SiGe quantum wells grown by ultra high vacuum chemical vapor deposition

    Directory of Open Access Journals (Sweden)

    Loh Ter-Hoe

    2007-01-01

    Full Text Available AbstractSi/Si0.66Ge0.34coupled quantum well (CQW structures with different barrier thickness of 40, 4 and 2 nm were grown on Si substrates using an ultra high vacuum chemical vapor deposition (UHV-CVD system. The samples were characterized using high resolution x-ray diffraction (HRXRD, cross-sectional transmission electron microscopy (XTEM and photoluminescence (PL spectroscopy. Blue shift in PL peak energy due to interwell coupling was observed in the CQWs following increase in the Si barrier thickness. The Si/SiGe heterostructure growth process and theoretical band structure model was validated by comparing the energy of the no-phonon peak calculated by the 6 + 2-bandk·pmethod with experimental PL data. Close agreement between theoretical calculations and experimental data was obtained.

  3. Raman Enhancement and Photo-Bleaching of Organic Dyes in the Presence of Chemical Vapor Deposition-Grown Graphene

    Directory of Open Access Journals (Sweden)

    Jiaxin Weng

    2017-10-01

    Full Text Available Fluorescent organic dyes photobleach under intense light. Graphene has been shown to improve the photo-stability of organic dyes. In this paper, we investigated the Raman spectroscopy and photo-bleaching kinetics of dyes in the absence/presence of chemical vapor deposition (CVD-grown graphene. We show that graphene enhances the Raman signal of a wide range of dyes. The photo-bleaching of the dyes was reduced when the dyes were in contact with graphene. In contrast, monolayer hexagonal boron nitride (h-BN was much less effective in reducing the photo-bleaching rate of the dyes. We attribute the suppression of photo-bleaching to the energy or electron transfer from dye to graphene. The results highlight the potential of CVD graphene as a substrate for protecting and enhancing Raman response of organic dyes.

  4. Phase-coherent electron transport in (Zn, Al)O{sub x} thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Saha, D., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Misra, P., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Ajimsha, R. S.; Joshi, M. P.; Kukreja, L. M. [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India)

    2014-11-24

    A clear signature of disorder induced quantum-interference phenomena leading to phase-coherent electron transport was observed in (Zn, Al)O{sub x} thin films grown by atomic layer deposition. The degree of static-disorder was tuned by varying the Al concentration through periodic incorporation of Al{sub 2}O{sub 3} sub-monolayer in ZnO. All the films showed small negative magnetoresistance due to magnetic field suppressed weak-localization effect. The temperature dependence of phase-coherence length (l{sub φ}∝T{sup −3/4}), as extracted from the magnetoresistance measurements, indicated electron-electron scattering as the dominant dephasing mechanism. The persistence of quantum-interference at relatively higher temperatures up to 200 K is promising for the realization of ZnO based phase-coherent electron transport devices.

  5. {alpha} Fe{sub 2}O{sub 3} films grown by the spin-on sol-gel deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Avila G, A.; Carbajal F, G. [Seccion de Electronica del Estado Solido, Departamento de Ingenieria Electrica, CINVESTAV del l.P.N., Av. I.P.N. No. 2508, Apartado Postal 14-740, Mexico 07360, D.F (Mexico); Tiburcio S, A. [Division Posg, lnstituto Tecnologico de Toluca-SEP, P.O. Box 890, 50000 Toluca, Edo. Mex. (Mexico); Barrera C, E. [Departamento de IPH, Area de Ingenieria en Recursos Energeticos, Universidad Autonoma Metropolitana-lztapalapa, Apartado Postal 55-5340, Mexico, D.F. (Mexico); Andrade I, E. [Instituto de Fsica, Universidad Nacional Autononca de Mexico, Apartado Postal 20-364, Mexico 01000, D. F (Mexico)

    2003-07-01

    {alpha}-Fe{sub 2}O{sub 3} polycrystalline films with grains larger than 31 nm were grown by the spin-on sol-gel deposition method. The particular sol used was prepared starting from two distinct precursor reagents. Both precursors leaded to similar films. Order within the films was altered by adding tin to the samples. Transmittance measurements confirmed that the hematite phase is obtained by annealing the samples above 400 C and yielded an optical gap of about 2.2 eV, but additional transitions at 2.7 eV were also observed. From RBS measurements it was found that tin inclusion decreases iron content as expected, but also increases oxygen concentration within the films. This last observation was associated to the disorder rise when introducing tin atoms. (Author)

  6. Structural and magnetic properties of nickel nanowires grown in porous anodic aluminium oxide template by electrochemical deposition technique

    Science.gov (United States)

    Nugraha Pratama, Sendi; Kurniawan, Yudhi; Muhammady, Shibghatullah; Takase, Kouichi; Darma, Yudi

    2018-03-01

    We study the formation of nickel nanowires (Ni NWs) grown in porous anodic aluminium oxide (AAO) template by the electrochemical deposition technique. Here, the initial AAO template was grown by anodization of aluminium substrate in sulphuric acid solution. The cross-section, crystal structure, and magnetic properties of Ni NWs system were characterized by field-emission SEM, XRD, and SQUID. As a result, the highly-ordered Ni NWs are observed with the uniform diameter of 27 nm and the length from 31 to 163 nm. Based on XRD spectra analysis, Ni NWs have the face-centered cubic structure with the lattice parameter of 0.35 nm and average crystallite size of 17.19 nm. From SQUID measurement at room temperature, by maintaining the magnetic field perpendicular to Ni NWs axis, the magnetic hysteresis of Ni NWs system show the strong ferromagnetism with the coercivity and remanence ratio of ∼148 Oe and ∼0.23, respectively. The magnetic properties are also calculated by means of generalized gradient approximation methods. From the calculation result, we show that the ferromagnetism behavior comes from Ni NWs without any contribution from AAO template or the substrate. This study opens the potential application of Ni NWs system for novel functional magnetic devices.

  7. Improving the Reliability and Modal Stability of High Power 870 nm AlGaAs CSP Laser Diodes for Applications to Free Space Communication Systems

    Science.gov (United States)

    Connolly, J. C.; Alphonse, G. A.; Carlin, D. B.; Ettenberg, M.

    1991-01-01

    The operating characteristics (power-current, beam divergence, etc.) and reliability assessment of high-power CSP lasers is discussed. The emission wavelength of these lasers was optimized at 860 to 880 nm. The operational characteristics of a new laser, the inverse channel substrate planar (ICSP) laser, grown by metalorganic chemical vapor deposition (MOCVD), is discussed and the reliability assessment of this laser is reported. The highlights of this study include a reduction in the threshold current value for the laser to 15 mA and a degradation rate of less than 2 kW/hr for the lasers operating at 60 mW of peak output power.

  8. Role of defects in tuning the electronic properties of monolayer WS{sub 2} grown by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Jie; Zheliuk, Oleksandr; Lu, Jianming; Ye, Jianting [Zernike Institute for Advanced Materials, University of Groningen, Groningen (Netherlands); Gordiichuk, Pavlo [Zernike Institute for Advanced Materials, University of Groningen, Groningen (Netherlands); Department of Chemistry, Northwestern University, Evanston, IL (United States); Herrmann, Andreas [Zernike Institute for Advanced Materials, University of Groningen, Groningen (Netherlands); Molecular Biophysics, Department of Biology, Humboldt-Universitaet Berlin (Germany)

    2017-10-15

    Two-dimensional transition metal dichalcogenides have already attracted enormous research interest. To understand the dependence of electronic properties on the quality and defect morphology is vital for synthesizing high quality materials and the realization of functional devices. Here, we demonstrate the mapping of the conductive variations by conducting atomic force microscopy (C-AFM) in the monolayer tungsten disulfide (WS{sub 2}) grown by chemical vapor deposition. The electronic properties are strongly affected by the formation of vacancies in monolayer WS{sub 2} during growth, which is also verified by the photoluminescence. This spatial study of defects provides opportunities for optimization of the growth process for enhancing devices performance of TMDs monolayers. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. Control of a metalorganic chemical vapor deposition process for improved composition and thickness precision in compound semiconductors

    Science.gov (United States)

    Gaffney, Monique Suzanne

    1998-11-01

    Metalorganic chemical vapor deposition (MOCVD) is a process used to manufacture electronic and optoelectronic devices that has traditionally lacked real-time growth monitoring and control. Controlling the growth rate and composition using the existing sensors, as well as advanced monitoring systems developed in-house, is shown to improve device quality. Specific MOCVD growth objectives are transformed into controller performance goals. Group III bubbler concentration variations, which perturb both growth rate and composition precision, are identified to be the primary disturbances. First a feed forward control system was investigated, which used an ultrasonic concentration monitor, located upstream in the process. This control strategy resulted in improved regulation of the gallium delivery rate by cancelling the sensed gallium bubbler concentration disturbances via the injection mass flow controller. The controller performance is investigated by growing GaInAs/InP superlattices. Results of growths performed under normal operating conditions and also under large perturbations include X-ray diffraction from the samples as well as real-time sensor signal data. High quality superlattices that display up to eight orders of satellite peaks are obtained under the feed forward compensation scheme, demonstrating improved layer-to-layer reproducibility of thickness and composition. The success of the feed forward control demonstration led to the development of a more complex downstream feedback control system. An ultraviolet absorption monitor was fabricated and retrofitted as a feedback control signal. A control-oriented model of the downstream process was developed for the feedback controller synthesis. Although challenged with both the photolysis and multi-gas detection issues common to UV absorption monitors, closed loop control with the UV sensor was performed and proved to be an effective method of disturbance rejection. An InP/GaInAs test structure was grown under

  10. In2Ga2ZnO7 oxide semiconductor based charge trap device for NAND flash memory

    Science.gov (United States)

    Hwang, Eun Suk; Kim, Jun Shik; Jeon, Seok Min; Lee, Seung Jun; Jang, Younjin; Cho, Deok-Yong; Hwang, Cheol Seong

    2018-04-01

    The programming characteristics of charge trap flash memory device adopting amorphous In2Ga2ZnO7 (a-IGZO) oxide semiconductors as channel layer were evaluated. Metal-organic chemical vapor deposition (MOCVD) and RF-sputtering processes were used to grow a 45 nm thick a-IGZO layer on a 20 nm thick SiO2 (blocking oxide)/p++-Si (control gate) substrate, where 3 nm thick atomic layer deposited Al2O3 (tunneling oxide) and 5 nm thick low-pressure CVD Si3N4 (charge trap) layers were intervened between the a-IGZO and substrate. Despite the identical stoichiometry and other physicochemical properties of the MOCVD and sputtered a-IGZO, a much faster programming speed of MOCVD a-IGZO was observed. A comparable amount of oxygen vacancies was found in both MOCVD and sputtered a-IGZO, confirmed by x-ray photoelectron spectroscopy and bias-illumination-instability test measurements. Ultraviolet photoelectron spectroscopy analysis revealed a higher Fermi level (E F) of the MOCVD a-IGZO (∼0.3 eV) film than that of the sputtered a-IGZO, which could be ascribed to the higher hydrogen concentration in the MOCVD a-IGZO film. Since the programming in a flash memory device is governed by the tunneling of electrons from the channel to charge trapping layer, the faster programming performance could be the result of a higher E F of MOCVD a-IGZO.

  11. Design of a safe facility for the metalorganic chemical vapor deposition of high-purity GaAs and AlGaAs

    Science.gov (United States)

    Messham, R. L.; Tucker, W. K.

    1986-09-01

    A metalorganic chemical vapor deposition (MOCVD) facility designed to safely handle highly toxic and pyrophoric growth materials is described. The system concept is based on remote operation, passive flow restriction, and forced air dilution to maintain safe gas concentrations under normal running and catastrophic system failure conditions. MOCVD is a key materials technology for advanced high-frequency optical and microwave devices. At this time, the use of highly toxic arsine as an arsenic source is dictated by critical device purity, reproducibility, and doping control requirements. The handling and use of this gas is a primary feature in the design of any safe facility for MOCVD growth of high-quality GaAs/AlGaAs. After a critical review of presently available effluent treatment techniques, it was concluded that a combination of flow restriction and dilution presented the most reliable treatment. Measured flow rates through orifices from 0.002 to 0.005 inch in diameter were compared to calculated values. A 0.002 inch orifice located in the cylinder valve or CGA fitting, combined with a cylinder of pure liquid arsine (205 psi), limits the maximum gas flow to ≪1 lpm. Such a flow can then be vented through a dedicated exhaust system where an additional forced injection of diluting air reduces the gas concentration to acceptable levels. In the final Westinghouse R&D Center design, the use of low-pressure pure arsine, flow restriction, and stack air injection has reduced the maximum stack exist gas concentration to below 25% of the IDLH level for arsine under total and catastrophic MOCVD facility equipment failure conditions. The elimination of potential problems with purging behind such orifices using carefully designed purging procedures and a microprocessor-controlled purging system are described. The IDLH level is defined by the OSHA and NIOSH standards completion program and represents the maximum level from which one could escape within 30 min without any

  12. Photoluminescence characteristics of low indium composition InGaN thin films grown on sapphire by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Feng, Z.C.; Liu, W.; Chua, S.J.; Yu, J.W.; Yang, C.C.; Yang, T.R.; Zhao, J.

    2006-01-01

    The wavelength shifts in the photoluminescence (PL) from low indium composition (∼ 3%) InGaN epitaxial thin films, grown on sapphire substrates by metalorganic chemical vapour deposition, has been studied by a combination of experiment and theory. As temperature increases from 6 K, the PL peak energy red-shifts very slightly first, then blue-shifts to reach a maximum at near 100 K, and red-shifts again till room temperature. This unique PL behaviour, indicating the existence of the phase separation, is interpreted qualitatively from the spatial variation of band structure due to the In-compositional fluctuation. Theoretical calculation, based upon a model involving the band-tail states in the radiative recombination, explains the experimental data successfully

  13. Vertically aligned carbon nanotube growth by pulsed laser deposition and thermal chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Sohn, Jung Inn; Nam, Chunghee; Lee, Seonghoon

    2002-01-01

    We have grown vertically aligned carbon nanotubes on the various substrates such as a planar p-type Si(1 0 0) wafer, porous Si wafer, SiO 2 , Si 3 N 4 , Al 2 O 3 , and Cr by thermal chemical vapor deposition (CVD) at 800 deg.C, using C 2 H 2 gas as a carbon source and Fe catalyst films deposited by a pulsed laser on the substrates. The Fe films were deposited for 5 min by pulsed laser deposition (PLD). The advantage of Fe deposition by PLD over other deposition methods lies in the superior adhesion of Fe to a Si substrate due to high kinetic energies of the generated Fe species. Scanning electron microscopy (SEM) images show that vertically well-aligned carbon nanotubes are grown on Fe nanoparticles formed from the thermal annealing of the Fe film deposited by PLD on the various substrates. Atomic force microscopy (AFM) images show that the Fe film annealed at 800 deg.C is broken to Fe nanoparticles of 10-50 nm in size. We show that the appropriate density of Fe nanoparticles formed from the thermal annealing of the film deposited by PLD is crucial in growing vertically aligned carbon nanotubes. Using a PLD and a lift-off method, we developed the selective growth of carbon nanotubes on a patterned Fe-coated Si substrate

  14. On red-shift of UV photoluminescence with decreasing size of silicon nanoparticles embedded in SiO2 matrix grown by pulsed laser deposition

    International Nuclear Information System (INIS)

    Chaturvedi, Amita; Joshi, M.P.; Rani, Ekta; Ingale, Alka; Srivastava, A.K.; Kukreja, L.M.

    2014-01-01

    Ensembles of silicon nanoparticles (Si-nps) embedded in SiO 2 matrix were grown by alternate ablation of Si and SiO 2 targets using KrF excimer laser based pulsed laser deposition (PLD). The sizes of Si-nps (mean size ranging from 1–5 nm) were controlled by varying the ablation time of silicon target. Transmission electron microscopy (TEM) along with selected area electron diffraction (SAED) and Raman spectroscopy were used to confirm the growth of silicon nanoparticles, its size variation with growth time and the crystalline quality of the grown nanoparticles. TEM analysis showed that mean size and size distribution of Si-nps increased with increase in the ablation time of Si target. Intense peaks ∼521 cm −1 in Raman analysis showed reasonably good crystalline quality of grown Si-nps. We observed asymmetric broadening of phonon line shapes which also redshift with decreasing size of Si-nps. Photoluminescence (PL) from these samples, obtained at room temperature, was broad band and consisted of three bands in UV and visible range. The intensity of PL band in UV spectral range (peak ∼3.2 eV) was strong compared to visible range bands (peaks ∼2.95 eV and ∼2.55 eV). We observed a small red-shift (∼0.07 eV) of peak position of UV range PL with the decrease in the mean sizes of Si-nps, while there was no appreciable size dependent shift of PL peak positions for other bands in the visible range. The width of UV PL band was also found to increase with decrease of Si-nps mean sizes. Based on the above observations of size dependent redshift of UV range PL band together with the PL lifetimes and PL excitation spectroscopy, the origin of UV PL band is attributed to the direct band transition at the Γ point of Si band structure. Visible range bands were ascribed as defect related transitions. The weak intensities of PL bands ∼2.95 eV and ∼2.55 eV suggested that Si nanoparticles grown by PLD were efficiently capped or passivated by SiO 2 with low density of

  15. Laser ablation and deposition of wide bandgap semiconductors: plasma and nanostructure of deposits diagnosis

    Science.gov (United States)

    Sanz, M.; López-Arias, M.; Rebollar, E.; de Nalda, R.; Castillejo, M.

    2011-12-01

    Nanostructured CdS and ZnS films on Si (100) substrates were obtained by nanosecond pulsed laser deposition at the wavelengths of 266 and 532 nm. The effect of laser irradiation wavelength on the surface structure and crystallinity of deposits was characterized, together with the composition, expansion dynamics and thermodynamic parameters of the ablation plume. Deposits were analyzed by environmental scanning electron microscopy, atomic force microscopy and X-ray diffraction, while in situ monitoring of the plume was carried out with spectral, temporal and spatial resolution by optical emission spectroscopy. The deposits consist of 25-50 nm nanoparticle assembled films but ablation in the visible results in larger aggregates (150 nm) over imposed on the film surface. The aggregate free films grown at 266 nm on heated substrates are thicker than those grown at room temperature and in the former case they reveal a crystalline structure congruent with that of the initial target material. The observed trends are discussed in reference to the light absorption step, the plasma composition and the nucleation processes occurring on the substrate.

  16. Characterization of InP/GaAs/Si structures grown by atmospheric pressure metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Pearton, S.J.; Short, K.T.; Macrander, A.T.; Abernathy, C.R.; Mazzi, V.P.; Haegel, N.M.; Al-Jassim, M.M.; Vernon, S.M.; Haven, V.E.

    1989-01-01

    The thickness dependence of material quality of InP-GaAs-Si structures grown by atmospheric pressure metalorganic chemical vapor deposition was investigated. The InP thickness was varied from 1--4 μm, and that of the GaAs from 0.1--4 μm. For a given thickness of InP, its ion channeling yield and x-ray peak width were essentially independent of the GaAs layer thickness. The InP x-ray peak widths were typically 400--440 arcsec for 4-μm-thick layers grown on GaAs. The GaAs x-ray widths in turn varied from 320--1000 arcsec for layer thicknesses from 0.1--4 μm. Cross-sectional transmission electron microscopy showed high defect densities at both the InP-GaAs and GaAs-Si interfaces. In 4-μm-thick InP layers the average threading dislocation density was in the range (3--8) x 10 8 cm -2 with a stacking fault density within the range (0.4--2) x 10 8 cm 2 . The He + ion channeling yield near the InP surface was similar to that of bulk InP (chi/sub min/∼4%), but rose rapidly toward the InP-GaAs heterointerface where it was typically around 50% for 1-μm-thick InP layers. All samples showed room-temperature luminescence, while at 4.4 K, exciton-related transitions, whose intensity was a function of the InP thickness, were observed

  17. YSZ thin films deposited on NiO-CSZ anodes by pulsed injection MOCVD for intermediate temperature-SOFC applications

    International Nuclear Information System (INIS)

    Garcia, G.; Pardo, J.A.; Santiso, J.; Merino, R.I.; Orera, V.M.; Larrea, A.; Pena, J.I.; Laguna-Bercero, M.A.; Figueras, A.

    2004-01-01

    Yttria-stabilized zirconia (YSZ) films are prepared on NiO-CaSZ by PIMOCVD (pulsed injection metal organic chemical vapor deposition). High quality, 5 to 10 μm thick, totally dense YSZ layers are prepared by controlling the oxygen partial pressure during the deposition. YSZ solid electrolyte deposition onto Ni-YSZ eutectic substrate is found to be a promising combination with regard to intermediate-temperature solid-oxide fuel cell applications. (Abstract Copyright [2004], Wiley Periodicals, Inc.)

  18. Triboelectric charge generation by semiconducting SnO2 film grown by atomic layer deposition

    Science.gov (United States)

    Lee, No Ho; Yoon, Seong Yu; Kim, Dong Ha; Kim, Seong Keun; Choi, Byung Joon

    2017-07-01

    Improving the energy harvesting efficiency of triboelectric generators (TEGs) requires exploring new types of materials that can be used, and understanding their properties. In this study, we have investigated semiconducting SnO2 thin films as friction layers in TEGs, which has not been explored thus far. Thin films of SnO2 with various thicknesses were grown by atomic layer deposition on Si substrates. Either polymer or glass was used as counter friction layers. Vertical contact/separation mode was utilized to evaluate the TEG efficiency. The results indicate that an increase in the SnO2 film thickness from 5 to 25 nm enhances the triboelectric output voltage of the TEG. Insertion of a 400-nm-thick Pt sub-layer between the SnO2 film and Si substrate further increased the output voltage up to 120 V in a 2 cm × 2 cm contact area, while the enhancement was cancelled out by inserting a 10-nm-thick insulating Al2O3 film between SnO2 and Pt films. These results indicate that n-type semiconducting SnO2 films can provide triboelectric charge to counter-friction layers in TEGs.[Figure not available: see fulltext.

  19. Structural and electronic characterization of graphene grown by chemical vapor deposition and transferred onto sapphire

    International Nuclear Information System (INIS)

    Joucken, Frédéric; Colomer, Jean-François; Sporken, Robert; Reckinger, Nicolas

    2016-01-01

    Highlights: • CVD graphene is transferred onto sapphire. • Transport measurements reveal relatively low charge carriers mobility. • Scanning probe microscopy experiments reveal the presence of robust contaminant layers between the graphene and the sapphire, responsible for the low carriers mobility. - Abstract: We present a combination of magnetotransport and local probe measurements on graphene grown by chemical vapor deposition on copper foil and subsequently transferred onto a sapphire substrate. A rather strong p-doping is observed (∼9 × 10 12 cm −2 ) together with quite low carrier mobility (∼1350 cm 2 /V s). Atomic force and tunneling imaging performed on the transport devices reveals the presence of contaminants between sapphire and graphene, explaining the limited performance of our devices. The transferred graphene displays ridges similar to those observed whilst graphene is still on the copper foil. We show that, on sapphire, these ridges are made of different thicknesses of the contamination layer and that, contrary to what was reported for hBN or certain transition metal dichalcogenides, no self-cleansing process of the sapphire substrate is observed.

  20. Heteroepitaxial growth of Ba1 - xSrxTiO3/YBa2Cu3O7 - x by plasma-enhanced metalorganic chemical vapor deposition

    Science.gov (United States)

    Chern, C. S.; Liang, S.; Shi, Z. Q.; Yoon, S.; Safari, A.; Lu, P.; Kear, B. H.; Goodreau, B. H.; Marks, T. J.; Hou, S. Y.

    1994-06-01

    Epitaxial Ba1-xSrxTiO3(BST)/YBa2Cu3O7-x heterostructures with superior electrical and dielectric properties have been fabricated by plasma-enhanced metalorganic chemical vapor deposition (PE-MOCVD). Data of x-ray diffraction and high resolution transmission electron microscopy showed that oriented Ba1-xSrxTiO3 layers were epitaxially deposited on epitaxial (001) YBa2Cu3O7-x layers. The leakage current density through the Ba1-xSrxTiO3 films was about 10-7 A/cm2 at 2 V (about 2×105 V/cm) operation. Moreover, the results of capacitance-temperature measurements showed that the PE-MOCVD Ba1-xSrxTiO3 films had Curie temperatures of about 30 °C and a peak dielectric constant of 600 at zero bias voltage. The Rutherford backscattering spectrometry and x-ray diffraction results showed that the BST film composition was controlled between Ba0.75Sr0.25TiO3 and Ba0.8Sr0.2TiO3. The structural and electrical properties of the Ba1-xSrxTiO3/YBa2Cu3O7-x heterostructure indicated that conductive oxide materials with close lattice to Ba1-xSrxTiO3 can be good candidates for the bottom electrode.

  1. Electrografting and morphological studies of chemical vapour deposition grown graphene sheets modified by electroreduction of aryldiazonium salts

    International Nuclear Information System (INIS)

    Mooste, Marek; Kibena, Elo; Kozlova, Jekaterina; Marandi, Margus; Matisen, Leonard; Niilisk, Ahti; Sammelselg, Väino; Tammeveski, Kaido

    2015-01-01

    Highlights: • CVD-grown graphene sheets were electrografted with various aryldiazonium salts • Redox grafting was applied to form thick nitrophenyl films • The reduction of the released radicals was in evidence during the redox grafting • Multilayer formation on CVD graphene was confirmed by XPS and AFM measurements • Thickness of different aryl layers on CVD graphene varied from few to 30 nm - Abstract: This work focuses on investigating the electrografting of chemical vapour deposition (CVD) graphene electrodes grown onto Ni foil (Ni/Gra) with different diazonium salts (including azobenzene diazonium tetrafluoroborate, Fast Garnet GBC sulphate salt, Fast Black K salt, 4-bromobenzene diazonium tetrafluoroborate and 4-nitrobenzenediazonium tetrafluoroborate). Various grafting conditions (e.g. “normal” electrografting in the narrow potential range and redox grafting in the wider potential range) were used. The electrochemical grafting behaviour was similar for all diazonium compounds used, except for the 4-nitrobenzenediazonium tetrafluoroborate when redox grafting was applied. The X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM) and Raman spectroscopy results confirmed the presence of the corresponding aryl layers on Ni/Gra surfaces. The formation of multilayers on Ni/Gra substrates was in evidence since the thickness of different aryl layers varied from few to 30 nm depending on the modification procedures as well as the diazonium compounds used and the XPS analysis revealed a peak at about 400 eV for all aryl-modified Ni/Gra samples suggesting the multilayer formation also through azo linkages

  2. MOCVD growth and structural characterization of In-Sb-Te nanowires

    International Nuclear Information System (INIS)

    Selmo, S.; Fanciulli, M.; Cecchi, S.; Cecchini, R.; Wiemer, C.; Longo, M.; Rotunno, E.; Lazzarini, L.

    2016-01-01

    In this work, the self-assembly of In 3 Sb 1 Te 2 and In-doped Sb 4 Te 1 nanowires (NWs) for phase change memories application was achieved by metal organic chemical vapor deposition, coupled with vapor-liquid-solid (VLS) mechanism, catalyzed by Au nanoparticles. Single crystal In 3 Sb 1 Te 2 and In-doped Sb 4 Te 1 NWs were obtained for different reactor pressures at 325 C. The parameters influencing the NW self-assembly were studied and the compositional, morphological, and structural analysis of the grown structures was performed, also comparing the effect of the used substrate (crystalline Si and SiO 2 ). In both cases, NWs of several micrometer in length and with diameters as small as 15 nm were obtained. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  3. Comparative Study of Furnace and Flash Lamp Annealed Silicon Thin Films Grown by Plasma Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Maheshwar Shrestha

    2018-03-01

    Full Text Available Low-temperature growth of microcrystalline silicon (mc-Si is attractive for many optoelectronic device applications. This paper reports a detailed comparison of optical properties, microstructure, and morphology of amorphous silicon (a-Si thin films crystallized by furnace annealing and flash lamp annealing (FLA at temperatures below the softening point of glass substrate. The initial a-Si films were grown by plasma enhanced chemical vapor deposition (PECVD. Reflectance measurement indicated characteristic peak in the UV region ~280 nm for the furnace annealed (>550 °C and flash lamp annealed films, which provided evidence of crystallization. The film surface roughness increased with increasing the annealing temperature as well as after the flash lamp annealing. X-ray diffraction (XRD measurement indicated that the as-deposited samples were purely amorphous and after furnace crystallization, the crystallites tended to align in one single direction (202 with uniform size that increased with the annealing temperature. On the other hand, the flash lamp crystalized films had randomly oriented crystallites with different sizes. Raman spectroscopy showed the crystalline volume fraction of 23.5%, 47.3%, and 61.3% for the samples annealed at 550 °C, 650 °C, and with flash lamp, respectively. The flash lamp annealed film was better crystallized with rougher surface compared to furnace annealed ones.

  4. Incorporation of La in epitaxial SrTiO{sub 3} thin films grown by atomic layer deposition on SrTiO{sub 3}-buffered Si (001) substrates

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Ekerdt, John G., E-mail: ekerdt@utexas.edu [University of Texas at Austin, Department of Chemical Engineering, Austin, Texas 78712 (United States); Posadas, Agham; Demkov, Alexander A. [University of Texas at Austin, Department of Physics, Austin, Texas 78712 (United States); Karako, Christine M. [University of Dallas, Department of Chemistry, Irving, Texas 75062 (United States); Bruley, John; Frank, Martin M.; Narayanan, Vijay [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States)

    2014-06-14

    Strontium titanate, SrTiO{sub 3} (STO), thin films incorporated with lanthanum are grown on Si (001) substrates at a thickness range of 5–25 nm. Atomic layer deposition (ALD) is used to grow the La{sub x}Sr{sub 1−x}TiO{sub 3} (La:STO) films after buffering the Si (001) substrate with four-unit-cells of STO deposited by molecular beam epitaxy. The crystalline structure and orientation of the La:STO films are confirmed via reflection high-energy electron diffraction, X-ray diffraction, and cross-sectional transmission electron microscopy. The low temperature ALD growth (∼225 °C) and post-deposition annealing at 550 °C for 5 min maintains an abrupt interface between Si (001) and the crystalline oxide. Higher annealing temperatures (650 °C) show more complete La activation with film resistivities of ∼2.0 × 10{sup −2} Ω cm for 20-nm-thick La:STO (x ∼ 0.15); however, the STO-Si interface is slightly degraded due to the increased annealing temperature. To demonstrate the selective incorporation of lanthanum by ALD, a layered heterostructure is grown with an undoped STO layer sandwiched between two conductive La:STO layers. Based on this work, an epitaxial oxide stack centered on La:STO and BaTiO{sub 3} integrated with Si is envisioned as a material candidate for a ferroelectric field-effect transistor.

  5. Enhanced electrochemical activity using vertically aligned carbon nanotube electrodes grown on carbon fiber

    Directory of Open Access Journals (Sweden)

    Evandro Augusto de Morais

    2011-09-01

    Full Text Available Vertically aligned carbon nanotubes were successfully grown on flexible carbon fibers by plasma enhanced chemical vapor deposition. The diameter of the CNT is controllable by adjusting the thickness of the catalyst Ni layer deposited on the fiber. Vertically aligned nanotubes were grown in a Plasma Enhanced Chemical Deposition system (PECVD at a temperature of 630 ºC, d.c. bias of -600 V and 160 and 68 sccm flow of ammonia and acetylene, respectively. Using cyclic voltammetry measurements, an increase of the surface area of our electrodes, up to 50 times higher, was observed in our samples with CNT. The combination of VACNTs with flexible carbon fibers can have a significant impact on applications ranging from sensors to electrodes for fuel cells.

  6. Composition dependences of crystal structure and electrical properties of epitaxial Pb(Zr,Ti)O3 films grown on Si and SrTiO3 substrates

    Science.gov (United States)

    Okamoto, Shoji; Okamoto, Satoshi; Yokoyama, Shintaro; Akiyama, Kensuke; Funakubo, Hiroshi

    2016-10-01

    {100}-oriented Pb(Zr x ,Ti1- x )O3 (PZT) thin films of approximately 2 µm thickness and Zr/(Zr + Ti) ratios of 0.39-0.65 were epitaxially grown on (100)cSrRuO3//(100)SrTiO3 (STO) and (100)cSrRuO3//(100)cLaNiO3//(100)CeO2//(100)YSZ//(100)Si (Si) substrates having different thermal expansion coefficients by pulsed metal-organic chemical vapor deposition (MOCVD). The effects of Zr/(Zr + Ti) ratio and type of substrate on the crystal structure and dielectric, ferroelectric and piezoelectric properties of the films were systematically investigated. The X-ray diffraction measurement showed that both films changed from having a tetragonal symmetry to rhombohedral symmetry through the coexisting region with increasing Zr/(Zr + Ti) ratio. This region showed the Zr/(Zr + Ti) ratios of 0.45-0.59 for the films on the STO substrates that were wider than the films on the Si substrates. Saturation polarization values were minimum at approximately Zr/(Zr + Ti) = 0.50 for the films on the STO substrates, and no obvious Zr/(Zr + Ti) ratio dependence was detected in the films on the Si substrates. On the other hand, the maximum field-induced strain values measured by scanning force microscopy at approximately Zr/(Zr + Ti) = 0.50 at 100 kV/cm were about 0.5 and 0.1% in the films on the Si and STO, respectively.

  7. Study on purification of carbon nano tubes grown on Fe/Ni bimetallic catalyst supported on Mg O by thermal chemical vapor deposition

    International Nuclear Information System (INIS)

    Mirershadi, S.; Mortazavi, Z.; Reyhani, A.; Norouzian, Sh.; Moniri, N.; Novinrooz, A. J.

    2007-01-01

    Carbon nano tubes grown on Fe/Ni bimetallic catalysts supported on Mg O by thermal chemical vapor deposition. Then purification of carbon nano tubes by oxidation under air at atmospheric pressure and acid treatment with HCl, have been studied. The Scanning electron microscopy observation showed impurities with carbon nano tubes. Scanning electron microscopy, XRD, Raman spectroscopy and Thermogravimetric analysis/Differential Scanning Calorimetry techniques have been used to investigate the effect of purification of carbon nano tubes on morphology and structural quality of them. The weight ratio of carbon nano tubes in purified sample re saved to 85/8 %.

  8. Nanometer sized structures grown by pulsed laser deposition

    KAUST Repository

    ElZein, Basma

    2015-10-01

    Nanometer sized materials can be produced by exposing a target to a laser source to remove material from the target and deposit the removed material onto a surface of a substrate to grow a thin film in a vacuum chamber

  9. Structural characterization of PbTi03, Sm0.6Nd0.4NiO3 and NdMnO3 multifunctional Perovskite thin films

    Directory of Open Access Journals (Sweden)

    Rapenne L.

    2012-06-01

    Full Text Available Different multifunctional (PbTiO3, Sm0.6Nd0.4NiO3, NdMnO3 thin films were grown by metalorganic chemical vapor deposition (MOCVD technique on SrTiO3 and LaAlO3 substrates. TEM and X-ray diffraction measurements reveal that almost single crystalline thin films can be epitaxially grown on the top of substrates. The relationship between the crystallographic orientation of the films and those of the substrates were determined by reciprocal space mapping and TEM analyses. PbTi03 thin films appear to be under tensile or compressive strain according to the different mismatch of their cell parameter with those of the substrate. Relaxation mechanism as a function of the film thickness arises from coexistence of different type of domains and size and strain effect are analyzed. SmNiO3 thin films present diffuse scattering strikes and are less well organized when compared to PbTi03 thin films. Different domains are observed as well as an additional parasitic phase close to NiO. Its regular distribution can be associated to reduced transport properties. Preliminary observations on NdMnO3 thin films show that an amorphous phase is obtained during MOCVD that can be transformed in a single crystalline film by annealing. The films are under tensile or compressive strain according to the different mismatch of their cell parameter with those of the substrate. Magnetic properties are investigated.

  10. Resistivity of atomic layer deposition grown ZnO: The influence of deposition temperature and post-annealing

    Energy Technology Data Exchange (ETDEWEB)

    Laube, J., E-mail: laube@imtek.de; Nübling, D.; Beh, H.; Gutsch, S.; Hiller, D.; Zacharias, M.

    2016-03-31

    Conductive zinc oxide (ZnO) films deposited by atomic layer deposition were studied as function of post-annealing treatments. Effusion experiments were conducted on ZnO films deposited at different temperatures. The influence of different annealing atmospheres on the resistivity of the films was investigated and compared to reference samples. It was found that the influence of the deposition temperature on the resistivity is much higher than that of subsequent annealings. This leads to the conclusion that reduction of the resistivity by diffusion of different gases, such as oxygen and hydrogen, into annealed ZnO films is unlikely. - Highlights: • Conformal growth of ZnO-ALD over a temperature range of 25 °C up to 300 °C. • Post-annealing in different atmospheres (H{sub 2}, O{sub 2}, vacuum) and temperatures. • Analysis of film-conductivity and effusion characteristic.

  11. Lipon thin films grown by plasma-enhanced metalorganic chemical vapor deposition in a N{sub 2}-H{sub 2}-Ar gas mixture

    Energy Technology Data Exchange (ETDEWEB)

    Meda, Lamartine, E-mail: LMeda@xula.edu [Department of Chemistry, Xavier University of Louisiana, 1 Drexel Drive, New Orleans, LA, 70125 (United States); Maxie, Eleston E. [Excellatron Solid State LLC, 263 Decatur Street, Atlanta, GA 30312 (United States)

    2012-01-01

    Lithium phosphorus oxynitride (Lipon) thin films have been deposited by a plasma-enhanced metalorganic chemical vapor deposition method. Lipon thin films were deposited on approximately 0.2 {mu}m thick Au-coated alumina substrates in a N{sub 2}-H{sub 2}-Ar plasma at 13.56 MHz, a power of 150 W, and at 180 Degree-Sign C using triethyl phosphate [(CH{sub 2}CH{sub 3}){sub 3}PO{sub 4}] and lithium tert-butoxide [(LiOC(CH{sub 3}){sub 3}] precursors. Lipon growth rates ranged from 10 to 42 nm/min and thicknesses varied from 1 to 2.5 {mu}m. X-ray powder diffraction showed that the films were amorphous, and X-ray photoelectron spectroscopy (XPS) revealed approximately 4 at.% N in the films. The ionic conductivity of Lipon was measured by electrochemical impedance spectroscopy to be approximately 1.02 {mu}S/cm, which is consistent with the ionic conductivity of Lipon deposited by radio frequency magnetron sputtering of Li{sub 3}PO{sub 4} targets in either mixed Ar-N{sub 2} or pure N{sub 2} atmosphere. Attempts to deposit Lipon in a N{sub 2}-O{sub 2}-Ar plasma resulted in the growth of Li{sub 3}PO{sub 4} thin films. The XPS analysis shows no C and N atom peaks. Due to the high impedance of these films, reliable conductivity measurements could not be obtained for films grown in N{sub 2}-O{sub 2}-Ar plasma.

  12. MOCVD growth and structural characterization of In-Sb-Te nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Selmo, S.; Fanciulli, M. [Laboratorio MDM, IMM-CNR, Unita di Agrate Brianza (Italy); Dipartimento di Scienza dei Materiali, University of Milano Bicocca, Milano (Italy); Cecchi, S.; Cecchini, R.; Wiemer, C.; Longo, M. [Laboratorio MDM, IMM-CNR, Unita di Agrate Brianza (Italy); Rotunno, E.; Lazzarini, L. [IMEM-CNR, Parma (Italy)

    2016-02-15

    In this work, the self-assembly of In{sub 3}Sb{sub 1}Te{sub 2} and In-doped Sb{sub 4}Te{sub 1} nanowires (NWs) for phase change memories application was achieved by metal organic chemical vapor deposition, coupled with vapor-liquid-solid (VLS) mechanism, catalyzed by Au nanoparticles. Single crystal In{sub 3}Sb{sub 1}Te{sub 2} and In-doped Sb{sub 4}Te{sub 1} NWs were obtained for different reactor pressures at 325 C. The parameters influencing the NW self-assembly were studied and the compositional, morphological, and structural analysis of the grown structures was performed, also comparing the effect of the used substrate (crystalline Si and SiO{sub 2}). In both cases, NWs of several micrometer in length and with diameters as small as 15 nm were obtained. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  13. Carbon nanotube forests growth using catalysts from atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Bingan; Zhang, Can; Esconjauregui, Santiago; Xie, Rongsi; Zhong, Guofang; Robertson, John [Department of Engineering, University of Cambridge, Cambridge CB3 0FA (United Kingdom); Bhardwaj, Sunil [Istituto Officina dei Materiali-CNR Laboratorio TASC, s.s. 14, km 163.4, I-34012 Trieste (Italy); Sincrotone Trieste S.C.p.A., s.s. 14, km 163.4, I-34149 Trieste (Italy); Cepek, Cinzia [Istituto Officina dei Materiali-CNR Laboratorio TASC, s.s. 14, km 163.4, I-34012 Trieste (Italy)

    2014-04-14

    We have grown carbon nanotubes using Fe and Ni catalyst films deposited by atomic layer deposition. Both metals lead to catalytically active nanoparticles for growing vertically aligned nanotube forests or carbon fibres, depending on the growth conditions and whether the substrate is alumina or silica. The resulting nanotubes have narrow diameter and wall number distributions that are as narrow as those grown from sputtered catalysts. The state of the catalyst is studied by in-situ and ex-situ X-ray photoemission spectroscopy. We demonstrate multi-directional nanotube growth on a porous alumina foam coated with Fe prepared by atomic layer deposition. This deposition technique can be useful for nanotube applications in microelectronics, filter technology, and energy storage.

  14. Method to grow group III-nitrides on copper using passivation layers

    Science.gov (United States)

    Li, Qiming; Wang, George T; Figiel, Jeffrey T

    2014-06-03

    Group III-nitride epilayers can be grown directly on copper substrates using intermediate passivation layers. For example, single crystalline c-plane GaN can be grown on Cu (110) substrates with MOCVD. The growth relies on a low temperature AlN passivation layer to isolate any alloying reaction between Ga and Cu.

  15. Properties of recent IBAD-MOCVD Coated Conductors relevant to their high field, low temperature magnet use

    OpenAIRE

    Braccini, V; Xu, A; Jaroszynski, J; Xin, Y; Larbalestier, D C; Chen, Y; Carota, G; Dackow, J; Kesgin, I; Yao, Y; Guevara, A; Shi, T; Selvamanickam, V

    2010-01-01

    BaZrO3 (BZO) nanorods are now incorporated into production IBAD-MOCVD coated conductors. Here we compare several examples of both BZO-free and BZO-containing coated conductors using critical current (Ic) characterizations at 4.2 K over their full angular range up to fields of 31 T. We find that BZO nanorods do not produce any c-axis distortion of the critical current density Jc(theta) curve at 4.2 K at any field, but also that pinning is nevertheless strongly enhanced compared to the non-BZO ...

  16. How deposition parameters control growth dynamics of nc-Si deposited by hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Moutinho, H.R.; To, B.; Jiang, C.-S.; Xu, Y.; Nelson, B.P.; Teplin, C.W.; Jones, K.M.; Perkins, J.; Al-Jassim, M.M.

    2006-01-01

    We studied the growth of silicon films deposited by hot-wire chemical vapor deposition under different values of filament current, substrate temperature, and hydrogen dilution ratio. The physical and electrical properties of the films were studied by Raman spectroscopy, x-ray diffraction, atomic force microscopy, conductive-atomic force microscopy, and transmission electron microscopy. There is an interdependence of the growth parameters, and films grown with different parameters can have similar structures. We discuss why this interdependence occurs and how it influences the properties of the deposited films, as well as the deposition rate. In general, the films have a complex structure, with a mixture of amorphous (220)-oriented crystalline and nanocrystalline phases present in most cases. The amount of each phase can be controlled by the variation of one or more of the growth parameters at a time

  17. Non-radiative recombination process in BGaAs/GaAs alloys: Two layer photothermal deflection model

    Energy Technology Data Exchange (ETDEWEB)

    Ilahi, S., E-mail: ilehi_soufiene@yahoo.fr [Université de Carthage, Unité de Recherche de caractérisation photothermique et modélisation, Institut Préparatoire aux Etudes d’Ingénieurs de Nabeul (IPEIN), 8000 Merazka, Nabeul (Tunisia); Baira, M.; Saidi, F. [Université de Monastir, Laboratoire de Micro-Optoélectronique et Nanostructures, Faculté des Sciences de Monastir. Avenue de l’Environnement, Monastir 5019 (Tunisia); Yacoubi, N. [Université de Carthage, Unité de Recherche de caractérisation photothermique et modélisation, Institut Préparatoire aux Etudes d’Ingénieurs de Nabeul (IPEIN), 8000 Merazka, Nabeul (Tunisia); Auvray, L. [Laboratoire Multimateriaux et Interfaces, Université Claude Bernard Lyon I, 43, Boulevard du 11 Novembre 1918, 69622 Villeurbanne Cedex (France); Maaref, H. [Université de Monastir, Laboratoire de Micro-Optoélectronique et Nanostructures, Faculté des Sciences de Monastir. Avenue de l’Environnement, Monastir 5019 (Tunisia)

    2013-12-25

    Highlights: •We have developed a two layer photothermal deflection model. •We have determined the electronic properties of BGaAs/GaAs alloys. •We have studied the boron effect in the electronic parameters. -- Abstract: Photo-thermal deflection technique PTD is used to study the nonradiative recombination process in BGaAs/GaAs alloy with boron composition of 3% and 8% grown by metal organic chemical vapor deposition (MOCVD). A two layer theoretical model has been developed taking into account both thermal and electronic contribution in the photothermal signal allowing to extract the electronic parameters namely electronic diffusivity, surface and interface recombination. It is found that the increase of boron composition alters the BGaAs epilayers transport properties.

  18. Optical and electrical characteristics of GaAs/InGaAs quantum-well device

    International Nuclear Information System (INIS)

    Hsu, K.C.; Ho, C.H.; Lin, Y.S.; Wu, Y.H.; Hsu, R.T.; Huang, K.W.

    2009-01-01

    A GaAs/InGaAs quantum-well structure was grown by low-pressure metalorganic chemical vapor deposition (LP-MOCVD). The quantum well was graded from 25% to 15% indium (from the bottom to the top of the channel). Hall measurements were made to characterize the concentration and mobility of the two-dimensional electron gas (2DEG). The temperature-dependent photoluminescence (PL) and photoreflectance (PR) spectra of the structure of interest were obtained. Various intersuband features were observed in the PR spectra. Furthermore, a 1.5 μm gate-length high-electron mobility transistor (HEMT), fabricated on these layers, had an extrinsic transconductance of 127 mS/mm. The optical and electrical characteristics were determined simultaneously

  19. Effect of buffer thickness on properties of In0.8Ga0.2As/InP with two-step growth technique

    International Nuclear Information System (INIS)

    Zhang Tiemin; Miao Guoqing; Jin Yixin; Yu Shuzhen; Jiang Hong; Li Zhiming; Song Hang

    2009-01-01

    In 0.8 Ga 0.2 As was grown by low-pressure metalorganic chemical vapor deposition (LP-MOCVD) on InP(1 0 0) substrate with two-step growth technique. Effect of buffer thickness on crystalline quality, surface morphology, electrical property and stress of In 0.8 Ga 0.2 As epilayer was analyzed, and properties of the In 0.8 Ga 0.2 As epilayer were characterized by X-ray diffraction, scanning electron microscopy, Hall measurements and Raman scattering. The experiments showed that the properties of the In 0.8 Ga 0.2 As epilayer had close relation to the buffer thickness and the optimum buffer thickness was about 100 nm

  20. Thin films of copper oxide and copper grown by atomic layer deposition for applications in metallization systems of microelectronic devices

    Energy Technology Data Exchange (ETDEWEB)

    Waechtler, Thomas

    2010-05-25

    Copper-based multi-level metallization systems in today's ultralarge-scale integrated electronic circuits require the fabrication of diffusion barriers and conductive seed layers for the electrochemical metal deposition. Such films of only several nanometers in thickness have to be deposited void-free and conformal in patterned dielectrics. The envisaged further reduction of the geometric dimensions of the interconnect system calls for coating techniques that circumvent the drawbacks of the well-established physical vapor deposition. The atomic layer deposition method (ALD) allows depositing films on the nanometer scale conformally both on three-dimensional objects as well as on large-area substrates. The present work therefore is concerned with the development of an ALD process to grow copper oxide films based on the metal-organic precursor bis(trin- butylphosphane)copper(I)acetylacetonate [({sup n}Bu{sub 3}P){sub 2}Cu(acac)]. This liquid, non-fluorinated {beta}-diketonate is brought to react with a mixture of water vapor and oxygen at temperatures from 100 to 160 C. Typical ALD-like growth behavior arises between 100 and 130 C, depending on the respective substrate used. On tantalum nitride and silicon dioxide substrates, smooth films and selfsaturating film growth, typical for ALD, are obtained. On ruthenium substrates, positive deposition results are obtained as well. However, a considerable intermixing of the ALD copper oxide with the underlying films takes place. Tantalum substrates lead to a fast self-decomposition of the copper precursor. As a consequence, isolated nuclei or larger particles are always obtained together with continuous films. The copper oxide films grown by ALD can be reduced to copper by vapor-phase processes. If formic acid is used as the reducing agent, these processes can already be carried out at similar temperatures as the ALD, so that agglomeration of the films is largely avoided. Also for an integration with subsequent

  1. Thin films of copper oxide and copper grown by atomic layer deposition for applications in metallization systems of microelectronic devices

    Energy Technology Data Exchange (ETDEWEB)

    Waechtler, Thomas

    2010-05-25

    Copper-based multi-level metallization systems in today's ultralarge-scale integrated electronic circuits require the fabrication of diffusion barriers and conductive seed layers for the electrochemical metal deposition. Such films of only several nanometers in thickness have to be deposited void-free and conformal in patterned dielectrics. The envisaged further reduction of the geometric dimensions of the interconnect system calls for coating techniques that circumvent the drawbacks of the well-established physical vapor deposition. The atomic layer deposition method (ALD) allows depositing films on the nanometer scale conformally both on three-dimensional objects as well as on large-area substrates. The present work therefore is concerned with the development of an ALD process to grow copper oxide films based on the metal-organic precursor bis(trin- butylphosphane)copper(I)acetylacetonate [({sup n}Bu{sub 3}P){sub 2}Cu(acac)]. This liquid, non-fluorinated {beta}-diketonate is brought to react with a mixture of water vapor and oxygen at temperatures from 100 to 160 C. Typical ALD-like growth behavior arises between 100 and 130 C, depending on the respective substrate used. On tantalum nitride and silicon dioxide substrates, smooth films and selfsaturating film growth, typical for ALD, are obtained. On ruthenium substrates, positive deposition results are obtained as well. However, a considerable intermixing of the ALD copper oxide with the underlying films takes place. Tantalum substrates lead to a fast self-decomposition of the copper precursor. As a consequence, isolated nuclei or larger particles are always obtained together with continuous films. The copper oxide films grown by ALD can be reduced to copper by vapor-phase processes. If formic acid is used as the reducing agent, these processes can already be carried out at similar temperatures as the ALD, so that agglomeration of the films is largely avoided. Also for an integration with subsequent

  2. The structural properties of CdS deposited by chemical bath deposition and pulsed direct current magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Lisco, F., E-mail: F.Lisco@lboro.ac.uk [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom); Kaminski, P.M.; Abbas, A.; Bass, K.; Bowers, J.W.; Claudio, G. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom); Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona 4, 70126 Bari (Italy); Walls, J.M. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom)

    2015-05-01

    Cadmium sulphide (CdS) thin films were deposited by two different processes, chemical bath deposition (CBD), and pulsed DC magnetron sputtering (PDCMS) on fluorine doped-tin oxide coated glass to assess the potential advantages of the pulsed DC magnetron sputtering process. The structural, optical and morphological properties of films obtained by CBD and PDCMS were investigated using X-ray photoelectron spectroscopy, X-ray diffraction, scanning and transmission electron microscopy, spectroscopic ellipsometry and UV-Vis spectrophotometry. The as-grown films were studied and comparisons were drawn between their morphology, uniformity, crystallinity, and the deposition rate of the process. The highest crystallinity is observed for sputtered CdS thin films. The absorption in the visible wavelength increased for PDCMS CdS thin films, due to the higher density of the films. The band gap measured for the as-grown CBD-CdS is 2.38 eV compared to 2.34 eV for PDCMS-CdS, confirming the higher density of the sputtered thin film. The higher deposition rate for PDCMS is a significant advantage of this technique which has potential use for high rate and low cost manufacturing. - Highlights: • Pulsed DC magnetron sputtering (PDCMS) of CdS films • Chemical bath deposition of CdS films • Comparison between CdS thin films deposited by chemical bath and PDCMS techniques • High deposition rate deposition for PDCMS deposition • Uniform, pinhole free CdS thin films.

  3. The structural properties of CdS deposited by chemical bath deposition and pulsed direct current magnetron sputtering

    International Nuclear Information System (INIS)

    Lisco, F.; Kaminski, P.M.; Abbas, A.; Bass, K.; Bowers, J.W.; Claudio, G.; Losurdo, M.; Walls, J.M.

    2015-01-01

    Cadmium sulphide (CdS) thin films were deposited by two different processes, chemical bath deposition (CBD), and pulsed DC magnetron sputtering (PDCMS) on fluorine doped-tin oxide coated glass to assess the potential advantages of the pulsed DC magnetron sputtering process. The structural, optical and morphological properties of films obtained by CBD and PDCMS were investigated using X-ray photoelectron spectroscopy, X-ray diffraction, scanning and transmission electron microscopy, spectroscopic ellipsometry and UV-Vis spectrophotometry. The as-grown films were studied and comparisons were drawn between their morphology, uniformity, crystallinity, and the deposition rate of the process. The highest crystallinity is observed for sputtered CdS thin films. The absorption in the visible wavelength increased for PDCMS CdS thin films, due to the higher density of the films. The band gap measured for the as-grown CBD-CdS is 2.38 eV compared to 2.34 eV for PDCMS-CdS, confirming the higher density of the sputtered thin film. The higher deposition rate for PDCMS is a significant advantage of this technique which has potential use for high rate and low cost manufacturing. - Highlights: • Pulsed DC magnetron sputtering (PDCMS) of CdS films • Chemical bath deposition of CdS films • Comparison between CdS thin films deposited by chemical bath and PDCMS techniques • High deposition rate deposition for PDCMS deposition • Uniform, pinhole free CdS thin films

  4. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  5. Immobilization of carbon nanotubes on functionalized graphene film grown by chemical vapor deposition and characterization of the hybrid material

    Directory of Open Access Journals (Sweden)

    Prashanta Dhoj Adhikari

    2014-01-01

    Full Text Available We report the surface functionalization of graphene films grown by chemical vapor deposition and fabrication of a hybrid material combining multi-walled carbon nanotubes and graphene (CNT–G. Amine-terminated self-assembled monolayers were prepared on graphene by the UV-modification of oxidized groups introduced onto the film surface. Amine-termination led to effective interaction with functionalized CNTs to assemble a CNT–G hybrid through covalent bonding. Characterization clearly showed no defects of the graphene film after the immobilization reaction with CNT. In addition, the hybrid graphene material revealed a distinctive CNT–G structure and p–n type electrical properties. The introduction of functional groups on the graphene film surface and fabrication of CNT–G hybrids with the present technique could provide an efficient, novel route to device fabrication.

  6. Ellipsometry study on Pd thin film grown by atomic layer deposition with Maxwell–Garnett effective medium approximation model

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Yihang; Zhou, Xueqi; Cao, Kun [State Key Laboratory of Digital of Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); State Key Laboratory of Materials Processing and Die & Mould Technology, School of Materials Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); Chen, Xiuguo; Deng, Zhang [State Key Laboratory of Digital of Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); Liu, Shiyuan, E-mail: shyliu@hust.edu.cn [State Key Laboratory of Digital of Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); Shan, Bin [State Key Laboratory of Materials Processing and Die & Mould Technology, School of Materials Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); Chen, Rong, E-mail: rongchen@mail.hust.edu.cn [State Key Laboratory of Digital of Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); School of Optical and Electronic Information, Huazhong University of Science and Technology, Wuhan 430074 (China)

    2015-10-30

    Maxwell–Garnett effective medium approximation (MG-EMA) model is chosen to study Pd ultrathin film grown on Si substrate, as well as its growth on self-assembled monolayers (SAMs) modified substrate respectively. The general oscillator (GO) model with one Drude and two Lorentz oscillators is firstly applied to fix the optical constants of Pd. Compared with Pd bulk model, MG-EMA model with GO is more reliable to predict the film thickness verified by X-ray reflection test. The stable growth rate on Si substrate reveals our methods are feasible and the quartz crystal microbalance measurement confirms the stability of the ALD chamber. For Pd coverage, MG-EMA fitting result is similar to the statistical computation from scanning electron microscope when Pd ALD cycles are over 400, while large bias exists for cycles under 400, might be due to that air is not the proper filling medium between nanoparticles. Then we change the filling medium into SAMs as a comparison, better fitting performance is obtained. It is demonstrated that the filling medium between nanoparticles is important for the application of MG-EMA model. - Highlights: • Ultrathin Pd thin films were grown by atomic layer deposition. • The measurement of thin film was important to understand initial growth behavior. • Maxwell–Garnett effective medium approximation model was applied. • Pd nanoparticle size and coverage were studied. • The filling medium between nanoparticles was important for model application.

  7. Interface studies on the tunneling contact of a MOCVD-prepared tandem solar cell; Grenzflaechenuntersuchungen am Tunnelkontakt einer MOCVD-praeparierten Tandemsolarzelle

    Energy Technology Data Exchange (ETDEWEB)

    Seidel, U.

    2007-07-10

    In this thesis a tandem solar cell with a novel tunneling contact was developed. For the development of the monolithic preparation especailly critical hetero-interfaces were studied in the region of the tunneling contact with surface-sensitive measuring method. The tandem solar cell consisted of single solar cells with absorber layers of In{sub 0.53}Ga{sub 0.47}As (E{sub g}=0.73 eV) and In{sub 0.78}Ga{sub 0.22}As{sub 0.491}P{sub 0.51} (E{sub g}=1.03 eV), the serial switching of which was pursued with a tunneling contact (ESAKI diode, which consisted of a very thin n-doped InGaAs and a p-doped GaAsSb layer. The III-V semiconductor layers were prepared by metalorganic gas phase epitaxy (MOCVD) monocrystallinely on an InP(100) substrate lattice-matchedly. Especially the influence of the preparation of InGaAs surfaces on the sharpness of the InGaAs/GaAsSb interface was in-situ studied by reflection-anisotropy spectroscopy and after a contamination-free transfer into the ultrahigh vacuum with photoelectron spectroscopy and with low-energetic electron diffraction (LEED). Thereby for the first time three different reconstructions of the MOCVD-prepared InGaAs surfaces could be observed, which were dependent on the heating temperature under pure hydrogen. The arsenic-rich InGaAs surface was observed for temperatures less than 300 C and showed in the LEED picture a (4 x 3) reconstruction. In the temperature range from 300 C until about 500 C a (2 x 4) reconstruction was observed, above 500 C the InGaAs surface 94 x 2)/c(8 x 2) was reconstructed. Subsequently the study of the growth of thin GaAsSb layers on these three InGaAs surface reconstructions followed. XPS measurements showed that the Sb/As ratio in GaAsSb at the growth on the As-rich (4 x 3) reconstructed surface in the first monolayers was too low. The preparation of the GaAsSb on the two other InGaAs surfaces yielded however in both cases a distinctly higher Sb/As ratio. Finally tandem solar cells with differently

  8. Giant increase of optical transparency for Zn-rich CaxZn1-xO on Al2O3 (0 0 0 1) grown by pulsed laser deposition

    Science.gov (United States)

    Albrithen, H. A.; El-Naggar, A. M.; Ozga, K.; Alshahrani, H.; Alanazi, A.; Alfaifi, E.; Labis, J.; Alyamani, A.; Albadri, A.; Alkahtani, M. H.; Alahmed, Z. A.; Jedryka, J.; Fedorchuk, A. O.

    2016-02-01

    In this study, CaxZn1-xO high quality films with different Ca ratios (from 0% to 10%) were grown on Al2O3 (0 0 0 1) substrates by pulsed laser deposition for the first time. The optical properties for the grown films were studied over a wide spectral range from 200 to 3300 nm using the reflectance and transmittance spectrum. It was found that the calculated optical energy gap values increases from 3.275 to about 3.340 eV with increasing Ca concentrations from 0% to 10%. This opens a new stage in the study of the high quality optical films. The stoichiometry of the films was achieved using targets of the same intended film ratio. Two sample sets were grown at 650 °C, one set with argon gas background at 10 mTorr and the other one without any intentionally introduced gases. The structural properties for the grown films were studied using X-ray Diffraction. It was clear that by increasing Ca, the lattice parameter c is decreased and 2θ was shifted towards higher values from, while the FWHM was increased. These results indicated that the film crystallinity degrades as Ca content in the films increased.

  9. Polarization-induced hole doping in N-polar III-nitride LED grown by metalorganic chemical vapor deposition

    KAUST Repository

    Yan, Long

    2018-05-03

    Polarization-induced doping has been shown to be effective for wide-bandgap III-nitrides. In this work, we demonstrated a significantly enhanced hole concentration via linearly grading an N-polar AlxGa1-xN (x = 0–0.3) layer grown by metal-organic chemical vapor deposition. The hole concentration increased by ∼17 times compared to that of N-polar p-GaN at 300 K. The fitting results of temperature-dependent hole concentration indicated that the holes in the graded p-AlGaN layer comprised both polarization-induced and thermally activated ones. By optimizing the growth conditions, the hole concentration was further increased to 9.0 × 1017 cm−3 in the graded AlGaN layer. The N-polar blue-violet light-emitting device with the graded p-AlGaN shows stronger electroluminescence than the one with the conventional p-GaN. The study indicates the potential of the polarization doping technique in high-performance N-polar light-emitting devices.

  10. Polarization-induced hole doping in N-polar III-nitride LED grown by metalorganic chemical vapor deposition

    KAUST Repository

    Yan, Long; Zhang, Yuantao; Han, Xu; Deng, Gaoqiang; Li, Pengchong; Yu, Ye; Chen, Liang; Li, Xiaohang; Song, Junfeng

    2018-01-01

    Polarization-induced doping has been shown to be effective for wide-bandgap III-nitrides. In this work, we demonstrated a significantly enhanced hole concentration via linearly grading an N-polar AlxGa1-xN (x = 0–0.3) layer grown by metal-organic chemical vapor deposition. The hole concentration increased by ∼17 times compared to that of N-polar p-GaN at 300 K. The fitting results of temperature-dependent hole concentration indicated that the holes in the graded p-AlGaN layer comprised both polarization-induced and thermally activated ones. By optimizing the growth conditions, the hole concentration was further increased to 9.0 × 1017 cm−3 in the graded AlGaN layer. The N-polar blue-violet light-emitting device with the graded p-AlGaN shows stronger electroluminescence than the one with the conventional p-GaN. The study indicates the potential of the polarization doping technique in high-performance N-polar light-emitting devices.

  11. The investigation of stress in freestanding GaN crystals grown from Si substrates by HVPE.

    Science.gov (United States)

    Lee, Moonsang; Mikulik, Dmitry; Yang, Mino; Park, Sungsoo

    2017-08-17

    We investigate the stress evolution of 400 µm-thick freestanding GaN crystals grown from Si substrates by hydride vapour phase epitaxy (HVPE) and the in situ removal of Si substrates. The stress generated in growing GaN can be tuned by varying the thickness of the MOCVD AlGaN/AlN buffer layers. Micro Raman analysis shows the presence of slight tensile stress in the freestanding GaN crystals and no stress accumulation in HVPE GaN layers during the growth. Additionally, it is demonstrated that the residual tensile stress in HVPE GaN is caused only by elastic stress arising from the crystal quality difference between Ga- and N-face GaN. TEM analysis revealed that the dislocations in freestanding GaN crystals have high inclination angles that are attributed to the stress relaxation of the crystals. We believe that the understanding and characterization on the structural properties of the freestanding GaN crystals will help us to use these crystals for high-performance opto-electronic devices.

  12. Photoluminescence of Eu-doped LiYF4 thin films grown by pulsed laser deposition and matrix-assisted pulsed laser evaporation

    International Nuclear Information System (INIS)

    Stokker-Cheregi, F; Matei, A; Dinescu, M; Secu, C E; Secu, M

    2014-01-01

    Matrix-assisted pulsed laser evaporation (MAPLE) has been investigated as an alternative to the pulsed laser deposition (PLD) technique for Eu 3+ -doped crystalline LiYF 4 thin-films deposition. MAPLE assumes laser ablation of a frozen target made of the material of interest diluted in a solvent, rather than that of a bulk target, of either pressed powder or single crystal, used in the case of PLD. Our approach stems from the assumption that laser ablation of a frozen dilute target would result in thin films with improved morphology, as compared to PLD. Indeed, we find that roughness values of samples obtained by the MAPLE technique are four times lower than in the case of PLD. A lower transmittance was noticed for PLD obtained layers with respect to those grown by MAPLE due to strong scattering of light by the morphological defects. Photoluminescence spectra are showing characteristic Eu 3+ -ion luminescence bands at 578, 591, 612, 650 and 698 nm ( 5 D 0  →  7 F J ); crystal field splitting of the bands indicates dopant ions incorporation in the host material during transfer by either PLD or MAPLE. (paper)

  13. Quasicubic α-Fe{sub 2}O{sub 3} nanoparticles embedded in TiO{sub 2} thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tamm, Aile [Institute of Physics, University of Tartu, W. Ostwaldi 1, 50411 Tartu (Estonia); Seinberg, Liis [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Kozlova, Jekaterina [Institute of Physics, University of Tartu, W. Ostwaldi 1, 50411 Tartu (Estonia); Link, Joosep [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Pikma, Piret [University of Tartu, Institute of Chemistry, Ravila 14A, 50411 Tartu (Estonia); Stern, Raivo [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Kukli, Kaupo [Institute of Physics, University of Tartu, W. Ostwaldi 1, 50411 Tartu (Estonia); Department of Chemistry, University of Helsinki, P.O. Box 55, FI-00014 Helsinki (Finland)

    2016-08-01

    Monodispersed quasicubic α-Fe{sub 2}O{sub 3} nanoparticles were synthesized from ferric nitrite (Fe(NO{sub 3}){sub 3}), N,N-dimethyl formamide and poly(N-vinyl-2-pyrrolidone). Layers of nanoparticles were attached to HF-etched Si substrates by dip coating and subsequently embedded in thin titanium oxide films grown by atomic layer deposition from TiCl{sub 4} and H{sub 2}O. The deposition of TiO{sub 2} onto Fe{sub 2}O{sub 3} nanoparticles covered the nanoparticles uniformly and anatase phase of TiO{sub 2} was observed in Si/Fe{sub 2}O{sub 3}/TiO{sub 2} nanostructures. In Si/Fe{sub 2}O{sub 3}/TiO{sub 2} nanostructure magnetic domains, observable by magnetic force microscopy, were formed and these nanostructures implied ferromagnetic-like behavior at room temperature with the saturative magnetization and coercivity of 10 kA/m. - Highlights: • Cubic-shaped iron oxide crystallites were supported by thin titanium oxide films. • The process chemistry applied allowed formation of heterogeneous composite. • Atomic layer deposition of titanium oxide on nanocubes was uniform and conformal. • The nanostructures formed can be regarded as magnetically susceptible materials.

  14. Cathodoluminescence characteristics of polycrystalline diamond films grown by cyclic deposition method

    International Nuclear Information System (INIS)

    Seo, Soo-Hyung; Park, Chang-Kyun; Park, Jin-Seok

    2002-01-01

    Polycrystalline diamond films were deposited using a cyclic deposition method where the H 2 plasma for etching (t E ) and the CH 4 +H 2 plasma for growing (t G ) are alternately modulated with various modulation ratios (t E /t G ). From the measurement of full width at half maximum and I D /I G intensity ratio obtained from the Raman spectra, it was found that diamond defects and non-diamond carbon phases were reduced a little by adopting the cyclic deposition method. From the cathodoluminescence (CL) characteristics measured for deposited films, the nitrogen-related band (centered at approximately 590 nm) as well as the so-called band-A (centered at approximately 430 nm) were observed. As the cyclic ratio t E /t G increased, the relative intensity ratio of band-A to nitrogen-related band (I A /I N ) was found to monotonically decrease. In addition, analysis of X-ray diffraction spectra and scanning electron microscope morphologies showed that CL characteristics of deposited diamond films were closely related to their crystal orientations and morphologies

  15. Effect of different solutions on electrochemical deposition of ZnO

    International Nuclear Information System (INIS)

    Asil, H.; Chinar, K.; Gur, E.; Tuzemen, S.

    2010-01-01

    ZnO thin films were grown by electrochemical deposition (ECD) onto indium tin oxide using different compounds such as Zn(NO 3 ) 2 , Zn(C 2 H 3 O 2 ) 2 , ZnCl 2 , Zn(ClO 4 ) 2 and different solvents such as dimethylsulfoxide (DMSO) and 18 M deionized water. Furthermore, solutions were prepared using different electrolytes and concentrations in order to determine the optimum deposition parameters of ZnO. All the grown films were characterized by X-ray diffraction, optical absorption and photoluminescence measurement techniques. It is indicated that films grown by using Zn(ClO 4 ) 2 show high crystallinity and optical quality. The X-ray diffraction analysis showed that ZnO thin films which were grown electrochemically in a non-aqueous solution (DMSO) prepared by Zn(ClO 4 ) 2 have highly c-axis preferential orientation. PL measurements showed that ZnO thin films grown in Zn(ClO 4 ) 2 indicates high quality emission characteristics compared to the thin films grown by other solutions

  16. Composition of MBE-grown iron oxide films

    NARCIS (Netherlands)

    Voogt, F.C; Hibma, T; Smulders, P.J M; Niesen, L

    A wide range of iron oxides have been grown epitaxially on MgO(100) substrates using a dual beam technique in which the deposited iron is oxidised by a beam of NO2 particles. At high fluxes magnetite (Fe3-deltaO4) phases with compositions between near-stoichiometric magnetite (Fe3O4, delta = 0) and

  17. Boron-doped zinc oxide thin films for large-area solar cells grown by metal organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Chen, X.L.; Xu, B.H.; Xue, J.M.; Zhao, Y.; Wei, C.C.; Sun, J.; Wang, Y.; Zhang, X.D.; Geng, X.H.

    2007-01-01

    Boron-doped zinc oxide (ZnO:B) films were grown by metal organic chemical vapor deposition using diethylzinc (DEZn), and H 2 O as reactant gases and diborane (B 2 H 6 ) as an n-type dopant gas. The structural, electrical and optical properties of ZnO films doped at different B 2 H 6 flow rates were investigated. X-ray diffraction spectra and scanning electron microscopy images indicate that boron-doping plays an important role on the microstructure of ZnO films, which induced textured morphology. With optimized conditions, low sheet resistance (∼ 30 Ω/□), high transparency (> 85% in the visible light and infrared range) and high mobility (17.8 cm 2 V -1 s -1 ) were obtained for 700-nm ZnO:B films deposited on 20 cm x 20 cm glass substrates at the temperature of 443 K. After long-term exposure in air, the ZnO:B films also showed a better electrical stability than the un-doped samples. With the application of ZnO:B/Al back contacts, the short circuit current density was effectively enhanced by about 3 mA/cm 2 for a small area a-Si:H cell and a high efficiency of 9.1% was obtained for a large-area (20 cm x 20 cm) a-Si solar module

  18. Scanning tunneling microscopy of hexagonal BN grown on graphite

    International Nuclear Information System (INIS)

    Fukumoto, H.; Hamada, T.; Endo, T.; Osaka, Y.

    1991-01-01

    The microscopic surface topography of thin BN x films grown on graphite by electron cyclotron resonance plasma chemical vapor deposition have been imaged with scanning tunneling microscopy in air. The scanning tunneling microscope has generated images of hexagonal BN with atomic resolution

  19. TL and OSL studies on undoped diamond films grown by hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Soni, Anuj, E-mail: anujsoni.phy@gmail.com [Radiological Physics and Advisory Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Choudhary, R.K. [Materials Processing Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Polymeris, G.S. [Ankara University, Institute of Nuclear Sciences (Turkey); Mishra, D.R. [Radiological Physics and Advisory Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Mishra, P. [Materials Processing Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Kulkarni, M.S. [Radiation Safety Systems Division, Bhabha Atomic Research Center, Mumbai 400 085 (India)

    2016-09-15

    In this work, approximately 0.5 µm thick diamond films were grown on a silicon substrate by hot filament chemical vapour deposition (HFCVD) method in a gas mixture of hydrogen and methane. The batch to batch reproducibility of the sample using this technique was found to be very good. The obtained film was characterized by micro laser Raman spectroscopy (MLRS), grazing incidence X-ray diffractometry (GIXRD), scanning electron microscopy (SEM) and atomic force miscroscopy (AFM) techniques. MLRS and GIXRD results confirmed the formation of diamond whereas SEM and AFM analyses indicated uniform morphology of the film with an average grain size of 200 nm. The deposited film was studied for ionizing radiation dosimetry applications using the thermoluminescence (TL) and optically stimulated luminescence (OSL) techniques after irradiating the film by a calibrated 5 mCi, {sup 90}Sr/{sup 90}Y beta source. In the TL measurement, for a heating rate of 4 K/s, broad glow curve was obtained which was deconvoluted into seven TL peaks. The integrated TL counts were found to vary linearly with increasing the radiation dose up to 10 kGy. The characteristic TL output seen in the temperature range 200–300 °C, may be considered good for thermal stability of the film and it could also avoid TL fading during storage and non-interference of any black body radiation during the measurement. However, in comparison to TL output, the OSL response for 470 nm LED stimulation was found to be lesser. The CW–OSL decay curve has shown two components contributing to the OSL signal, having photoionization cross-section 1.5×10{sup −18} and 5.2×10{sup −19} cm{sup 2} respectively. The studies have revealed the possibility of using diamond film for high dose radiation dosimetry with TL/OSL method.

  20. Effects of the gate dielectric on the subthreshold transport of carbon nanotube network transistors grown by using plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Jeong, Seung Geun; Park, Wan Jun

    2010-01-01

    In this study, we investigated the subthreshold slope of random network carbon nanotube transistors with different geometries and passivations. Single-wall carbon nanotubes with lengths of 1-2 m were grown by using plasma-enhanced chemical vapor deposition to form the transistor channels. A critical channel length, where the subthreshold slope was saturated, of 7 μm was obtained. This was due to the percolational behavior of the nanotube random networks. With the dielectric passivation, the subthreshold slope was dramatically reduced from 9 V/decade to 0.9 V/decade by reducing interfacial trap sites, which then reduced the interface capacitance between the nanotube network and the gate dielectric.

  1. Generation of InN nanocrystals in organic solution through laser ablation of high pressure chemical vapor deposition-grown InN thin film

    International Nuclear Information System (INIS)

    Alkis, Sabri; Alevli, Mustafa; Burzhuev, Salamat; Vural, Hüseyin Avni; Okyay, Ali Kemal; Ortaç, Bülend

    2012-01-01

    We report the synthesis of colloidal InN nanocrystals (InN-NCs) in organic solution through nanosecond pulsed laser ablation of high pressure chemical vapor deposition-grown InN thin film on GaN/sapphire template substrate. The size, the structural, the optical, and the chemical characteristics of InN-NCs demonstrate that the colloidal InN crystalline nanostructures in ethanol are synthesized with spherical shape within 5.9–25.3, 5.45–34.8, 3.24–36 nm particle-size distributions, increasing the pulse energy value. The colloidal InN-NCs solutions present strong absorption edge tailoring from NIR region to UV region.

  2. Improving the Characteristics of Sn-doped In{sub 2}O{sub 2} Grown at Room Temperature with Oxygen Radical-Assisted Electron Beam Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Oh, Min-Suk [Korea Institute of Industrial Technology, Gwangju (Korea, Republic of); Seo, Inseok [Chonbuk National University, Jeonju (Korea, Republic of)

    2017-07-15

    Sn-doped In{sub 2}O{sub 3} (Indium tin oxide, ITO) is widely utilized in numerous industrial applications due to its high electrical conductivity and high optical transmittance in the visible region. High quality ITO thin-films have been grown at room temperature by oxygen radical assisted e-beam evaporation without any post annealing or plasma treatment. The introduction of oxygen radicals during e-beam growth greatly improved the surface morphology and structural properties of the ITO films. The obtained ITO film exhibits higher carrier mobility of 43.2 cm{sup 2}/V·s and larger optical transmittance of 84.6%, resulting in a higher figure of merit of ∼ 2.8 × 10{sup −2} Ω{sup −1}, which are quite comparable to the ITO film deposited by conventional e-beam evaporation. These results show that ITO films grown by oxygen radical assisted e-beam evaporation at room temperature with high optical transmittance and high electron conductivity have a great potential for organic optoelectronic devices.

  3. The growth and characterization of well aligned RuO2 nanorods on sapphire substrates

    International Nuclear Information System (INIS)

    Chen, C C; Chen, R S; Tsai, T Y; Huang, Y S; Tsai, D S; Tiong, K K

    2004-01-01

    Self-assembled and well aligned RuO 2 nanorods (NRs) have been grown on sapphire (SA) substrates via metal-organic chemical vapour deposition (MOCVD), using bis(ethylcyclopentadienyl)ruthenium as the source reagent. The surface morphology, structural, and spectroscopic properties of the as-deposited NRs were characterized using field-emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM), selected-area electron diffractometry (SAD), x-ray diffraction (XRD), x-ray photoelectron spectroscopy (XPS), and micro-Raman spectroscopy. FESEM micrographs reveal that vertically aligned nanorods (NRs) were grown on SA(100), while the NRs on the SA(012) were grown with a tilt angle of ∼ 35 degrees from the normal to the substrates. TEM and SAD measurements showed that the RuO 2 NRs with square cross-section have the long axis directed along the [001] direction. The XRD results indicate that the RuO 2 NRs are (002) oriented on SA(100) and (101) oriented on SA(012) substrates. A strong substrate effect on the alignment of the RuO 2 NRs growth has been demonstrated and the probable mechanism for the formation of these NRs has been discussed. XP spectra show the coexistence of higher oxidation state of ruthenium in the as-grown RuO 2 NRs. Micro-Raman spectra show the red-shift and peak broadening of the RuO 2 signatures with respect to that of the bulk counterpart which may be indicative of a phonon confinement effect for these NRs

  4. Wide-bandgap epitaxial heterojunction windows for silicon solar cells

    Science.gov (United States)

    Landis, Geoffrey A.; Loferski, Joseph J.; Beaulieu, Roland; Sekula-Moise, Patricia A.; Vernon, Stanley M.

    1990-01-01

    It is shown that the efficiency of a solar cell can be improved if minority carriers are confined by use of a wide-bandgap heterojunction window. For silicon (lattice constant a = 5.43 A), nearly lattice-matched wide-bandgap materials are ZnS (a = 5.41 A) and GaP (a = 5.45 A). Isotype n-n heterojuntions of both ZnS/Si and GaP/Si were grown on silicon n-p homojunction solar cells. Successful deposition processes used were metalorganic chemical vapor deposition (MO-CVD) for GaP and ZnS, and vacuum evaporation of ZnS. Planar (100) and (111) and texture-etched - (111)-faceted - surfaces were used. A decrease in minority-carrier surface recombination compared to a bare surface was seen from increased short-wavelength spectral response, increased open-circuit voltage, and reduced dark saturation current, with no degradation of the minority carrier diffusion length.

  5. Monometallic Pd and Pt and Bimetallic Pd-Pt/Al2O3-TiO2 for the HDS of DBT: Effect of the Pd and Pt Incorporation Method

    Directory of Open Access Journals (Sweden)

    Reynaldo Martínez Guerrero

    2014-01-01

    Full Text Available The effect of the preparation method of monometallic Pd and Pt and bimetallic Pd-Pt/Al2O3-TiO2 catalysts on the hydrodesulfurization (HDS of dibenzothiophene (DBT was investigated in this study. The synthesis was accomplished using three methods: (A impregnation, (B metal organic chemical vapor deposition (MOCVD, and (C impregnation-MOCVD. The bimetallic Pd-Pt catalyst prepared by the impregnation-MOCVD method was most active for the HDS of DBT compared to those prepared by the single impregnation or MOCVD method due to the synergetic effect between both noble metals. The greater selectivity toward biphenyl indicated that this bimetallic Pd-Pt catalyst preferentially removes sulfur via the direct desulfurization mechanism. However, the bimetallic Pd-Pt catalyst prepared using the single MOCVD method did not produce any cyclohexylbenzene, which is most likely associated with the hydrogenation/dehydrogenation sites.

  6. Band Offsets and Interfacial Properties of HfAlO Gate Dielectric Grown on InP by Atomic Layer Deposition.

    Science.gov (United States)

    Yang, Lifeng; Wang, Tao; Zou, Ying; Lu, Hong-Liang

    2017-12-01

    X-ray photoelectron spectroscopy and high-resolution transmission electron microscopy have been used to determine interfacial properties of HfO 2 and HfAlO gate dielectrics grown on InP by atomic layer deposition. An undesirable interfacial InP x O y layer is easily formed at the HfO 2 /InP interface, which can severely degrade the electrical performance. However, an abrupt interface can be achieved when the growth of the HfAlO dielectric on InP starts with an ultrathin Al 2 O 3 layer. The valence and conduction band offsets for HfAlO/InP heterojunctions have been determined to be 1.87 ± 0.1 and 2.83 ± 0.1 eV, respectively. These advantages make HfAlO a potential dielectric for InP MOSFETs.

  7. Emission Characteristics of InGaN/GaN Core-Shell Nanorods Embedded in a 3D Light-Emitting Diode.

    Science.gov (United States)

    Jung, Byung Oh; Bae, Si-Young; Lee, Seunga; Kim, Sang Yun; Lee, Jeong Yong; Honda, Yoshio; Amano, Hiroshi

    2016-12-01

    We report the selective-area growth of a gallium nitride (GaN)-nanorod-based InGaN/GaN multiple-quantum-well (MQW) core-shell structure embedded in a three-dimensional (3D) light-emitting diode (LED) grown by metalorganic chemical vapor deposition (MOCVD) and its optical analysis. High-resolution transmission electron microscopy (HR-TEM) observation revealed the high quality of the GaN nanorods and the position dependence of the structural properties of the InGaN/GaN MQWs on multiple facets. The excitation and temperature dependences of photoluminescence (PL) revealed the m-plane emission behaviors of the InGaN/GaN core-shell nanorods. The electroluminescence (EL) of the InGaN/GaN core-shell-nanorod-embedded 3D LED changed color from green to blue with increasing injection current. This phenomenon was mainly due to the energy gradient and deep localization of the indium in the selectively grown InGaN/GaN core-shell MQWs on the 3D architecture.

  8. Growth of quaternary InAlGaN barrier with ultrathin thickness for HEMT application

    Science.gov (United States)

    Li, Zhonghui; Li, Chuanhao; Peng, Daqing; Zhang, Dongguo; Dong, Xun; Pan, Lei; Luo, Weike; Li, Liang; Yang, Qiankun

    2018-06-01

    Quaternary InAlGaN barriers with thickness of 7 nm for HEMT application were grown on 3-inch semi-insulating 4H-SiC substrates by metal organic chemical vapor deposition (MOCVD). Focused on growth mechanism of the InAlGaN barrier, the surface morphology and characteristics of InAlGaN/AlN/GaN heterostructures were studied with different growth parameters, including the temperature, Al/Ga ratio and chamber pressure. Among the as-grown samples, high electron mobility is consistent with smooth surface morphology, while high crystalline quality of the quaternary barrier is confirmed by measurements of Photoluminescence (PL) and Mercury-probe Capacity-Voltage (C-V). The recommended heterostructures without SiN passivation is characterized by mobility of 1720 cm2/(V·s), 2DEG density of 1.71*1013 cm-2, sheet resistance of about 210 Ω/□ with a smooth surface morphology and moderate tensile state, specially applied for microwave devices.

  9. Ion beam deposited epitaxial thin silicon films

    International Nuclear Information System (INIS)

    Orrman-Rossiter, K.G.; Al-Bayati, A.H.; Armour, D.G.; Donnelly, S.E.; Berg, J.A. van den

    1991-01-01

    Deposition of thin films using low energy, mass-separated ion beams is a potentially important low temperature method of producing epitaxial layers. In these experiments silicon films were grown on Si (001) substrates using 10-200 eV 28 Si + and 30 Si + ions at substrate temperatures in the range 273-1073 K, under ultrahigh-vacuum conditions (deposition pressure -7 Pa). The film crystallinity was assessed in situ using medium energy ion scattering (MEIS). Films of crystallinity comparable to bulk samples were grown using 10-40 eV 28 Si + and 30 Si + ions at deposition temperatures in the range 623-823 K. These experiments confirmed the role of key experimental parameters such as ion energy, substrate temperature during deposition, and the surface treatment prior to deposition. It was found that a high temperature in situ anneal (1350-1450 K) gave the best results for epitaxial nucleation, whereas low energy (20-40 eV) Cl + ion bombardment resulted in amorphous film growth. The deposition energy for good epitaxial growth indicates that it is necessary to provide enough energy to induce local mobility but not to cause atomic displacements leading to the buildup of stable defects, e.g. divacancies, below the surface layer of the growing film. (orig.)

  10. Stoichiometry control of SrVO{sub 3} thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Scheiderer, Philipp; Schmitt, Matthias; Sing, Michael; Claessen, Ralph [Universitaet Wuerzburg, Physikalisches Institut and Roentgen Center for Complex Material Systems (RCCM), 97074 Wuerzburg (Germany)

    2016-07-01

    Oxide heterostructures exhibit fascinating properties, e.g., the coexistence of superconductivity and ferromagnetism at the interface of LaAlO{sub 3}/SrTiO{sub 3}, but the extraordinary electronic properties of transition metal oxides caused by electron correlation yet wait to be fully harnessed. One suitable candidate for future device applications is the correlated metal SrVO{sub 3}, which can be prepared by pulsed laser deposition (PLD) on commonly used substrates such as SrTiO{sub 3}. Sample fabrication by PLD offers a wide variety of possibilities to manipulate the structural and electronic properties of the grown films in a controlled way. Here we report on the manipulation of the cation and oxygen stoichiometry of SrVO{sub 3} thin films by tuning the laser flux density of the PLD-ablation process and the oxygen background pressure during growth, respectively. In situ photoemission, x-ray diffraction, and temperature dependent resistivity measurements enable us to monitor the structural and electronic changes: Cation off-stoichiometry causes a strong increase of the out-of-plane lattice constant as well as a lower residual resistivity ratio, while excess oxygen is found to induce a shift to higher vanadium valences. After exposure to air a similar shift is detected, indicating an overoxidation of the SrVO{sub 3} film.

  11. Temperature varying photoconductivity of GeSn alloys grown by chemical vapor deposition with Sn concentrations from 4% to 11%

    Energy Technology Data Exchange (ETDEWEB)

    Hart, John; Hazbun, Ramsey; Gupta, Jay; Kolodzey, James [Department of Electrical Engineering, University of Delaware, 140 Evans Hall, Newark, Delaware 19716 (United States); Adam, Thomas [College of Nanoscale Science and Engineering, SUNY, Albany, New York 12203 (United States); Kim, Yihwan; Huang, Yi-Chiau [Applied Materials, Sunnyvale, California 94085 (United States); Reznicek, Alexander [IBM Research at Albany Nanotech, Albany, New York 12203 (United States)

    2016-03-07

    Pseudomorphic GeSn layers with Sn atomic percentages between 4.5% and 11.3% were grown by chemical vapor deposition using digermane and SnCl{sub 4} precursors on Ge virtual substrates grown on Si. The layers were characterized by x-ray diffraction rocking curves and reciprocal space maps. Photoconductive devices were fabricated, and the dark current was found to increase with Sn concentration. The responsivity of the photoconductors was measured at a wavelength of 1.55 μm using calibrated laser illumination at room temperature and a maximum value of 2.7 mA/W was measured for a 4.5% Sn device. Moreover, the responsivity for higher Sn concentration was found to increase with decreasing temperature. Spectral photoconductivity was measured using Fourier transform infrared spectroscopy. The photoconductive absorption edge continually increased in wavelength with increasing tin percentage, out to approximately 2.4 μm for an 11.3% Sn device. The direct band gap was extracted using Tauc plots and was fit to a bandgap model accounting for layer strain and Sn concentration. This direct bandgap was attributed to absorption from the heavy-hole band to the conduction band. Higher energy absorption was also observed, which was thought to be likely from absorption in the light-hole band. The band gaps for these alloys were plotted as a function of temperature. These experiments show the promise of GeSn alloys for CMOS compatible short wave infrared detectors.

  12. Temperature varying photoconductivity of GeSn alloys grown by chemical vapor deposition with Sn concentrations from 4% to 11%

    International Nuclear Information System (INIS)

    Hart, John; Hazbun, Ramsey; Gupta, Jay; Kolodzey, James; Adam, Thomas; Kim, Yihwan; Huang, Yi-Chiau; Reznicek, Alexander

    2016-01-01

    Pseudomorphic GeSn layers with Sn atomic percentages between 4.5% and 11.3% were grown by chemical vapor deposition using digermane and SnCl 4 precursors on Ge virtual substrates grown on Si. The layers were characterized by x-ray diffraction rocking curves and reciprocal space maps. Photoconductive devices were fabricated, and the dark current was found to increase with Sn concentration. The responsivity of the photoconductors was measured at a wavelength of 1.55 μm using calibrated laser illumination at room temperature and a maximum value of 2.7 mA/W was measured for a 4.5% Sn device. Moreover, the responsivity for higher Sn concentration was found to increase with decreasing temperature. Spectral photoconductivity was measured using Fourier transform infrared spectroscopy. The photoconductive absorption edge continually increased in wavelength with increasing tin percentage, out to approximately 2.4 μm for an 11.3% Sn device. The direct band gap was extracted using Tauc plots and was fit to a bandgap model accounting for layer strain and Sn concentration. This direct bandgap was attributed to absorption from the heavy-hole band to the conduction band. Higher energy absorption was also observed, which was thought to be likely from absorption in the light-hole band. The band gaps for these alloys were plotted as a function of temperature. These experiments show the promise of GeSn alloys for CMOS compatible short wave infrared detectors.

  13. Temperature varying photoconductivity of GeSn alloys grown by chemical vapor deposition with Sn concentrations from 4% to 11%

    Science.gov (United States)

    Hart, John; Adam, Thomas; Kim, Yihwan; Huang, Yi-Chiau; Reznicek, Alexander; Hazbun, Ramsey; Gupta, Jay; Kolodzey, James

    2016-03-01

    Pseudomorphic GeSn layers with Sn atomic percentages between 4.5% and 11.3% were grown by chemical vapor deposition using digermane and SnCl4 precursors on Ge virtual substrates grown on Si. The layers were characterized by x-ray diffraction rocking curves and reciprocal space maps. Photoconductive devices were fabricated, and the dark current was found to increase with Sn concentration. The responsivity of the photoconductors was measured at a wavelength of 1.55 μm using calibrated laser illumination at room temperature and a maximum value of 2.7 mA/W was measured for a 4.5% Sn device. Moreover, the responsivity for higher Sn concentration was found to increase with decreasing temperature. Spectral photoconductivity was measured using Fourier transform infrared spectroscopy. The photoconductive absorption edge continually increased in wavelength with increasing tin percentage, out to approximately 2.4 μm for an 11.3% Sn device. The direct band gap was extracted using Tauc plots and was fit to a bandgap model accounting for layer strain and Sn concentration. This direct bandgap was attributed to absorption from the heavy-hole band to the conduction band. Higher energy absorption was also observed, which was thought to be likely from absorption in the light-hole band. The band gaps for these alloys were plotted as a function of temperature. These experiments show the promise of GeSn alloys for CMOS compatible short wave infrared detectors.

  14. Structural characterization of ZnTe grown by atomic-layer-deposition regime on GaAs and GaSb (100) oriented substrates

    Energy Technology Data Exchange (ETDEWEB)

    Castillo-Ojeda, Roberto Saúl [Universidad Politécnica de Pachuca (Mexico); Díaz-Reyes, Joel; Peralta-Clara, María de la Cruz; Veloz-Rendón, Julieta Salomé, E-mail: joel_diaz_reyes@hotmail.com [Centro de Investigación en Biotecnología Aplicada, Instituto Politécnico Nacional, Tlaxcala, (Mexico); Galván-Arellano, Miguel [Centro de Investigación y de Estudios Avanzados, Instituto Politécnico Nacional (Mexico); Anda-Salazar, Francisco de [Instituto de Investigación en Comunicación Óptica, Universidad Autónoma de San Luis Potosí (Mexico); Contreras-Rascon, Jorge Indalecio [Departamento de Física, Universidad de Sonora (Mexico)

    2017-10-15

    This work presents the characterization of ZnTe nano layers grown on GaAs and GaSb (100) substrates by the Atomic Layer Deposition (ALD) regime. Under certain conditions, the alternating exposition of a substrate surface to the element vapours makes possible the growth of atomic layers in a reactor where the atmosphere is high-purity hydrogen. ZnTe was grown simultaneously on GaAs and GaSb at the same run, allowing, a comparison between the effects produced by the superficial processes due to the different used substrates, thereby eliminating possible unintended changes of growth parameters. Nano layers on GaSb maintained their shiny appearance even at temperatures near 420°C. It was found that for exposure times below 2.5 s there was not growth on GaAs, while for GaSb the shortest time was 1.5 s at 385°C. By HRXRD the peak corresponding to (004) diffraction plane of ZnTe was identified and investigated, the FWHM resulted very wide (600-800 arcsec) indicating a highly distorted lattice mainly due to mosaicity. Raman scattering shows the peak corresponding to LO-ZnTe, which is weak and slightly shifted in comparison with the reported for the bulk ZnTe at 210 cm{sup -1}. Additionally, the measurements suggest that the crystalline quality have a dependence with the growth temperature. (author)

  15. Researching the electrical properties of single A3B5 nanowires

    Science.gov (United States)

    Vasiliev, A. A.; Mozharov, A. M.; Komissarenko, F. E.; Cirlin, G. E.; Bouravlev, D. A.; Mukhin, I. S.

    2017-11-01

    We investigate electrical characteristics of GaN, GaAs and GaP NWs which are grown with MOCVD and MBE. We developed measurement technique and it allows to determine the required properties of the structures.

  16. Flexible supercapacitor electrodes with vertically aligned carbon nanotubes grown on aluminum foils

    Directory of Open Access Journals (Sweden)

    Itir Bakis Dogru

    2016-06-01

    Full Text Available In this work, vertically aligned carbon nanotubes (VACNTs grown on aluminum foils were used as flexible supercapacitor electrodes. Aluminum foils were used as readily available, cheap and conductive substrates, and VACNTs were grown directly on these foils through chemical vapor deposition (CVD method. Solution based ultrasonic spray pyrolysis (USP method was used for the deposition of the CNT catalyst. Direct growth of VACNTs on aluminum foils ruled out both the internal resistance of the supercapacitor electrodes and the charge transfer resistance between the electrode and electrolyte. A specific capacitance of 2.61 mF/cm2 at a scan rate of 800 mV/s was obtained from the fabricated electrodes, which is further improved through the bending cycles.

  17. X-ray photoelectron spectroscopy study of the growth kinetics of biomimetically grown hydroxyapatite thin-film coatings

    International Nuclear Information System (INIS)

    McLeod, K.; Kumar, S.; Dutta, N.K.; Smart, R.St.C.; Voelcker, N.H.; Anderson, G.I.

    2010-01-01

    Hydroxyapatite (HA) thin-film coatings grown biomimetically using simulated body fluid (SBF) are desirable for a range of applications such as improved fixation of fine- and complex-shaped orthopedic and dental implants, tissue engineering scaffolds and localized and sustained drug delivery. There is a dearth of knowledge on two key aspects of SBF-grown HA coatings: (i) the growth kinetics over short deposition periods, hours rather than weeks; and (ii) possible difference between the coatings deposited with and without periodic SBF replenishment. A study centred on these aspects is reported. X-ray photoelectron spectroscopy (XPS) has been used to study the growth kinetics of SBF-grown HA coatings for deposition periods ranging from 0.5 h to 21 days. The coatings were deposited with and without periodic replenishment of SBF. The XPS studies revealed that: (i) a continuous, stable HA coating fully covered the titanium substrate after a growth period of 13 h without SBF replenishment; (ii) thicker HA coatings about 1 μm in thickness resulted after a growth period of 21 days, both with and without SBF replenishment; and (iii) the Ca/P ratio at the surface of the HA coating was significantly lower than that in its bulk. No significant difference between HA grown with and without periodic replenishment of SBF was found. The coatings were determined to be carbonated, a characteristic desirable for improved implant fixation. The atomic force and scanning electron microscopies results suggested that heterogeneous nucleation and growth are the primary deposition mode for these coatings. Primary osteoblast cell studies demonstrated the biocompatibility of these coatings, i.e., osteoblast colony coverage of approximately 80%, similar to the control substrate (tissue culture polystyrene).

  18. X-ray photoelectron spectroscopy study of the growth kinetics of biomimetically grown hydroxyapatite thin-film coatings

    Energy Technology Data Exchange (ETDEWEB)

    McLeod, K. [Ian Wark Research Institute, University of South Australia, Mawson Lakes, SA 5095 (Australia); Kumar, S., E-mail: sunil.kumar@unisa.edu.au [Ian Wark Research Institute, University of South Australia, Mawson Lakes, SA 5095 (Australia); Dutta, N.K. [Ian Wark Research Institute, University of South Australia, Mawson Lakes, SA 5095 (Australia); Smart, R.St.C. [Applied Centre for Structural and Synchrotron Studies, University of South Australia, Mawson Lakes, SA 5095 (Australia); Voelcker, N.H. [School of Chemistry, Physics and Earth Sciences, Flinders University of South Australia, GPO Box 2100, Adelaide 5001 (Australia); Anderson, G.I. [School of Veterinary Science, University of Adelaide, Adelaide, SA 5005 (Australia)

    2010-09-15

    Hydroxyapatite (HA) thin-film coatings grown biomimetically using simulated body fluid (SBF) are desirable for a range of applications such as improved fixation of fine- and complex-shaped orthopedic and dental implants, tissue engineering scaffolds and localized and sustained drug delivery. There is a dearth of knowledge on two key aspects of SBF-grown HA coatings: (i) the growth kinetics over short deposition periods, hours rather than weeks; and (ii) possible difference between the coatings deposited with and without periodic SBF replenishment. A study centred on these aspects is reported. X-ray photoelectron spectroscopy (XPS) has been used to study the growth kinetics of SBF-grown HA coatings for deposition periods ranging from 0.5 h to 21 days. The coatings were deposited with and without periodic replenishment of SBF. The XPS studies revealed that: (i) a continuous, stable HA coating fully covered the titanium substrate after a growth period of 13 h without SBF replenishment; (ii) thicker HA coatings about 1 {mu}m in thickness resulted after a growth period of 21 days, both with and without SBF replenishment; and (iii) the Ca/P ratio at the surface of the HA coating was significantly lower than that in its bulk. No significant difference between HA grown with and without periodic replenishment of SBF was found. The coatings were determined to be carbonated, a characteristic desirable for improved implant fixation. The atomic force and scanning electron microscopies results suggested that heterogeneous nucleation and growth are the primary deposition mode for these coatings. Primary osteoblast cell studies demonstrated the biocompatibility of these coatings, i.e., osteoblast colony coverage of approximately 80%, similar to the control substrate (tissue culture polystyrene).

  19. The study and the realization of radiation detectors made from polycrystalline diamond films grown by microwave plasma enhanced chemical vapour deposition technique

    International Nuclear Information System (INIS)

    Jany, Ch.

    1998-01-01

    The aim of this work was to develop radiation detectors made from polycrystalline diamond films grown by microwave plasma enhanced chemical vapour deposition technique. The influence of surface treatments, contact technology and diamond growth parameters on the diamond detectors characteristics was investigated in order to optimise the detector response to alpha particles. The first part of the study focused on the electrical behaviour of as-deposited diamond surface, showing a p type conduction and its influence on the leakage current of the device. A surface preparation process was established in order to reduce the leakage current of the device by surface dehydrogenation using an oxidising step. Several methods to form and treat electrical contacts were also investigated showing that the collection efficiency of the device decreases after contact annealing. In the second part, we reported the influence of the diamond deposition parameters on the characteristics of the detectors. The increase of the deposition temperature and/or methane concentration was shown to lead η to decrease. In contrast, η was found to increase with the micro-wave power. The evolution of the diamond detector characteristics results from the variation in sp 2 phases incorporation and in the crystallography quality of the films. These defects increase the leakage current and reduce the carrier mobility and lifetime. Measurements carried out on detectors with different thicknesses showed that the physical properties varies along the growth direction, improving with the film thickness. Finally, the addition of nitrogen (> 10 ppm) in the gas mixture during diamond deposition was found to strongly reduce the collection efficiency of the detectors. To conclude the study, we fabricated and characterised diamond devices which were used for thermal neutron detection and for the intensity and shape measurement of VUV and soft X-ray pulses. (author)

  20. Crystal Structure and Ferroelectric Properties of ε-Ga2O3 Films Grown on (0001)-Sapphire.

    Science.gov (United States)

    Mezzadri, Francesco; Calestani, Gianluca; Boschi, Francesco; Delmonte, Davide; Bosi, Matteo; Fornari, Roberto

    2016-11-21

    The crystal structure and ferroelectric properties of ε-Ga 2 O 3 deposited by low-temperature MOCVD on (0001)-sapphire were investigated by single-crystal X-ray diffraction and the dynamic hysteresis measurement technique. A thorough investigation of this relatively unknown polymorph of Ga 2 O 3 showed that it is composed of layers of both octahedrally and tetrahedrally coordinated Ga 3+ sites, which appear to be occupied with a 66% probability. The refinement of the crystal structure in the noncentrosymmetric space group P6 3 mc pointed out the presence of uncompensated electrical dipoles suggesting ferroelectric properties, which were finally demonstrated by independent measurements of the ferroelectric hysteresis. A clear epitaxial relation is observed with respect to the c-oriented sapphire substrate, with the Ga 2 O 3 [10-10] direction being parallel to the Al 2 O 3 direction [11-20], yielding a lattice mismatch of about 4.1%.