WorldWideScience

Sample records for deposition cvd technique

  1. High quality aluminide and thermal barrier coatings deposition for new and service exposed parts by CVD techniques

    Energy Technology Data Exchange (ETDEWEB)

    Pedraza, F.; Tuohy, C.; Whelan, L.; Kennedy, A.D. [SIFCO Turbine Components, Carrigtwohill, Cork (Ireland)

    2004-07-01

    In this work, the performance of CVD aluminide coatings is compared to that of coatings deposited by the classical pack cementation technique using standard SIFCO procedures. The CVD coatings always seem to behave better upon exposure to isothermal and cyclic oxidation conditions. This is explained by a longer term stability of CVD coatings, with higher Al amounts in the diffusion zone and less refractory element precipitation in the additive layer. The qualities of Pt/Al coatings by out-of-pack and CVD are also compared as a previous step for further thermal barrier coating deposition. As an example, YSZ thermal barrier coatings are deposited by MO-CVD on Pt/Al CVD bond coats rendering adherent and thick coatings around the surface of turbine blades. This process under development does not require complex manipulation of the component to be coated. (orig.)

  2. An economic CVD technique for pure SnO2 thin films deposition ...

    Indian Academy of Sciences (India)

    An economic CVD technique for pure SnO2 thin films deposition: Temperature effects ..... C are depicted in figure 7. It is observed that the cut-off wave- ... cating that the energy gap of the SnO2 films varies among. 3·54, 3·35 and 1·8 eV.

  3. Experiment and equipment of depositing diamond films with CVD system

    International Nuclear Information System (INIS)

    Xie Erqing; Song Chang'an

    2002-01-01

    CVD (chemical vapor deposition) emerged in recent years is a new technique for thin film deposition, which play a key role in development of modern physics. It is important to predominate the principle and technology of CVD for studying modern physics. In this paper, a suit of CVD experimental equipment for teaching in college physics is presented, which has simple design and low cost. The good result was gained in past teaching practices

  4. Surface coatings deposited by CVD and PVD

    International Nuclear Information System (INIS)

    Gabriel, H.M.

    1982-01-01

    The demand for wear and corrosion protective coatings is increasing due to economic facts. Deposition processes in gas atmospheres like the CVD and PVD processes attained a tremendous importance especially in the field of the deposition of thin hard refractory and ceramic coatings. CVD and PVD processes are reviewed in detail. Some examples of coating installations are shown and numerous applications are given to demonstrate the present state of the art. (orig.) [de

  5. Thermoluminescence properties of undoped diamond films deposited using HF CVD technique

    Directory of Open Access Journals (Sweden)

    Paprocki K.

    2018-03-01

    Full Text Available Natural diamond has been considered as a perspective material for clinical radiation dosimetry due to its tissuebiocompatibility and chemical inertness. However, the use of natural diamond in radiation dosimetry has been halted by the high market price. The recent progress in the development of CVD techniques for diamond synthesis, offering the capability of growing high quality diamond layers, has renewed the interest in using this material in radiation dosimeters having small geometricalsizes. Polycrystalline CVD diamond films have been proposed as detectors and dosimeters of β and α radiation with prospective applications in high-energy photon dosimetry. In this work, we present a study on the TL properties of undoped diamond film samples grown by the hot filament CVD (HF CVD method and exposed to β and α radiation. The glow curves for both types of radiation show similar character and can be decomposed into three components. The dominant TL peaks are centered at around 610 K and exhibit activation energy of the order of 0.90 eV.

  6. Chemical vapor deposition (CVD) of uranium for alpha spectrometry

    International Nuclear Information System (INIS)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F.

    2015-09-01

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  7. A study of the performance and properties of diamond like carbon (DLC) coatings deposited by plasma chemical vapor deposition (CVD) for two stroke engine components

    Energy Technology Data Exchange (ETDEWEB)

    Tither, D. [BEP Grinding Ltd., Manchester (United Kingdom); Ahmed, W.; Sarwar, M.; Penlington, R. [Univ. of Northumbria, Newcastle-upon-Tyne (United Kingdom)

    1995-12-31

    Chemical vapor deposition (CVD) using microwave and RF plasma is arguably the most successful technique for depositing diamond and diamond like carbon (DLC) films for various engineering applications. However, the difficulties of depositing diamond are nearly as extreme as it`s unique combination of physical, chemical and electrical properties. In this paper, the modified low temperature plasma enhanced CVD system is described. The main focus of this paper will be work related to deposition of DLC on metal matrix composite materials (MMCs) for application in two-stroke engine components and results will be presented from SEM, mechanical testing and composition analysis studies. The authors have demonstrated the feasibility of depositing DLC on MMCs for the first time using a vacuum deposition process.

  8. Comparative study of dlc coatings by pvd against cvd technique on textile dents

    International Nuclear Information System (INIS)

    Malik, M.; Alam, S.; Iftikhar, F.

    2007-01-01

    Diamond like Carbon (DLC) film is a hard amorphous carbon hydride film formed by Physical or Chemical vapor deposition (PVD or CVD) techniques. Due to its unique properties especially high hardness, lower coefficient of friction and lubricious nature, these coatings are not only used to extend the life of cutting tools but also for non cutting applications such as for forming dies, molds and on many functional parts of textile. In the present work two techniques were employed i.e. PVD and CVD for deposition of diamond like carbon film on textile dents. These dents are used as thread guider in high speed weaving machine. The measurement of coating thickness, adhesion, hardness and roughness values indicates that overall properties of DLC coating developed by PVD LARC technology reduces abrasion and increases the workability and durability of textile dents as well as suppress the need of lubricants. (author)

  9. Low temperature CVD deposition of silicon carbide

    International Nuclear Information System (INIS)

    Dariel, M.; Yeheskel, J.; Agam, S.; Edelstein, D.; Lebovits, O.; Ron, Y.

    1991-04-01

    The coating of graphite on silicon carbide from the gaseous phase in a hot-well, open flow reactor at 1150degC is described. This study constitutes the first part of an investigation of the process for the coating of nuclear fuel by chemical vapor deposition (CVD)

  10. One-dimensional surface-imprinted polymeric nanotubes for specific biorecognition by initiated chemical vapor deposition (iCVD).

    Science.gov (United States)

    Ince, Gozde Ozaydin; Armagan, Efe; Erdogan, Hakan; Buyukserin, Fatih; Uzun, Lokman; Demirel, Gokhan

    2013-07-24

    Molecular imprinting is a powerful, generic, and cost-effective technique; however, challenges still remain related to the fabrication and development of these systems involving nonhomogeneous binding sites, insufficient template removing, incompatibility with aqueous media, low rebinding capacity, and slow mass transfer. The vapor-phase deposition of polymers is a unique technique because of the conformal nature of coating and offers new possibilities in a number of applications including sensors, microfluidics, coating, and bioaffinity platforms. Herein, we demonstrated a simple but versatile concept to generate one-dimensional surface-imprinted polymeric nanotubes within anodic aluminum oxide (AAO) membranes based on initiated chemical vapor deposition (iCVD) technique for biorecognition of immunoglobulin G (IgG). It is reported that the fabricated surface-imprinted nanotubes showed high binding capacity and significant specific recognition ability toward target molecules compared with the nonimprinted forms. Given its simplicity and universality, the iCVD method can offer new possibilities in the field of molecular imprinting.

  11. Chemical vapor deposition (CVD) of uranium for alpha spectrometry; Deposicion quimica de vapor (CVD) de uranio para espectrometria alfa

    Energy Technology Data Exchange (ETDEWEB)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F., E-mail: luisalawliet@gmail.com [Universidad Autonoma de Zacatecas, Unidad Academica de Estudios Nucleares, Cipres No. 10, Fracc. La Penuela, 98068 Zacatecas (Mexico)

    2015-09-15

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  12. Deposition and micro electrical discharge machining of CVD-diamond layers incorporated with silicon

    Science.gov (United States)

    Kühn, R.; Berger, T.; Prieske, M.; Börner, R.; Hackert-Oschätzchen, M.; Zeidler, H.; Schubert, A.

    2017-10-01

    In metal forming, lubricants have to be used to prevent corrosion or to reduce friction and tool wear. From an economical and ecological point of view, the aim is to avoid the usage of lubricants. For dry deep drawing of aluminum sheets it is intended to apply locally micro-structured wear-resistant carbon based coatings onto steel tools. One type of these coatings are diamond layers prepared by chemical vapor deposition (CVD). Due to the high strength of diamond, milling processes are unsuitable for micro-structuring of these layers. In contrast to this, micro electrical discharge machining (micro EDM) is a suitable process for micro-structuring CVD-diamond layers. Due to its non-contact nature and its process principle of ablating material by melting and evaporating, it is independent of the hardness, brittleness or toughness of the workpiece material. In this study the deposition and micro electrical discharge machining of silicon incorporated CVD-diamond (Si-CVD-diamond) layers were presented. For this, 10 µm thick layers were deposited on molybdenum plates by a laser-induced plasma CVD process (LaPlas-CVD). For the characterization of the coatings RAMAN- and EDX-analyses were conducted. Experiments in EDM were carried out with a tungsten carbide tool electrode with a diameter of 90 µm to investigate the micro-structuring of Si-CVD-diamond. The impact of voltage, discharge energy and tool polarity on process speed and resulting erosion geometry were analyzed. The results show that micro EDM is a suitable technology for micro-structuring of silicon incorporated CVD-diamond layers.

  13. Selective tungsten deposition in a batch cold wall CVD system

    International Nuclear Information System (INIS)

    Chow, R.; Kang, S.; Harshbarger, W.R.; Susoeff, M.

    1987-01-01

    Selective deposition of tungsten offers many advantages for VLSI technology. The process can be used as a planarization technique for multilevel interconnect technology, it can be used to fill contacts and to provide a barrier layer between Al and Si materials, and the selective W process might be used as a self-aligned technology to provide low resistance layers on source/drain and gate conductors. Recent publications have indicate that cold wall CVD systems provide advantages for development of selective W process. Genus has investigated selective W deposition processing, and we have developed a selective W deposition process for the Genus 8402 multifilm deposition system. This paper describes the Genus 8402 system and the selective W process developed in this reactor. To further develop selective W technology, Genus has signed an agreement with General Electric establishing a joint development program. As a part of this program, the authors characterized the selective W process for encroachment, Si consumption and degrees of selectivity on various dielectrics. The status of this development activity and process characterization is reviewed in this paper

  14. Low-temperature graphene synthesis using microwave plasma CVD

    International Nuclear Information System (INIS)

    Yamada, Takatoshi; Kim, Jaeho; Ishihara, Masatou; Hasegawa, Masataka

    2013-01-01

    The graphene chemical vapour deposition (CVD) technique at substrate temperatures around 300 °C by a microwave plasma sustained by surface waves (surface wave plasma chemical vapour deposition, SWP-CVD) is discussed. A low-temperature, large-area and high-deposition-rate CVD process for graphene films was developed. It was found from Raman spectra that the deposited films on copper (Cu) substrates consisted of high-quality graphene flakes. The fabricated graphene transparent conductive electrode showed uniform optical transmittance and sheet resistance, which suggests the possibility of graphene for practical electrical and optoelectronic applications. It is intriguing that graphene was successfully deposited on aluminium (Al) substrates, for which we did not expect the catalytic effect to decompose hydrocarbon and hydrogen molecules. We developed a roll-to-roll SWP-CVD system for continuous graphene film deposition towards industrial mass production. A pair of winder and unwinder systems of Cu film was installed in the plasma CVD apparatus. Uniform Raman spectra were confirmed over the whole width of 297 mm of Cu films. We successfully transferred the deposited graphene onto PET films, and confirmed a transmittance of about 95% and a sheet resistance of less than 7 × 10 5 Ω/sq.

  15. Low-temperature graphene synthesis using microwave plasma CVD

    Science.gov (United States)

    Yamada, Takatoshi; Kim, Jaeho; Ishihara, Masatou; Hasegawa, Masataka

    2013-02-01

    The graphene chemical vapour deposition (CVD) technique at substrate temperatures around 300 °C by a microwave plasma sustained by surface waves (surface wave plasma chemical vapour deposition, SWP-CVD) is discussed. A low-temperature, large-area and high-deposition-rate CVD process for graphene films was developed. It was found from Raman spectra that the deposited films on copper (Cu) substrates consisted of high-quality graphene flakes. The fabricated graphene transparent conductive electrode showed uniform optical transmittance and sheet resistance, which suggests the possibility of graphene for practical electrical and optoelectronic applications. It is intriguing that graphene was successfully deposited on aluminium (Al) substrates, for which we did not expect the catalytic effect to decompose hydrocarbon and hydrogen molecules. We developed a roll-to-roll SWP-CVD system for continuous graphene film deposition towards industrial mass production. A pair of winder and unwinder systems of Cu film was installed in the plasma CVD apparatus. Uniform Raman spectra were confirmed over the whole width of 297 mm of Cu films. We successfully transferred the deposited graphene onto PET films, and confirmed a transmittance of about 95% and a sheet resistance of less than 7 × 105 Ω/sq.

  16. CVD diamond deposition onto dental burs

    International Nuclear Information System (INIS)

    Ali, N.; Sein, H.

    2001-01-01

    A hot-filament chemical vapor deposition (HFCVD) system has been modified to enable non-planar substrates, such as metallic wires and dental burs, to be uniformly coated with thin polycrystalline diamond films. Initially, diamond deposition was carried out on titanium and tantalum wires in order to test and optimize the system. High growth rates of the order of approx. 8 /hr were obtained when depositing diamond on titanium wires using the vertical filament arrangement. However, lower growth rates of the order of 4-5meu m/hr were obtained with diamond deposition on tantalum wires. To extend the work towards a practical biomedical application tungsten carbide dental burs were coated with diamond films. The as-grown films were found to be polycrystalline and uniform over the cutting tip. Finally, the costs relating to diamond CVD onto dental burs have been presented in this paper. The costs relating to coating different number of burs at a time and the effect of film thickness on costs have been included in this investigation. (author)

  17. Deposition and Characterization of CVD-Grown Ge-Sb Thin Film Device for Phase-Change Memory Application

    Directory of Open Access Journals (Sweden)

    C. C. Huang

    2012-01-01

    Full Text Available Germanium antimony (Ge-Sb thin films with tuneable compositions have been fabricated on SiO2/Si, borosilicate glass, and quartz glass substrates by chemical vapour deposition (CVD. Deposition takes place at atmospheric pressure using metal chloride precursors at reaction temperatures between 750 and 875°C. The compositions and structures of these thin films have been characterized by micro-Raman, scanning electron microscope (SEM with energy dispersive X-ray analysis (EDX and X-ray diffraction (XRD techniques. A prototype Ge-Sb thin film phase-change memory device has been fabricated and reversible threshold and phase-change switching demonstrated electrically, with a threshold voltage of 2.2–2.5 V. These CVD-grown Ge-Sb films show promise for applications such as phase-change memory and optical, electronic, and plasmonic switching.

  18. Chemical vapor deposition: A technique for applying protective coatings

    Energy Technology Data Exchange (ETDEWEB)

    Wallace, T.C. Sr.; Bowman, M.G.

    1979-01-01

    Chemical vapor deposition is discussed as a technique for applying coatings for materials protection in energy systems. The fundamentals of the process are emphasized in order to establish a basis for understanding the relative advantages and limitations of the technique. Several examples of the successful application of CVD coating are described. 31 refs., and 18 figs.

  19. Plasma-Enhanced Chemical Vapor Deposition (PE-CVD) yields better Hydrolytical Stability of Biocompatible SiOx Thin Films on Implant Alumina Ceramics compared to Rapid Thermal Evaporation Physical Vapor Deposition (PVD).

    Science.gov (United States)

    Böke, Frederik; Giner, Ignacio; Keller, Adrian; Grundmeier, Guido; Fischer, Horst

    2016-07-20

    Densely sintered aluminum oxide (α-Al2O3) is chemically and biologically inert. To improve the interaction with biomolecules and cells, its surface has to be modified prior to use in biomedical applications. In this study, we compared two deposition techniques for adhesion promoting SiOx films to facilitate the coupling of stable organosilane monolayers on monolithic α-alumina; physical vapor deposition (PVD) by thermal evaporation and plasma enhanced chemical vapor deposition (PE-CVD). We also investigated the influence of etching on the formation of silanol surface groups using hydrogen peroxide and sulfuric acid solutions. The film characteristics, that is, surface morphology and surface chemistry, as well as the film stability and its adhesion properties under accelerated aging conditions were characterized by means of X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDX), scanning electron microscopy (SEM), inductively coupled plasma-optical emission spectroscopy (ICP-OES), and tensile strength tests. Differences in surface functionalization were investigated via two model organosilanes as well as the cell-cytotoxicity and viability on murine fibroblasts and human mesenchymal stromal cells (hMSC). We found that both SiOx interfaces did not affect the cell viability of both cell types. No significant differences between both films with regard to their interfacial tensile strength were detected, although failure mode analyses revealed a higher interfacial stability of the PE-CVD films compared to the PVD films. Twenty-eight day exposure to simulated body fluid (SBF) at 37 °C revealed a partial delamination of the thermally deposited PVD films whereas the PE-CVD films stayed largely intact. SiOx layers deposited by both PVD and PE-CVD may thus serve as viable adhesion-promoters for subsequent organosilane coupling agent binding to α-alumina. However, PE-CVD appears to be favorable for long-term direct film exposure to aqueous

  20. Comparative evaluation of CVD diamond technologies

    Energy Technology Data Exchange (ETDEWEB)

    Anthony, T.R. [General Electric Corporate Research & Development Center, Schenectady, NY (United States)

    1993-01-01

    Chemical vapor deposition (CVD) of diamonds occurs from hydrogen-hydrocarbon gas mixtures in the presence of atomic hydrogen at subatmospheric pressures. Most CVD methods are based on different means of generating and transporting atomic hydrogen in a particular system. Evaluation of these different techniques involves their capital costs, material costs, energy costs, labor costs and the type and quality of diamond that they produce. Currently, there is no universal agreement on which is the best technique and technique selection has been largely driven by the professional background of the user as well as the particular application of interest. This article discusses the criteria for evaluating a process for low-pressure deposition of diamond. Next, a brief history of low-pressure diamond synthesis is reviewed. Several specific processes are addressed, including the hot filament process, hot filament electron-assisted chemical vapor deposition, and plasma generation of atomic hydrogen by glow discharge, microwave discharge, low pressure radio frequency discharge, high pressure DC discharge, high pressure microwave discharge jets, high pressure RF discharge, and high and low pressure flames. Other types of diamond deposition methods are also evaluated. 101 refs., 15 figs.

  1. Merging Standard CVD Techniques for GaAs and Si Epitaxial Growth

    NARCIS (Netherlands)

    Sammak, A.; De Boer, W.; Van den Bogaard, A.; Nanver, L.K.

    2010-01-01

    A commercial Chemical Vapor Deposition (CVD) system, the ASMI Epsilon 2000 designed for Si and SiGe epitaxy, has, for the first time, been equipped for the growth of GaAs compounds in a manner that does not exclude the use of the system also for Si-based depositions. With the new system, intrinsic,

  2. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Science.gov (United States)

    Kundrát, Vojtěch; Zhang, Xiaoling; Cooke, Kevin; Sun, Hailin; Sullivan, John; Ye, Haitao

    2015-04-01

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) - tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  3. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Energy Technology Data Exchange (ETDEWEB)

    Kundrát, Vojtěch; Sullivan, John; Ye, Haitao, E-mail: h.ye@aston.ac.uk [School of Engineering and Applied Science, Aston University, Birmingham, B4 7ET (United Kingdom); Zhang, Xiaoling; Cooke, Kevin; Sun, Hailin [Miba Coating Group: Teer Coatings Ltd, West-Stone-House, West-Stone, Berry-Hill-Industrial-Estate, WR9 9AS, Droitwich (United Kingdom)

    2015-04-15

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) – tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  4. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Directory of Open Access Journals (Sweden)

    Vojtěch Kundrát

    2015-04-01

    Full Text Available Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42 substrates using a multi-structured molybdenum (Mo – tungsten (W interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  5. Low-pressure c-BN deposition - is a CVD process possible?

    International Nuclear Information System (INIS)

    Haubner, R.; Tang, X.

    2001-01-01

    Since the low-pressure diamond deposition was discovered in 1982 there is a high interest to find a similar process for the c-BN synthesis. A review about the c-BN deposition process as well as its characterization is given. Experiments with a simple chemical vapor deposition(CVD) reactor using tris(dimethylamino)borane as precursor were carried out. In a cold-wall reactor substrates were heated up by high-frequency. Argon was used as protecting and carrying the precursor, it was saturated with tris(dimethylamino)borane (precursor) according to its vapor pressure and transports the pressure to the hot substrate, where deposition occurs. WC-Co hardmetal plates containing 6 wt. % Co, Mo and Si were used as substrates. Various BN layers were deposited and characterized. X-ray diffraction, IR-spectroscopy and SIMS indicate that BN-coatings containing c-BN were deposited. However a final verification of c-BN crystallites by TEM investigations was not possible till now. (nevyjel)

  6. Effect of pretreatment and deposition parameters on diamond nucleation in CVD

    International Nuclear Information System (INIS)

    Nazim, E.; Izman, S.; Ourdjini, A.; Shaharoun, A.M.

    2007-01-01

    Chemical vapour deposition (CVD) of diamond films on cemented carbide (WC) has aroused great interest in recent years. The combination of toughness from the WC and the high hardness of diamond results in outstanding wear resistance. This will increase the lifetime and better technical performance of the components made of diamond coated carbide. One of the important steps in the growth of diamond film is the nucleation of diamond as its density strongly influences the diamond growth process, film quality and morphology. In this paper the various effects of surface pretreatment and diamond deposition conditions on the diamond nucleation density are reviewed. (author)

  7. An economic CVD technique for pure SnO 2 thin films deposition

    Indian Academy of Sciences (India)

    A modified new method of CVD for formation of pure layers of tin oxide films was developed. This method is very simple and inexpensive and produces films with good electrical properties. The effect of substrate temperature on the sheet resistance, resistivity, mobility, carrier concentration and transparency of the films has ...

  8. Synthesis of crystalline Ge nanoclusters in PE-CVD-deposited SiO2 films

    DEFF Research Database (Denmark)

    Leervad Pedersen, T.P.; Skov Jensen, J.; Chevallier, J.

    2005-01-01

    The synthesis of evenly distributed Ge nanoclusters in plasma-enhanced chemical-vapour-deposited (PE-CVD) SiO2 thin films containing 8 at. % Ge is reported. This is of importance for the application of nanoclusters in semiconductor technology. The average diameter of the Ge nanoclusters can...

  9. Effect of PbI2 deposition rate on two-step PVD/CVD all-vacuum prepared perovskite

    International Nuclear Information System (INIS)

    Ioakeimidis, Apostolos; Christodoulou, Christos; Lux-Steiner, Martha; Fostiropoulos, Konstantinos

    2016-01-01

    In this work we fabricate all-vacuum processed methyl ammonium lead halide perovskite by a sequence of physical vapour deposition of PbI 2 and chemical vapour deposition (CVD) of CH 3 NH 3 I under a static atmosphere. We demonstrate that for higher deposition rate the (001) planes of PbI 2 film show a higher degree of alignment parallel to the sample's surface. From X-ray diffraction data of the resulted perovskite film we derive that the intercalation rate of CH 3 NH 3 I is fostered for PbI 2 films with higher degree of (001) planes alignment. The stoichiometry of the produced perovskite film is also studied by Hard X-ray photoelectron spectroscopy measurements. Complete all-vacuum perovskite solar cells were fabricated on glass/ITO substrates coated by an ultra-thin (5 nm) Zn-phthalocyanine film as hole selective layer. A dependence of residual PbI 2 on the solar cells performance is displayed, while photovoltaic devices with efficiency up to η=11.6% were achieved. - Graphical abstract: A two-step PVD/CVD processed perovskite film with the CVD intercalation rate of CH 3 NCH 3 molecules been fostered by increasing the PVD rate of PbI 2 and prolonging the CVD time. - Highlights: • A simple PVD/CVD process for perovskite film production. • Increased PVD rate yields better alignment of the PbI 2 (001) crystallite planes. • CH 3 NH 3 I intercalation process fostered by increased PbI 2 PVD rate. • Stoichiometric CH 3 NH 3 PbI 3 suitable as absorber in photovoltaic applications • Reduced PbI 2 residue at the bottom of CH 3 NH 3 PbI 3 improves device performance.

  10. Effect of surface irradiation during the photo-CVD deposition of a-Si:H thin films. Hikari CVD ho ni yoru amorphous silicon sakuseiji no kiban hikari reiki koka

    Energy Technology Data Exchange (ETDEWEB)

    Tasaka, K.; Doering, H.; Hashimoto, K.; Fujishima, A. (The University of Tokyo, Tokyo (Japan))

    1990-12-06

    This paper shows the impact of the irradiation from an additional light source during the deposition of hydrogenated amorphous silicon by photo-CVD deposition. Using a mercury sensitized photo-CVD process from Disilan (Si {sub 2} H {sub 6}) and hydrogen, silicon was deposited. A 40W low pressure mercury lamp was applied as the light source. A portion of the substrate was in addition irradiated using an Xg-He lamp through a thermal filter. Irradiation of the substrate using only Xg-He lamp produced no deposition, since this light has a wavelength which is too long to produce the SiH {sub 3}-radicals needed for Si deposition. The additional Xg-He light source was discovered to cause an increased thickness of deposited a-Si:H film and a transmission of the band structure. The reasons of these are considered that the influence of irradiation is not limited to film thickness, but that irradiation also impacts the composition of the a-Si:H film so as to cause a reduction in the hydrogen content. 10 figs., 1 tab.

  11. Graphene Synthesis by Plasma-Enhanced CVD Growth with Ethanol

    OpenAIRE

    Campo, T.; Cotto, M.; Márquez, F.; Elizalde, E.; Morant, C.

    2016-01-01

    A modified route to synthesize graphene flakes is proposed using the Chemical Vapor Deposition (CVD) technique, by using copper substrates as supports. The carbon source used was ethanol, the synthesis temperature was 950°C and the pressure was controlled along the whole process. In this CVD synthesis process the incorporation of the carbon source was produced at low pressure and 950°C inducing the appearance of a plasma blue flash inside the quartz tube. Apparently, the presence of this plas...

  12. Effect of PbI{sub 2} deposition rate on two-step PVD/CVD all-vacuum prepared perovskite

    Energy Technology Data Exchange (ETDEWEB)

    Ioakeimidis, Apostolos; Christodoulou, Christos; Lux-Steiner, Martha; Fostiropoulos, Konstantinos, E-mail: fostiropoulos@helmholtz-berlin.de

    2016-12-15

    In this work we fabricate all-vacuum processed methyl ammonium lead halide perovskite by a sequence of physical vapour deposition of PbI{sub 2} and chemical vapour deposition (CVD) of CH{sub 3}NH{sub 3}I under a static atmosphere. We demonstrate that for higher deposition rate the (001) planes of PbI{sub 2} film show a higher degree of alignment parallel to the sample's surface. From X-ray diffraction data of the resulted perovskite film we derive that the intercalation rate of CH{sub 3}NH{sub 3}I is fostered for PbI{sub 2} films with higher degree of (001) planes alignment. The stoichiometry of the produced perovskite film is also studied by Hard X-ray photoelectron spectroscopy measurements. Complete all-vacuum perovskite solar cells were fabricated on glass/ITO substrates coated by an ultra-thin (5 nm) Zn-phthalocyanine film as hole selective layer. A dependence of residual PbI{sub 2} on the solar cells performance is displayed, while photovoltaic devices with efficiency up to η=11.6% were achieved. - Graphical abstract: A two-step PVD/CVD processed perovskite film with the CVD intercalation rate of CH{sub 3}NCH{sub 3} molecules been fostered by increasing the PVD rate of PbI{sub 2} and prolonging the CVD time. - Highlights: • A simple PVD/CVD process for perovskite film production. • Increased PVD rate yields better alignment of the PbI{sub 2} (001) crystallite planes. • CH{sub 3}NH{sub 3}I intercalation process fostered by increased PbI{sub 2} PVD rate. • Stoichiometric CH{sub 3}NH{sub 3}PbI{sub 3} suitable as absorber in photovoltaic applications • Reduced PbI{sub 2} residue at the bottom of CH{sub 3}NH{sub 3}PbI{sub 3} improves device performance.

  13. Convection and chemistry effects in CVD: A 3-D analysis for silicon deposition

    Science.gov (United States)

    Gokoglu, S. A.; Kuczmarski, M. A.; Tsui, P.; Chait, A.

    1989-01-01

    The computational fluid dynamics code FLUENT has been adopted to simulate the entire rectangular-channel-like (3-D) geometry of an experimental CVD reactor designed for Si deposition. The code incorporated the effects of both homogeneous (gas phase) and heterogeneous (surface) chemistry with finite reaction rates of important species existing in silane dissociation. The experiments were designed to elucidate the effects of gravitationally-induced buoyancy-driven convection flows on the quality of the grown Si films. This goal is accomplished by contrasting the results obtained from a carrier gas mixture of H2/Ar with the ones obtained from the same molar mixture ratio of H2/He, without any accompanying change in the chemistry. Computationally, these cases are simulated in the terrestrial gravitational field and in the absence of gravity. The numerical results compare favorably with experiments. Powerful computational tools provide invaluable insights into the complex physicochemical phenomena taking place in CVD reactors. Such information is essential for the improved design and optimization of future CVD reactors.

  14. Delaminated Transfer of CVD Graphene

    Science.gov (United States)

    Clavijo, Alexis; Mao, Jinhai; Tilak, Nikhil; Altvater, Michael; Andrei, Eva

    Single layer graphene is commonly synthesized by dissociation of a carbonaceous gas at high temperatures in the presence of a metallic catalyst in a process known as Chemical Vapor Deposition or CVD. Although it is possible to achieve high quality graphene by CVD, the standard transfer technique of etching away the metallic catalyst is wasteful and jeopardizes the quality of the graphene film by contamination from etchants. Thus, development of a clean transfer technique and preservation of the parent substrate remain prominent hurdles to overcome. In this study, we employ a copper pretreatment technique and optimized parameters for growth of high quality single layer graphene at atmospheric pressure. We address the transfer challenge by utilizing the adhesive properties between a polymer film and graphene to achieve etchant-free transfer of graphene films from a copper substrate. Based on this concept we developed a technique for dry delamination and transferring of graphene to hexagonal boron nitride substrates, which produced high quality graphene films while at the same time preserving the integrity of the copper catalyst for reuse. DOE-FG02-99ER45742, Ronald E. McNair Postbaccalaureate Achievement Program.

  15. Electrically insulating films deposited on V-4%Cr-4%Ti by reactive CVD

    International Nuclear Information System (INIS)

    Park, J.H.

    1998-04-01

    In the design of liquid-metal blankets for magnetic fusion reactors, corrosion resistance of structural materials and the magnetohydrodynamic forces and their influence on thermal hydraulics and corrosion are major concerns. Electrically insulating CaO films deposited on V-4%Cr-4%Ti exhibit high-ohmic insulator behavior even though a small amount of vanadium from the alloy become incorporated into the film. However, when vanadium concentration in the film is > 15 wt.%, the film becomes conductive. When the vanadium concentration is high in localized areas, a calcium vanadate phase that exhibits semiconductor behavior can form. The objective of this study is to evaluate electrically insulating films that were deposited on V-4%Cr-4%Ti by a reactive chemical vapor deposition (CVD) method. To this end, CaO and Ca-V-O coatings were produced on vanadium alloys by CVD and by a metallic-vapor process to investigate the electrical resistance of the coatings. The authors found that the Ca-V-O films exhibited insulator behavior when the ratio of calcium concentration to vanadium concentration R in the film > 0.9, and semiconductor or conductor behavior when R 0.98 were exposed in liquid lithium. Based on these studies, they conclude that semiconductor behavior occurs if a conductive calcium vanadate phase is present in localized regions in the CaO coating

  16. Interlayers Applied to CVD Diamond Deposition on Steel Substrate: A Review

    Directory of Open Access Journals (Sweden)

    Djoille Denner Damm

    2017-09-01

    Full Text Available Academics and industry have sought after combining the exceptional properties of diamonds with the toughness of steel. Since the early 1990s several partial solutions have been found but chemical vapor deposition (CVD diamond deposition on steel substrate continues to be a persistent problem. The main drawbacks are the high carbon diffusion from gas phase into substrate, the transition metals on the material surface that catalyze sp2 bond formation, instead of sp3 bonds, and the high thermal expansion coefficient (TEC mismatch between diamond and steels. An intermediate layer has been found necessary to increase diamond adhesion. Literature has proposed many efficient intermediate layers as a diffusion barrier for both, carbon and iron, but most intermediate layers shown have not solved TEC mismatch. In this review, we briefly discuss the solutions that exclusively work as diffusion barrier and discuss in a broader way the ones that also solve, or may potentially solve, the TEC mismatch problem. We examine some multilayers, the iron borides, the chromium carbides, and vanadium carbides. We go through the most relevant results of the last two and a half decades, including recent advances in our group. Vanadium carbide looks promising since it has shown excellent diffusion barrier properties, its TEC is intermediary between diamond and steel and, it has been thickened to manage thermal stress relief. We also review a new deposition technique to set up intermediate layers: laser cladding. It is promising because of its versatility in mixing different materials and fusing and/or sintering them on a steel surface. We conclude by remarking on new perspectives.

  17. Surface PIXE analysis of phosphorus in a thin SiO2 (P, B) CVD layer deposited onto Si substrate

    International Nuclear Information System (INIS)

    Roumie, M.; Nsouli, B.

    2001-01-01

    Phosphorus determination, at level of percent, in Si matrix is not an easy analytical task. The analyzed materials arc Borophosphosilicate glass which are an important component of silicon based semiconductor technology. It's a thin SiO2 layer (400 nm) doped with boron and phosphorus using, in general, CVD (Chemical Vapor Deposition) process, in order to improve its plasticity, and deposited onto Si substrate. Therefore, the mechanical behaviour of the CVD SiO2 (P, B) layer is very sensitive to the phosphorus concentration. In this work we explore the capability of FIXE (Particle Induced X-ray Emission) to monitor a rapid and accurate quantification of P which is usually very low in such materials (few percent of the thin CVD layer deposited onto a silicon substrate). A systematic study is undertaken using Proton (0.5-3 MeV energy) and helium (1-3 MeV energy) beams, different thickness of X-ray absorber (131 and 146 μm of Kapton filter) and different tilting angles (0,45,60 and 80 deg.). The optimized measurement conditions should improve the P signal detection comparing to the Si and Background ones

  18. Optical characterization of a-Si:H thin films grown by Hg-Photo-CVD

    International Nuclear Information System (INIS)

    Barhdadi, A.; Karbal, S.; M'Gafad, N.; Benmakhlouf, A.; Chafik El Idrissi, M.; Aka, B.M.

    2006-08-01

    Mercury-Sensitized Photo-Assisted Chemical Vapor Deposition (Hg-Photo-CVD) technique opens new possibilities for reducing thin film growth temperature and producing novel semiconductor materials suitable for the future generation of high efficiency thin film solar cells onto low cost flexible plastic substrates. This paper provides some experimental data resulting from the optical characterization of hydrogenated amorphous silicon thin films grown by this deposition technique. Experiments have been performed on both as-deposited layers and thermal annealed ones. (author) [fr

  19. CVD mechanism of pyrolytic boron nitride

    International Nuclear Information System (INIS)

    Tanji, H.; Monden, K.; Ide, M.

    1987-01-01

    Pyrolytic boron nitride (P-BN) has become a essential material for III-V compound semiconductor manufacturing process. As the demand from electronics industry for larger single crystals increases, the demand for larger and more economical P-BN components is growing rapidly. P-BN is manufactured by low pressure CVD using boron-trihalides and ammonia as the reactants. In spite that P-BN has been in the market for quite a long time, limited number of fundamental studies regarding the kinetics and the formation mechanism of P-BN have been reported. As it has been demonstrated in CVD of Si, knowledge and both theoretical and empirical modeling of CVD process can be applied to improve the deposition technology and to give more uniform deposition with higher efficiency, and it should also apply to the deposition of P-BN

  20. Effect of pulse biasing on the morphology of diamond films grown by hot filament CVD

    International Nuclear Information System (INIS)

    Beake, B.D.; Hussain, I.U.; Rego, C.; Ahmed, W.

    1999-01-01

    There has been considerable interest in the chemical vapour deposition (CVD) of diamond due to its unique mechanical, optical and electronic properties, which make it useful for many applications. For use in optical and electronic applications further developments in the CVD process are required to control the surface morphology and crystal size of the diamond films. These will require a detailed understanding of both the nucleation and growth processes that effect the properties. The technique of bias enhanced nucleation (BEN) of diamond offers better reproducibility than conventional pre-treatment methods such as mechanical abrasion. Atomic force microscopy (AFM) and scanning electron microscopy (SEM) have been used study the surface modification of diamond films on silicon substrates during pulse biased growth in a hot filament CVD reactor. Pre-abraded silicon substrates were subjected to a three-step sequential growth process: (i) diamond deposition under standard CVD conditions, (ii) bias pre-treatment and (iii) deposition under standard conditions. The results show that the bias pre-treatment time is a critical parameter controlling the surface morphology and roughness of the diamond films deposited. Biasing reduces the surface roughness from 152 nm for standard CVD diamond to 68 nm for the 2.5 minutes pulse biased film. Further increase in the bias time results in an increase in surface roughness and crystallite size. (author)

  1. Future prospect of remote Cat-CVD on the basis of the production, transportation and detection of H atoms

    International Nuclear Information System (INIS)

    Umemoto, Hironobu; Matsumura, Hideki

    2008-01-01

    The future prospect of remote Cat-CVD, in which the decomposition and the deposition chambers are separated, is discussed on the basis of the absolute density measurements of H atoms. It is now well recognized that uniform deposition is possible on a large area without plasma damages by Cat-CVD. However, we may not overlook the demerits in Cat-CVD. One of the demerits is the poisoning of the catalyzer surfaces by the material gases, both temporary and permanent. One technique to overcome this problem is remote Cat-CVD. The question is how to separate the decomposition and deposition areas. If the separation is not enough, there should be back diffusion of the material gases, which will poison the catalyzers. If the separation is too tight, radicals may not effuse out from the decomposition chamber. These problems are discussed and it is shown that SiO 2 coating to reduce the radical recombination rates on walls is promising. The possibility of the polytetrafluoroethene coating by Cat-CVD is also discussed

  2. Deposition uniformity, particle nucleation and the optimum conditions for CVD in multi-wafer furnaces

    Energy Technology Data Exchange (ETDEWEB)

    Griffiths, S.K.; Nilson, R.H.

    1996-06-01

    A second-order perturbation solution describing the radial transport of a reactive species and concurrent deposition on wafer surfaces is derived for use in optimizing CVD process conditions. The result is applicable to a variety of deposition reactions and accounts for both diffusive and advective transport, as well as both ordinary and Knudsen diffusion. Based on the first-order approximation, the deposition rate is maximized subject to a constraint on the radial uniformity of the deposition rate. For a fixed reactant mole fraction, the optimum pressure and optimum temperature are obtained using the method of Lagrange multipliers. This yields a weak one-sided maximum; deposition rates fall as pressures are reduced but remain nearly constant at all pressures above the optimum value. The deposition rate is also maximized subject to dual constraints on the uniformity and particle nucleation rate. In this case, the optimum pressure, optimum temperature and optimum reactant fraction are similarly obtained, and the resulting maximum deposition rate is well defined. These results are also applicable to CVI processes used in composites manufacturing.

  3. A Comparative Study of Three Different Chemical Vapor Deposition Techniques of Carbon Nanotube Growth on Diamond Films

    Directory of Open Access Journals (Sweden)

    Betty T. Quinton

    2013-01-01

    Full Text Available This paper compares between the methods of growing carbon nanotubes (CNTs on diamond substrates and evaluates the quality of the CNTs and the interfacial strength. One potential application for these materials is a heat sink/spreader for high-power electronic devices. The CNTs and diamond substrates have a significantly higher specific thermal conductivity than traditional heat sink/spreader materials making them good replacement candidates. Only limited research has been performed on these CNT/diamond structures and their suitability of different growth methods. This study investigates three potential chemical vapor deposition (CVD techniques for growing CNTs on diamond: thermal CVD (T-CVD, microwave plasma-enhanced CVD (MPE-CVD, and floating catalyst thermal CVD (FCT-CVD. Scanning electron microscopy (SEM and high-resolution transmission electron microscopy (TEM were used to analyze the morphology and topology of the CNTs. Raman spectroscopy was used to assess the quality of the CNTs by determining the ID/IG peak intensity ratios. Additionally, the CNT/diamond samples were sonicated for qualitative comparisons of the durability of the CNT forests. T-CVD provided the largest diameter tubes, with catalysts residing mainly at the CNT/diamond interface. The MPE-CVD process yielded non uniform defective CNTs, and FCT-CVD resulted in the smallest diameter CNTs with catalyst particles imbedded throughout the length of the nanotubes.

  4. Adhesion of non-selective CVD tungsten to silicon dioxide

    International Nuclear Information System (INIS)

    Woodruff, D.W.; Wilson, R.H.; Sanchez-Martinez, R.A.

    1986-01-01

    Adhesion of non-selective, CVD tungsten to silicon dioxide is a critical issue in the development of tungsten as a metalization for VLSI circuitry. Without special adhesion promoters, tungsten deposited from WF/sub 6/ and H/sub 2/ has typically failed a standard tape test over all types of silicon oxides and nitrides. The reasons for failure of thin films, and CVD tungsten in particular are explored along with standard techniques for improving adhesion of thin films. Experiments are reported which include a number of sputtered metals as adhesion promoters, as well as chemical and plasma treatment of the oxide surface. Sputtered molybdenum is clearly the superior adhesion promoting layer from these tests. Traditional adhesion layers such as chromium or titanium failed as adhesion layers for CVD tungsten possibly due to chemical reactions between the WF/sub 6/ and Cr or Ti

  5. Chemical Vapor-Deposited (CVD) Diamond Films for Electronic Applications

    Science.gov (United States)

    1995-01-01

    Diamond films have a variety of useful applications as electron emitters in devices such as magnetrons, electron multipliers, displays, and sensors. Secondary electron emission is the effect in which electrons are emitted from the near surface of a material because of energetic incident electrons. The total secondary yield coefficient, which is the ratio of the number of secondary electrons to the number of incident electrons, generally ranges from 2 to 4 for most materials used in such applications. It was discovered recently at the NASA Lewis Research Center that chemical vapor-deposited (CVD) diamond films have very high secondary electron yields, particularly when they are coated with thin layers of CsI. For CsI-coated diamond films, the total secondary yield coefficient can exceed 60. In addition, diamond films exhibit field emission at fields orders of magnitude lower than for existing state-of-the-art emitters. Present state-of-the-art microfabricated field emitters generally require applied fields above 5x10^7 V/cm. Research on field emission from CVD diamond and high-pressure, high-temperature diamond has shown that field emission can be obtained at fields as low as 2x10^4 V/cm. It has also been shown that thin layers of metals, such as gold, and of alkali halides, such as CsI, can significantly increase field emission and stability. Emitters with nanometer-scale lithography will be able to obtain high-current densities with voltages on the order of only 10 to 15 V.

  6. CVD-graphene for low equivalent series resistance in rGO/CVD-graphene/Ni-based supercapacitors

    Science.gov (United States)

    Kwon, Young Hwi; Kumar, Sunil; Bae, Joonho; Seo, Yongho

    2018-05-01

    Reduced equivalent series resistance (ESR) is necessary, particularly at a high current density, for high performance supercapacitors, and the interface resistance between the current collector and electrode material is one of the main components of ESR. In this report, we have optimized chemical vapor deposition-grown graphene (CVD-G) on a current collector (Ni-foil) using reduced graphene oxide as an active electrode material to fabricate an electric double layer capacitor with reduced ESR. The CVD-G was grown at different cooling rates—20 °C min‑1, 40 °C min‑1 and 100 °C min‑1—to determine the optimum conditions. The lowest ESR, 0.38 Ω, was obtained for a cell with a 100 °C min‑1 cooling rate, while the sample without a CVD-G interlayer exhibited 0.80 Ω. The CVD-G interlayer-based supercapacitors exhibited fast CD characteristics with high scan rates up to 10 Vs‑1 due to low ESR. The specific capacitances deposited with CVD-G were in the range of 145.6 F g‑1–213.8 F g‑1 at a voltage scan rate of 0.05 V s‑1. A quasi-rectangular behavior was observed in the cyclic voltammetry curves, even at very high scan rates of 50 and 100 V s‑1, for the cell with optimized CVD-G at higher cooling rates, i.e. 100 °C min‑1.

  7. Micro-strip sensors based on CVD diamond

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D' Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D. E-mail: dirk.meier@cern.ch; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M

    2000-10-11

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  8. Micro-strip sensors based on CVD Diamond

    CERN Document Server

    Adam, W; Bergonzo, P; Bertuccio, G; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Koeth, T W; Krammer, Manfred; Lo Giudice, A; Lü, R; MacLynne, L; Manfredotti, C; Meier, D; Mishina, M; Moroni, L; Oh, A; Pan, L S; Pernicka, Manfred; Peitz, A; Perera, L P; Pirollo, S; Procario, M; Riester, J L; Roe, S; Rousseau, L; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S R; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trischuk, W; Tromson, D; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; Wetstein, M; White, C; Zeuner, W; Zoeller, M M

    2000-01-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  9. Micro-strip sensors based on CVD diamond

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.

    2000-01-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation

  10. Micro-strip sensors based on CVD diamond

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L. S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J. L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; RD42 Collaboration

    2000-10-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  11. Fabrication and evaluation of chemically vapor deposited tungsten heat pipe.

    Science.gov (United States)

    Bacigalupi, R. J.

    1972-01-01

    A network of lithium-filled tungsten heat pipes is being considered as a method of heat extraction from high temperature nuclear reactors. The need for material purity and shape versatility in these applications dictates the use of chemically vapor deposited (CVD) tungsten. Adaptability of CVD tungsten to complex heat pipe designs is shown. Deposition and welding techniques are described. Operation of two lithium-filled CVD tungsten heat pipes above 1800 K is discussed.

  12. Undoped CVD diamond films for electrochemical applications

    International Nuclear Information System (INIS)

    Mosinska, Lidia; Fabisiak, Kazimierz; Paprocki, Kazimierz; Kowalska, Magdalena; Popielarski, Pawel; Szybowicz, Miroslaw

    2013-01-01

    By using different deposition conditions, the CVD diamond films with different qualities and orientation were grown by the hot-filament CVD technique. The object of this article is to summarize and discuss relation between structural, physical and electrochemical properties of different diamond electrodes. The physical properties of the Hot Filament CVD microcrystalline diamond films are analyzed by scanning electron microscopy and Raman spectroscopy. In presented studies two different electrodes were used of the diamond grain sizes around 200 nm and 10 μm, as it was estimated from SEM picture. The diamond layers quality was checked on basis of FWHM (Full width at Half Maximum) of 1332 cm −1 diamond Raman peak. The ratio of sp 3 /sp 2 carbon bonds was determined by 1550 cm −1 G band and 1350 cm −1 D band in the Raman spectrum. The electrochemical properties were analyzed using (CV) cyclic voltammetry measurements in aqueous solutions. The sensitivity of undoped diamond electrodes depends strongly on diamond film quality and concentration of amorphous carbon phase in the diamond layer

  13. Nitrogen and hydrogen related infrared absorption in CVD diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Titus, E. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal)]. E-mail: elby@mec.ua.pt; Ali, N. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Cabral, G. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Madaleno, J.C. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Neto, V.F. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Gracio, J. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Ramesh Babu, P [Materials Ireland, Polymer research Centre, School of Physics, Dublin (Ireland); Sikder, A.K. [Department of Physics, Indian Institute of Technology (IIT), Bombay (India); Okpalugo, T.I. [Northern Ireland Bio-Engineering Centre, NIBEC, University of Ulster (United Kingdom); Misra, D.S. [Department of Physics, Indian Institute of Technology (IIT), Bombay (India)

    2006-09-25

    In this paper, we investigate on the presence of hydrogen and nitrogen related infrared absorptions in chemical vapour deposited (CVD) diamond films. Investigations were carried out in cross sections of diamond windows, deposited using hot filament CVD (HFCVD). The results of Scanning Electron Microscopy (SEM), Fourier Transform Infrared (FTIR) and Raman spectroscopy carried out in a cross section of self-standing diamond sheets are presented. The FTIR spectra showed several features that have not been reported before. In order to confirm the frequency of nitrogen related vibrations, ab-initio calculations were carried out using GAMESS program. The investigations showed the presence of several C-N related peaks in one-phonon (1000-1333 cm{sup -1}). The deconvolution of the spectra in the three-phonon region (2700-3150 cm{sup -1}) also showed a number of vibration modes corresponding to sp {sup m}CH {sub n} phase of carbon. Elastic recoil detection analysis (ERDA) was employed to compare the H content measured using FTIR technique. Using these measurements we point out that the oscillator strength of the different IR modes varies depending upon the structure and H content of CVD diamond sheets.

  14. Recent advances in controlled synthesis of two-dimensional transition metal dichalcogenides via vapour deposition techniques

    KAUST Repository

    Shi, Yumeng; Li, Henan; Li, Lain-Jong

    2014-01-01

    In recent years there have been many breakthroughs in two-dimensional (2D) nanomaterials, among which the transition metal dichalcogenides (TMDs) attract significant attention owing to their unusual properties associated with their strictly defined dimensionalities. TMD materials with a generalized formula of MX2, where M is a transition metal and X is a chalcogen, represent a diverse and largely untapped source of 2D systems. Semiconducting TMD monolayers such as MoS2, MoSe2, WSe2 and WS2 have been demonstrated to be feasible for future electronics and optoelectronics. The exotic electronic properties and high specific surface areas of 2D TMDs offer unlimited potential in various fields including sensing, catalysis, and energy storage applications. Very recently, the chemical vapour deposition technique (CVD) has shown great promise to generate high-quality TMD layers with a scalable size, controllable thickness and excellent electronic properties. Wafer-scale deposition of mono to few layer TMD films has been obtained. Despite the initial success in the CVD synthesis of TMDs, substantial research studies on extending the methodology open up a new way for substitution doping, formation of monolayer alloys and producing TMD stacking structures or superlattices. In this tutorial review, we will introduce the latest development of the synthesis of monolayer TMDs by CVD approaches.

  15. Recent advances in controlled synthesis of two-dimensional transition metal dichalcogenides via vapour deposition techniques

    KAUST Repository

    Shi, Yumeng

    2014-10-20

    In recent years there have been many breakthroughs in two-dimensional (2D) nanomaterials, among which the transition metal dichalcogenides (TMDs) attract significant attention owing to their unusual properties associated with their strictly defined dimensionalities. TMD materials with a generalized formula of MX2, where M is a transition metal and X is a chalcogen, represent a diverse and largely untapped source of 2D systems. Semiconducting TMD monolayers such as MoS2, MoSe2, WSe2 and WS2 have been demonstrated to be feasible for future electronics and optoelectronics. The exotic electronic properties and high specific surface areas of 2D TMDs offer unlimited potential in various fields including sensing, catalysis, and energy storage applications. Very recently, the chemical vapour deposition technique (CVD) has shown great promise to generate high-quality TMD layers with a scalable size, controllable thickness and excellent electronic properties. Wafer-scale deposition of mono to few layer TMD films has been obtained. Despite the initial success in the CVD synthesis of TMDs, substantial research studies on extending the methodology open up a new way for substitution doping, formation of monolayer alloys and producing TMD stacking structures or superlattices. In this tutorial review, we will introduce the latest development of the synthesis of monolayer TMDs by CVD approaches.

  16. Ion beam figuring of CVD silicon carbide mirrors

    Science.gov (United States)

    Gailly, P.; Collette, J.-P.; Fleury Frenette, K.; Jamar, C.

    2017-11-01

    Optical and structural elements made of silicon carbide are increasingly found in space instruments. Chemical vapor deposited silicon carbide (CVD-SiC) is used as a reflective coating on SiC optics in reason of its good behavior under polishing. The advantage of applying ion beam figuring (IBF) to CVD-SiC over other surface figure-improving techniques is discussed herein. The results of an IBF sequence performed at the Centre Spatial de Liège on a 100 mm CVD-SiC mirror are reported. The process allowed to reduce the mirror surface errors from 243 nm to 13 nm rms . Beside the surface figure, roughness is another critical feature to consider in order to preserve the optical quality of CVD-SiC . Thus, experiments focusing on the evolution of roughness were performed in various ion beam etching conditions. The roughness of samples etched at different depths down to 3 ≠m was determined with an optical profilometer. These measurements emphasize the importance of selecting the right combination of gas and beam energy to keep roughness at a low level. Kaufman-type ion sources are generally used to perform IBF but the performance of an end-Hall ion source in figuring CVD-SiC mirrors was also evaluated in this study. In order to do so, ion beam etching profiles obtained with the end-Hall source on CVD-SiC were measured and used as a basis for IBF simulations.

  17. Response of CVD diamond detectors to alpha radiation

    Energy Technology Data Exchange (ETDEWEB)

    Souw, E.-K. [Brookhaven National Lab., Upton, NY (United States); Meilunas, R.J. [Northrop-Grumman Corporation, Bethpage, NY 11714-3582 (United States)

    1997-11-21

    This article describes some results from an experiment with CVD diamond films used as {alpha} particle detectors. It demonstrates that bulk polarization can be effectively stopped within a reasonable time interval. This will enable detector calibration and quantitative measurement. A possible mechanism for the observed polarization quenching is discussed. It involves two types of carrier traps and a tentative band-gap model derived from the results of photoconductive current measurements. The experiment was set up mainly to investigate {alpha} detection properties of polycrystalline diamond films grown by the technique of microwave plasma enhanced chemical vapor deposition. For comparison, two commercially purchased diamond wafers were also investigated, i.e., one grown by the DC arc jet method, and the other, a type-IIa natural diamond wafer (not preselected). The best response to {alpha} particles was obtained using diamond thin-films grown by the microwave PECVD method, followed by the type-IIa natural diamond, and finally, the CVD diamond grown by the DC arc jet technique. (orig.). 43 refs.

  18. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD) Method

    Science.gov (United States)

    Manawi, Yehia M.; Samara, Ayman; Al-Ansari, Tareq; Atieh, Muataz A.

    2018-01-01

    Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD) method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs), carbon nanofibers (CNFs), graphene, carbide-derived carbon (CDC), carbon nano-onion (CNO) and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research. PMID:29772760

  19. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD Method

    Directory of Open Access Journals (Sweden)

    Yehia M. Manawi

    2018-05-01

    Full Text Available Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs, carbon nanofibers (CNFs, graphene, carbide-derived carbon (CDC, carbon nano-onion (CNO and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research.

  20. Investigation of CVD graphene topography and surface electrical properties

    International Nuclear Information System (INIS)

    Wang, Rui; Pearce, Ruth; Gallop, John; Patel, Trupti; Pollard, Andrew; Hao, Ling; Zhao, Fang; Jackman, Richard; Klein, Norbert; Zurutuza, Amaia

    2016-01-01

    Combining scanning probe microscopy techniques to characterize samples of graphene, a selfsupporting, single atomic layer hexagonal lattice of carbon atoms, provides far more information than a single technique can. Here we focus on graphene grown by chemical vapour deposition (CVD), grown by passing carbon containing gas over heated copper, which catalyses single atomic layer growth of graphene on its surface. To be useful for applications the graphene must be transferred onto other substrates. Following transfer it is important to characterize the CVD graphene. We combine atomic force microscopy (AFM) and scanning Kelvin probe microscopy (SKPM) to reveal several properties of the transferred film. AFM alone provides topographic information, showing ‘wrinkles’ where the transfer provided incomplete substrate attachment. SKPM measures the surface potential indicating regions with different electronic properties for example graphene layer number. By combining AFM and SKPM local defects and impurities can also be observed. Finally, Raman spectroscopy can confirm the structural properties of the graphene films, such as the number of layers and level of disorder, by observing the peaks present. We report example data on a number of CVD samples from different sources. (paper)

  1. SiC interlayer by laser-cladding on WC-Co substrates for CVD diamond deposition

    Energy Technology Data Exchange (ETDEWEB)

    Contin, Andre; Fraga, Mariana Amorim; Vieira, Jose; Trava-Airoldi, Vladimir Jesus; Corat, Evaldo Jose, E-mail: andrecontin@yahoo.com.br [Instituto Nacional de Pesquisas Espaciais (INPE), Sao Jose dos Campos, SP (Brazil); Campos, Raonei Alves [Universidade Federal do Sul e Sudeste do Para (UNIFESSPA), Belem, PA (Brazil); Vasconcelos, Getulio [Instituto de Estudos Avancados (IEA), Sao Jose dos Campos, SP (Brazil)

    2016-07-01

    Full text: Despite their huge industrial potential and commercial interest, the direct diamond coating on cemented carbide (WC-Co) is limited, mainly because of the catalytic effect of Cobalt (Co) and the high difference in thermal expansion coefficient [1]. This results in poor adherence between diamond and WC-Co. In addition, the low diamond film adhesion to the cemented carbide useless for machining applications. Removal of Co binder from the substrate surface by superficial etching is one of the techniques used to improve the adhesion between diamond and WC-Co. For the present study, diamond films were deposited on WC-Co substrates with an intermediate barrier to block the Co diffusion to the surface substrate. The laser cladding process produced the SiC barrier, in which a powder layer is melted by a laser irradiation to create the coating on the substrate. The use of laser cladding is the novel method for an intermediate barrier for cemented carbides. The advantages of laser cladding include a faster processing speed, precision, versatility. We reported the application of pretreatment method called ESND (Electrostatic self-assembly seeding of nanocrystalline diamond). The nucleation density was around 10{sup 11}part/cm{sup 2}. Diamond films were grown by Hot Filament Chemical Vapor Deposition. Characterization of samples included Field Emission Gun-Scanning Electron Microscopy (FEG-SEM), Energy Dispersive X-ray (EDX), X-ray diffraction (XRD) and Raman Scattering Spectroscopy. Results showed that laser irradiation formed stable Co compounds in the interfacial barrier. It is because nucleation and good quality of diamond film since the cobalt are no longer free to migrate to the surface during the CVD diamond deposition. Reference: [1] Y. X. Cui, B. Shen, F. H. Sun. Diamond deposition on WC–Co substrate with amorphous SiC interlayer, Surface Engineering, 30, (2014) 237-243. (author)

  2. ZnO nanowall network grown by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Mukherjee, Amrita, E-mail: but.then.perhaps@gmail.com; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology Bombay, Powai, Mumbai-400076 (India)

    2015-06-24

    Network of wedge shaped ZnO nanowalls are grown on c-sapphire by Chemical Vapor Deposition (CVD) technique. Structural studies using x-ray diffraction show much better crystallinity in the nanowall sample as compared to the continuous film. Moreover, the defect related broad green luminescence is found to be suppressed in the nanowall sample. The low temperature photoluminescence study also suggests the quantum confinement of carriers in nanowall sample. Electrical studies performed on the nanowalls show higher conductivity, which has been explained in terms of the reduction of scattering cross-section as a result of 1D quantum confinement of carriers on the tip of the nanowalls.

  3. Mechanics-driven patterning of CVD graphene for roll-based manufacturing process

    Science.gov (United States)

    Kim, Sang-Min; Jang, Bongkyun; Jo, Kyungmin; Kim, Donghyuk; Lee, Jihye; Kim, Kyung-Shik; Lee, Seung-Mo; Lee, Hak-Joo; Han, Seung Min; Kim, Jae-Hyun

    2017-06-01

    Graphene is considered as a promising material for flexible and transparent electrodes due to its outstanding electrical, optical, and mechanical properties. Efforts to mass-produce graphene electrodes led to the development of roll-to-roll chemical vapor deposition (CVD) graphene growth and transfer, and the only remaining obstacle to the mass-production of CVD graphene electrodes is a cost-effective patterning technique that is compatible with the roll-to-roll manufacturing. Herein, we propose a mechanics-driven technique for patterning graphene synthesized on copper foil (commonly used in roll-to-roll manufacturing). The copper foil is exposed to high temperature for a prolonged period during the CVD growth of graphene, and thus can result in recrystallization and grain growth of the copper foil and thereby reducing to the yield strength. This softening behavior of the copper was carefully controlled to allow simple stamp patterning of the graphene. The strength of the underlying substrate was controlled for the accuracy of the residual patterns. The proposed stamp patterning technique is mask-less and photoresist-free, and can be performed at room temperature without high-energy sources such as lasers or plasma. To demonstrate the capability of this process to produce a continuous electrode, a transparent in-plane supercapacitor was fabricated using the proposed patterning technique.

  4. CVD in nuclear energy

    International Nuclear Information System (INIS)

    Nickel, H.

    1981-08-01

    CVD-deposited pyrocarbon, especially the coatings of nuclear fuel kernels show a structure depending on many parameters such as deposition temperature, nature and pressure of the pyrolysis gas, nature of the substrate, geometry of the deposition system, etc. Because of the variety of pyrocarbon different characterization methods have been developed or qualified for this new application. Additionally classical characterization procedures are available. Beside theoretical aspects concerning the formation and deposition mechanism of pyrocarbon from the gas phase the behaviour of such coatings under irradiation with fast neutrons is discussed. (orig.) [de

  5. CVD - main concepts, applications and restrictions

    International Nuclear Information System (INIS)

    Bliznakovska, B.; Milosevski, M.; Krawczynski, S.; Meixner, C.; Koetter, H.R.

    1993-01-01

    Despite of the fact that the existing literature covering the last two decades is plentiful with data related to CVD, this document is an attempt to provide to a reader a concise information about the nature of CVD technique at production of technologically important materials as well as to point at special references. The text is devided into three separate sections. The first section, The Main Features of CVD, is intended to give a complete comprehensive picture of the CVD technique through process description and characterization. The basic principles of thermodynamics, CVD chemical reactions classification, CVD chemical kinetics aspects and physics of CVD (with particular attention on the gas-flow phenomena) are included. As an additional aspect, in CVD unavoidable aspect however, the role of the coating/substrate compatibility on the overall process was outlined. The second section, CVD Equipment, concerns on the pecularities of the complete CVD unit pointing out the individual significances of the separate parts, i.e. pumping system, reactor chamber, control system. The aim of this section is to create to a reader a basic understanding of the arising problems but connected to be actual CVD performance. As a final goal of this review the reader's attention is turned upon the CVD applications for production of an up-to-date important class of coatings such as multilayer coatings. (orig.)

  6. Contribution to understanding and controlling a-Si:H thin films growth by mercury-sensitised photo-CVD

    International Nuclear Information System (INIS)

    Barhdadi, A.

    2003-09-01

    Mercury-sensitized photo-CVD technique is widely used for growing amorphous silicon thin films. This attractive method allows damage-free thin film depositions at very low substrate temperatures without the deleterious effects of the other processes. This review reports on the principle and potential of this technique. It also recalls and summarizes some fundamental issues such as experimental systems or apparatus particularities, the analysis of gas-phase reactions in the reactor, the surface-reaction model of SiH 3 and H during the film growth and all the kinetic model for lamp-induced Photo-CVD. (author)

  7. Crystalline silicon thin film growth by ECR plasma CVD for solar cells

    International Nuclear Information System (INIS)

    Licai Wang

    1999-07-01

    This thesis describes the background, motivation and work carried out towards this PhD programme entitled 'Crystalline Silicon Thin Film Growth by ECR Plasma CVD for Solar Cells'. The fundamental principles of silicon solar cells are introduced with a review of silicon thin film and bulk solar cells. The development and prospects for thin film silicon solar cells are described. Some results of a modelling study on thin film single crystalline solar cells are given which has been carried out using a commercially available solar cell simulation package (PC-1D). This is followed by a description of thin film deposition techniques. These include Chemical Vapour Deposition (CVD) and Plasma-Assisted CVD (PACVD). The basic theory and technology of the emerging technique of Electron Cyclotron Resonance (ECR) PACVD, which was used in this research, are introduced and the potential advantages summarised. Some of the basic methods of material and cell characterisation are briefly described, together with the work carried out in this research. The growth by ECR PACVD at temperatures 2 illumination. The best efficiency in the ECR grown structures was 13.76% using an epitaxial emitter. Cell performance was analysed in detail and the factors controlling performance identified by fitting self-consistently the fight and dark current-voltage and spectral response data using PC-1D. Finally, the conclusions for this research and suggestions for further work are outlined. (author)

  8. Multilayered and composite PVD-CVD coatings in cemented carbides manufacture

    International Nuclear Information System (INIS)

    Glushkov, V.N.; Anikeev, A.I.; Anikin, V.N.; Vereshchaka, A.S.

    2001-01-01

    Carbide cutting tools with wear-resistant coatings deposited by CVD process are widely employed in mechanical engineering to ensure a substantially longer service life of tool systems. However, the relatively high temperature and long time of the process make the substrate decarburise and, as a result, the bend strength and performance characteristics of a tool decrease. The present study suggests the problem of deteriorated strength of CVD-coated carbide tools be solved by the development of a technology that combines arc-PVD and CVD processes to deposit multilayered coatings of titanium and aluminium compounds. (author)

  9. Industrial science and technology research and development project of university cooperative type in fiscal 2000. Report on achievements in semiconductor device manufacturing processes using Cat-CVD method (Semiconductor device manufacturing processes using Cat-CVD method); 2000 nendo daigaku renkeigata sangyo kagaku gijutsu kenkyu kaihatsu project. Cat-CVD ho ni yoru handotai device seizo process seika hokokusho (Cat-CVD ho ni yoru handotai device seizo process)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    The catalytic chemical vapor deposition (Cat-CVD) method is a low-temperature thin film depositing technology that can achieve improvement in quality of semiconductor thin films and can perform inexpensive film deposition in a large area. The present project is composed of the basic research and development theme and the demonstrative research and development theme for the Cat-CVD method. This report summarizes the achievements in fiscal 2000 centering on the former theme. Discussions were given on the following five areas: 1) simulation on film thickness distribution in the Cat-CVD method, 2) life extension by preventing the catalyst converting into silicide and development of a catalyst integrated shear head, 3) vapor diagnosis in the film forming process by the Cat-CVD method using silane, hydrogen and ammonia, 4) a technology for high-speed deposition of hydrogenated amorphous silicon films for solar cells using the Cat-CVD method, and the low-temperature silicon oxide nitriding technology using heated catalysts, and 5) discussions on compatibility of transparent oxide electrode materials to the process of manufacturing thin-film silicon-based solar cells by using the Cat-CVD method. (NEDO)

  10. Direct deposition of patterned nanocrystalline CVD diamond using an electrostatic self-assembly method with nanodiamond particles

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Seung-Koo; Kim, Jong-Hoon; Jeong, Min-Goon; Lim, Dae-Soon [Department of Materials Science and Engineering, Korea University, Anam-Dong 5-1, Seoungbuk-Ku, Seoul 136-713 (Korea, Republic of); Song, Min-Jung, E-mail: dslim@korea.ac.kr [Center for Advanced Device Materials, Korea University, Anam-Dong 5-1, Seoungbuk-Ku, Seoul 136-713 (Korea, Republic of)

    2010-12-17

    Micron-sized and precise patterns of nanocrystalline CVD diamond were fabricated successfully on substrates using dispersed nanodiamond particles, charge connection by electrostatic self-assembly, and photolithography processes. Nanodiamond particles which had been dispersed using an attritional milling system were attached electrostatically on substrates as nuclei for diamond growth. In this milling process, poly sodium 4-styrene sulfonate (PSS) was added as an anionic dispersion agent to produce the PSS/nanodiamond conjugates. Ultra dispersed nanodiamond particles with a {zeta}-potential and average particle size of - 60.5 mV and {approx} 15 nm, respectively, were obtained after this milling process. These PSS/nanodiamond conjugates were attached electrostatically to a cationic polyethyleneimine (PEI) coated surface on to which a photoresist had been patterned in an aqueous solution of the PSS/nanodiamond conjugated suspension. A selectively seeded area was formed successfully using the above process. A hot filament chemical vapor deposition system was used to synthesize the nanocrystalline CVD diamond on the seeded area. Micron-sized, thin and precise nanocrystalline CVD diamond patterns with a high nucleation density (3.8 {+-} 0.4 x 10{sup 11} cm{sup -2}) and smooth surface were consequently fabricated.

  11. Direct deposition of patterned nanocrystalline CVD diamond using an electrostatic self-assembly method with nanodiamond particles

    International Nuclear Information System (INIS)

    Lee, Seung-Koo; Kim, Jong-Hoon; Jeong, Min-Goon; Lim, Dae-Soon; Song, Min-Jung

    2010-01-01

    Micron-sized and precise patterns of nanocrystalline CVD diamond were fabricated successfully on substrates using dispersed nanodiamond particles, charge connection by electrostatic self-assembly, and photolithography processes. Nanodiamond particles which had been dispersed using an attritional milling system were attached electrostatically on substrates as nuclei for diamond growth. In this milling process, poly sodium 4-styrene sulfonate (PSS) was added as an anionic dispersion agent to produce the PSS/nanodiamond conjugates. Ultra dispersed nanodiamond particles with a ζ-potential and average particle size of - 60.5 mV and ∼ 15 nm, respectively, were obtained after this milling process. These PSS/nanodiamond conjugates were attached electrostatically to a cationic polyethyleneimine (PEI) coated surface on to which a photoresist had been patterned in an aqueous solution of the PSS/nanodiamond conjugated suspension. A selectively seeded area was formed successfully using the above process. A hot filament chemical vapor deposition system was used to synthesize the nanocrystalline CVD diamond on the seeded area. Micron-sized, thin and precise nanocrystalline CVD diamond patterns with a high nucleation density (3.8 ± 0.4 x 10 11 cm -2 ) and smooth surface were consequently fabricated.

  12. Nucleation of microwave plasma CVD diamond on molybdenum (Mo) substrate

    International Nuclear Information System (INIS)

    Inderjeet, K.; Ramesh, S.

    2000-01-01

    Molybdenum is a metal, which is gaining increasing significance in industrial applications. The main use of Mo is as all alloying element added in small amounts to steel, irons and non- ferrous alloys in order to enhance the strength, toughness and wear resistance. Mo is also vastly being employed in the automotive and aircraft industries, mainly due to its low coefficient of friction. Diamond, on be other hand, is a unique material for innumerable applications because of its usual combination of physical and chemical properties. Several potential applications can be anticipated for diamond in many sectors including electronics, optics, as protective corrosion resistant coatings, cutting tools, etc. With the enhancement in science and technology, diamond microcrystals and thin films are now being produced from the vapour phase by a variety of chemical vapour deposition (CVD) techniques; such as microwave plasma CVD. With such technology being made available, it is envisage that diamond-coated molybdenum would further enhance the performance and to open up new avenue for Mo in various industries. Therefore, it is the aim of the present work to study the nucleation and growth of diamond particles on Mo surface by employing microwave plasma CVD (MAPCVD). In the present work, diamond deposition was carried out in several stages by varying the deposition distance. The nucleation and growth rate were studied using scanning electron microscopy (SEM). In addition, the existence of diamond was verified by X-ray diffraction (XRD) analysis. It has been found that the nucleation and growth rate of diamond particles were influenced by the deposition height between the substrate and plasma. Under the optimum condition, well defined diamond crystallites distributed homogeneously throughout the surface, could be obtained. Some of the important parameters controlling the deposition and growth of diamond particles on Mo surface are discussed. (author)

  13. Effect of substrate bias on deposition behaviour of charged silicon nanoparticles in ICP-CVD process

    International Nuclear Information System (INIS)

    Yoo, Seung-Wan; Kim, Jung-Hyung; Seong, Dae-Jin; You, Shin-Jae; Seo, Byong-Hoon; Hwang, Nong-Moon

    2017-01-01

    The effect of a substrate bias on the deposition behaviour of crystalline silicon films during inductively coupled plasma chemical vapour deposition (ICP-CVD) was analysed by consideration of non-classical crystallization, in which the building block is a nanoparticle rather than an individual atom or molecule. The coexistence of positively and negatively charged nanoparticles in the plasma and their role in Si film deposition are confirmed by applying bias voltages to the substrate, which is sufficiently small as not to affect the plasma potential. The sizes of positively and negatively charged nanoparticles captured on a carbon membrane and imaged using TEM are, respectively, 2.7–5.5 nm and 6–13 nm. The film deposited by positively charged nanoparticles has a typical columnar structure. In contrast, the film deposited by negatively charged nanoparticles has a structure like a powdery compact with the deposition rate about three times higher than that for positively charged nanoparticles. All the films exhibit crystallinity even though the substrate is at room temperature, which is attributed to the deposition of crystalline nanoparticles formed in the plasma. The film deposited by negatively charged nanoparticles has the highest crystalline fraction of 0.84. (paper)

  14. Aluminum and aluminum/silicon coatings on ferritic steels by CVD-FBR technology

    International Nuclear Information System (INIS)

    Perez, F.J.; Hierro, M.P.; Trilleros, J.A.; Carpintero, M.C.; Sanchez, L.; Bolivar, F.J.

    2006-01-01

    The use of chemical vapor deposition by fluidized bed reactors (CVD-FBR) offers some advantages in comparison to other coating techniques such as pack cementation, because it allows coating deposition at lower temperatures than pack cementation and at atmospheric pressure without affecting the mechanical properties of material due to heat treatments of the bulk during coating process. Aluminum and aluminum/silicon coatings have been obtained on two different ferritics steels (P-91 and P-92). The coatings were analyzed using several techniques like SEM/EDX and XRD. The results indicated that both coatings were form by Fe 2 Al 5 intermetallic compound, and in the co-deposition the Si was incorporated to the Fe 2 Al 5 structure in small amounts

  15. Fabrication of Ultrasensitive Field-Effect Transistor DNA Biosensors by a Directional Transfer Technique Based on CVD-Grown Graphene.

    Science.gov (United States)

    Zheng, Chao; Huang, Le; Zhang, Hong; Sun, Zhongyue; Zhang, Zhiyong; Zhang, Guo-Jun

    2015-08-12

    Most graphene field-effect transistor (G-FET) biosensors are fabricated through a routine process, in which graphene is transferred onto a Si/SiO2 substrate and then devices are subsequently produced by micromanufacture processes. However, such a fabrication approach can introduce contamination onto the graphene surface during the lithographic process, resulting in interference for the subsequent biosensing. In this work, we have developed a novel directional transfer technique to fabricate G-FET biosensors based on chemical-vapor-deposition- (CVD-) grown single-layer graphene (SLG) and applied this biosensor for the sensitive detection of DNA. A FET device with six individual array sensors was first fabricated, and SLG obtained by the CVD-growth method was transferred onto the sensor surface in a directional manner. Afterward, peptide nucleic acid (PNA) was covalently immobilized on the graphene surface, and DNA detection was realized by applying specific target DNA to the PNA-functionalized G-FET biosensor. The developed G-FET biosensor was able to detect target DNA at concentrations as low as 10 fM, which is 1 order of magnitude lower than those reported in a previous work. In addition, the biosensor was capable of distinguishing the complementary DNA from one-base-mismatched DNA and noncomplementary DNA. The directional transfer technique for the fabrication of G-FET biosensors is simple, and the as-constructed G-FET DNA biosensor shows ultrasensitivity and high specificity, indicating its potential application in disease diagnostics as a point-of-care tool.

  16. CVD diamond windows for infrared synchrotron applications

    International Nuclear Information System (INIS)

    Sussmann, R.S.; Pickles, C.S.J.; Brandon, J.R.; Wort, C.J.H.; Coe, S.E.; Wasenczuk, A.; Dodge, C.N.; Beale, A.C.; Krehan, A.J.; Dore, P.; Nucara, A.; Calvani, P.

    1998-01-01

    This paper describes the attributes that make diamond a unique material for infrared synchrotron beam experiments. New developments in diamond synthesised by Chemical Vapour Deposition (CVD) promise to extend the range of applications which have been hitherto limited by the availability and cost of large-size single-crystal diamond. Polycrystalline CVD diamond components such as large (100 mm) diameter windows with extremely good transparency over a wide spectral range are now commercially available. Properties of CVD diamond of relevance to optical applications, such as mechanical strength, thermal conductivity and absolute bulk absorption, are discussed. It is shown that although some of the properties of CVD diamond (similar to other polycrystalline industrial ceramics) are affected by the grain structure, currently produced CVD diamond optical components have the quality and performance required for numerous demanding applications

  17. Advanced deposition model for thermal activated chemical vapor deposition

    Science.gov (United States)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  18. Surface structuring of boron doped CVD diamond by micro electrical discharge machining

    Science.gov (United States)

    Schubert, A.; Berger, T.; Martin, A.; Hackert-Oschätzchen, M.; Treffkorn, N.; Kühn, R.

    2018-05-01

    Boron doped diamond materials, which are generated by Chemical Vapor Deposition (CVD), offer a great potential for the application on highly stressed tools, e. g. in cutting or forming processes. As a result of the CVD process rough surfaces arise, which require a finishing treatment in particular for the application in forming tools. Cutting techniques such as milling and grinding are hardly applicable for the finish machining because of the high strength of diamond. Due to its process principle of ablating material by melting and evaporating, Electrical Discharge Machining (EDM) is independent of hardness, brittleness or toughness of the workpiece material. EDM is a suitable technology for machining and structuring CVD diamond, since boron doped CVD diamond is electrically conductive. In this study the ablation characteristics of boron doped CVD diamond by micro electrical discharge machining are investigated. Experiments were carried out to investigate the influence of different process parameters on the machining result. The impact of tool-polarity, voltage and discharge energy on the resulting erosion geometry and the tool wear was analyzed. A variation in path overlapping during the erosion of planar areas leads to different microstructures. The results show that micro EDM is a suitable technology for finishing of boron doped CVD diamond.

  19. Aluminum-silicon co-deposition by FB-CVD on austenitic stainless steel AISI 316

    International Nuclear Information System (INIS)

    Marulanda, J L; Perez, F J; Remolina-Millán, A

    2013-01-01

    Aluminum-silicon coatings were deposited on stainless steel AISI 316 in the temperature range of 540 to 560°C by CVD-FBR. It was used a fluidized bed with 2.5% silicon and 7.5% aluminum powder and 90% inert (alumina). This bed was fluidized with Ar and as an activator a mixture of HCl/H2 in ratios of 1/10 to 1/16. Furthermore, the deposition time of the coatings was varied between 45 minutes to 1.5 hours, with a 50% active gas, neutral gases 50%. Thermodynamic simulation was conducted with the Thermocalc software to get the possible compositions and amount of material deposited for the chosen conditions. The coatings presented the follow compounds FeAl 2 Si, FeAl 2 and Fe 2 Al 5 . Aluminum-silicon coatings were heat treated to improve its mechanical properties and its behavior against oxidation for the inter diffusion of the alloying elements. The heat treatment causes the aluminum diffuse into the substrate and the iron diffuse into coating surface. This leads to the transformation of the above compounds in FeAl, Al 2 FeSi, Cr 3 Si, AlFeNi and AlCrFe

  20. CVD diamonds as thermoluminescent detectors for medical applications

    International Nuclear Information System (INIS)

    Marczewska, B.; Olko, P.; Nesladek, M.; Waligorski, M.P.R.; Kerremans, Y.

    2002-01-01

    Diamond is believed to be a promising material for medical dosimetry due to its tissue equivalence, mechanical and radiation hardness, and lack of solubility in water or in disinfecting agents. A number of diamond samples, obtained under different growth conditions at Limburg University, using the chemical vapour deposition (CVD) technique, was tested as thermoluminescence dosemeters. Their TL glow curve, TL response after doses of gamma rays, fading, and so on were studied at dose levels and for radiation modalities typical for radiotherapy. The investigated CVD diamonds displayed sensitivity comparable with that of MTS-N (Li:Mg,Ti) detectors, signal stability (reproducibility after several readouts) below 10% (1 SD) and no fading was found four days after irradiation. A dedicated CVD diamond plate was grown, cut into 20 detector chips (3x3x0.5 mm) and used for measuring the dose-depth distribution at different depths in a water phantom, for 60 Co and six MV X ray radiotherapy beams. Due to the sensitivity of diamond to ambient light, it was difficult to achieve reproducibility comparable with that of standard LiF detectors. (author)

  1. Hot-wire chemical vapour deposition of carbon nanotubes

    CSIR Research Space (South Africa)

    Cummings, FR

    2006-07-01

    Full Text Available ablation of graphite, carbon-arc discharge and chemical vapour deposition (CVD). However, some of these techniques have been shown to be expensive due to high deposition temperatures and are not easily controllable. Recently hot-wire chemical vapour...

  2. New developments in CVD diamond for detector applications

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; de Boer, W.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Dulinski, W.; Doroshenko, J.; van Eijk, B.; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K. K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K. T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J. L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented.

  3. New developments in CVD diamond for detector applications

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W. [HEPHY, Vienna (Austria); Berdermann, E. [GSI, Darmstadt (Germany); Bergonzo, P.; Brambilla, A. [LETI/DEIN/SPE/CEA Saclay (France); Boer, W. de [Universitaet Karlsruhe, Karlsruhe (Germany); Bogani, F. [LENS, Florence (Italy); Borchi, E.; Bruzzi, M. [University of Florence (Italy); Colledani, C.; Dulinski, W. [LEPSI, IN2P3/CNRS-ULP, Strasbourg (France); Conway, J.; Doroshenko, J. [Rutgers University, Piscataway (United States); D' Angelo, P.; Furetta, C. [INFN, Milano (Italy); Dabrowski, W. [UMM, Cracow (Poland); Delpierre, P.; Fallou, A. [CPPM, Marseille (France); Eijk, B. van [NIKHEF, Amsterdam (Netherlands); Fischer, P. [Universitaet Bonn, Bonn (Germany); Fizzotti, F. [University of Torino (Italy); Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    2004-07-01

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented. (orig.)

  4. New developments in CVD diamond for detector applications

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Brambilla, A.; Boer, W. de; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Dulinski, W.; Conway, J.; Doroshenko, J.; D'Angelo, P.; Furetta, C.; Dabrowski, W.; Delpierre, P.; Fallou, A.; Eijk, B. van; Fischer, P.; Fizzotti, F.; Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    2004-01-01

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented. (orig.)

  5. Natural and CVD type diamond detectors as dosimeters in hadrontherapy applications

    International Nuclear Information System (INIS)

    Cirrone, G.A.P.; Cuttone, G.; Rafaele, L.; Sabini, M.G.; De Angelis, C.; Onori, S.; Pacilio, M.; Bucciolini, M.; Bruzzi, M.; Sciortino, S.

    2003-01-01

    Diamond is potentially a suitable material for use as radiation dosimeter; the wide band gap results in low dark currents and low sensitivity to visible light, the high carrier mobility can give rapid response, the very high density of strong bonds in the crystal structure make diamond very resistant to radiation damage; moreover it is tissue equivalent. The more recent advances in the synthesis of polycrystalline diamond by chemical vapour deposition (CVD) techniques have allowed the synthesis of material with electronic properties suitable for dosimetric application. In this paper we will report the results obtained in the study of the response of a natural diamond dosimeter and a CVD one irradiated with 62 AMeV proton beams to demonstrate their possible application in protontherapy

  6. Fabrication of cerium-doped yttrium aluminum garnet thin films by a mist CVD method

    Energy Technology Data Exchange (ETDEWEB)

    Murai, Shunsuke, E-mail: murai@dipole7.kuic.kyoto-u.ac.jp; Sato, Takafumi; Yao, Situ; Kamakura, Ryosuke; Fujita, Koji; Tanaka, Katsuhisa

    2016-02-15

    We synthesized thin films, consisting of yttrium aluminum garnet doped with Ce{sup 3+} (YAG:Ce), using the mist chemical vapor deposition (CVD) method, which allows the fabrication of high-quality thin films under atmospheric conditions without the use of vacuum equipment. Under a deposition rate of approximately 1 μm/h, the obtained thin films had a typical thickness of 2 μm. The XRD analysis indicated that the thin films consisted of single-phase YAG:Ce. The Rutherford backscattering confirmed the stoichiometry; the composition of the film was determined to be (Y, Ce){sub 3}Al{sub 5}O{sub 12}, with a Ce content of Ce/(Y+Ce)=2.5%. The YAG:Ce thin films exhibited fluorescence due to the 5d–4f electronic transitions characteristic of the Ce ions occupying the eight-coordinated dodecahedral sites in the YAG lattice. - Highlights: • We have synthesized thin films of yttrium aluminum garnet doped with Ce{sup 3+} (YAG:Ce) by using a mist chemical vapor deposition (CVD) method for the first time. • The thickness of the single-phase and stoichiometric thin film obtained by 2 h deposition and following heat treatments is 2 μm. • The thin film is porous but optically transparent, and shows yellow fluorescence upon irradiation with a blue light. • Mist-CVD is a green and sustainable technique that allows fabrication of high-quality thin films at atmospheric conditions without vacuum equipment.

  7. Evaluation of CVD silicon carbide for synchrotron radiation mirrors

    International Nuclear Information System (INIS)

    Takacs, P.Z.

    1981-07-01

    Chemical vapor deposited silicon carbide (CVD SiC) is a recent addition to the list of materials suitable for use in the harsh environment of synchrotron radiation (SR) beam lines. SR mirrors for use at normal incidence must be ultrahigh vacuum compatible, must withstand intense x-ray irradiation without surface damage, must be capable of being polished to an extremely smooth surface finish, and must maintain surface figure under thermal loading. CVD SiC exceeds the performance of conventional optical materials in all these areas. It is, however, a relatively new optical material. Few manufacturers have experience in producing optical quality material, and few opticians have experience in figuring and polishing the material. The CVD material occurs in a variety of forms, sensitively dependent upon reaction chamber production conditions. We are evaluating samples of CVD SiC obtained commercially from various manufacturers, representing a range of deposition conditions, to determine which types of CVD material are most suitable for superpolishing. At the time of this writing, samples are being polished by several commercial vendors and surface finish characteristics are being evaluated by various analytical methods

  8. Verification of thermo-fluidic CVD reactor model

    International Nuclear Information System (INIS)

    Lisik, Z; Turczynski, M; Ruta, L; Raj, E

    2014-01-01

    Presented paper describes the numerical model of CVD (Chemical Vapour Deposition) reactor created in ANSYS CFX, whose main purpose is the evaluation of numerical approaches used to modelling of heat and mass transfer inside the reactor chamber. Verification of the worked out CVD model has been conducted with measurements under various thermal, pressure and gas flow rate conditions. Good agreement between experimental and numerical results confirms correctness of the elaborated model.

  9. Ternary Precursors for Depositing I-III-VI2 Thin Films for Solar Cells via Spray CVD

    Science.gov (United States)

    Banger, K. K.; Hollingsworth, J. A.; Jin, M. H.-C.; Harris, J. D.; Duraj, S. A.; Smith, M.; Scheiman, D.; Bohannan, E. W.; Switzer, J. A.; Buhro, W. E.

    2002-01-01

    The development of thin-film solar cells on flexible, lightweight, space-qualified substrates provides an attractive cost solution to fabricating solar arrays with high specific power (W/kg). Thin-film fabrication studies demonstrate that ternary single source precursors (SSP's) can be used in either a hot or cold-wall spray chemical vapour deposition (CVD) reactor, for depositing CuInS2, CuGaS2, and CuGaInS2 at reduced temperatures (400 to 450 C), which display good electrical and optical properties suitable for photovoltaic (PV) devices. X-ray diffraction studies, energy dispersive spectroscopy (EDS), and scanning electron microscopy (SEM) confirmed the formation of the single phase CIS, CGS, CIGS thin-films on various substrates at reduced temperatures.

  10. Influence of surface morphology and microstructure on performance of CVD tungsten coating under fusion transient thermal loads

    Energy Technology Data Exchange (ETDEWEB)

    Lian, Youyun, E-mail: lianyy@swip.ac.cn [Southwestern Institute of Physics, Chengdu (China); Liu, Xiang; Wang, Jianbao; Feng, Fan [Southwestern Institute of Physics, Chengdu (China); Lv, Yanwei; Song, Jiupeng [China National R& D Center for Tungsten Technology, Xiamen Tungsten Co. Ltd, 361026 Xiamen (China); Chen, Jiming [Southwestern Institute of Physics, Chengdu (China)

    2016-12-30

    Highlights: • Thick CVD-W coatingswere deposited at a rapid growth rate. • The polished CVD-W coatings have highly textured structure and exhibited a very strong preferred orientation. • The polished CVD tungsten coatings show superior thermal shock resistance as compared with that of the as-deposited coatings. • The crack formation of the polished CVD-W was almost suppressed at an elevated temperature. - Abstract: Thick tungsten coatings have been deposited by chemical vapor deposition (CVD) at a rapid growth rate. A series of tungsten coatings with different thickness and surface morphology were prepared. The surface morphology, microstructure and preferred orientation of the CVD tungsten coatings were investigated. Thermal shock analyses were performed by using an electron beam facility to study the influence of the surface morphology and the microstructure on the thermal shock resistance of the CVD tungsten coatings. Repetitive (100 pulses) ELMs-like thermal shock loads were applied at various temperatures between room temperature and 600 °C with pulse duration of 1 ms and an absorbed power density of up to 1 GW/m{sup 2}. The results of the tests demonstrated that the specific surface morphology and columnar crystal structure of the CVD tungsten have significant influence on the surface cracking threshold and crack propagation of the materials. The CVD tungsten coatings with a polished surface show superior thermal shock resistance as compared with that of the as-deposited coatings with a rough surface.

  11. Chemical Vapour Deposition of Large Area Graphene

    DEFF Research Database (Denmark)

    Larsen, Martin Benjamin Barbour Spanget

    Chemical Vapor Deposition (CVD) is a viable technique for fabrication of large areas of graphene. CVD fabrication is the most prominent and common way of fabricating graphene in industry. In this thesis I have attempted to optimize a growth recipe and catalyst layer for CVD fabrication of uniform......, single layer, and high carrier mobility large area graphene. The main goals of this work are; (1) explore the graphene growth mechanics in a low pressure cold-wall CVD system on a copper substrate, and (2) optimize the process of growing high quality graphene in terms of carrier mobility, and crystal...... structure. Optimization of a process for graphene growth on commercially available copper foil is limited by the number of aluminium oxide particles on the surface of the catalyst. By replacing the copper foil with a thin deposited copper film on a SiO2/Si or c-plane sapphire wafer the particles can...

  12. CVD diamond for nuclear detection applications

    CERN Document Server

    Bergonzo, P; Tromson, D; Mer, C; Guizard, B; Marshall, R D; Foulon, F

    2002-01-01

    Chemically vapour deposited (CVD) diamond is a remarkable material for the fabrication of radiation detectors. In fact, there exist several applications where other standard semiconductor detectors do not fulfil the specific requirements imposed by corrosive, hot and/or high radiation dose environments. The improvement of the electronic properties of CVD diamond has been under intensive investigations and led to the development of a few applications that are addressing specific industrial needs. Here, we report on CVD diamond-based detector developments and we describe how this material, even though of a polycrystalline nature, is readily of great interest for applications in the nuclear industry as well as for physics experiments. Improvements in the material synthesis as well as on device fabrication especially concern the synthesis of films that do not exhibit space charge build up effects which are often encountered in CVD diamond materials and that are highly detrimental for detection devices. On a pre-i...

  13. Fast method for reactor and feature scale coupling in ALD and CVD

    Science.gov (United States)

    Yanguas-Gil, Angel; Elam, Jeffrey W.

    2017-08-08

    Transport and surface chemistry of certain deposition techniques is modeled. Methods provide a model of the transport inside nanostructures as a single-particle discrete Markov chain process. This approach decouples the complexity of the surface chemistry from the transport model, thus allowing its application under general surface chemistry conditions, including atomic layer deposition (ALD) and chemical vapor deposition (CVD). Methods provide for determination of determine statistical information of the trajectory of individual molecules, such as the average interaction time or the number of wall collisions for molecules entering the nanostructures as well as to track the relative contributions to thin-film growth of different independent reaction pathways at each point of the feature.

  14. Selective CVD tungsten on silicon implanted SiO/sub 2/

    International Nuclear Information System (INIS)

    Hennessy, W.A.; Ghezzo, M.; Wilson, R.H.; Bakhru, H.

    1988-01-01

    The application range of selective CVD tungsten is extended by its coupling to the ion implantation of insulating materials. This article documents the results of selective CVD tungsten using silicon implanted into SiO/sub 2/ to nucleate the tungsten growth. The role of implant does, energy, and surface preparation in achieving nucleation are described. SEM micrographs are presented to demonstrate the selectivity of this process. Measurements of the tungsten film thickness and sheet resistance are provided for each of the experimental variants corresponding to successful deposition. RBS and XPS analysis are discussed in terms of characterizing the tungsten/oxide interface and to evaluate the role of the silicon implant in the CVD tungsten mechanism. Utilizing this method a desired metallization pattern can be readily defined with lithography and ion implantation, and accurately replicated with a layer of CVD tungsten. This approach avoids problems usually associated with blanket deposition and pattern transfer, which are particularly troublesome for submicron VLSI technology

  15. Diamond like carbon coatings deposited by microwave plasma CVD ...

    Indian Academy of Sciences (India)

    WINTEC

    photoelectron spectroscopy (XPS) and spectroscopic ellipsometry techniques for estimating sp. 3. /sp. 2 ratio. ... ion beam deposition (Savvidas 1986), pulsed laser deposi- ... carrier gas (10 sccm) by passing 150 watts of microwave power.

  16. HfO2 as gate dielectric on Ge: Interfaces and deposition techniques

    International Nuclear Information System (INIS)

    Caymax, M.; Van Elshocht, S.; Houssa, M.; Delabie, A.; Conard, T.; Meuris, M.; Heyns, M.M.; Dimoulas, A.; Spiga, S.; Fanciulli, M.; Seo, J.W.; Goncharova, L.V.

    2006-01-01

    To fabricate MOS gate stacks on Ge, one can choose from a multitude of metal oxides as dielectric material which can be deposited by many chemical or physical vapor deposition techniques. As a few typical examples, we will discuss here the results from atomic layer deposition (ALD), metal organic CVD (MOCVD) and molecular beam deposition (MBD) using HfO 2 /Ge as materials model system. It appears that a completely interface layer free HfO 2 /Ge combination can be made in MBD, but this results in very bad capacitors. The same bad result we find if HfGe y (Hf germanides) are formed like in the case of MOCVD on HF-dipped Ge. A GeO x interfacial layer appears to be indispensable (if no other passivating materials are applied), but the composition of this interfacial layer (as determined by XPS, TOFSIMS and MEIS) is determining for the C/V quality. On the other hand, the presence of Ge in the HfO 2 layer is not the most important factor that can be responsible for poor C/V, although it can still induce bumps in C/V curves, especially in the form of germanates (Hf-O-Ge). We find that most of these interfacial GeO x layers are in fact sub-oxides, and that this could be (part of) the explanation for the high interfacial state densities. In conclusion, we find that the Ge surface preparation is determining for the gate stack quality, but it needs to be adapted to the specific deposition technique

  17. A measure of the interfacial shear strength between SiC(CVD)/B(CVD) filament--aluminum matrix by fragmentation method

    International Nuclear Information System (INIS)

    Jiang, Y.Q.; Chen, X.J.; Yang, D.M.; Fei, X.; Pan, J.

    1993-01-01

    The tensile specimens used are of dog-bone shape and consist of single axial SiC (CVD) /B (CVD) filament processed by CVD and embedded in a LD-2 aluminum alloy. Model composite specimens have been fabricated by a high pressure squeeze casting technique. This paper describes the application of an Acoustic Emission Technique for locating the position of fiber breaks and thus determining the length distribution of fiber fragments resulting when a composite specimen containing a single fiber is loaded to failure. The critical lengths (minimal lengths) are checked by Corrosion Method

  18. Coating of ceramic powders by chemical vapor deposition techniques (CVD)

    International Nuclear Information System (INIS)

    Haubner, R.; Lux, B.

    1997-01-01

    New ceramic materials with selected advanced properties can be designed by coating of ceramic powders prior to sintering. By variation of the core and coating material a large number of various powders and ceramic materials can be produced. Powders which react with the binder phase during sintering can be coated with stable materials. Thermal expansion of the ceramic materials can be adjusted by varying the coating thickness (ratio core/layer). Electrical and wear resistant properties can be optimized for electrical contacts. A fluidized bed reactor will be designed which allow the deposition of various coatings on ceramic powders. (author)

  19. Modelling and analysis of CVD processes for ceramic membrane preparation

    NARCIS (Netherlands)

    Brinkman, H.W.; Cao, G.Z.; Meijerink, J.; de Vries, Karel Jan; Burggraaf, Anthonie

    1993-01-01

    A mathematical model is presented that describes the modified chemical vapour deposition (CVD) process (which takes place in advance of the electrochemical vapour deposition (EVD) process) to deposit ZrO2 inside porous media for the preparation and modification of ceramic membranes. The isobaric

  20. Nanocrystalline sp{sup 2} and sp{sup 3} carbons: CVD synthesis and applications

    Energy Technology Data Exchange (ETDEWEB)

    Terranova, M. L. [Università degli Studi di Roma “Tor Vergata,” via Della Ricerca Scientifica, Dipartimento di Scienze e Tecnologie Chimiche—MinimaLab (Italy); Rossi, M. [Università degli Studi di Roma “Sapienza,” via A. Scarpa, Dipartimento di Scienze di Base e Applicate per l’Ingegneria and Centro di Ricerca per le Nanotecnologie Applicate all’Ingegneria (CNIS) (Italy); Tamburri, E., E-mail: emanuela.tamburri@uniroma2.it [Università degli Studi di Roma “Tor Vergata,” via Della Ricerca Scientifica, Dipartimento di Scienze e Tecnologie Chimiche—MinimaLab (Italy)

    2016-11-15

    The design and production of innovative materials based on nanocrystalline sp{sup 2}- and sp{sup 3}-coordinated carbons is presently a focus of the scientific community. We present a review of the nanostructures obtained in our labs using a series of synthetic routes, which make use of chemical vapor deposition (CVD) techniques for the selective production of non-planar graphitic nanostructures, nanocrystalline diamonds, and hybrid two-phase nanostructures.

  1. High-speed deposition of titanium carbide coatings by laser-assisted metal–organic CVD

    Energy Technology Data Exchange (ETDEWEB)

    Gong, Yansheng [Faculty of Materials Science and Chemistry, China University of Geosciences, Wuhan 430074 (China); Tu, Rong, E-mail: turong@whut.edu.cn [State Key Laboratory of Advanced Technology for Material Synthesis and Processing, Wuhan University of Technology, Wuhan 430070 (China); Goto, Takashi [Institute for Materials Research, Tohoku University, Aoba-ku, 2-1-1 Katahira, Sendai 980-8577 (Japan)

    2013-08-01

    Graphical abstract: - Highlights: • A semiconductor laser was first used to prepare wide-area LCVD-TiC{sub x} coatings. • The effect of laser power for the deposition of TiC{sub x} coatings was discussed. • TiC{sub x} coatings showed a columnar cross section and a dense surface texture. • TiC{sub x} coatings had a 1–4 order lower laser density than those of previous reports. • This study gives the possibility of LCVD applying on the preparation of TiC{sub x} coating. - Abstract: A semiconductor laser-assisted chemical vapor deposition (LCVD) of titanium carbide (TiC{sub x}) coatings on Al{sub 2}O{sub 3} substrate using tetrakis (diethylamido) titanium (TDEAT) and C{sub 2}H{sub 2} as source materials were investigated. The influences of laser power (P{sub L}) and pre-heating temperature (T{sub pre}) on the microstructure and deposition rate of TiC{sub x} coatings were examined. Single phase of TiC{sub x} coatings were obtained at P{sub L} = 100–200 W. TiC{sub x} coatings had a cauliflower-like surface and columnar cross section. TiC{sub x} coatings in the present study had the highest R{sub dep} (54 μm/h) at a relative low T{sub dep} than those of conventional CVD-TiC{sub x} coatings. The highest volume deposition rate (V{sub dep}) of TiC{sub x} coatings was about 4.7 × 10{sup −12} m{sup 3} s{sup −1}, which had 3–10{sup 5} times larger deposition area and 1–4 order lower laser density than those of previous LCVD using CO{sub 2}, Nd:YAG and argon ion laser.

  2. Ethylene Gas Sensing Properties of Tin Oxide Nanowires Synthesized via CVD Method

    Science.gov (United States)

    Akhir, Maisara A. M.; Mohamed, Khairudin; Rezan, Sheikh A.; Arafat, M. M.; Haseeb, A. S. M. A.; Uda, M. N. A.; Nuradibah, M. A.

    2018-03-01

    This paper studies ethylene gas sensing performance of tin oxide (SnO2) nanowires (NWs) as sensing material synthesized using chemical vapor deposition (CVD) technique. The effect of NWs diameter on ethylene gas sensing characteristics were investigated. SnO2 NWs with diameter of ∼40 and ∼240 nm were deposited onto the alumina substrate with printed gold electrodes and tested for sensing characteristic toward ethylene gas. From the finding, the smallest diameter of NWs (42 nm) exhibit fast response and recovery time and higher sensitivity compared to largest diameter of NWs (∼240 nm). Both sensor show good reversibility features for ethylene gas sensor.

  3. Thermodynamic study of CVD-ZrO2 phase diagrams

    International Nuclear Information System (INIS)

    Torres-Huerta, A.M.; Vargas-Garcia, J.R.; Dominguez-Crespo, M.A.; Romero-Serrano, J.A.

    2009-01-01

    Chemical vapor deposition (CVD) of zirconium oxide (ZrO 2 ) from zirconium acetylacetonate Zr(acac) 4 has been thermodynamically investigated using the Gibbs' free energy minimization method and the FACTSAGE program. Thermodynamic data Cp o , ΔH o and S o for Zr(acac) 4 have been estimated using the Meghreblian-Crawford-Parr and Benson methods because they are not available in the literature. The effect of deposition parameters, such as temperature and pressure, on the extension of the region where pure ZrO 2 can be deposited was analyzed. The results are presented as calculated CVD stability diagrams. The phase diagrams showed two zones, one of them corresponds to pure monoclinic phase of ZrO 2 and the other one corresponds to a mix of monoclinic phase of ZrO 2 and graphite carbon.

  4. FY1995 development of a clean CVD process by evaluation and control of gas phase nucleation phenomena; 1995 nendo kisokaku seisei gensho no hyoka to seigyo ni yoru clean CVD process no kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1997-03-01

    The purpose of this study is to develop a high-rate and clean chemical vapor deposition (CVD) process as a breakthrough technique to overcome the problems that particles generated in the gas phase during CVD process for preparation of functional thin films cause reduced product yield and deterioration of the films. In the CVD process proposed here, reactant gas and generated particles are electrically charged to control the motion of them with an electric field. In this study, gas-phase nucleation phenomena are evaluated both theoretically and experimentally. A high-rate, ionized CVD method is first developed, in which reactant gas and generated particles are charged with negative ions generated from a radioisotope source and the UV/photoelectron method, and the motion of the charged gas and particles is controlled with an electric field. Charging and transport processes of fine particles are then investigated experimentally and theoretically to develop a clean CVD method in which generated particles are removed with the electric forces. As a result, quantitative evaluation of the charging and transport process was made possible. We also developed devices for measuring the size distribution and concentration of fine particles in low pressure gas such as those found in plasma CVD processes. In addition, numerical simulation and experiments in this study for a TEOS/O{sub 3} CVD process to prepare thin films could determine reaction rates which have not been known so far and give information on selecting good operation conditions for the process. (NEDO)

  5. Use of Kelvin probe force microscopy for identification of CVD grown graphene flakes on copper foil

    Science.gov (United States)

    Kumar, Rakesh; Mehta, B. R.; Kanjilal, D.

    2017-05-01

    Graphene flakes have been grown by chemical vapour deposition (CVD) method on Cu foils. The obtained graphene flakes have been characterized by optical microscopy, field emission scanning electron microscopy, Kelvin probe force microscopy (KPFM) and Raman spectroscopy. The graphene flakes grown on Cu foil comprise mainly single layer graphene and confirm that the nucleation for graphene growth starts very quickly. Moreover, KPFM has been found to be a valuable technique to differentiate between covered and uncovered portion of Cu foil by graphene flakes deposited for shorter duration. The results show that KPFM can be a very useful technique in understanding the mechanism of graphene growth.

  6. CVD diamond for nuclear detection applications

    International Nuclear Information System (INIS)

    Bergonzo, P.; Brambilla, A.; Tromson, D.; Mer, C.; Guizard, B.; Marshall, R.D.; Foulon, F.

    2002-01-01

    Chemically vapour deposited (CVD) diamond is a remarkable material for the fabrication of radiation detectors. In fact, there exist several applications where other standard semiconductor detectors do not fulfil the specific requirements imposed by corrosive, hot and/or high radiation dose environments. The improvement of the electronic properties of CVD diamond has been under intensive investigations and led to the development of a few applications that are addressing specific industrial needs. Here, we report on CVD diamond-based detector developments and we describe how this material, even though of a polycrystalline nature, is readily of great interest for applications in the nuclear industry as well as for physics experiments. Improvements in the material synthesis as well as on device fabrication especially concern the synthesis of films that do not exhibit space charge build up effects which are often encountered in CVD diamond materials and that are highly detrimental for detection devices. On a pre-industrial basis, CVD diamond detectors have been fabricated for nuclear industry applications in hostile environments. Such devices can operate in harsh environments and overcome limitations encountered with the standard semiconductor materials. Of these, this paper presents devices for the monitoring of the alpha activity in corrosive nuclear waste solutions, such as those encountered in nuclear fuel assembly reprocessing facilities, as well as diamond-based thermal neutron detectors exhibiting a high neutron to gamma selectivity. All these demonstrate the effectiveness of a demanding industrial need that relies on the remarkable resilience of CVD diamond

  7. Fabrication of Vertically Aligned CNT Composite for Membrane Applications Using Chemical Vapor Deposition through In Situ Polymerization

    Directory of Open Access Journals (Sweden)

    Munir Mohammad

    2013-01-01

    Full Text Available We report the fabrication of vertically aligned carbon nanotubes (CNT composite using thermal chemical vapor deposition (CVD. A forest of vertically aligned CNTs was grown using catalytic CVD. Fluorocarbon polymer, films were deposited in the spaces between vertically aligned MWCNTs using thermal CVD apparatus developed in-house. The excessive polymer top layer was etched by exposing the sample to water plasma. Infrared spectroscopy confirmed the attachment of functional groups to CNTs. Alignment of CNTs, deposition of polymer and postetched specimens were analyzed by field emission scanning electron microscope (FE-SEM. Uniform distribution of monomodel vertically aligned CNTs embedded in the deposited polymer matrix was observed in the micrograph. Observed uniform distribution otherwise is not possible using conventional techniques such as spin coating.

  8. Influence of electrodes on the photon energy deposition in CVD-diamond dosimeters studied with the Monte Carlo code PENELOPE

    International Nuclear Information System (INIS)

    Gorka, B; Nilsson, B; Fernandez-Varea, J M; Svensson, R; Brahme, A

    2006-01-01

    A new dosimeter, based on chemical vapour deposited (CVD) diamond as the active detector material, is being developed for dosimetry in radiotherapeutic beams. CVD-diamond is a very interesting material, since its atomic composition is close to that of human tissue and in principle it can be designed to introduce negligible perturbations to the radiation field and the dose distribution in the phantom due to its small size. However, non-tissue-equivalent structural components, such as electrodes, wires and encapsulation, need to be carefully selected as they may induce severe fluence perturbation and angular dependence, resulting in erroneous dose readings. By introducing metallic electrodes on the diamond crystals, interface phenomena between high- and low-atomic-number materials are created. Depending on the direction of the radiation field, an increased or decreased detector signal may be obtained. The small dimensions of the CVD-diamond layer and electrodes (around 100 μm and smaller) imply a higher sensitivity to the lack of charged-particle equilibrium and may cause severe interface phenomena. In the present study, we investigate the variation of energy deposition in the diamond detector for different photon-beam qualities, electrode materials and geometric configurations using the Monte Carlo code PENELOPE. The prototype detector was produced from a 50 μm thick CVD-diamond layer with 0.2 μm thick silver electrodes on both sides. The mean absorbed dose to the detector's active volume was modified in the presence of the electrodes by 1.7%, 2.1%, 1.5%, 0.6% and 0.9% for 1.25 MeV monoenergetic photons, a complete (i.e. shielded) 60 Co photon source spectrum and 6, 18 and 50 MV bremsstrahlung spectra, respectively. The shift in mean absorbed dose increases with increasing atomic number and thickness of the electrodes, and diminishes with increasing thickness of the diamond layer. From a dosimetric point of view, graphite would be an almost perfect electrode

  9. CVD polycrystalline diamond. A novel neutron detector and applications

    International Nuclear Information System (INIS)

    Mongkolnavin, R.

    1998-01-01

    Chemical Vapour Deposition (CVD) Polycrystalline Diamond film has been investigated as a low noise sensor for beta particles, gammas and neutrons using High Energy Physics technologies. Its advantages and disadvantages have been explored in comparison with other particle detectors such as silicon detector and other plastic scintillators. The performance and characteristic of the diamond detector have been fully studied and discussed. These studies will lead to a better understanding of how CVD diamonds perform as a detector and how to improve their performance under various conditions. A CVD diamond detector model has been proposed which is an attempt to explain the behaviour of such an extreme detector material. A novel neutron detector is introduced as a result of these studies. A good thermal and fast neutron detector can be fabricated with CVD diamond with new topologies. This detector will perform well without degradation in a high neutron radiation environment, as diamond is known to be radiation hard. It also offers better neutrons and gammas discrimination for high gamma background applications compared to other semiconductor detectors. A full simulation of the detector has also been done using GEANT, a Monte-Carlo simulation program for particle detectors. Simulation results show that CVD diamond detectors with this novel topology can detect neutrons with great directionality. Experimental work has been done on this detector in a nuclear reactor environment and accelerator source. A novel neutron source which offers a fast pulse high-energy neutrons has also been studied. With this detector, applications in neutron spectrometer for low-Z material have been pursued with various neutron detection techniques. One of these is a low-Z material identification system. The system has been designed and simulated for contraband luggage interrogation using the detector and the novel neutron source. Also other neutron related applications have been suggested. (author)

  10. CVD polycrystalline diamond. A novel neutron detector and applications

    International Nuclear Information System (INIS)

    Mongkolnavin, R.

    1998-07-01

    Chemical Vapour Deposition (CVD) Polycrystalline Diamond film has been investigated as a low noise sensor for beta particles, gammas and neutrons using High Energy Physics technologies. Its advantages and disadvantages have been explored in comparison with other particle detectors such as silicon detector and other plastic scintillators. The performance and characteristic of the diamond detector have been fully studied and discussed. These studies will lead to a better understanding of how CVD diamonds perform as a detector and how to improve their performance under various conditions. A CVD diamond detector model has been proposed which is an attempt to explain the behaviour of such an extreme detector material. A novel neutron detector is introduced as a result of these studies. A good thermal and fast neutron detector can be fabricated with CVD diamond with new topologies. This detector will perform well without degradation in a high neutron radiation environment, as diamond is known to be radiation-hard. It also offers better neutrons and gammas discrimination for high gamma background applications compared to other semiconductor detectors. A full simulation of the detector has also been done using GEANT, a Monte Carlo simulation program for particle detectors. Simulation results show that CVD diamond detectors with this novel topology can detect neutrons with great directionality. Experimental work has been done on this detector in a nuclear reactor environment and accelerator source. A novel neutron source which offers a fast pulse high-energy neutrons has also been studied. With this detector, applications in neutron spectrometry for low-Z material have been pursued with various neutron detection techniques. One of these is a low-Z material identification system. The system has been designed and simulated for contraband luggage interrogation using the detector and the novel neutron source. (author)

  11. CVD Diamond Sensors In Detectors For High Energy Physics

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00334150; Trischuk, William

    At the end of the next decade an upgrade of the Large Hadron Collider (LHC) to High Luminosity LHC (HL-LHC) is planned which requires the development of new radiation tolerant sensor technology. Diamond is an interesting material for use as a particle detector in high radiation environments. The large band gap ($5.47\\,\\text{eV}$) and the large displacement energy suggest that diamond is a radiation tolerant detector material. In this Thesis the capability of Chemical Vapor Deposition (CVD) diamond as such a sensor technology is investigated. The radiation damage constant for $800\\,\\text{MeV}$ protons is measured using single crystalline CVD (scCVD) and polycrystalline CVD (pCVD) diamonds irradiated to particle fluences up to $12 \\times 10^{15}\\,\\text{p/cm}^2$. In addition the signal response of a pCVD diamond detector after an irradiation to $12 \\times 10^{15}\\,\\text{p/cm}^2$ is investigated to determine if such a detector can be operated efficiently in the expected HL-LHC environment. By using electrodes em...

  12. Development of a CVD silica coating for UK advanced gas-cooled nuclear reactor fuel pins

    International Nuclear Information System (INIS)

    Bennett, M.J.; Houlton, M.R.; Moore, D.A.; Foster, A.I.; Swidzinski, M.A.M.

    1983-04-01

    Vapour deposited silica coatings could extend the life of the 20% Cr/25% Ni niobium stabilised (20/25/Nb) stainless steel fuel cladding of the UK advanced gas cooled reactors. A CVD coating process developed originally to be undertaken at atmospheric pressure has now been adapted for operation at reduced pressure. Trials on the LP CVD process have been pursued to the production scale using commercial equipment. The effectiveness of the LP CVD silica coatings in providing protection to 20/25/Nb steel surfaces against oxidation and carbonaceous deposition has been evaluated. (author)

  13. Thin film silicon by a microwave plasma deposition technique: Growth and devices, and, interface effects in amorphous silicon/crystalline silicon solar cells

    Science.gov (United States)

    Jagannathan, Basanth

    Thin film silicon (Si) was deposited by a microwave plasma CVD technique, employing double dilution of silane, for the growth of low hydrogen content Si films with a controllable microstructure on amorphous substrates at low temperatures (prepared by this technique. Such films showed a dark conductivity ˜10sp{-6} S/cm, with a conduction activation energy of 0.49 eV. Film growth and properties have been compared for deposition in Ar and He carrier systems and growth models have been proposed. Low temperature junction formation by undoped thin film silicon was examined through a thin film silicon/p-type crystalline silicon heterojunctions. The thin film silicon layers were deposited by rf glow discharge, dc magnetron sputtering and microwave plasma CVD. The hetero-interface was identified by current transport analysis and high frequency capacitance methods as the key parameter controlling the photovoltaic (PV) response. The effect of the interface on the device properties (PV, junction, and carrier transport) was examined with respect to modifications created by chemical treatment, type of plasma species, their energy and film microstructure interacting with the substrate. Thermally stimulated capacitance was used to determine the interfacial trap parameters. Plasma deposition of thin film silicon on chemically clean c-Si created electron trapping sites while hole traps were seen when a thin oxide was present at the interface. Under optimized conditions, a 10.6% efficient cell (11.5% with SiOsb2 A/R) with an open circuit voltage of 0.55 volts and a short circuit current density of 30 mA/cmsp2 was fabricated.

  14. Simple method for the calculation and use of CVD phase diagrams with applications to the Ti-B-Cl-H system, 1200 to 8000K

    International Nuclear Information System (INIS)

    Randich, E.; Gerlach, T.M.

    1980-03-01

    A simple method for calculating multi-component gas-solid equilibrium phase diagrams for chemical vapor deposition (CVD) systems is presented. The method proceeds in three steps: dtermination of stable solid assemblages, evaluation of gas-solid stability relations, and calcuation of conventional phase diagrams using a new free energy minimization technique. The phase diagrams can be used to determine (1) bulk compositions and phase fields accessible by CVD techniques; (2) expected condensed phases for various starting gas mixtures; and (3) maximum equilibrium yields for specific CVD process variables. The three step thermodynamic method is used to calcuate phase diagrams for the example CVD system Ti-B-Cl-H at 1200 and 800 0 K. Examples of applications of the diagrams for yield optimization and experimental accessibility studies are presented and discussed. Experimental verification of the TiB 2 + Gas/Gas phase field boundary at 1200 0 K, H/Cl = 1 confirms the calculated boundary and indicates that equilibrium is nearly and rapidly approached under laboratory conditions

  15. Pulse-height defect in single-crystal CVD diamond detectors

    Energy Technology Data Exchange (ETDEWEB)

    Beliuskina, O.; Imai, N. [The University of Tokyo, Center for Nuclear Study, Wako, Saitama (Japan); Strekalovsky, A.O.; Aleksandrov, A.A.; Aleksandrova, I.A.; Ilich, S.; Kamanin, D.V.; Knyazheva, G.N.; Kuznetsova, E.A.; Mishinsky, G.V.; Pyatkov, Yu.V.; Strekalovsky, O.V.; Zhuchko, V.E. [JINR, Flerov Laboratory of Nuclear Reactions, Dubna, Moscow Region (Russian Federation); Devaraja, H.M. [Manipal University, Manipal Centre for Natural Sciences, Manipal, Karnataka (India); Heinz, C. [II. Physikalisches Institut, Justus-Liebig-Universitaet Giessen, Giessen (Germany); Heinz, S. [II. Physikalisches Institut, Justus-Liebig-Universitaet Giessen, Giessen (Germany); GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Hofmann, S.; Kis, M.; Kozhuharov, C.; Maurer, J.; Traeger, M. [GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Pomorski, M. [CEA, LIST, Diamond Sensor Laboratory, CEA/Saclay, Gif-sur-Yvette (France)

    2017-02-15

    The pulse-height versus deposited energy response of a single-crystal chemical vapor deposition (scCVD) diamond detector was measured for ions of Ti, Cu, Nb, Ag, Xe, Au, and of fission fragments of {sup 252} Cf at different energies. For the fission fragments, data were also measured at different electric field strengths of the detector. Heavy ions have a significant pulse-height defect in CVD diamond material, which increases with increasing energy of the ions. It also depends on the electrical field strength applied at the detector. The measured pulse-height defects were explained in the framework of recombination models. Calibration methods known from silicon detectors were modified and applied. A comparison with data for the pulse-height defect in silicon detectors was performed. (orig.)

  16. Spray deposition using impulse atomization technique

    International Nuclear Information System (INIS)

    Ellendt, N.; Schmidt, R.; Knabe, J.; Henein, H.; Uhlenwinkel, V.

    2004-01-01

    A novel technique, impulse atomization, has been used for spray deposition. This single fluid atomization technique leads to different spray characteristics and impact conditions of the droplets compared to gas atomization technique which is the common technique used for spray deposition. Deposition experiments with a Cu-6Sn alloy were conducted to evaluate the appropriateness of impulse atomization to produce dense material. Based on these experiments, a model has been developed to simulate the thermal history and the local solidification rates of the deposited material. A numerical study shows how different cooling conditions affect the solidification rate of the material

  17. Development of CVD Diamond for Industrial Applications Final Report CRADA No. TC-2047-02

    Energy Technology Data Exchange (ETDEWEB)

    Caplan, M. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Olstad, R. [General Atomics, San Diego, CA (United States); Jory, H. [Communications and Power Industries, Palo Alto, CA (United States); Vikharov, A. L. [Russian Academy of Sciences (RAS), Moscow (Russian Federation)

    2017-09-08

    This project was a collaborative effort to develop and demonstrate a new millimeter microwave assisted chemical vapor deposition(CVD) process for manufacturing large diamond disks with greatly reduced processing times and costs from those now available. In the CVD process, carbon based gases (methane) and hydrogen are dissociated into plasma using microwave discharge and then deposited layer by layer as polycrystalline diamond onto a substrate. The available low frequency (2.45GHz) microwave sources used elsewhere (De Beers) result in low density plasmas and low deposition rates: 4 inch diamond disks take 6-8 weeks to process. The new system developed in this project uses a high frequency 30GHz Gyrotron as the microwave source and a quasi-optical CVD chamber resulting in a much higher density plasma which greatly reduced the diamond processing times (1-2 weeks)

  18. Radiation monitoring with CVD diamonds and PIN diodes at BaBar

    Energy Technology Data Exchange (ETDEWEB)

    Bruinsma, M. [University of California Irvine, Irvine, CA 92697 (United States); Burchat, P. [Stanford University, Stanford, CA 94305-4060 (United States); Curry, S. [University of California Irvine, Irvine, CA 92697 (United States)], E-mail: scurry@slac.stanford.edu; Edwards, A.J. [Stanford University, Stanford, CA 94305-4060 (United States); Kagan, H.; Kass, R. [Ohio State University, Columbus, OH 43210 (United States); Kirkby, D. [University of California Irvine, Irvine, CA 92697 (United States); Majewski, S.; Petersen, B.A. [Stanford University, Stanford, CA 94305-4060 (United States)

    2007-12-11

    The BaBar experiment at the Stanford Linear Accelerator Center has been using two polycrystalline chemical vapor deposition (pCVD) diamonds and 12 silicon PIN diodes for radiation monitoring and protection of the Silicon Vertex Tracker (SVT). We have used the pCVD diamonds for more than 3 years, and the PIN diodes for 7 years. We will describe the SVT and SVT radiation monitoring system as well as the operational difficulties and radiation damage effects on the PIN diodes and pCVD diamonds in a high-energy physics environment.

  19. CVD Diamond, DLC, and c-BN Coatings for Solid Film Lubrication

    Science.gov (United States)

    Miyoshi, Kazuhisa

    1998-01-01

    When the main criteria for judging coating performance were coefficient of friction and wear rate, which had to be less than 0.1 and 10(exp -6) mm(exp 3)/N-m, respectively, carbon- and nitrogen-ion-implanted, fine-grain CVD diamond and DLC ion beam deposited on fine-grain CVD diamond met the requirements regardless of environment (vacuum, nitrogen, and air).

  20. On the potential of Hg-Photo-CVD process for the low temperature growth of nano-crystalline silicon (Topical review)

    International Nuclear Information System (INIS)

    Barhdadi, A.

    2005-08-01

    Mercury-Sensitized Photo-Assisted Chemical Vapor Deposition (Hg-Photo-CVD) technique opens new possibilities for reducing thin film growth temperature and producing novel semiconductor materials suitable for the future generation of high efficiency thin film solar cells onto low cost flexible plastic substrates. This paper provides an overview of this technique, with the emphasis on its potential in low temperature elaboration of nano-crystalline silicon for the development of thin films photovoltaic technology. (author)

  1. CVD of solid oxides in porous substrates for ceramic membrane modification

    NARCIS (Netherlands)

    Lin, Y.S.; Lin, Y.S.; Burggraaf, Anthonie; Burggraaf, A.J.

    1992-01-01

    The deposition of yttria-doped zirconia has been experimented systematically in various types of porous ceramic substrates by a modified chemical vapor deposition (CVD) process operating in an opposing reactant geometry using water vapor and corresponding metal chloride vapors as reactants. The

  2. Thermodynamic and experimental studies of the CVD of A-15 superconductors. I

    International Nuclear Information System (INIS)

    Madar, R.; Weiss, F.; Fruchart, R.; Bernard, C.

    1978-01-01

    This paper deals with the experimental and thermodynamic study of the chemical vapor deposition (CVD) synthesis of Nb 3 Ga layers on various metallic and insulating substrates using the coreduction of mixed halides by hydrogen. Thermodynamic equilibrium in the seven-component system Nb-Ga-H-Cl-Si-O-Ar has been calculated using the method of minimization of the system Gibbs free energy as a function of the variables directly available in the CVD system. The chosen variables were the chloride ratio, the reduction and dilution parameters and the temperature of the deposition zone. The equilibrium compositions were calculated for the two composition limits of the A-15 phase: NbGasub(0.15) and Nb 3 Ga. They are presented in the form of CVD phase diagrams. A CVD reactor has been set up and more than one hundred measurements have been made in order to check the validity of the equilibrium calculations. The comparisons between equilibrium and experimental results show a good agreement and lead to a better understanding of the chemistry and thermodynamics of the system. (Auth.)

  3. An assessment of radiotherapy dosimeters based on CVD grown diamond

    International Nuclear Information System (INIS)

    Ramkumar, S.; Buttar, C.M.; Conway, J.; Whitehead, A.J.; Sussman, R.S.; Hill, G.; Walker, S.

    2001-01-01

    Diamond is potentially a very suitable material for use as a dosimeter for radiotherapy. Its radiation hardness, the near tissue equivalence and chemical inertness are some of the characteristics of diamond, which make it well suited for its application as a dosimeter. Recent advances in the synthesis of diamond by chemical vapour deposition (CVD) technology have resulted in the improvement in the quality of material and increased its suitability for radiotherapy applications. We report in this paper, the response of prototype dosimeters based on two different types (CVD1 and CVD2) of CVD diamond to X-rays. The diamond devices were assessed for sensitivity, dependence of response on dose and dose rate, and compared with a Scanditronix silicon photon diode and a PTW natural diamond dosimeter. The diamond devices of CVD1 type showed an initial increase in response with dose, which saturates after ∼6 Gy. The diamond devices of CVD2 type had a response at low fields ( 1162.8 V/cm), the CVD2-type devices showed polarisation and dose-rate dependence. The sensitivity of the CVD diamond devices varied between 82 and 1300 nC/Gy depending upon the sample type and the applied voltage. The sensitivity of CVD diamond devices was significantly higher than that of natural diamond and silicon dosimeters. The results suggest that CVD diamond devices can be fabricated for successful use in radiotherapy applications

  4. CVD of SiC and AlN using cyclic organometallic precursors

    Science.gov (United States)

    Interrante, L. V.; Larkin, D. J.; Amato, C.

    1992-01-01

    The use of cyclic organometallic molecules as single-source MOCVD precursors is illustrated by means of examples taken from our recent work on AlN and SiC deposition, with particular focus on SiC. Molecules containing (AlN)3 and (SiC)2 rings as the 'core structure' were employed as the source materials for these studies. The organoaluminum amide, (Me2AlNH2)3, was used as the AlN source and has been studied in a molecular beam sampling apparatus in order to determine the gas phase species present in a hot-wall CVD reactor environment. In the case of SiC CVD, a series of disilacyclobutanes (Si(XX')CH2)2 (with X and X' = H, CH3, and CH2SiH2CH3), were examined in a cold-wall, hot-stage CVD reactor in order to compare their relative reactivities and prospective utility as single-source CVD precursors. The parent compound, disilacyclobutane, (SiH2CH2)2, was found to exhibit the lowest deposition temperature (ca. 670 C) and to yield the highest purity SiC films. This precursor gave a highly textured, polycrystalline film on the Si(100) substrates.

  5. Controlling the resistivity gradient in chemical vapor deposition-deposited aluminum-doped zinc oxide

    NARCIS (Netherlands)

    Ponomarev, M. V.; Verheijen, M. A.; Keuning, W.; M. C. M. van de Sanden,; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO: Al layers by focusing on the control

  6. Recent Advances in Atmospheric Vapor-Phase Deposition of Transparent and Conductive Zinc Oxide

    NARCIS (Netherlands)

    Illiberi, A.; Poodt, P.; Roozeboom, F.

    2014-01-01

    The industrial need for high-throughput and low-cost ZnO deposition processes has triggered the development of atmospheric vapor-phase deposition techniques which can be easily applied to continuous, in-line manufacturing. While atmospheric CVD is a mature technology, new processes for the growth of

  7. Thermodynamic study of CVD-ZrO{sub 2} phase diagrams

    Energy Technology Data Exchange (ETDEWEB)

    Torres-Huerta, A.M., E-mail: atorresh@ipn.m [Research Center for Applied Science and Advanced Technology, Altamira-IPN, Altamira C.P.89600 Tamaulipas (Mexico); Vargas-Garcia, J.R. [Dept of Metallurgical Eng., ESIQIE-IPN, Mexico 07300 D.F. (Mexico); Dominguez-Crespo, M.A. [Research Center for Applied Science and Advanced Technology, Altamira-IPN, Altamira C.P.89600 Tamaulipas (Mexico); Romero-Serrano, J.A. [Dept of Metallurgical Eng., ESIQIE-IPN, Mexico 07300 D.F. (Mexico)

    2009-08-26

    Chemical vapor deposition (CVD) of zirconium oxide (ZrO{sub 2}) from zirconium acetylacetonate Zr(acac){sub 4} has been thermodynamically investigated using the Gibbs' free energy minimization method and the FACTSAGE program. Thermodynamic data Cp{sup o}, DELTAH{sup o} and S{sup o} for Zr(acac){sub 4} have been estimated using the Meghreblian-Crawford-Parr and Benson methods because they are not available in the literature. The effect of deposition parameters, such as temperature and pressure, on the extension of the region where pure ZrO{sub 2} can be deposited was analyzed. The results are presented as calculated CVD stability diagrams. The phase diagrams showed two zones, one of them corresponds to pure monoclinic phase of ZrO{sub 2} and the other one corresponds to a mix of monoclinic phase of ZrO{sub 2} and graphite carbon.

  8. Síntesis de materiales cerámicos mediante técnicas químicas en fase vapor (CVD

    Directory of Open Access Journals (Sweden)

    Gómez-Aleixandre, C.

    2003-02-01

    Full Text Available Chemical vapour deposition (CVD has been successfully used for the synthesis of a large variety of compounds. Initially the technique was developed for microelectronic applications and then was widespread used for the preparation of hard coatings, optoelectronic and superconductor materials. Among the characteristics inherent to the CVD technique it is worth mentioning the preparation of homogeneous deposits at relatively low temperatures mostly when the reaction is electrically or laser plasma or photon activated. New materials with given characteristics can be produced by properly choosing the reactant gas mixture as well as its relative composition. The presentation will be also focussed onto the deposition of different materials, such as carbon films (both crystalline, and amorphous with diamond-like properties, deposited by plasma assisted CVD techniques using methane and hydrogen gas mixtures. Also, the deposition of binary compounds, as boron nitride will be reviewed. Finally, the experimental requirements for obtaining new ternary compounds from the system Si-B-N-C (i.e.: CBN, SiBN will be discussed. The properties of these materials strongly depend on their composition and structure. Therefore, by adequate selection of the experimental parameters, it is possible to obtain ternary compounds with tailored characteristics.

    Actualmente, la técnica de CVD está siendo utilizada en la síntesis de una gran variedad de compuestos cerámicos, generalmente en forma de capa delgada. La técnica, desarrollada inicialmente para su aplicación en microelectrónica, ha sido después utilizada con éxito en otras áreas de gran actividad científica y tecnológica (recubrimientos duros, dispositivos optoelectrónicos, materiales superconductores, etc.. Entre las características más positivas de las técnicas de CVD, cabe destacar la obtención de depósitos homogéneos a temperaturas relativamente bajas, sobre todo cuando la activación de

  9. Topographic and spectroscopic characterization of electronic edge states in CVD grown graphene nanoribbons.

    Science.gov (United States)

    Pan, Minghu; Girão, E Costa; Jia, Xiaoting; Bhaviripudi, Sreekar; Li, Qing; Kong, Jing; Meunier, V; Dresselhaus, Mildred S

    2012-04-11

    We used scanning tunneling microscopy and spectroscopy (STM/S) techniques to analyze the relationships between the edge shapes and the electronic structures in as-grown chemical vapor deposition (CVD) graphene nanoribbons (GNRs). A rich variety of single-layered graphene nanoribbons exhibiting a width of several to 100 nm and up to 1 μm long were studied. High-resolution STM images highlight highly crystalline nanoribbon structures with well-defined and clean edges. Theoretical calculations indicate clear spin-split edge states induced by electron-electron Coulomb repulsion. The edge defects can significantly modify these edge states, and different edge structures for both sides of a single ribbon produce asymmetric electronic edge states, which reflect the more realistic features of CVD grown GNRs. Three structural models are proposed and analyzed to explain the observations. By comparing the models with an atomic resolution image at the edge, a pristine (2,1) structure was ruled out in favor of a reconstructed edge structure composed of 5-7 member rings, showing a better match with experimental results, and thereby suggesting the possibility of a defective morphology at the edge of CVD grown nanoribbons. © 2012 American Chemical Society

  10. Ballistic Josephson junctions based on CVD graphene

    Science.gov (United States)

    Li, Tianyi; Gallop, John; Hao, Ling; Romans, Edward

    2018-04-01

    Josephson junctions with graphene as the weak link between superconductors have been intensely studied in recent years, with respect to both fundamental physics and potential applications. However, most of the previous work was based on mechanically exfoliated graphene, which is not compatible with wafer-scale production. To overcome this limitation, we have used graphene grown by chemical vapour deposition (CVD) as the weak link of Josephson junctions. We demonstrate that very short, wide CVD-graphene-based Josephson junctions with Nb electrodes can work without any undesirable hysteresis in their electrical characteristics from 1.5 K down to a base temperature of 320 mK, and their gate-tuneable critical current shows an ideal Fraunhofer-like interference pattern in a perpendicular magnetic field. Furthermore, for our shortest junctions (50 nm in length), we find that the normal state resistance oscillates with the gate voltage, consistent with the junctions being in the ballistic regime, a feature not previously observed in CVD-graphene-based Josephson junctions.

  11. Microscopic characterisation of suspended graphene grown by chemical vapour deposition

    NARCIS (Netherlands)

    Bignardi, L.; Dorp, W.F. van; Gottardi, S.; Ivashenko, O.; Dudin, P.; Barinov, A.; de Hosson, J.T.M.; Stöhr, M.; Rudolf, P.

    2013-01-01

    We present a multi-technique characterisation of graphene grown by chemical vapour deposition (CVD) and thereafter transferred to and suspended on a grid for transmission electron microscopy (TEM). The properties of the electronic band structure are investigated by angle-resolved photoelectron

  12. NEXAFS Study of the Annealing Effect on the Local Structure of FIB-CVD DLC

    International Nuclear Information System (INIS)

    Saikubo, Akihiko; Kato, Yuri; Igaki, Jun-ya; Kanda, Kazuhiro; Matsui, Shinji; Kometani, Reo

    2007-01-01

    Annealing effect on the local structure of diamond like carbon (DLC) formed by focused ion beam-chemical vapor deposition (FIB-CVD) was investigated by the measurement of near edge x-ray absorption fine structure (NEXAFS) and energy dispersive x-ray (EDX) spectra. Carbon K edge absorption NEXAFS spectrum of FIB-CVD DLC was measured in the energy range of 275-320 eV. In order to obtain the information on the location of the gallium in the depth direction, incidence angle dependence of NEXAFS spectrum was measured in the incident angle range from 0 deg. to 60 deg. . The peak intensity corresponding to the resonance transition of 1s→σ* originating from carbon-gallium increased from the FIB-CVD DLC annealed at 200 deg. C to the FIB-CVD DLC annealed at 400 deg. C and decreased from that at 400 deg. C to that at 600 deg. C. Especially, the intensity of this peak remarkably enhanced in the NEXAFS spectrum of the FIB-CVD DLC annealed at 400 deg. C at the incident angle of 60 deg. . On the contrary, the peak intensity corresponding to the resonance transition of 1s→π* originating from carbon double bonding of emission spectrum decreased from the FIB-CVD DLC annealed at 200 deg. C to that at 400 deg. C and increased from that at 400 deg. C to that at 600 deg. C. Gallium concentration in the FIB-CVD DLC decreased from ≅2.2% of the as-deposited FIB-CVD DLC to ≅1.5% of the FIB-CVD DLC annealed at 600 deg. C from the elementary analysis using EDX. Both experimental results indicated that gallium atom departed from FIB-CVD DLC by annealing at the temperature of 600 deg. C

  13. The effect of alkaline doped catalysts on the CVD synthesis of carbon nanotubes

    DEFF Research Database (Denmark)

    Nemeth, Krisztian; Nemeth, Zoltan; Fejes, Dora

    2011-01-01

    The aim of this work was to develop new doped catalysts for chemical vapour deposition (CVD) synthesis in order to increase the quantity and quality of carbon nanotubes (CNTs). Doping compounds such as CsBr, CsCl, KBr and KCl were used to reach higher carbon deposit and carbon yield. The amount o...... of the dopant alkali compounds varied from 1 to 5%. As prepared CNTs were characterized by transmission electron microscopy (TEM), X‐ray diffraction (XRD) and Raman microscopy. Results revealed that both carbon yield and deposit could be increased over doped catalysts.......The aim of this work was to develop new doped catalysts for chemical vapour deposition (CVD) synthesis in order to increase the quantity and quality of carbon nanotubes (CNTs). Doping compounds such as CsBr, CsCl, KBr and KCl were used to reach higher carbon deposit and carbon yield. The amount...

  14. The CVD ZrB2 as a selective solar absorber

    Science.gov (United States)

    Randich, E.; Allred, D. D.

    Coatings of ZrB2 and TiB2 for photothermal solar absorber applications were prepared using chemical vapor deposition (CVD) techniques. Oxidation tests suggest a maximum temperature limit for air exposure of 600 K for TiB2 and 800 K for Z4B2. Both materials exhibit innate spectral selectivity with emittance at 375 K ranging from 0.06 to 0.09 and solar absorptance for ZrB2 ranging from 0.67 to 0.77 and solar absorptance for TiB2 ranging from 0.46 to 0.58. ZrB2 has better solar selectivity and more desirable oxidation behavior than TiB2. A 0.071 micrometer antireflection coating of Si3N4 deposited on the ZrB2 coating leads to an increase in absorptance from 0.77 to 0.93, while the emittance remains unchanged.

  15. Charge transport and X-ray dosimetry performance of a single crystal CVD diamond device fabricated with pulsed laser deposited electrodes

    International Nuclear Information System (INIS)

    Abdel-Rahman, M.A.E.; Abdel-Rahman, M.A.E.; Lohstroh, A.; Bryant, P.; Jayawardena, I.

    2013-01-01

    The deposition of amorphous Carbon mixed with Nickel (C/Ni) as electrodes for a diamond radiation detector using Pulsed Laser Deposition (PLD) was demonstrated previously as a novel technique for producing near-tissue equivalent X-ray dosimeters based on polycrystalline diamond. In this study, we present the first characterisation of a single crystal CVD diamond sandwich detector (of 80 nm thickness) fabricated with this method, labelled SC-C/Ni. To examine the performance of PLD C/Ni as an electrical contact, alpha spectroscopy and x-ray induced photocurrents were studied as a function of applied bias voltage at room temperature and compared to those of polycrystalline CVD diamond detectors (PC-C/Ni); the spectroscopy data allows us to separate electron and hole contributions to the charge transport, whereas the X-ray data was investigated in terms of, linearity and dose rate dependence, sensitivity, signal to noise ratio, photoconductive gain, reproducibility and time response (rise and fall-off times). In the case of electron sensitive alpha induced signals, a charge collection efficiency (CCE) higher than 90 % has been observed at a bias of -40 V and 100 % CCE at -300 V, with an energy resolution of ∼3 % for 5.49 MeV alpha particles. The hole sample showed very poor spectroscopy performance for hole sensitive signals up to 200 Volt; this inhibited a similar numerical analysis to be carried out in a meaningful way. The dosimetric characteristic show a high signal to noise ratio (SNR) of ∼7.3x10 3 , an approximately linear relationship between the photocurrent and the dose rate and a sensitivity of 4.87 μC/Gy.mm 3 . The photoconductive gain is estimated to around 20, this gain might be supported by hole trapping effects as indicated in the alpha spectroscopy. The observed rise and fall-off times are less than 2 and 0.56 seconds, respectively - and mainly reflect the switching time of the X-ray tube used.The reproducibility of (0.504 %) approaches the value

  16. CVD diamond detectors and dosimeters

    International Nuclear Information System (INIS)

    Manfredotti, C.; Fizzotti, F.; LoGiudice, A.; Paolini, C.; Oliviero, P.; Vittone, E.; Torino Univ., Torino

    2002-01-01

    Natural diamond, because of its well-known properties of tissue-equivalence, has recorded a wide spreading use in radiotherapy planning with electron linear accelerators. Artificial diamond dosimeters, as obtained by Chemical Vapour Deposition (CVD) could be capable to offer the same performances and they can be prepared in different volumes and shapes. The dosimeter sensitivity per unit volume may be easily proved to be better than standard ionization microchamber. We have prepared in our laboratory CVD diamond microchamber (diamond tips) in emispherical shape with an external diameter of 200 μm, which can be used both as X-ray beam profilometers and as microdosimeters for small field applications like stereotaxy and also for in vivo applications. These dosimeters, which are obtained on a wire substrate that could be either metallic or SiC or even graphite, display good performances also as ion or synchrotron X-rays detectors

  17. Recent results with CVD diamond trackers

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; White, C.; Ziock, H.; Zoeller, M

    1999-08-01

    We present recent results on the use of Chemical Vapor Deposition (CVD) diamond microstrip detectors for charged particle tracking. A series of detectors was fabricated using 1 x 1 cm{sup 2} diamonds. Good signal-to-noise ratios were observed using both slow and fast readout electronics. For slow readout electronics, 2 {mu}s shaping time, the most probable signal-to-noise ratio was 50 to 1. For fast readout electronics, 25 ns peaking time, the most probable signal-to-noise ratio was 7 to 1. Using the first 2 x 4 cm{sup 2} diamond from a production CVD reactor with slow readout electronics, the most probable signal-to-noise ratio was 23 to 1. The spatial resolution achieved for the detectors was consistent with the digital resolution expected from the detector pitch.

  18. Recent results with CVD diamond trackers

    CERN Document Server

    Adam, W; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Knöpfle, K T; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Pan, L S; Palmieri, V G; Pernicka, Manfred; Peitz, A; Pirollo, S; Polesello, P; Pretzl, Klaus P; Procario, M; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Runólfsson, O; Russ, J; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trawick, M L; Trischuk, W; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; White, C; Ziock, H J; Zöller, M

    1999-01-01

    We present recent results on the use of chemical vapor deposition (CVD) diamond microstrip detectors for charged particle tracking. A series of detectors was fabricated using 1*1 cm/sup 2/ diamonds. Good signal-to-noise ratios were observed using both slow and fast readout electronics. For slow readout electronics, 2 mu s shaping time, the most probable signal-to-noise ratio was 50 to 1. For fast readout electronics, 25 ns peaking time, the most probable signal-to-noise ratio was 7 to 1. Using the first 2*4 cm/sup 2/ diamond from a production CVD reactor with slow readout electronics, the most probable signal-to-noise ratio was 23 to 1. The spatial resolution achieved for the detectors was consistent with the digital resolution expected from the detector pitch. (6 refs).

  19. The versatility of hot-filament activated chemical vapor deposition

    International Nuclear Information System (INIS)

    Schaefer, Lothar; Hoefer, Markus; Kroeger, Roland

    2006-01-01

    In the field of activated chemical vapor deposition (CVD) of polycrystalline diamond films, hot-filament activation (HF-CVD) is widely used for applications where large deposition areas are needed or three-dimensional substrates have to be coated. We have developed processes for the deposition of conductive, boron-doped diamond films as well as for tribological crystalline diamond coatings on deposition areas up to 50 cm x 100 cm. Such multi-filament processes are used to produce diamond electrodes for advanced electrochemical processes or large batches of diamond-coated tools and parts, respectively. These processes demonstrate the high degree of uniformity and reproducibility of hot-filament CVD. The usability of hot-filament CVD for diamond deposition on three-dimensional substrates is well known for CVD diamond shaft tools. We also develop interior diamond coatings for drawing dies, nozzles, and thread guides. Hot-filament CVD also enables the deposition of diamond film modifications with tailored properties. In order to adjust the surface topography to specific applications, we apply processes for smooth, fine-grained or textured diamond films for cutting tools and tribological applications. Rough diamond is employed for grinding applications. Multilayers of fine-grained and coarse-grained diamond have been developed, showing increased shock resistance due to reduced crack propagation. Hot-filament CVD is also used for in situ deposition of carbide coatings and diamond-carbide composites, and the deposition of non-diamond, silicon-based films. These coatings are suitable as diffusion barriers and are also applied for adhesion and stress engineering and for semiconductor applications, respectively

  20. Electrocatalysts with platinum, cobalt and nickel preparations by mechanical alloyed and CVD for the reaction of oxygen reduction; Electrocatalizadores a base de platino, cobalto y niquel preparados por aleado mecanico y CVD para la reaccion de reduccion de oxigeno

    Energy Technology Data Exchange (ETDEWEB)

    Garcia C, M A [ININ, 52750 La Marquesa, Estado de Mexico (Mexico)

    2008-07-01

    In this research, the molecular oxygen reduction reaction (ORR) was investigated on electrocatalysts of Co, Ni, Pt and their alloys CoNi, PtCo, PtNi and PtCoNi by using H{sub 2}SO{sub 4} 0.5 and KOH 0.5 M solutions as electrolytes. The electrocatalysts were synthesized by Mechanical Alloying (MA) and Chemical Vapor Deposition (CVD) processes. For MA, metallic powders were processed during 20 h of milling in a high energy SPEX 8000 mill. For CVD, a hot-wall reactor was utilized and Co, Ni and Pt acetilactetonates were used as precursors. Films were deposited at a total pressure of 1 torr and temperatures of 400-450 C. Electrocatalysts were characterized by X-Ray Diffraction (XRD). Scanning Electron Microscopy (SEM), Transmission Electron Microscopy (TEM) and Energy Dispersive X-Ray Spectroscopy (EDS). Electrocatalysts prepared by mechanical alloying showed a homogeneously dispersed agglomeration of particles with nano metric size. Electrocatalysts obtained by CVD showed, in some cases, non uniform films, with particles of nano metric size, as well. The electrocatalytic performance was evaluated by using the Rotating Disk Electrode technique (RDE). Electrocatalysts prepared by MA showed higher activity than those obtained by CVD. All electrocatalysts were evaluated in alkaline media. Only electrocatalysts containing Pt were evaluated in acid media, because those materials with Co, Ni and their alloys showed instability in acidic media. Most electrocatalysts followed a mechanism for the ORR producing a certain proportion of H{sub 2}O{sub 2}. All electrocatalysts, exhibited a fair or good electrocatalytic activity in comparison with other similar reported materials. It was found that MA and CVD are appropriate processes to prepare electrocatalysts for the ORR with particles of nano metric size and performing with an acceptable catalytic activity. PtCoNi 70-23-7% by MA and PtCoNi-CVD electrocatalysts showed the highest activity in alkaline media, while in acidic

  1. Cyclic voltammetry response of an undoped CVD diamond electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Fabisiak, K., E-mail: kfab@ukw.edu.pl [Institute of Physics, Kazimierz Wielki University, Powstancow Wielkopolskich 2, 85-090 Bydgoszcz (Poland); Torz-Piotrowska, R. [Faculty of Chemical Technology and Engineering, UTLS Seminaryjna 3, 85-326 Bydgoszcz (Poland); Staryga, E. [Institute of Physics, Technical University of Lodz, Wolczanska 219, 90-924 Lodz (Poland); Szybowicz, M. [Faculty of Technical Physics, Poznan University of Technology, Nieszawska 13A, 60-965 Poznan (Poland); Paprocki, K.; Popielarski, P.; Bylicki, F. [Institute of Physics, Kazimierz Wielki University, Powstancow Wielkopolskich 2, 85-090 Bydgoszcz (Poland); Wrzyszczynski, A. [Institute of Physics, Technical University of Lodz, Wolczanska 219, 90-924 Lodz (Poland)

    2012-09-01

    Highlights: Black-Right-Pointing-Pointer Correlation was found between diamond quality and its electrochemical performance. Black-Right-Pointing-Pointer The electrode sensitivity depends on the content of sp{sup 2} carbon phase in diamond layer. Black-Right-Pointing-Pointer The sp{sup 2} carbon phase content has little influence on the CV peak separation ({Delta}E{sub p}). - Abstract: The polycrystalline undoped diamond layers were deposited on tungsten wire substrates by using hot filament chemical vapor deposition (HFCVD) technique. As a working gas the mixture of methanol in excess of hydrogen was used. The morphologies and quality of as-deposited films were monitored by means of scanning electron microscopy (SEM), X-ray diffraction (XRD) and Raman spectroscopy respectively. The electrochemical activity of the obtained diamond layers was monitored by using cyclic voltammetry measurements. Analysis of the ferrocyanide-ferricyanide couple at undoped diamond electrode suggests that electrochemical reaction at diamond electrode has a quasireversibile character. The ratio of the anodic and cathodic peak currents was always close to unity. In this work we showed that the amorphous carbon admixture in the CVD diamond layer has a crucial influence on its electrochemical performance.

  2. Cold-walled UHV/CVD batch reactor for the growth of Si1_x/Gex layers

    DEFF Research Database (Denmark)

    Thomsen, Erik Vilain; Christensen, Carsten; Andersen, C.R.

    1997-01-01

    A novel cold-walled, lamp-heated, ultrahigh vacuum chemical vapor deposition (UHV/CVD) batch system for the growth of SiGe layers is presented. This system combines the batch capability of the standard UHV/CVD furnace with the temperature processing available in rapid thermal processing (Rm...

  3. Synthesis of few-layer graphene on a Ni substrate by using DC plasma enhanced chemical vapor deposition (PE-CVD)

    International Nuclear Information System (INIS)

    Kim, Jeong Hyuk; Castro, Edward Joseph; Hwang, Yong Gyoo; Lee, Choong Hun

    2011-01-01

    In this work, few-layer graphene (FLG) was successfully grown on polycrystalline Ni a large scale by using DC plasma enhanced chemical vapor deposition (DC PE-CVD), which may serve as an alternative route in large-scale graphene synthesis. The synthesis time had an effect on the quality of the graphene produced. The applied DC voltage, on the other hand, influenced the minimization of the defect densities in the graphene grown. We also present a method of producing a free-standing polymethyl methacrylate (PMMA)/graphene membrane on a FeCl 3(aq) solution, which could then be transferred to the desired substrate.

  4. Synthesis of graphene on nickel films by CVD method using methane

    International Nuclear Information System (INIS)

    Castro, Manuela O. de; Liebold-Ribeiro, Yvonne; Barros, Eduardo B.; Salomao, Francisco C.C.; Mendes Filho, Josue; Souza Filho, Antonio G.; Chesman, Carlos

    2011-01-01

    Full text: Nanomaterials have opened up many possibilities for groundbreaking innovations in various technologies of modern society. One key example is graphene, which is composed of one-atom-thick sheet of sp2-bonded carbon atoms, arranged in a hexagonal symmetry. However, real world applications of graphene require well-established and large synthesis techniques. The so-called Chemical Vapor Deposition (CVD) is one of the most promising method for synthesizing graphene. The general idea of this technique is to dissolve carbon atoms inside a transition metal melt at a certain temperature, then allowing the dissolved carbon to precipitate at lower temperatures as single layer graphene (SLG). In the present work, we used the CVD method and methane gas as carbon source for the synthesis of graphene on silicon (Si) substrates (300nm thermal oxide) covered with sputtered nickel (Ni) films as catalyst. In order to achieve large-area and defect-free graphene sheets the influence of the different growth parameters (growth temperature and time, gas flow of methane, film thickness and grain size of Ni) on quality and quantity of graphene growth were studied. The obtained graphene films were transferred to a silicon substrate by the polymer coating process, using polymethyl-methacrylate (PMMA) as coating. In order to characterize the transferred graphene we used Scanning Electron Microscopy (SEM), Raman Spectroscopy, Optical Microscopy and Atomic Force Microscopy (AFM). The results show the influence of CVD process parameters on the quality and quantity of graphene growth in our experimental conditions. Acknowledgments: The authors thank Brazilian agencies CNPq and FUNCAP for financial support and Alfonso Reina (MIT, USA) for helpful discussions. (author)

  5. CVD diamond based soft X-ray detector with fast response

    International Nuclear Information System (INIS)

    Li Fang; Hou Lifei; Su Chunxiao; Yang Guohong; Liu Shenye

    2010-01-01

    A soft X-ray detector has been made with high quality chemical vapor deposited (CVD) diamond and the electrical structure of micro-strip. Through the measurement of response time on a laser with the pulse width of 10 ps, the full width at half maximum of the data got in the oscilloscope was 115 ps. The rise time of the CVD diamond detector was calculated to be 49 ps. In the experiment on the laser prototype facility, the signal got by the CVD diamond detector was compared with that got by a soft X-ray spectrometer. Both signals coincided well. The detector is proved to be a kind of reliable soft X-ray detector with fast response and high signal-to-noise ratio. (authors)

  6. Dimensionless Numbers Expressed in Terms of Common CVD Process Parameters

    Science.gov (United States)

    Kuczmarski, Maria A.

    1999-01-01

    A variety of dimensionless numbers related to momentum and heat transfer are useful in Chemical Vapor Deposition (CVD) analysis. These numbers are not traditionally calculated by directly using reactor operating parameters, such as temperature and pressure. In this paper, these numbers have been expressed in a form that explicitly shows their dependence upon the carrier gas, reactor geometry, and reactor operation conditions. These expressions were derived for both monatomic and diatomic gases using estimation techniques for viscosity, thermal conductivity, and heat capacity. Values calculated from these expressions compared well to previously published values. These expressions provide a relatively quick method for predicting changes in the flow patterns resulting from changes in the reactor operating conditions.

  7. Oxidation protection of multilayer CVD SiC/B/SiC coatings for 3D C/SiC composite

    International Nuclear Information System (INIS)

    Liu Yongsheng; Cheng Laifei; Zhang Litong; Wu Shoujun; Li Duo; Xu Yongdong

    2007-01-01

    A CVD boron coating was introduced between two CVD SiC coating layers. EDS and XRD results showed that the CVD B coating was a boron crystal without other impurity elements. SEM results indicated that the CVD B coating was a flake-like or column-like crystal with a compact cross-section. The crack width in the CVD SiC coating deposited on CVD B is smaller than that in a CVD SiC coating deposited on CVD SiC coating. After oxidation at 700 deg. C and 1000 deg. C, XRD results indicated that the coating was covered by product B 2 O 3 or B 2 O 3 .xSiO 2 film. The cracks were sealed as observed by SEM. There was a large amount of flake-like material on hybrid coating surface after oxidation at 1300 deg. C. Oxidation weight loss and residual flexural strength results showed that hybrid SiC/B/SiC multilayer coating provided better oxidation protection for C/SiC composite than a three layer CVD SiC coating at temperatures from 700 deg. C to 1000 deg. C for 600 min, but worse oxidation protection above 1000 deg. C due to the large amount of volatilization of B 2 O 3 or B 2 O 3 .xSiO 2

  8. CVD-grown horizontally aligned single-walled carbon nanotubes: synthesis routes and growth mechanisms.

    Science.gov (United States)

    Ibrahim, Imad; Bachmatiuk, Alicja; Warner, Jamie H; Büchner, Bernd; Cuniberti, Gianaurelio; Rümmeli, Mark H

    2012-07-09

    Single-walled carbon nanotubes (SWCNTs) have attractive electrical and physical properties, which make them very promising for use in various applications. For some applications however, in particular those involving electronics, SWCNTs need to be synthesized with a high degree of control with respect to yield, length, alignment, diameter, and chirality. With this in mind, a great deal of effort is being directed to the precision control of vertically and horizontally aligned nanotubes. In this review the focus is on the latter, horizontally aligned tubes grown by chemical vapor deposition (CVD). The reader is provided with an in-depth review of the established vapor deposition orientation techniques. Detailed discussions on the characterization routes, growth parameters, and growth mechanisms are also provided. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Electrocatalysts with platinum, cobalt and nickel preparations by mechanical alloyed and CVD for the reaction of oxygen reduction

    International Nuclear Information System (INIS)

    Garcia C, M. A.

    2008-01-01

    In this research, the molecular oxygen reduction reaction (ORR) was investigated on electrocatalysts of Co, Ni, Pt and their alloys CoNi, PtCo, PtNi and PtCoNi by using H 2 SO 4 0.5 and KOH 0.5 M solutions as electrolytes. The electrocatalysts were synthesized by Mechanical Alloying (MA) and Chemical Vapor Deposition (CVD) processes. For MA, metallic powders were processed during 20 h of milling in a high energy SPEX 8000 mill. For CVD, a hot-wall reactor was utilized and Co, Ni and Pt acetilactetonates were used as precursors. Films were deposited at a total pressure of 1 torr and temperatures of 400-450 C. Electrocatalysts were characterized by X-Ray Diffraction (XRD). Scanning Electron Microscopy (SEM), Transmission Electron Microscopy (TEM) and Energy Dispersive X-Ray Spectroscopy (EDS). Electrocatalysts prepared by mechanical alloying showed a homogeneously dispersed agglomeration of particles with nano metric size. Electrocatalysts obtained by CVD showed, in some cases, non uniform films, with particles of nano metric size, as well. The electrocatalytic performance was evaluated by using the Rotating Disk Electrode technique (RDE). Electrocatalysts prepared by MA showed higher activity than those obtained by CVD. All electrocatalysts were evaluated in alkaline media. Only electrocatalysts containing Pt were evaluated in acid media, because those materials with Co, Ni and their alloys showed instability in acidic media. Most electrocatalysts followed a mechanism for the ORR producing a certain proportion of H 2 O 2 . All electrocatalysts, exhibited a fair or good electrocatalytic activity in comparison with other similar reported materials. It was found that MA and CVD are appropriate processes to prepare electrocatalysts for the ORR with particles of nano metric size and performing with an acceptable catalytic activity. PtCoNi 70-23-7% by MA and PtCoNi-CVD electrocatalysts showed the highest activity in alkaline media, while in acidic electrolyte PtCoNi 70

  10. Tribological Characteristics and Applications of Superhard Coatings: CVD Diamond, DLC, and c-BN

    Science.gov (United States)

    Miyoshi, Kazuhisa; Murakawa, Masao; Watanabe, Shuichi; Takeuchi, Sadao; Wu, Richard L. C.

    1999-01-01

    Results of fundamental research on the tribological properties of chemical-vapor-deposited (CVD) diamond, diamondlike carbon, and cubic boron nitride films in sliding contact with CVD diamond in ultrahigh vacuum, dry nitrogen, humid air, and water are discussed. Furthermore, the actual and potential applications of the three different superhard coatings in the field of tribology technology, particularly for wear parts and tools, are reviewed.

  11. Non-classical crystallization of thin films and nanostructures in CVD and PVD processes

    CERN Document Server

    Hwang, Nong Moon

    2016-01-01

    This book provides a comprehensive introduction to a recently-developed approach to the growth mechanism of thin films and nanostructures via chemical vapour deposition (CVD). Starting from the underlying principles of the low pressure synthesis of diamond films, it is shown that diamond growth occurs not by individual atoms but by charged nanoparticles. This newly-discovered growth mechanism turns out to be general to many CVD and some physical vapor deposition (PVD) processes. This non-classical crystallization is a new paradigm of crystal growth, with active research taking place on growth in solution, especially in biomineralization processes. Established understanding of the growth of thin films and nanostructures is based around processes involving individual atoms or molecules. According to the author’s research over the last two decades, however, the generation of charged gas phase nuclei is shown to be the rule rather than the exception in the CVD process, and charged gas phase nuclei are actively ...

  12. High collection efficiency CVD diamond alpha detectors

    International Nuclear Information System (INIS)

    Bergonzo, P.; Foulon, F.; Marshall, R.D.; Jany, C.; Brambilla, A.; McKeag, R.D.; Jackman, R.B.

    1998-01-01

    Advances in Chemical Vapor Deposited (CVD) diamond have enabled the routine use of this material for sensor device fabrication, allowing exploitation of its unique combination of physical properties (low temperature susceptibility (> 500 C), high resistance to radiation damage (> 100 Mrad) and to corrosive media). A consequence of CVD diamond growth on silicon is the formation of polycrystalline films which has a profound influence on the physical and electronic properties with respect to those measured on monocrystalline diamond. The authors report the optimization of physical and geometrical device parameters for radiation detection in the counting mode. Sandwich and co-planar electrode geometries are tested and their performances evaluated with regard to the nature of the field profile and drift distances inherent in such devices. The carrier drift length before trapping was measured under alpha particles and values as high as 40% of the overall film thickness are reported. Further, by optimizing the device geometry, they show that a gain in collection efficiency, defined as the induced charge divided by the deposited charge within the material, can be achieved even though lower bias values are used

  13. Synthesis and Optimization of MWCNTs on Co-Ni/MgO by Thermal CVD

    Directory of Open Access Journals (Sweden)

    H. Ryu

    2008-01-01

    Full Text Available Multiwalled carbon nanotubes (MWCNTs were prepared by the thermal chemical vapor deposition (CVD technique. Monometallic and bimetallic Co and Ni combinations were used as a catalyst on MgO support. The mixer of H2/C2H2 was used as a carbon source. The prepared CNTs were found to possess different shapes, morphologies, and sizes. Maximum yield was found for 50% Co (MgO: 50% and Ni: 0% catalyst at 600°C. Scanning electron microscopy (SEM and high-resolution transmission electron microscopy (HRTEM techniques were used for structural analysis. Raman spectra were taken to investigate the quality and crystalline perfection of the prepared CNTs. The ratio of D- and G-bands (ID/IG was measured from these spectra.

  14. Synthesis of carbon nanotubes using the cobalt nanocatalyst by thermal chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Madani, S.S. [Department of Chemistry, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Zare, K. [Department of Chemistry, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Department of Chemistry, Shahid Beheshti University, Tehran (Iran, Islamic Republic of); Ghoranneviss, M. [Plasma Physics Research Center, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Salar Elahi, A., E-mail: Salari_phy@yahoo.com [Plasma Physics Research Center, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of)

    2015-11-05

    The three main synthesis methods of Carbon nanotubes (CNTs) are the arc discharge, the laser ablation and the chemical vapour deposition (CVD) with a special regard to the latter one. CNTs were produced on a silicon wafer by Thermal Chemical Vapor Deposition (TCVD) using acetylene as a carbon source, cobalt as a catalyst and ammonia as a reactive gas. The DC-sputtering system was used to prepare cobalt thin films on Si substrates. A series of experiments was carried out to investigate the effects of reaction temperature and deposition time on the synthesis of the nanotubes. The deposition time was selected as 15 and 25 min for all growth temperatures. Energy Dispersive X-ray (EDX) measurements were used to investigate the elemental composition of the Co nanocatalyst deposited on Si substrates. Atomic Force Microscopy (AFM) was used to characterize the surface topography of the Co nanocatalyst deposited on Si substrates. The as-grown CNTs were characterized under Field Emission Scanning Electron Microscopy (FESEM) to study the morphological properties of CNTs. Also, the grown CNTs have been investigated by High Resolution Transmission Electron Microscopy (HRTEM) and Raman spectroscopy. The results demonstrated that increasing the temperature leads to increasing the diameter of CNTs. The ideal reaction temperature was 850 °C and the deposition time was 15 min. - Graphical abstract: FESEM images of CNTs grown on the cobalt catalyst at growth temperatures of (a) 850 °C, (b) 900 °C, (c) 950 °C and (d) 1000 °C during the deposition time of 15 min. - Highlights: • Carbon nanotubes (CNTs) were produced on a silicon wafer by TCVD technique. • EDX and AFM were used to investigate the elemental composition and surface topography. • FESEM was used to study the morphological properties of CNTs. • The grown CNTs have been investigated by HRTEM and Raman spectroscopy.

  15. Recent Advances in the Deposition of Diamond Coatings on Co-Cemented Tungsten Carbides

    Directory of Open Access Journals (Sweden)

    R. Polini

    2012-01-01

    Full Text Available Co-cemented tungsten carbides, namely, hard metals are largely used to manufacture high wear resistant components in several manufacturing segments. Coating hard metals with superhard materials like diamond is of utmost interest as it can further extend their useful lifespan. The deposition of diamond coatings onto WC-Co can be extremely complicated as a result of poor adhesion. This can be essentially ascribed to (i the mismatch in thermal expansion coefficients between diamond and WC-Co, at the typical high temperatures inside the chemical vapour deposition (CVD chamber, generates large residual stresses at the interface; (ii the role of surface Co inside the WC-Co matrix during diamond CVD, which promotes carbon dissolution and diffusion. The present investigation reviews the techniques by which Co-cemented tungsten carbides can be treated to make them prone to receive diamond coatings by CVD. Further, it proposes interesting ecofriendly and sustainable alternatives to further improve the diamond deposition process as well as the overall performance of the coated hard metals.

  16. Superhydrophobic Copper Surfaces with Anticorrosion Properties Fabricated by Solventless CVD Methods.

    Science.gov (United States)

    Vilaró, Ignasi; Yagüe, Jose L; Borrós, Salvador

    2017-01-11

    Due to continuous miniaturization and increasing number of electrical components in electronics, copper interconnections have become critical for the design of 3D integrated circuits. However, corrosion attack on the copper metal can affect the electronic performance of the material. Superhydrophobic coatings are a commonly used strategy to prevent this undesired effect. In this work, a solventless two-steps process was developed to fabricate superhydrophobic copper surfaces using chemical vapor deposition (CVD) methods. The superhydrophobic state was achieved through the design of a hierarchical structure, combining micro-/nanoscale domains. In the first step, O 2 - and Ar-plasma etchings were performed on the copper substrate to generate microroughness. Afterward, a conformal copolymer, 1H,1H,2H,2H-perfluorodecyl acrylate-ethylene glycol diacrylate [p(PFDA-co-EGDA)], was deposited on top of the metal via initiated CVD (iCVD) to lower the surface energy of the surface. The copolymer topography exhibited a very characteristic and unique nanoworm-like structure. The combination of the nanofeatures of the polymer with the microroughness of the copper led to achievement of the superhydrophobic state. AFM, SEM, and XPS were used to characterize the evolution in topography and chemical composition during the CVD processes. The modified copper showed water contact angles as high as 163° and hysteresis as low as 1°. The coating withstood exposure to aggressive media for extended periods of time. Tafel analysis was used to compare the corrosion rates between bare and modified copper. Results indicated that iCVD-coated copper corrodes 3 orders of magnitude slower than untreated copper. The surface modification process yielded repeatable and robust superhydrophobic coatings with remarkable anticorrosion properties.

  17. Optical characterization of single-crystal diamond grown by DC arc plasma jet CVD

    Science.gov (United States)

    Hei, Li-fu; Zhao, Yun; Wei, Jun-jun; Liu, Jin-long; Li, Cheng-ming; Lü, Fan-xiu

    2017-12-01

    Optical centers of single-crystal diamond grown by DC arc plasma jet chemical vapor deposition (CVD) were examined using a low-temperature photoluminescence (PL) technique. The results show that most of the nitrogen-vacancy (NV) complexes are present as NV- centers, although some H2 and H3 centers and B-aggregates are also present in the single-crystal diamond because of nitrogen aggregation resulting from high N2 incorporation and the high mobility of vacancies under growth temperatures of 950-1000°C. Furthermore, emissions of radiation-induced defects were also detected at 389, 467.5, 550, and 588.6 nm in the PL spectra. The reason for the formation of these radiation-induced defects is not clear. Although a Ni-based alloy was used during the diamond growth, Ni-related emissions were not detected in the PL spectra. In addition, the silicon-vacancy (Si-V)-related emission line at 737 nm, which has been observed in the spectra of many previously reported microwave plasma chemical vapor deposition (MPCVD) synthetic diamonds, was absent in the PL spectra of the single-crystal diamond prepared in this work. The high density of NV- centers, along with the absence of Ni-related defects and Si-V centers, makes the single-crystal diamond grown by DC arc plasma jet CVD a promising material for applications in quantum computing.

  18. 25th anniversary article: CVD polymers: a new paradigm for surface modification and device fabrication.

    Science.gov (United States)

    Coclite, Anna Maria; Howden, Rachel M; Borrelli, David C; Petruczok, Christy D; Yang, Rong; Yagüe, Jose Luis; Ugur, Asli; Chen, Nan; Lee, Sunghwan; Jo, Won Jun; Liu, Andong; Wang, Xiaoxue; Gleason, Karen K

    2013-10-11

    Well-adhered, conformal, thin (polymers can be achieved on virtually any substrate: organic, inorganic, rigid, flexible, planar, three-dimensional, dense, or porous. In CVD polymerization, the monomer(s) are delivered to the surface through the vapor phase and then undergo simultaneous polymerization and thin film formation. By eliminating the need to dissolve macromolecules, CVD enables insoluble polymers to be coated and prevents solvent damage to the substrate. CVD film growth proceeds from the substrate up, allowing for interfacial engineering, real-time monitoring, and thickness control. Initiated-CVD shows successful results in terms of rationally designed micro- and nanoengineered materials to control molecular interactions at material surfaces. The success of oxidative-CVD is mainly demonstrated for the deposition of organic conducting and semiconducting polymers. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. CHEMICAL VAPOUR DEPOSITION FROM A RADIATION-SENSITIVE PRECURSOR

    DEFF Research Database (Denmark)

    2017-01-01

    The present invention relates in one aspect to a method of depositing a thin film on a substrate by chemical vapour deposition (CVD) from a radiation-sensitive precursor substance. The method comprises the steps of: (i) placing the substrate in a reaction chamber of a CVD system; (ii) heating...... heating pulse followed by an idle period; (iii) during at least one of the idle periods, providing a pressure pulse of precursor substance inside the reaction chamber by feeding at least one precursor substance to the reaction chamber so as to establish a reaction partial pressure for thin film deposition...... is formed. According to a further aspect, the invention relates to a chemical vapour deposition (CVD) system for depositing a thin film onto a substrate using precursor substances containing at least one radiation sensitive species....

  20. FABRICATION OF CNTS BY TOLUENE DECOMPOSITION IN A NEW REACTOR BASED ON AN ATMOSPHERIC PRESSURE PLASMA JET COUPLED TO A CVD SYSTEM

    Directory of Open Access Journals (Sweden)

    FELIPE RAMÍREZ-HERNÁNDEZ

    2017-03-01

    Full Text Available Here, we present a method to produce carbon nanotubes (CNTs based on the coupling between two conventional techniques used for the preparation of nanostructures: an arc-jet as a source of plasma and a chemical vapour deposition (CVD system. We call this system as an “atmospheric pressure plasma (APP-enhanced CVD” (APPE-CVD. This reactor was used to grow CNTs on non-flat aluminosilicate substrates by the decomposition of toluene (carbon source in the presence of ferrocene (as a catalyst. Both, CNTs and by-products of carbon were collected at three different temperatures (780, 820 and 860 °C in different regions of the APPE-CVD system. These samples were analysed by thermogravimetric analysis (TGA and DTG, scanning electron microscopy (SEM and Raman spectroscopy in order to determine the effect of APP on the thermal stability of the as-grown CNTs. It was found that the amount of metal catalyst in the synthesised CNTs is reduced by applying APP, being 820 °C the optimal temperature to produce CNTs with a high yield and carbon purity (95 wt. %. In contrast, when the synthesis temperature was fixed at 780 °C or 860 °C, amorphous carbon or CNTs with different structural defects, respectively, was formed through APEE-CVD reactor. We recommended the use of non-flat aluminosilicate particles as supports to increase CNT yield and facilitate the removal of deposits from the substrate surface. The approach that we implemented (to synthesise CNTs by using the APPE-CVD reactor may be useful to produce these nanostructures on a gram-scale for use in basic studies. The approach may also be scaled up for mass production.

  1. Stress in ion-implanted CVD Si3N4 films

    International Nuclear Information System (INIS)

    EerNisse, E.P.

    1977-01-01

    The compressive stress buildup caused in chemical-vapor-deposited (CVD) Si 3 N 4 films by ion implantation is shown to be caused entirely by atomic collision effects, ionization effects being unimportant. The stress introduction rate is shown to be independent of CVD processing variables and O content of the film. The maximum attainable compressive stress change is 3.5 x 10 10 dyn/cm 2 , resulting in a maximum net compressive stress of 2 x 10 10 dyn/cm 2 for films on Si where the as-deposited films inherently have 1.5 x 10 10 dyn/cm 2 tensile stress before ion implantation. Results are presented which show that O in the films inhibits thermal annealing of the ion-implantation-induced compressive stress. Results for introduction rate and annealing effects are presented in normalized form so that workers can use the effects for intentional stress level adjustment in the films to reduce probability of cracking and detachment

  2. Assessment of CVD diamond as a thermoluminescence dosemeter material

    International Nuclear Information System (INIS)

    Borchi, E.; Furetta, C.; Leroy, C.

    1996-01-01

    Diamond has a low atomic number (Z = 6) and is therefore essentially soft tissue (Z = 7.4) equivalent. As such, diamond is an attractive material for applications in dosimetry in which the radiation absorption in the sensor material should be as close as possible to that of soft tissue. Synthetic diamond prepared by chemical vapour deposition (CVD) offers an attractive option for this application. The aim of the present work is to report results on the thermoluminescence (TL) properties of CVD diamond samples. The annealing procedures, the linearity of the TL response as a function of dose, a short-term fading experiment and some kinetic properties have been investigated and are reported here. (Author)

  3. High-efficiency supercapacitor electrodes of CVD-grown graphenes hybridized with multiwalled carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Kalam, Amir Abul; Bae, Joon Ho [Dept. of Nano-physics, Gachon University, Seongnam (Korea, Republic of); Park, Soo Bin; Seo, Yong Ho [Nanotechnology and Advanced Material Engineering, HMC, and GRI, Sejong University, Seoul (Korea, Republic of)

    2015-08-15

    We demonstrate, for the first time, high-efficiency supercapacitors by utilizing chemical vapor deposition (CVD)-grown graphenes hybridized with multiwalled carbon nanotubes (CNTs). A single-layer graphene was grown by simple CVD growth method, and transferred to polyethylene terephthalate substrates. The bare graphenes were further hybridized with multiwalled CNTs by drop-coating CNTs on graphenes. The supercapacitors using bare graphenes and graphenes with CNTs revealed that graphenes with CNTs resulted in enhanced supercapacitor performances of 2.2- (the mass-specific capacitance) and 4.4-fold (the area-specific capacitance) of those of bare graphenes. Our strategy to improve electrochemical performance of CVD-grown graphenes is advantageous for large-scale graphene electrodes due to high electrical conductivity of CVD-grown graphenes and cost-effectiveness of using multiwalled CNTs as compared to conventional employment of single-walled CNTs.

  4. High-efficiency supercapacitor electrodes of CVD-grown graphenes hybridized with multiwalled carbon nanotubes

    International Nuclear Information System (INIS)

    Kalam, Amir Abul; Bae, Joon Ho; Park, Soo Bin; Seo, Yong Ho

    2015-01-01

    We demonstrate, for the first time, high-efficiency supercapacitors by utilizing chemical vapor deposition (CVD)-grown graphenes hybridized with multiwalled carbon nanotubes (CNTs). A single-layer graphene was grown by simple CVD growth method, and transferred to polyethylene terephthalate substrates. The bare graphenes were further hybridized with multiwalled CNTs by drop-coating CNTs on graphenes. The supercapacitors using bare graphenes and graphenes with CNTs revealed that graphenes with CNTs resulted in enhanced supercapacitor performances of 2.2- (the mass-specific capacitance) and 4.4-fold (the area-specific capacitance) of those of bare graphenes. Our strategy to improve electrochemical performance of CVD-grown graphenes is advantageous for large-scale graphene electrodes due to high electrical conductivity of CVD-grown graphenes and cost-effectiveness of using multiwalled CNTs as compared to conventional employment of single-walled CNTs

  5. Development of Fe-AI CVD coatings as tritium permeation barrier

    International Nuclear Information System (INIS)

    Chabrol, C.; Schuster, F.; Le Marois, G.; Serra, E.

    1998-01-01

    A specific method of pack-cementation has been developed in order to perform a CVD deposition of Fe-Al alloys on a martensitic steel at a temperature which respects its mechanical properties ( 2 Al 5 intermetallic phases thanks to a low pressure deposition and using a special cement containing Fe and Al. These coatings coated with an Al 2 O 3 top layer drastically reduce the permeation rate of deuterium with regards to the uncoated substrate. (authors)

  6. TPR system: a powerful technique to monitor carbon nanotube formation during chemical vapour deposition

    International Nuclear Information System (INIS)

    Tristao, Juliana Cristina; Moura, Flavia Cristina Camilo; Lago, Rochel Montero; Sapag, Karim

    2010-01-01

    In this work, a TPR (Temperature Programmed Reduction) system is used as a powerful tool to monitor carbon nanotubes production during CVD (Chemical Vapour Deposition), The experiments were carried out using catalyst precursors based on Fe-Mo supported on Al 2 O 3 and methane as carbon source. As methane reacts on the Fe metal surface, carbon is deposited and H2 is produced. TPR is very sensitive to the presence of H2 and affords information on the temperature where catalyst is active to form different forms of carbon, the reaction kinetics, the catalyst deactivation and carbon yields. (author)

  7. Deposition of tantalum carbide coatings on graphite by laser interactions

    Science.gov (United States)

    Veligdan, James; Branch, D.; Vanier, P. E.; Barietta, R. E.

    1994-01-01

    Graphite surfaces can be hardened and protected from erosion by hydrogen at high temperatures by refractory metal carbide coatings, which are usually prepared by chemical vapor deposition (CVD) or chemical vapor reaction (CVR) methods. These techniques rely on heating the substrate to a temperature where a volatile metal halide decomposes and reacts with either a hydrocarbon gas or with carbon from the substrate. For CVR techniques, deposition temperatures must be in excess of 2000 C in order to achieve favorable deposition kinetics. In an effort to lower the bulk substrate deposition temperature, the use of laser interactions with both the substrate and the metal halide deposition gas has been employed. Initial testing involved the use of a CO2 laser to heat the surface of a graphite substrate and a KrF excimer laser to accomplish a photodecomposition of TaCl5 gas near the substrate. The results of preliminary experiments using these techniques are described.

  8. Urchin-like artificial gallium oxide nanowires grown by a novel MOCVD/CVD-based route for random laser application

    Energy Technology Data Exchange (ETDEWEB)

    Melo, Ronaldo P. de [Programa de Pós-Graduação em Ciências de Materiais, Universidade Federal de Pernambuco, Recife (Brazil); Colégio Militar do Recife, Exército Brasileiro, Recife PE 50730-120 (Brazil); Oliveira, Nathalia Talita C. [Programa de Pós-Graduação em Ciências de Materiais, Universidade Federal de Pernambuco, Recife (Brazil); Dominguez, Christian Tolentino; Gomes, Anderson S. L.; Araújo, Cid B. de [Departamento de Física, Universidade Federal de Pernambuco, 50670-901 Recife (Brazil); Falcão, Eduardo H. L.; Alves, Severino; Luz, Leonis L. da [Departamento de Química Fundamental, Universidade Federal de Pernambuco, 50670-901 Recife (Brazil); Chassagnon, Remi [Laboratoire Interdisciplinaire Carnot de Bourgogne, UMR 6303 CNRS-Université de Bourgogne, 9 Av. A. Savary, BP 47870, 21078 Dijon Cedex (France); Sacilotti, Marco [Departamento de Física, Universidade Federal de Pernambuco, 50670-901 Recife (Brazil); Nanoform Group, Laboratoire Interdisciplinaire Carnot de Bourgogne, Université de Bourgogne, Dijon (France)

    2016-04-28

    A novel procedure based on a two-step method was developed to obtain β-Ga{sub 2}O{sub 3} nanowires by the chemical vapor deposition (CVD) method. The first step consists in the gallium micro-spheres growth inside a metal-organic chemical vapor deposition environment, using an organometallic precursor. Nanoscale spheres covering the microspheres were obtained. The second step involves the CVD oxidization of the gallium micro-spheres, which allow the formation of β-Ga{sub 2}O{sub 3} nanowires on the micro-sphere surface, with the final result being a nanostructure mimicking nature's sea urchin morphology. The grown nanomaterial is characterized by several techniques, including X-ray diffraction, scanning electron microscopy, energy-dispersive X-ray, transmission electron microscopy, and photoluminescence. A discussion about the growth mechanism and the optical properties of the β-Ga{sub 2}O{sub 3} material is presented considering its unknown true bandgap value (extending from 4.4 to 5.68 eV). As an application, the scattering properties of the nanomaterial are exploited to demonstrate random laser emission (around 570 nm) when it is permeated with a laser dye liquid solution.

  9. Electrospray ionization deposition of BSA under vacuum conditions

    Science.gov (United States)

    Hecker, Dominic; Gloess, Daniel; Frach, Peter; Gerlach, Gerald

    2015-05-01

    Vacuum deposition techniques like thermal evaporation and CVD with their precise layer control and high layer purity often cannot be applied for the deposition of chemical or biological molecules. The molecules are usually decomposed by heat. To overcome this problem, the Electrospray ionization (ESI) process known from mass spectroscopy is employed to transfer molecules into vacuum and to deposit them on a substrate. In this work, a homemade ESI tool was used to deposit BSA (Bovine serum albumin) layers with high deposition rates. Solutions with different concentrations of BSA were prepared using a methanol:water (MeOH:H2O) mixture (1:1) as solvent. The influence of the substrate distance on the deposition rate and on the transmission current was analyzed. Furthermore, the layer thickness distribution and layer adhesion were investigated.

  10. RF characteristic of MESFET on H-terminated DC arc jet CVD diamond film

    International Nuclear Information System (INIS)

    Liu, J.L.; Li, C.M.; Zhu, R.H.; Guo, J.C.; Chen, L.X.; Wei, J.J.; Hei, L.F.; Wang, J.J.; Feng, Z.H.; Guo, H.; Lv, F.X.

    2013-01-01

    Diamond has been considered to be a potential material for high-frequency and high-power electronic devices due to the excellent electrical properties. In this paper, we reported the radio frequency (RF) characteristic of metal-semiconductor field effect transistor (MESFET) on polycrystalline diamond films prepared by direct current (DC) arc jet chemical vapor deposition (CVD). First, 4 in polycrystalline diamond films were deposited by DC arc jet CVD in gas recycling mode with the deposition rate of 14 μm/h. Then the polished diamond films were treated by microwave hydrogen plasma and the 0.2 μm-gate-length MESFET was fabricated by using Au mask photolithography and electron beam (EB) lithography. The surface conductivity of the H-terminated diamond film and DC and RF performances of the MESFET were characterized. The results demonstrate that, the carrier mobility of 24.6 cm 2 /V s and the carrier density of 1.096 × 10 13 cm −2 are obtained on the surface of H-terminated diamond film. The FET shows the maximum transition frequency (f T ) of 5 GHz and the maximum oscillation frequency (f max ) of 6 GHz at V GS = −0.5 V and V DS = −8 V, which indicates that H-terminated DC arc jet CVD polycrystalline diamond is suitable for the development of high frequency devices.

  11. Spin transport in two-layer-CVD-hBN/graphene/hBN heterostructures

    Science.gov (United States)

    Gurram, M.; Omar, S.; Zihlmann, S.; Makk, P.; Li, Q. C.; Zhang, Y. F.; Schönenberger, C.; van Wees, B. J.

    2018-01-01

    We study room-temperature spin transport in graphene devices encapsulated between a layer-by-layer-stacked two-layer-thick chemical vapor deposition (CVD) grown hexagonal boron nitride (hBN) tunnel barrier, and a few-layer-thick exfoliated-hBN substrate. We find mobilities and spin-relaxation times comparable to that of SiO2 substrate-based graphene devices, and we obtain a similar order of magnitude of spin relaxation rates for both the Elliott-Yafet and D'Yakonov-Perel' mechanisms. The behavior of ferromagnet/two-layer-CVD-hBN/graphene/hBN contacts ranges from transparent to tunneling due to inhomogeneities in the CVD-hBN barriers. Surprisingly, we find both positive and negative spin polarizations for high-resistance two-layer-CVD-hBN barrier contacts with respect to the low-resistance contacts. Furthermore, we find that the differential spin-injection polarization of the high-resistance contacts can be modulated by dc bias from -0.3 to +0.3 V with no change in its sign, while its magnitude increases at higher negative bias. These features point to the distinctive spin-injection nature of the two-layer-CVD-hBN compared to the bilayer-exfoliated-hBN tunnel barriers.

  12. Temperature dependence of stress in CVD diamond films studied by Raman spectroscopy

    Directory of Open Access Journals (Sweden)

    Dychalska Anna

    2015-09-01

    Full Text Available Evolution of residual stress and its components with increasing temperature in chemical vapor deposited (CVD diamond films has a crucial impact on their high temperature applications. In this work we investigated temperature dependence of stress in CVD diamond film deposited on Si(100 substrate in the temperature range of 30 °C to 480 °C by Raman mapping measurement. Raman shift of the characteristic diamond band peaked at 1332 cm-1 was studied to evaluate the residual stress distribution at the diamond surface. A new approach was applied to calculate thermal stress evolution with increasing tempera­ture by using two commonly known equations. Comparison of the residts obtained from the two methods was presented. The intrinsic stress component was calculated from the difference between average values of residual and thermal stress and then its temperature dependence was discussed.

  13. AFM Morphology Study of Si1-Y GeY:H Films Deposited by LF PE CVD from Silane-Germane with Different

    International Nuclear Information System (INIS)

    Sanchez, L; Kosarev, A

    2005-01-01

    The morphology of Si 1-Y Ge Y :H films in the range of Y=0.23 to 0.9 has been studied by AFM. The films were deposited by Low Frequency (LF) PE CVD at substrate temperature T s =300 C and discharge frequency f=110 kHz from silane+germane mixture with and without, Ar and H 2 dilution. The films were deposited on silicon and glass substrates. AFM images were taken and analyzed for 2 x 2 mm 2 area. All the images demonstrated ''grain'' like structure, which was characterized by the height distribution function F(H) average roughness , standard height deviation Rq, lateral correlation length L c area distribution function F(s), mean grain area , diameter distribution function F(d), and mean grain diameter . The roughness of the films monotonically increases with Y for all dilutions, but more significantly in the films deposited without dilution. L c continuously grows with Y in the films deposited without dilution, while more complex behavior L c (Y) is observed in the films deposited with H- or Ar dilution. The sharpness of F(H) characterized by curtosis γ depends on dilution and the sharpest F(H) are for the films deposited with Ar (γ=5.30,Y=0.23) and without dilution (γ=4.3, Y=0.45). Isothermal annealing caused increase of , L c in the films deposited with H- and Ar dilutions, while in the films prepared without dilution the behavior was more complex, depending on the substrates. Significant narrowing of the height distribution was observed in the films deposited with H dilution or without dilution

  14. CVD Graphene/Ni Interface Evolution in Sulfuric Electrolyte

    DEFF Research Database (Denmark)

    Yivlialin, Rossella; Bussetti, Gianlorenzo; Duò, Lamberto

    2018-01-01

    Systems comprising single and multilayer graphene deposited on metals and immersed in acid environments have been investigated, with the aim of elucidating the mechanisms involved, for instance, in hydrogen production or metal protection from corrosion. In this work, a relevant system, namely...... chemical vapor deposited (CVD) multilayer graphene/Ni (MLGr/Ni), is studied when immersed in a diluted sulfuric electrolyte. The MLGr/Ni electrochemical and morphological properties are studied in situ and interpreted in light of the highly oriented pyrolytic graphite (HOPG) electrode behavior, when...... immersed in the same electrolyte. Following this interpretative framework, the dominant role of the Ni substrate in hydrogen production is clarified....

  15. Recent Results from Beam Tests of 3D and Pad pCVD Diamond Detectors

    CERN Document Server

    Wallny, Rainer

    2017-01-01

    Results from prototypes of a detector using chemical vapor deposited (CVD) diamond with embedded resistive electrodes in the bulk forming a 3D diamond device are presented. A detector system consisting of 3D devices based on poly-crystalline CVD (pCVD) diamond was connected to a multi-channel readout and successfully tested in a 120 GeV/c proton beam at CERN proving for the first time the feasibility of the 3D detector concept in pCVD for particle tracking applications. We also present beam test results on the dependence of signal size on incident particle rate in charged particle detectors based on poly-crystalline CVD diamond. The detectors were tested in a 260 MeV/c pion beam over a range of particle fluxes from 2 kHz/cm2 to 10 MHz/cm2 . The pulse height of the sensors was measured with pad readout electronics at a peaking time of 7 ns. Our data from the 2015 beam tests at PSI indicate that the pulse height of poly-crystalline CVD diamond sensor irradiated to 5×1014 neq/cm2 is independent of particle flux...

  16. Influence of duration time of CVD process on emissive properties of carbon nanotubes films

    Directory of Open Access Journals (Sweden)

    Stępinska Izabela

    2015-03-01

    Full Text Available In this paper various types of films made of carbon nanotubes (CNTs are presented. These films were prepared on different substrates (Al2O3, Si n-type by the two-step method. The two-step method consists of physical vapor deposition step, followed by chemical vapor deposition step (PVD/CVD. Parameters of PVD process were the same for all initial films, while the duration times of the second step - the CVD process, were different (15, 30 min.. Prepared films were characterized by scanning electron microscopy (SEM, transmission electron microscopy (TEM and field emission (FE measurements. The I-E and F-N characteristics of electron emission were discussed in terms of various forms of CNT films. The value of threshold electric field ranged from few V/μm (for CNT dispersed rarely on the surface of the film deposited on Si up to ~20 V/μm (for Al2O3 substrate.

  17. Optimization of solar cell performance using atmospheric pressure chemical vapour deposition deposited TCOs

    Czech Academy of Sciences Publication Activity Database

    Yates, H.M.; Evans, P.; Sheel, D.W.; Hodgkinson, J.L.; Sheel, P.; Dagkaldiran, U.; Gordijn, A.; Finger, F.; Remeš, Zdeněk; Vaněček, Milan

    2009-01-01

    Roč. 25, č. 8 (2009), s. 789-796 ISSN 1938-5862. [International Chemical Vapor Deposition Symposium (CVD-XVII) /17./. Wien, 04.10.2009-09.10.2009] Grant - others:European Community(XE) Project (STREP) of the 6. FP Institutional research plan: CEZ:AV0Z10100521 Keywords : solar cells * TCO * CVD Subject RIV: BM - Solid Matter Physics ; Magnetism

  18. Deposition and modification of tantalum carbide coatings on graphite by laser interactions

    International Nuclear Information System (INIS)

    Veligdan, J.; Branch, D.; Vanier, P.E.; Barletta, R.E.

    1992-01-01

    Graphite surfaces can be hardened and protected from erosion by hydrogen at high temperatures by refractory metal carbide coatings, which are usually prepared by chemical vapor deposition (CVD) or chemical vapor reaction (CVR) methods. These techniques rely on heating the substrate to a temperature where a volatile metal halide decomposes and reacts with either a hydrocarbon gas or with carbon from the substrate. For CVR techniques, deposition temperatures must be in excess of 2000 degrees C in order to achieve favorable deposition kinetics. In an effort to lower the bulk substrate deposition temperature, the use of laser interactions with both the substrate and the metal halide deposition gas has been employed. Initial testing, involved the use of a CO 2 laser to heat the surface of a graphite substrate and a KrF excimer laser to accomplish a photodecomposition of TaCl 5 gas near the substrate. Results of preliminary experiments using these techniques are described

  19. A beam radiation monitor based on CVD diamonds for SuperB

    Science.gov (United States)

    Cardarelli, R.; Di Ciaccio, A.

    2013-08-01

    Chemical Vapor Deposition (CVD) diamond particle detectors are in use in the CERN experiments at LHC and at particle accelerator laboratories in Europe, USA and Japan mainly as beam monitors. Nowadays it is considered a proven technology with a very fast signal read-out and a very high radiation tolerance suitable for measurements in high radiation environment zones i.e. near the accelerators beam pipes. The specific properties of CVD diamonds make them a prime candidate for measuring single particles as well as high-intensity particle cascades, for timing measurements on the sub-nanosecond scale and for beam protection systems in hostile environments. A single-crystalline CVD (scCVD) diamond sensor, read out with a new generation of fast and high transition frequency SiGe bipolar transistor amplifiers, has been tested for an application as radiation monitor to safeguard the silicon vertex tracker in the SuperB detector from excessive radiation damage, cumulative dose and instantaneous dose rates. Test results with 5.5 MeV alpha particles from a 241Am radioactive source and from electrons from a 90Sr radioactive source are presented in this paper.

  20. Thermoluminescent properties of CVD diamond: applications to ionising radiation dosimetry; Proprietes thermoluminescentes du diamant CVD: applications a la dosimetrie des rayonnements ionisants

    Energy Technology Data Exchange (ETDEWEB)

    Petitfils, A

    2007-09-15

    Remarkable properties of synthetic diamond (human soft tissue equivalence, chemical stability, non-toxicity) make this material suitable for medical application as thermoluminescent dosimeter (TLD). This work highlights the interest of this material as radiotherapy TLD. In the first stage of this work, we looked after thermoluminescent (TL) and dosimetric properties of polycrystalline diamond made by Chemically Vapor Deposited (CVD) synthesis. Dosimetric characteristics are satisfactory as TLD for medical application. Luminescence thermal quenching on diamond has been investigated. This phenomenon leads to a decrease of dosimetric TL peak sensitivity when the heating rate increases. The second part of this work analyses the use of synthetic diamond as TLD in radiotherapy. Dose profiles, depth dose distributions and the cartography of an electron beam obtained with our samples are in very good agreement with results from an ionisation chamber. It is clearly shown that CVD) diamond is of interest to check beams of treatment accelerators. The use of these samples in a control of treatment with Intensity Modulated Radiation Therapy underlines good response of synthetic diamond in high dose gradient areas. These results indicate that CVD diamond is a promising material for radiotherapy dosimetry. (author)

  1. Diagramas de fase CVD para la preparación de películas de iridio

    Directory of Open Access Journals (Sweden)

    Hernández-Pérez, M. A.

    2002-02-01

    Full Text Available Chemical vapor deposition (CVD phase diagrams for the preparation of iridium films were calculated using Gibbs free energy minimization method. Iridium acetylacetonate (Ir(acac3 was used as the precursor compound. Two gaseous mixtures were analyzed: Ir(acac3-O2-Ar and Ir(acac3-Ar. The deposition temperatures were explored from 300 to 800 °C, total pressures from 13.3 to 13.332 Pa and partial pressures of Ir(acac3 gas and O2 gas from 0.001 to 1.000 Pa. The Ir-CVD diagrams predicted that without Oj gas in the gaseous mixture, the solid films consist of two solid phases: Ir+C. In contrast, with addition of O2 to the gaseous mixture, the Ir-CVD diagrams revealed different domains of condensed phases which include IrO2, IrO2+Ir, Ir and Ir+C. These diagrams allow one to establish the total pressures and temperatures required to obtain a given film composition. The results predicted by the Ir-CVD diagrams are in good agreement with those experimentally obtained.

    Se calcularon los diagramas de fase CVD (Chemical Vapor Deposition para la preparación de películas de iridio empleando el método de minimización de la energía libre de Gibbs. Como precursor se utilizó acetilacetonato de iridio (Ir(acac3. Se analizaron las mezclas gaseosas Ir(acac3-O2Ar e Ir(acac3-Ar. Las temperaturas de depósito se exploraron desde 300 hasta 800 °C, las presiones totales de 13,3 a 13.332 Pa y las presiones parciales de los gases Ir(acac3 y O2 desde 0,001 hasta 1.000 Pa. Los diagramas Ir-CVD predicen que sin O2 en la mezcla gaseosa, las películas constan de las fases sólidas Ir+C. En contraste, con adición de O2 los diagramas Ir-CVD revelan diferentes dominios de fases sólidas que incluyen IrO2, IrO2+Ir, Ir e Ir+C. Estos diagramas permiten establecer

  2. CVD diamond substrates for electronic devices

    International Nuclear Information System (INIS)

    Holzer, H.

    1996-03-01

    In this study the applicability of chemical vapor deposition (CVD) diamond as a material for heat spreaders was investigated. Economical evaluations on the production of heat spreaders were also performed. For the diamond synthesis the hot-filament and microwave method were used respectively. The deposition parameters were varied in a way that free standing diamond layers with a thickness of 80 to 750 microns and different qualities were obtained. The influence of the deposition parameters on the relevant film properties was investigated and discussed. With both the hot-filament and microwave method it was possible to deposit diamond layers having a thermal conductivity exceeding 1200 W/mK and therefore to reach the quality level for commercial uses. The electrical resistivity was greater than 10 12 Ωcm. The investigation of the optical properties was done by Raman-, IR- and cathodoluminescence spectroscopy. Because of future applications of diamond-aluminium nitride composites as highly efficient heat spreaders diamond deposition an AIN was investigated. An improved substrate pretreatment prior to diamond deposition showed promising results for better performance of such composite heat spreaders. Both free standing layers and diamond-AIN composites could be cut by a CO2 Laser in Order to get an exact size geometry. A reduction of the diamond surface roughness was achieved by etching with manganese powder or cerium. (author)

  3. AFM Morphology Study of Si1-Y GeY:H Films Deposited by LF PE CVD from Silane-Germane with Different

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez, L; Kosarev, A

    2005-03-28

    The morphology of Si{sub 1-Y} Ge{sub Y}:H films in the range of Y=0.23 to 0.9 has been studied by AFM. The films were deposited by Low Frequency (LF) PE CVD at substrate temperature T{sub s}=300 C and discharge frequency f=110 kHz from silane+germane mixture with and without, Ar and H{sub 2} dilution. The films were deposited on silicon and glass substrates. AFM images were taken and analyzed for 2 x 2 mm{sup 2} area. All the images demonstrated ''grain'' like structure, which was characterized by the height distribution function F(H) average roughness , standard height deviation Rq, lateral correlation length L{sub c} area distribution function F(s), mean grain area , diameter distribution function F(d), and mean grain diameter . The roughness of the films monotonically increases with Y for all dilutions, but more significantly in the films deposited without dilution. L{sub c} continuously grows with Y in the films deposited without dilution, while more complex behavior L{sub c}(Y) is observed in the films deposited with H- or Ar dilution. The sharpness of F(H) characterized by curtosis {gamma} depends on dilution and the sharpest F(H) are for the films deposited with Ar ({gamma}=5.30,Y=0.23) and without dilution ({gamma}=4.3, Y=0.45). Isothermal annealing caused increase of , L{sub c} in the films deposited with H- and Ar dilutions, while in the films prepared without dilution the behavior was more complex, depending on the substrates. Significant narrowing of the height distribution was observed in the films deposited with H dilution or without dilution.

  4. Ultrashort pulse laser deposition of thin films

    Science.gov (United States)

    Perry, Michael D.; Banks, Paul S.; Stuart, Brent C.

    2002-01-01

    Short pulse PLD is a viable technique of producing high quality films with properties very close to that of crystalline diamond. The plasma generated using femtosecond lasers is composed of single atom ions with no clusters producing films with high Sp.sup.3 /Sp.sup.2 ratios. Using a high average power femtosecond laser system, the present invention dramatically increases deposition rates to up to 25 .mu.m/hr (which exceeds many CVD processes) while growing particulate-free films. In the present invention, deposition rates is a function of laser wavelength, laser fluence, laser spot size, and target/substrate separation. The relevant laser parameters are shown to ensure particulate-free growth, and characterizations of the films grown are made using several diagnostic techniques including electron energy loss spectroscopy (EELS) and Raman spectroscopy.

  5. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  6. Friction Properties of Polished Cvd Diamond Films Sliding against Different Metals

    Science.gov (United States)

    Lin, Zichao; Sun, Fanghong; Shen, Bin

    2016-11-01

    Owing to their excellent mechanical and tribological properties, like the well-known extreme hardness, low coefficient of friction and high chemical inertness, chemical vapor deposition (CVD) diamond films have found applications as a hard coating for drawing dies. The surface roughness of the diamond films is one of the most important attributes to the drawing dies. In this paper, the effects of different surface roughnesses on the friction properties of diamond films have been experimentally studied. Diamond films were fabricated using hot filament CVD. The WC-Co (Co 6wt.%) drawing dies were used as substrates. A gas mixture of acetone and hydrogen gas was used as the feedstock gas. The CVD diamond films were polished using mechanical polishing. Polished diamond films with three different surface roughnesses, as well as the unpolished diamond film, were fabricated in order to study the tribological performance between the CVD diamond films and different metals with oil lubrication. The unpolished and polished CVD diamond films are characterized with scanning electron microscope (SEM), atomic force microscope (AFM), surface profilometer, Raman spectrum and X-ray diffraction (XRD). The friction examinations were carried out by using a ball-on-plate type reciprocating friction tester. Low carbide steel, stainless steel, copper and aluminum materials were used as counterpart balls. Based on this study, the results presented the friction coefficients between the polished CVD films and different metals. The friction tests demonstrate that the smooth surface finish of CVD diamond films is beneficial for reducing their friction coefficients. The diamond films exhibit low friction coefficients when slid against the stainless steel balls and low carbide steel ball, lower than that slid against copper ball and aluminum ball, attributed to the higher ductility of copper and aluminum causing larger amount of wear debris adhering to the sliding interface and higher adhesive

  7. A CVD Diamond Detector for (n,a) Cross-Section Measurements

    CERN Document Server

    Weiss, Christina; Griesmayer, Erich; Guerrero, Carlos

    A novel detector based on the chemical vapor deposition (CVD) diamond technology has been developed in the framework of this PhD, for the experimental determination of (n,a) cross-sections at the neutron time-of-flight facility n_TOF at CERN. The 59Ni(n,a)56Fe cross-section, which is relevant for astrophysical questions as well as for risk-assessment studies in nuclear technology, has been measured in order to validate the applicability of the detector for such experiments. The thesis is divided in four parts. In the introductory part the motivation for measuring (n,a) cross-sections, the experimental challenges for such measurements and the reasons for choosing the CVD diamond technology for the detector are given. This is followed by the presentation of the n_TOF facility, an introduction to neutron-induced nuclear reactions and a brief summary of the interaction of particles with matter. The CVD diamond technology and the relevant matters related to electronics are given as well in this first part of the t...

  8. Large-area selective CVD epitaxial growth of Ge on Si substrates

    NARCIS (Netherlands)

    Sammak, A.; De Boer, W.; Nanver, L.K.

    2011-01-01

    Selective epitaxial growth of crystalline Ge on Si in a standard ASM Epsilon 2000 CVD reactor is investigated for the fabrication of Ge p+n diodes. At the deposition temperature of 700?C, most of the lattice mismatch-defects are trapped within first 300nm of Ge growth and good quality single crystal

  9. Industrial science and technology research and development project of university cooperative type in fiscal 2000. Report on achievements in semiconductor device manufacturing processes using Cat-CVD method (Development of technology to rationalize energy usage); 2000 nendo daigaku renkeigata sangyo kagaku gijutsu kenkyu kaihatsu project. Cat-CVD ho ni yoru handotai device seizo process seika hokokusho (energy shiyo gorika gijutsu kaihatsu)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    The catalytic chemical vapor deposition (Cat-CVD) method is a low-temperature thin film depositing technology that can achieve improvement in quality of semiconductor thin films and can perform inexpensive film deposition in a large area. This paper summarizes the achievements in fiscal 2000 in the demonstrative research and development theme of the present project, centering on the following five areas: 1) discussions on application of the Cat-CVD method to the mass production process for gallium arsenide integrated circuits, 2) studies on the possibility to apply the Cat-CVD method to the process to fabricate nitrided silicon protective film for ferroelectric memory devices, 3) formation of nitrided silicon films for silicon integrated circuits by means of the Cat-CVD method, and development of a chamber cleaning technology, 4) fabrication of high-mobility poly-crystalline silicon thin film transistors formed by using the Cat-CVD method and large particle size poly-crystalline silicon films by using the catalytic chemical sputtering process, and 5) discussions on properties of amorphous silicon thin film transistors formed by using the Cat-CVD method and formation of large area films by using a catalyst integrated shower head. (NEDO)

  10. Mass production of CNTs using CVD multi-quartz tubes

    Energy Technology Data Exchange (ETDEWEB)

    Yousef, Samy; Mohamed, Alaa [Dept. of Production Engineering and Printing Technology, Akhbar Elyom Academy, Giza (Egypt)

    2016-11-15

    Carbon nanotubes (CNTs) have become the backbone of modern industries, including lightweight and heavy-duty industrial applications. Chemical vapor deposition (CVD) is considered as the most common method used to synthesize high yield CNTs. This work aims to develop the traditional CVD for the mass production of more economical CNTs, meeting the growing CNT demands among consumers by increasing the number of three particular reactors. All reactors housing is connected by small channels to provide the heat exchange possibility between the chambers, thereby decreasing synthesis time and reducing heat losses inside the ceramic body of the furnace. The novel design is simple and cheap with a lower reacting time and heat loss compared with the traditional CVD design. Methane, hydrogen, argon, and catalyzed iron nanoparticles were used as a carbon source and catalyst during the synthesis process. In addition, CNTs were produced using only a single quartz tube for comparison. The produced samples were examined using XRD, TEM, SEM, FTIR, and TGA. The results showed that the yield of CNTs increases by 287 % compared with those synthesized with a single quartz tube. Moreover, the total synthesis time of CNTs decreases by 37 % because of decreased heat leakage.

  11. Preparation of tantalum-based alloys by a unique CVD process

    International Nuclear Information System (INIS)

    Bryant, W.A.; Meier, G.H.

    1975-01-01

    One of the greatest problems associated with the formation of alloys by CVD is the achievement of compositional uniformity. In a typical deposition apparatus, wherein reactant gases are made to flow over the substrate in a continuous manner, this nonuniformity is inherent for two reasons. The composition of the gas stream changes as a function of its distance of travel over the substrate and, inevitably, one of the reactant compounds is more easily reduced than the other(s). This problem was overcome by the development of a process termed ''pulsing.'' In it reactant gases are periodically injected into a previously evacuated reaction chamber where they cover the substrate almost instantaneously. By this technique, gas composition at any point in time is not dependent upon distance along the substrate. Formation of alternating layers of the alloy components and subsequent homogenization allows the formation of an alloy of uniform composition with the composition being determined by the duration and relative number of the various cycles. This technique has been utilized to produce dense alloys with the composition Ta--10 wt percent W by depositing alternating layers of TA and W by the hydrogen reduction of TaCl 5 and WCl 6 . The alloys were uniform in thickness and composition over lengths in excess of 20 cm and the target composition was attained. A similar attempt to deposit a Ta--8 wt percent W--2 wt percent Hf alloy was unsuccessful because of the difficulty in reducing HfCl 4 at temperatures below those at which gas phase nucleation of Ta and W occurred (1200 and 1175 0 C respectively). 7 fig

  12. Structural Transformation upon Nitrogen Doping of Ultrananocrystalline Diamond Films by Microwave Plasma CVD

    Directory of Open Access Journals (Sweden)

    Chien-Chung Teng

    2009-01-01

    Full Text Available The molecular properties and surface morphology of undoped and N-doped ultra-nanocrystalline diamond (UNCD films deposited by microwave plasma CVD with addition of nitrogen are investigated with various spectroscopic techniques. The results of spatially resolved Raman scattering, ATR/FT-IR and XPS spectra show more amorphous and sp2/sp3 ratio characteristics in N-doped UNCD films. The surface morphology in AFM scans shows larger nanocrystalline diamond clusters in N-doped UNCD films. Incorporation of nitrogen into UNCD films has promoted an increase of amorphous sp2-bonded carbons in the grain boundaries and the size of nanocrystalline diamond grains that are well correlated to the reported enhancement of conductivity and structural changes of UNCD films.

  13. Cutting characteristics of dental diamond burs made with CVD technology Características de corte de pontas odontológicas diamantadas obtidas pela tecnologia CVD

    Directory of Open Access Journals (Sweden)

    Luciana Monti Lima

    2006-04-01

    Full Text Available The aim of this study was to determine the cutting ability of chemical vapor deposition (CVD diamond burs coupled to an ultrasonic dental unit handpiece for minimally invasive cavity preparation. One standard cavity was prepared on the mesial and distal surfaces of 40 extracted human third molars either with cylindrical or with spherical CVD burs. The cutting ability was compared regarding type of substrate (enamel and dentin and direction of handpiece motion. The morphological characteristics, width and depth of the cavities were analyzed and measured using scanning electron micrographs. Statistical analysis using the Kruskal-Wallis test (p O objetivo deste estudo foi determinar a habilidade de corte das pontas de diamante obtidas pelo processo de deposição química a vapor (CVD associadas ao aparelho de ultra-som no preparo cavitário minimamente invasivo. Uma cavidade padronizada foi preparada nas faces mesial e distal de 40 terceiros molares, utilizando-se pontas de diamante CVD cilíndrica e esférica. A habilidade de corte foi comparada quanto ao tipo de substrato (esmalte e dentina e quanto à direção do movimento realizado com a ponta. As características morfológicas, a largura e profundidade das cavidades foram analisadas e medidas em microscopia eletrônica de varredura. A análise estatística pelo teste de Kruskal-Wallis (p < 0,05 revelou que a largura e profundidade das cavidades foram significativamente maiores em dentina. Cavidades mais largas foram obtidas quando se utilizou a ponta de diamante CVD cilíndrica, e mais profundas quando a ponta esférica foi empregada. A direção do movimento da ponta não influenciou o tamanho das cavidades, sendo os cortes produzidos pelas pontas de diamante CVD precisos e conservadores.

  14. Structurally controlled deposition of silicon onto nanowires

    Science.gov (United States)

    Wang, Weijie; Liu, Zuqin; Han, Song; Bornstein, Jonathan; Stefan, Constantin Ionel

    2018-03-20

    Provided herein are nanostructures for lithium ion battery electrodes and methods of fabrication. In some embodiments, a nanostructure template coated with a silicon coating is provided. The silicon coating may include a non-conformal, more porous layer and a conformal, denser layer on the non-conformal, more porous layer. In some embodiments, two different deposition processes, e.g., a PECVD layer to deposit the non-conformal layer and a thermal CVD process to deposit the conformal layer, are used. Anodes including the nanostructures have longer cycle lifetimes than anodes made using either a PECVD or thermal CVD method alone.

  15. Cuprous oxide thin films grown by hydrothermal electrochemical deposition technique

    International Nuclear Information System (INIS)

    Majumder, M.; Biswas, I.; Pujaru, S.; Chakraborty, A.K.

    2015-01-01

    Semiconducting cuprous oxide films were grown by a hydrothermal electro-deposition technique on metal (Cu) and glass (ITO) substrates between 60 °C and 100 °C. X-ray diffraction studies reveal the formation of cubic cuprous oxide films in different preferred orientations depending upon the deposition technique used. Film growth, uniformity, grain size, optical band gap and photoelectrochemical response were found to improve in the hydrothermal electrochemical deposition technique. - Highlights: • Cu 2 O thin films were grown on Cu and glass substrates. • Conventional and hydrothermal electrochemical deposition techniques were used. • Hydrothermal electrochemical growth showed improved morphology, thickness and optical band gap

  16. Study on the low leakage current of an MIS structure fabricated by ICP-CVD

    Energy Technology Data Exchange (ETDEWEB)

    Tsai, S-Y; Hon, M-H [Department of Materials Science and Engineering, National Cheng Kung University, 1, Ta-Hsueh Road, Tainan, 701 Taiwan (China); Lu, Y-M, E-mail: ymlumit@yahoo.com.tw

    2008-03-15

    As the dimensions of electric devices continue to shrink, it is becoming increasingly important to understand how to obtain good quality gate oxide film materials wilth higher carrier mobility, lower leakage current and greater reliability. All of them have become major concerns in the fabrication of thin film oxide transistors. A novel film deposition method called Inductively Coupled Plasma-Chemical Vapor Deposition (ICP-CVD) has received attraction in the semiconductor industry, because it can be capable of generating high density plasmas at extremely low temperature, resulting in less ion bombardment of the material surface. In this work, we present the results of crystallized silicon dioxide films deposited by inductively coupled plasma chemical vapor deposition technique at an extremely low temperature of 90 deg. C. The value of the refractive index of the crystallized ICP-CVD SiO{sub 2} film depends on the r.f. power of the ICP system, and approximates to be 1.46. This value is comparable to that of SiO{sub 2} films prepared by thermal oxidation. As the r.f. power of ICP applied more than 1250 Watts, still only the (111) diffraction peak is observed by XRD, which implies a very strong preferred orientation or single crystal structure. Too low or too high r.f. power both produces amorphous SiO{sub 2} films. From the I-V curve, the MIS device with a SiO{sub 2} dielectric film has a lower leakage current density of 6.8x10{sup -8}A/cm{sup 2} at 1V as the film prepared at 1750 watts. The highest breakdown field in this study is 15.8 MV/cm. From the FTIR analysis, it was found that more hydrogen atoms incorporate into films and form Si-OH bonds as the r.f. power increases. The existence of Si-OH bonds leads to a poor reliability of the MIS device.

  17. The effect of percentage carbonon the CVD coating of plain carbon ...

    African Journals Online (AJOL)

    Two steels En 3 and En 39 were given a TiC-TiN CVD coating in the carburized and uncarburized conditions. The continuity of the coatings and their adherance to the substrate were examined. The thickness of the deposited coatings were also measured, their adherence to the substrate and their thickness was off ected by ...

  18. Computation of flow and thermal fields in a model CVD reactor

    Indian Academy of Sciences (India)

    Mixing of coaxial jets within a tube in the presence of blockage has been numerically studied. This configuration is encountered during the modelling of flow and heat transfer in CVD (chemical vapour deposition) reactors. For the conditions prevailing in the reactor, the Reynolds numbers are low and flow can be taken to be ...

  19. Laser-Directed CVD 3D Printing System for Refractory Metal Propulsion Hardware, Phase II, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — In this work, Ultramet is developing a three-dimensional (3D) laser-directed chemical vapor deposition (CVD) additive manufacturing system to build free-form...

  20. Wear Mechanism of Chemical Vapor Deposition (CVD) Carbide Insert in Orthogonal Cutting Ti-6Al-4V ELI at High Cutting Speed

    International Nuclear Information System (INIS)

    Gusri, A. I.; Che Hassan, C. H.; Jaharah, A. G.

    2011-01-01

    The performance of Chemical Vapor Deposition (CVD) carbide insert with ISO designation of CCMT 12 04 04 LF, when turning titanium alloys was investigated. There were four layers of coating materials for this insert i.e.TiN-Al2O3-TiCN-TiN. The insert performance was evaluated based on the insert's edge resistant towards the machining parameters used at high cutting speed range of machining Ti-6Al-4V ELI. Detailed study on the wear mechanism at the cutting edge of CVD carbide tools was carried out at cutting speed of 55-95 m/min, feed rate of 0.15-0.35 mm/rev and depth of cut of 0.10-0.20 mm. Wear mechanisms such as abrasive and adhesive were observed on the flank face. Crater wear due to diffusion was also observed on the rake race. The abrasive wear occurred more at nose radius and the fracture on tool were found at the feed rate of 0.35 mm/rev and the depth of cut of 0.20 mm. The adhesion wear takes place after the removal of the coating or coating delaminating. Therefore, adhesion or welding of titanium alloy onto the flank and rake faces demonstrates a strong bond at the workpiece-tool interface.

  1. Optimization of CVD parameters for long ZnO NWs grown on ITO

    Indian Academy of Sciences (India)

    The optimization of chemical vapour deposition (CVD) parameters for long and vertically aligned (VA) ZnO nanowires (NWs) were investigated. Typical ZnO NWs as a single crystal grown on indium tin oxide (ITO)-coated glass substrate were successfully synthesized. First, the conducted side of ITO–glass substrate was ...

  2. Sputtering. [as deposition technique in mechanical engineering

    Science.gov (United States)

    Spalvins, T.

    1976-01-01

    This paper primarily reviews the potential of using the sputtering process as a deposition technique; however, the manufacturing and sputter etching aspects are also discussed. Since sputtering is not regulated by classical thermodynamics, new multicomponent materials can be developed in any possible chemical composition. The basic mechanism for dc and rf sputtering is described. Sputter-deposition is described in terms of the unique advantageous features it offers such as versatility, momentum transfer, stoichiometry, sputter-etching, target geometry (coating complex surfaces), precise controls, flexibility, ecology, and sputtering rates. Sputtered film characteristics, such as strong adherence and coherence and film morphology, are briefly evaluated in terms of varying the sputtering parameters. Also described are some of the specific industrial areas which are turning to sputter-deposition techniques.

  3. Size modulation of nanocrystalline silicon embedded in amorphous silicon oxide by Cat-CVD

    International Nuclear Information System (INIS)

    Matsumoto, Y.; Godavarthi, S.; Ortega, M.; Sanchez, V.; Velumani, S.; Mallick, P.S.

    2011-01-01

    Different issues related to controlling size of nanocrystalline silicon (nc-Si) embedded in hydrogenated amorphous silicon oxide (a-SiO x :H) deposited by catalytic chemical vapor deposition (Cat-CVD) have been reported. Films were deposited using tantalum (Ta) and tungsten (W) filaments and it is observed that films deposited using tantalum filament resulted in good control on the properties. The parameters which can affect the size of nc-Si domains have been studied which include hydrogen flow rate, catalyst and substrate temperatures. The deposited samples are characterized by X-ray diffraction, HRTEM and micro-Raman spectroscopy, for determining the size of the deposited nc-Si. The crystallite formation starts for Ta-catalyst around the temperature of 1700 o C.

  4. Development Status of a CVD System to Deposit Tungsten onto UO2 Powder via the WCI6 Process

    Science.gov (United States)

    Mireles, O. R.; Kimberlin, A.; Broadway, J.; Hickman, R.

    2014-01-01

    Nuclear Thermal Propulsion (NTP) is under development for deep space exploration. NTP's high specific impulse (> 850 second) enables a large range of destinations, shorter trip durations, and improved reliability. W-60vol%UO2 CERMET fuel development efforts emphasize fabrication, performance testing and process optimization to meet service life requirements. Fuel elements must be able to survive operation in excess of 2850 K, exposure to flowing hydrogen (H2), vibration, acoustic, and radiation conditions. CTE mismatch between W and UO2 result in high thermal stresses and lead to mechanical failure as a result UO2 reduction by hot hydrogen (H2) [1]. Improved powder metallurgy fabrication process control and mitigated fuel loss can be attained by coating UO2 starting powders within a layer of high density tungsten [2]. This paper discusses the advances of a fluidized bed chemical vapor deposition (CVD) system that utilizes the H2-WCl6 reduction process.

  5. Hydrogen termination of CVD diamond films by high-temperature annealing at atmospheric pressure

    NARCIS (Netherlands)

    Seshan, V.; Ullien, D.; Castellanos-Gomez, A.; Sachdeva, S.; Murthy, D.H.K.; Savenije, T.J.; Ahmad, H.A.; Nunney, T.S.; Janssens, S.D.; Haenen, K.; Nesládek, M.; Van der Zant, H.S.J.; Sudhölter, E.J.R.; De Smet, L.C.P.M.

    2013-01-01

    A high-temperature procedure to hydrogenate diamond films using molecular hydrogen at atmospheric pressure was explored. Undoped and doped chemical vapour deposited (CVD) polycrystalline diamond films were treated according to our annealing method using a H2 gas flow down to ?50 ml/min (STP) at

  6. Diameter Tuning of Single-Walled Carbon Nanotubes by Diffusion Plasma CVD

    Directory of Open Access Journals (Sweden)

    Toshiaki Kato

    2011-01-01

    Full Text Available We have realized a diameter tuning of single-walled carbon nanotubes (SWNTs by adjusting process gas pressures with plasma chemical vapor deposition (CVD. Detailed photoluminescence measurements reveal that the diameter distribution of SWNTs clearly shifts to a large-diameter region with an increase in the pressure during plasma CVD, which is also confirmed by Raman scattering spectroscopy. Based on the systematical investigation, it is found that the main diameter of SWNTs is determined by the pressure during the heating in an atmosphere of hydrogen and the diameter distribution is narrowed by adjusting the pressure during the plasma generation. Our results could contribute to an application of SWNTs to high-performance thin-film transistors, which requires the diameter-controlled semiconductor-rich SWNTs.

  7. Plasma CVD reactor with two-microwave oscillators for diamond film synthesis

    International Nuclear Information System (INIS)

    Nagatsu, M.; Miyake, M.; Maeda, J.

    2006-01-01

    In this study, we present the experimental results of a new type of microwave plasma CVD system, where two of 1.5 kW microwave sources were used for enlarging the plasma discharge and the diamond film growth. One of the microwave oscillators was used to produce the microwave plasma as in the conventional microwave plasma CVD device, while the second one was used to enlarge the plasma by introducing microwave from the launcher mounted at the substrate stage. We demonstrated the enlargement of plasma discharge area from 60 mm to 100 mm in diameter by using the two-microwave oscillators system. Characteristics of diamond films deposited using H 2 /CH 4 plasmas were also investigated using a scanning electron microscope (SEM) and Raman spectroscopy

  8. Surface modification on 304 SS by plasma-immersed ion implantation to improve the adherence of a CVD diamond film

    Energy Technology Data Exchange (ETDEWEB)

    Nono, M.C.A.; Corat, E.J. (Instituto Nacional de Pesquisas Espaciais, Sao Jose dos Campos, SP (Brazil)); Ueda, M.; Stellati, C.; Barroso, J.J.; Conrad, J.R.; Shamim, M.; Fetherston, P.; Sridharan, K.

    1999-02-01

    The weak adherence of chemical vapor deposited (CVD) diamond films on steel substrates is an important factor that limits the technological applications of these materials. We are interested in enhancing the film-to-substrate adherence by using substrate surfaces with a previous modification by plasma-immersed ion implantation (PIII). In this work we present and discuss the preliminary results on phase formation, microstructure and adherence evaluations. CVD diamond films were deposited on 304 SS, the surface of which was modified by implanted carbon ions. The samples were first submitted to implantation with 30 keV carbon ions at different doses. Later, these surfaces were examined by Auger spectroscopy (SAM), scanning electron microscopy (SEM) and X-ray diffraction. We observed a metastable carbide phase formed from carbon and iron, which is considered to be a good polycrystalline material for the nucleation of CVD diamond crystals. The CVD diamond nucleation and film growth were observed by SEM and Raman spectroscopy. These results are discussed with the emphasis on the carbon diffusion barrier on the substrate surfaces. The preliminary results of diamond growth were encouraging. (orig.) 7 refs.

  9. Thermoluminescent properties of CVD diamond: applications to ionising radiation dosimetry

    International Nuclear Information System (INIS)

    Petitfils, A.

    2007-09-01

    Remarkable properties of synthetic diamond (human soft tissue equivalence, chemical stability, non-toxicity) make this material suitable for medical application as thermoluminescent dosimeter (TLD). This work highlights the interest of this material as radiotherapy TLD. In the first stage of this work, we looked after thermoluminescent (TL) and dosimetric properties of polycrystalline diamond made by Chemically Vapor Deposited (CVD) synthesis. Dosimetric characteristics are satisfactory as TLD for medical application. Luminescence thermal quenching on diamond has been investigated. This phenomenon leads to a decrease of dosimetric TL peak sensitivity when the heating rate increases. The second part of this work analyses the use of synthetic diamond as TLD in radiotherapy. Dose profiles, depth dose distributions and the cartography of an electron beam obtained with our samples are in very good agreement with results from an ionisation chamber. It is clearly shown that CVD) diamond is of interest to check beams of treatment accelerators. The use of these samples in a control of treatment with Intensity Modulated Radiation Therapy underlines good response of synthetic diamond in high dose gradient areas. These results indicate that CVD diamond is a promising material for radiotherapy dosimetry. (author)

  10. Bone repair after osteotomy with diamond burs and CVD ultrasonic tips – histological study in rats

    OpenAIRE

    Matuda, Fábio S.; Pagani, Clovis; Miranda, Carolina B.; Crema, Aline A. S.; Brentel, Aline S.; Carvalho, Yasmin R.

    2010-01-01

    This study histologically evaluated the behavior of bone tissue of rats submitted to osteotomy with conventional diamond burs in high speed and a new ultrasonic diamond tips system (CVD – Chemical Vapor Deposition), at different study periods. The study was conducted on 24 Wistar rats. Osteotomy was performed on the posterior paws of each rat, with utilization of diamond burs in high speed under thorough water cooling at the right paw, and CVD tips at the left paw. Animals were killed a...

  11. CVD-Graphene-Based Flexible, Thermoelectrochromic Sensor

    Directory of Open Access Journals (Sweden)

    Adam Januszko

    2017-01-01

    Full Text Available The main idea behind this work was demonstrated in a form of a new thermoelectrochromic sensor on a flexible substrate using graphene as an electrically reconfigurable thermal medium (TEChrom™. Our approach relies on electromodulation of thermal properties of graphene on poly(ethylene terephthalate (PET via mechanical destruction of a graphene layer. Graphene applied in this work was obtained by chemical vapor deposition (CVD technique on copper substrate and characterized by Raman and scanning tunneling spectroscopy. Electrical parameters of graphene were evaluated by the van der Pauw method on the transferred graphene layers onto SiO2 substrates by electrochemical delamination method. Two configurations of architecture of sensors, without and with the thermochromic layer, were investigated, taking into account the increase of voltage from 0 to 50 V and were observed by thermographic camera to define heat energy. Current-voltage characteristics obtained for the sensor with damaged graphene layer are linear, and the resistivity is independent from the current applied. The device investigated under 1000 W/m2 exhibited rise of resistivity along with increased temperature. Flexible thermoelectrochromic device with graphene presented here can be widely used as a sensor for both the military and civil monitoring.

  12. Characterization of thin film deposits on tungsten filaments in catalytic chemical vapor deposition using 1,1-dimethylsilacyclobutane

    Energy Technology Data Exchange (ETDEWEB)

    Shi, Yujun, E-mail: shiy@ucalgary.ca; Tong, Ling; Mulmi, Suresh [Department of Chemistry, University of Calgary, Calgary, Alberta T2N 1N4 (Canada)

    2016-09-15

    Metal filament plays a key role in the technique of catalytic chemical vapor deposition (Cat-CVD) as it serves as a catalyst in dissociating the source gas to form reactive species. These reactive species initiate the gas-phase reaction chemistry and final thin film and nanostructure formation. At the same time, they also react with the metal itself, leading to the formation of metal alloys and other deposits. The deposits on the tungsten filaments when exposed to 1,1-dimethylsilacyclobutane (DMSCB), a single-source precursor for silicon carbide thin films, in the process of Cat-CVD were studied in this work. It has been demonstrated that a rich variety of deposits, including tungsten carbides (W{sub 2}C and WC), tungsten silicide (W{sub 5}Si{sub 3}), silicon carbide, amorphous carbon, and graphite, form on the W filament surfaces. The structural and morphological changes in the tungsten filaments depend strongly on the DMSCB pressure and filament temperature. At 1000 and 2000 °C, the formation of WC and W{sub 2}C dominates. In addition, a thin amorphous carbon layer has been found at 1500 °C with the 0.12 and 0.24 Torr of DMSCB and a lower temperature of 1200 °C with the 0.48 Torr of DMSCB. An increase in the DMSCB sample pressure gives rise to higher Si and C contents. As a result, the formation of SiC and W{sub 5}Si{sub 3} has been observed with the two high-pressure DMSCB samples (i.e., 0.24 and 0.48 Torr). The rich decomposition chemistry of DMSCB on the W surfaces is responsible for the extensive changes in the structure of the W filament, providing support for the close relationship between the gas-phase decomposition chemistry and the nature of alloy formation on the metal surface. The understanding of the structural changes obtained from this work will help guide the development of efficient methods to solve the filament aging problem in Cat-CVD and also to achieve a controllable deposition process.

  13. Polymer Adsorption on Graphite and CVD Graphene Surfaces Studied by Surface-Specific Vibrational Spectroscopy.

    Science.gov (United States)

    Su, Yudan; Han, Hui-Ling; Cai, Qun; Wu, Qiong; Xie, Mingxiu; Chen, Daoyong; Geng, Baisong; Zhang, Yuanbo; Wang, Feng; Shen, Y R; Tian, Chuanshan

    2015-10-14

    Sum-frequency vibrational spectroscopy was employed to probe polymer contaminants on chemical vapor deposition (CVD) graphene and to study alkane and polyethylene (PE) adsorption on graphite. In comparing the spectra from the two surfaces, it was found that the contaminants on CVD graphene must be long-chain alkane or PE-like molecules. PE adsorption from solution on the honeycomb surface results in a self-assembled ordered monolayer with the C-C skeleton plane perpendicular to the surface and an adsorption free energy of ∼42 kJ/mol for PE(H(CH2CH2)nH) with n ≈ 60. Such large adsorption energy is responsible for the easy contamination of CVD graphene by impurity in the polymer during standard transfer processes. Contamination can be minimized with the use of purified polymers free of PE-like impurities.

  14. New deposition processes for the growth of oxide and nitride thin films

    International Nuclear Information System (INIS)

    Apen, E.A.; Atagi, L.M.; Barbero, R.S.; Espinoza, B.F.; Hubbard, K.M.; Salazar, K.V.; Samuels, J.A.; Smith, D.C.; Hoffman, D.M.

    1998-01-01

    This is the final report of a three-year, Laboratory Directed Research and Development (LDRD) project at Los Alamos National Laboratory (LANL). The goal of this effort is to study the use of homoleptic metal amido compounds as precursors for chemical vapor deposition (CVD). The amides offer potential for the deposition of a variety of important materials at low temperatures. The establishment of these precursor compounds will enhance the ability to exploit the properties of advanced materials in numerous coatings applications. Experiments were performed to study the reactivity of Sn[NMe 2 ] 4 with oxygen. The data demonstrated that gas-phase insertion of oxygen into the Sn-N bond, leading to a reactive intermediate, plays an important role in tin oxide deposition. Several CVD processes for technologically important materials were developed using the amido precursor complexes. These included the plasma enhanced CVD of TiN and Zr 3 N 4 , and the thermal CVD of GaN and AlN. Quality films were obtained in each case, demonstrating the potential of the amido compounds as CVD precursors

  15. CVD diamond sensor for UV-photon detection

    CERN Document Server

    Periale, L; Gervino, G; Lamarina, A M; Palmisano, C; Periale, R; Picchi, P

    2012-01-01

    A new generation of UV photosensors, based on single crystal Chemical Vapour Deposition (CVD) diamonds to work optically coupled with large volume two-phase liquid-Ar (LAr) or liquid-Xe (LXe) detectors nowadays under design for the next generation of WIMPs experiments, is under development. Preliminary tests and first calibrations show these devices can have better performance than the existing UV sensitive detectors (higher photosensitivity and better signal-to-noise ratio). I-V characteristics, dark current measurements, linearity response to X-ray irradiation, and alpha-particle energy resolution are reported and discussed. (C) 2011 Elsevier B.V. All rights reserved.

  16. The characteristics of photo-CVD SiO{sub 2} and its application on SiC MIS UV photodetectors

    Energy Technology Data Exchange (ETDEWEB)

    Liu, C.H.; Chang, C.S.; Chang, S.J.; Su, Y.K.; Chiou, Y.Z.; Liu, S.H.; Huang, B.R

    2003-07-15

    SiO{sub 2} layers were deposited onto SiC by photo-chemical vapor deposition (photo-CVD) using deuterium (D{sub 2}) lamp as the excitation source. For the photo-SiO{sub 2} deposited 500 deg. C, interface state density (D{sub it}) was estimated to be 5.66x10{sup 11} cm{sup -2} eV{sup -1}. With an applied electric field of 4 MV cm{sup -1}, it was found that the leakage current was only 3.15x10{sup -8} A cm{sup -2} for the photo-CVD SiO{sub 2} layer prepared at 500 deg. C. It was also found that photo-SiO{sub 2} could effectively suppress dark current of SiC-based photodetectors (PDs). It was found that we could reduce dark current of SiC-based PDs by about three orders of magnitude by the insertion of a 5 nm-thick photo-CVD SiO{sub 2} film in between Indium-tin-oxide (ITO) contact and the underneath SiC. Photocurrent to dark current ratio of ITO/SiO{sub 2}/SiC MIS PDs was also found to be much larger than that of conventional ITO/SiC Schottky barrier PDs.

  17. Estimation of magnetic relaxation property for CVD processed YBCO-coated conductors

    International Nuclear Information System (INIS)

    Takahashi, Y.; Kiuchi, M.; Otabe, E.S.; Matsushita, T.; Shikimachi, K.; Watanabe, T.; Kashima, N.; Nagaya, S.

    2010-01-01

    Ion Beam Assist Deposition/Chemical Vapor Deposition(IBAD/CVD)-processed YBCO-coated conductors with high critical current density J c at high magnetic fields are expected to be applied to superconducting equipments such as superconducting magnetic energy storage (SMES). For application to superconducting magnet in SMES one of the most important properties for superconductors is the relaxation property of superconducting current. In this paper, the relaxation property is investigated for IBAD/CVD-processed YBCO-coated conductors of the superconducting layer in the range of 0.18-0.90 μm. This property can be quantitatively characterized by the apparent pinning potential, U 0 *. It is found that U 0 * takes a smaller value due to the two-dimensional pinning mechanism at high magnetic fields for conductor with thinner superconducting layer. Although U 0 * decreases with increasing thickness at low magnetic fields at 20 K, it increases at high magnetic fields. The results are theoretically explained by the model of the flux creep and flow based on the dimensionality of flux pinning. Scaling analysis is examined for the dependence of U 0 * on the magnetic field, temperature and the layer thickness.

  18. Electrical characteristics of thermal CVD B-doped Si films on highly strained Si epitaxially grown on Ge(100) by plasma CVD without substrate heating

    International Nuclear Information System (INIS)

    Sugawara, Katsutoshi; Sakuraba, Masao; Murota, Junichi

    2010-01-01

    Using an 84% relaxed Ge(100) buffer layer formed on Si(100) by electron cyclotron resonance (ECR) plasma enhanced chemical vapor deposition (CVD), influence of strain upon electrical characteristics of B-doped Si film epitaxially grown on the Ge buffer have been investigated. For the thinner B-doped Si film, surface strain amount is larger than that of the thicker film, for example, strain amount reaches 2.0% for the thickness of 2.2 nm. It is found that the hole mobility is enhanced by the introduction of strain to Si, and the maximum enhancement of about 3 is obtained. This value is higher than that of the usually reported mobility enhancement by strain using Si 1 -x Ge x buffer. Therefore, introduction of strain using relaxed Ge film formed by ECR plasma enhanced CVD is useful to improve future Si-based device performance.

  19. SnS thin films deposited by chemical bath deposition, dip coating and SILAR techniques

    Science.gov (United States)

    Chaki, Sunil H.; Chaudhary, Mahesh D.; Deshpande, M. P.

    2016-05-01

    The SnS thin films were synthesized by chemical bath deposition (CBD), dip coating and successive ionic layer adsorption and reaction (SILAR) techniques. In them, the CBD thin films were deposited at two temperatures: ambient and 70 °C. The energy dispersive analysis of X-rays (EDAX), X-ray diffraction (XRD), Raman spectroscopy, scanning electron microscopy (SEM) and optical spectroscopy techniques were used to characterize the thin films. The electrical transport properties studies on the as-deposited thin films were done by measuring the I-V characteristics, DC electrical resistivity variation with temperature and the room temperature Hall effect. The obtained results are deliberated in this paper.

  20. Preparación de tamices moleculares de carbono por CVD

    OpenAIRE

    Manso, R.; Pajares, J. A.; Albiniak, A.; Broniek, E.; Siemieniewska, T.

    2001-01-01

    Carbon molecular sieves (CMS) have been prepared by chemical vapour deposition (CVD) of carbon from the pyrolysis of benzene molecules on activated carbon surfaces. The pyrolysis of benzene at temperatures in the range 650-850 ºC restricts the accessibility of the micropores due to the creation of constrictions on the microporous network. Temperatures higher than 850 ºC (temperature of carbonisation) add difficulties due to decomposition and sinterization processes. Low flows of nitrogen (30 ...

  1. The effectiveness of Ti implants as barriers to carbon diffusion in Ti implanted steel under CVD diamond deposition conditions

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P.S.; Prawer, S. [Melbourne Univ., Parkville, VIC (Australia). School of Physics; Hoffman, A. [Technion-Israel Inst. of Tech., Haifa (Israel). Dept. of Chemistry; Evan, P.J. [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Paterson, P.J.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1993-12-31

    The growth of chemical vapour deposited (CVD) diamond onto iron based substrates complicated by preferential soot formation and carbon diffusion into the substrate [1], leading to poor quality films and poor adhesion. In the initial stages of exposure to a microwave plasma, a layer of graphite is rapidly formed on an untreated Fe based substrate. Once this graphite layer reaches a certain thickness, reasonable quality diamond nucleates and grows upon it. However, the diamond film easily delaminates from the substrate, the weak link being the graphitic layer. Following an initial success in using a TiN barrier layer to inhibit the formation of such a graphitic layer the authors report on attempts to use an implanted Ti layer for the same purpose. This work was prompted by observation that, although the TiN proved to be an extremely effective diffusion barrier, adhesion may be further enhanced by the formation of a TiC interface layer between the diamond film and the Fe substrate. 3 refs., 6 figs.

  2. The effectiveness of Ti implants as barriers to carbon diffusion in Ti implanted steel under CVD diamond deposition conditions

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Paterson, P.J.K.

    1993-01-01

    The growth of chemical vapour deposited (CVD) diamond onto iron based substrates complicated by preferential soot formation and carbon diffusion into the substrate [1], leading to poor quality films and poor adhesion. In the initial stages of exposure to a microwave plasma, a layer of graphite is rapidly formed on an untreated Fe based substrate. Once this graphite layer reaches a certain thickness, reasonable quality diamond nucleates and grows upon it. However, the diamond film easily delaminates from the substrate, the weak link being the graphitic layer. Following an initial success in using a TiN barrier layer to inhibit the formation of such a graphitic layer the authors report on attempts to use an implanted Ti layer for the same purpose. This work was prompted by observation that, although the TiN proved to be an extremely effective diffusion barrier, adhesion may be further enhanced by the formation of a TiC interface layer between the diamond film and the Fe substrate. 3 refs., 6 figs

  3. The effectiveness of Ti implants as barriers to carbon diffusion in Ti implanted steel under CVD diamond deposition conditions

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P S; Prawer, S [Melbourne Univ., Parkville, VIC (Australia). School of Physics; Hoffman, A [Technion-Israel Inst. of Tech., Haifa (Israel). Dept. of Chemistry; Evan, P J [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Paterson, P J.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1994-12-31

    The growth of chemical vapour deposited (CVD) diamond onto iron based substrates complicated by preferential soot formation and carbon diffusion into the substrate [1], leading to poor quality films and poor adhesion. In the initial stages of exposure to a microwave plasma, a layer of graphite is rapidly formed on an untreated Fe based substrate. Once this graphite layer reaches a certain thickness, reasonable quality diamond nucleates and grows upon it. However, the diamond film easily delaminates from the substrate, the weak link being the graphitic layer. Following an initial success in using a TiN barrier layer to inhibit the formation of such a graphitic layer the authors report on attempts to use an implanted Ti layer for the same purpose. This work was prompted by observation that, although the TiN proved to be an extremely effective diffusion barrier, adhesion may be further enhanced by the formation of a TiC interface layer between the diamond film and the Fe substrate. 3 refs., 6 figs.

  4. Clean and polymer-free transfer of CVD-grown graphene films on hexagonal boron nitride substrates

    Science.gov (United States)

    Fujihara, Miho; Ogawa, Shun; Yoshimura, Shintaro; Inoue, Ryosuke; Maniwa, Yutaka; Taniguchi, Takashi; Watanabe, Kenji; Shinohara, Hisanori; Miyata, Yasumitsu

    2017-05-01

    This report describes the development of a solution-assisted, polymer-free transfer method and the characterization of chemical vapor deposition (CVD)-grown graphene on hexagonal boron nitride. Raman analysis reveals that polymer-free samples have small variations in G- and 2D-mode Raman frequencies and are minimally affected by charge doping as observed for clean exfoliated graphene. Electrical measurements indicate that charge doping, hysteresis, and carrier scattering are suppressed in polymer-free samples. The results demonstrate that this method provides a simple and effective way to prepare clean heterostructures of CVD-grown, large-area graphene and other two-dimensional materials.

  5. A comparative study of the thermoluminescent response to beta irradiation of CVD diamond and LiF dosimeters

    Energy Technology Data Exchange (ETDEWEB)

    Bogani, F. [Florence Univ. (Italy). Dipt. di Energetica; Borchi, E. [Florence Univ. (Italy). Dipt. di Energetica; Bruzzi, M. [Florence Univ. (Italy). Dipt. di Energetica; Leroy, C. [Florence Univ. (Italy). Dipt. di Energetica; Sciortino, S. [Florence Univ. (Italy). Dipt. di Energetica

    1997-04-01

    The thermoluminescent (TL) response of chemical vapour deposited (CVD) diamond films to beta irradiation has been investigated. A numerical curve-fitting procedure, calibrated by means of a set of LiF TLD100 experimental spectra, has been developed to deconvolute the complex structured TL glow curves. The values of the activation energy and of the frequency factor related to each of the TL peaks involved have been determined. The TL response of the CVD diamond films to beta irradiation has been compared with the TL response of a set of LiF TLD100 and TLD700 dosimeters. The results have been discussed and compared in view of an assessment of the efficiency of CVD diamond films in future applications as in vivo dosimeters. (orig.).

  6. A comparative study of the thermoluminescent response to beta irradiation of CVD diamond and LiF dosimeters

    Science.gov (United States)

    Bogani, F.; Borchi, E.; Bruzzi, M.; Leroy, C.; Sciortino, S.

    1997-02-01

    The thermoluminescent (TL) response of Chemical Vapour Deposited (CVD) diamond films to beta irradiation has been investigated. A numerical curve-fitting procedure, calibrated by means of a set of LiF TLD100 experimental spectra, has been developed to deconvolute the complex structured TL glow curves. The values of the activation energy and of the frequency factor related to each of the TL peaks involved have been determined. The TL response of the CVD diamond films to beta irradiation has been compared with the TL response of a set of LiF TLD100 and TLD700 dosimeters. The results have been discussed and compared in view of an assessment of the efficiency of CVD diamond films in future applications as in vivo dosimeters.

  7. A comparative study of the thermoluminescent response to beta irradiation of CVD diamond and LiF dosimeters

    International Nuclear Information System (INIS)

    Bogani, F.; Borchi, E.; Bruzzi, M.; Leroy, C.; Sciortino, S.

    1997-01-01

    The thermoluminescent (TL) response of chemical vapour deposited (CVD) diamond films to beta irradiation has been investigated. A numerical curve-fitting procedure, calibrated by means of a set of LiF TLD100 experimental spectra, has been developed to deconvolute the complex structured TL glow curves. The values of the activation energy and of the frequency factor related to each of the TL peaks involved have been determined. The TL response of the CVD diamond films to beta irradiation has been compared with the TL response of a set of LiF TLD100 and TLD700 dosimeters. The results have been discussed and compared in view of an assessment of the efficiency of CVD diamond films in future applications as in vivo dosimeters. (orig.)

  8. Synthesis of carbon nanotubes from palm oil on stacking and non-stacking substrate by thermal-CVD method

    Science.gov (United States)

    Robaiah, M.; Rusop, M.; Abdullah, S.; Khusaimi, Z.; Azhan, H.; Fadzlinatul, M. Y.; Salifairus, M. J.; Asli, N. A.

    2018-05-01

    Palm oil has been used as the carbon source to synthesize carbon nanotubes (CNTs) on silicon substrates using the thermal chemical vapor deposition (CVD) method. Meanwhile, silicon has been applied using two techniques, which are stacked technique and non-stacked technique. The CNTs were grown at the constant time of 30 minutes with various synthesis temperatures of 750 °C, 850 °C and 950 °C. The CNTs were characterized using micro-Raman spectroscopy and field emission scanning electron microscopy (FESEM). It was found that the density, growth rate, diameter and length of the CNTs produced were affected by the synthesis temperature. Moreover, the structure slightly changes were observed between CNTs obtained in SS and NSS. The synthesize temperature of 750 °C was considered as the suitable temperature for the production of CNTs due to low ID/IG ratio, which for stacked is 0.89 and non-stacked are 0.90. The possible explanation for the different morphology of the produced CNTs was also discussed.

  9. Evaluation of niobium dimethylamino-ethoxide for chemical vapour deposition of niobium oxide thin films

    International Nuclear Information System (INIS)

    Dabirian, Ali; Kuzminykh, Yury; Wagner, Estelle; Benvenuti, Giacomo; Rushworth, Simon; Hoffmann, Patrik

    2014-01-01

    Chemical vapour deposition (CVD) processes depend on the availability of suitable precursors. Precursors that deliver a stable vapour pressure are favourable in classical CVD processes, as they ensure process reproducibility. In high vacuum CVD (HV-CVD) process vapour pressure stability of the precursor is of particular importance, since no carrier gas assisted transport can be used. The dimeric Nb 2 (OEt) 10 does not fulfil this requirement since it partially dissociates upon heating. Dimethylamino functionalization of an ethoxy ligand of Nb(OEt) 5 acts as an octahedral field completing entity and leads to Nb(OEt) 4 (dmae). We show that Nb(OEt) 4 (dmae) evaporates as monomeric molecule and ensures a stable vapour pressure and, consequently, stable flow. A set of HV-CVD experiments were conducted using this precursor by projecting a graded molecular beam of the precursor onto the substrate at deposition temperatures from 320 °C to 650 °C. Film growth rates ranging from 8 nm·h −1 to values larger than 400 nm·h −1 can be obtained in this system illustrating the high level of control available over the film growth process. Classical CVD limiting conditions along with the recently reported adsorption–reaction limited conditions are observed and the chemical composition, and microstructural and optical properties of the films are related to the corresponding growth regime. Nb(OEt) 4 (dmae) provides a large process window of deposition temperatures and precursor fluxes over which carbon-free and polycrystalline niobium oxide films with growth rates proportional to precursor flux are obtained. This feature makes Nb(OEt) 4 (dmae) an attractive precursor for combinatorial CVD of niobium containing complex oxide films that are finding an increasing interest in photonics and photoelectrochemical water splitting applications. The adsorption–reaction limited conditions provide extremely small growth rates comparable to an atomic layer deposition (ALD) process

  10. Hexagonal Boron Nitride assisted transfer and encapsulation of large area CVD graphene

    Science.gov (United States)

    Shautsova, Viktoryia; Gilbertson, Adam M.; Black, Nicola C. G.; Maier, Stefan A.; Cohen, Lesley F.

    2016-07-01

    We report a CVD hexagonal boron nitride (hBN-) assisted transfer method that enables a polymer-impurity free transfer process and subsequent top encapsulation of large-area CVD-grown graphene. We demonstrate that the CVD hBN layer that is utilized in this transfer technique acts as a buffer layer between the graphene film and supporting polymer layer. We show that the resulting graphene layers possess lower doping concentration, and improved carrier mobilities compared to graphene films produced by conventional transfer methods onto untreated SiO2/Si, SAM-modified and hBN covered SiO2/Si substrates. Moreover, we show that the top hBN layer used in the transfer process acts as an effective top encapsulation resulting in improved stability to ambient exposure. The transfer method is applicable to other CVD-grown 2D materials on copper foils, thereby facilitating the preparation of van der Waals heterostructures with controlled doping.

  11. Development and characterization of protective nickel coatings by CVD process for non-ferrous metals and alloys

    International Nuclear Information System (INIS)

    Haq, A.U.

    2012-01-01

    Objective of this thesis is the formation of adhesive and corrosion resistant nickel film on aluminum, aluminum-lithium (Li 0.5 %) alloy and copper substrates by chemical vapor deposition (CVD) technique. Different surface preparation treatments such as electropolishing, anodizing and pickling are applied to the aforementioned substrates and its effect on the adhesion and corrosion resistance of nickel coating is studied. Nickel coating is deposited on different substrates by using already optimized parameters of 190-200 degree C deposition temperature, 9-8 x 10/sup -1/ Torr pressure during deposition, pure nickel-tetra-carbonyl gas, and induction heating source and 5 minutes deposition time. Substrates subjected to pickling treatment show excellent adhesion of nickel coating with a value of 5B based on ASTM standard while electropolished substrates show valve of 3B. XRD characterization of the nickel film show characteristic peaks of nickel confirming its phase purity. The SEM images show that nickel coating follows the surface features of the substrate. The pickled surface results in film with rough morphology than electropolished or anodized surface. The corrosion resistance of both uncoated and coated substrates is studied by monitoring its open circuit potential in different electrolytes (brine solution, sea and distilled water) at different temperatures. All substrates coated with nickel show 120-400mV potential difference compare with uncoated substrates in different electrolytes. (author)

  12. Growth, characterization and properties of CVD diamond films for applications as radiation detectors

    International Nuclear Information System (INIS)

    Sciorti, S.

    1999-01-01

    The aim of the work is to give a picture of the current state of the art of CVD (chemical vapour deposition) diamond. The interest is due to the capability to grow over large areas a material with physical properties suitable for an impressive number of applications. The authors focuses on the potential of diamond as a radiation detector and gets into details of the huge field that extends from the thermochemistry of the deposition process to the test of a diamond-based tracker with a fast readout electronics

  13. Ion beam induced surface graphitization of CVD diamond for x-ray beam position monitor applications

    International Nuclear Information System (INIS)

    Liu, Chian; Shu, D.; Kuzay, T.M.; Wen, L.; Melendres, C.A.; Argonne National Lab., IL

    1996-01-01

    The Advanced Photon Source at ANL is a third-generation synchrotron facility that generates powerful x-ray beams on its undulator beamlines. It is important to know the position and angle of the x- ray beam during experiments. Due to very high heat flux levels, several patented x-ray beam position monitors (XBPM) exploiting chemical vapor deposition (CVD) diamond have been developed. These XBPMs have a thin layer of low-atomic-mass metallic coating so that photoemission from the x rays generate a minute but measurable current for position determination. Graphitization of the CVD diamond surface creates a very thin, intrinsic and conducting layer that can stand much higher temperatures and minimal x-ray transmission losses compared to the coated metallic layers. In this paper, a laboratory sputter ion source was used to transform selected surfaces of a CVD diamond substrate into graphite. The effect of 1-5 keV argon ion bombardment on CVD diamond surfaces at various target temperatures from 200 to 500 C was studied using Auger electron spectroscopy and in-situ electrical resistivity measurements. Graphitization after the ion bombardment has been confirmed and optimum conditions for graphitization studied. Raman spectroscopy was used to identify the overall diamond structure in the bulk of CVD diamond substrate after the ion bombardments. It was found that target temperature plays an important role in stability and electrical conductivity of the irradiated CVD diamonds

  14. CVD growth of large-area and high-quality HfS2 nanoforest on diverse substrates

    Science.gov (United States)

    Zheng, Binjie; Wang, Zegao; Qi, Fei; Wang, Xinqiang; Yu, Bo; Zhang, Wanli; Chen, Yuanfu

    2018-03-01

    Two-dimensional layered transition metal dichalcogenides (TMDs) have attracted burgeoning attention due to their various properties and wide potential applications. As a new TMD, hafnium disulfide (HfS2) is theoretically predicted to have better electrical performance than widely studied MoS2. The experimental researches also confirmed the extraordinary feature in electronics and optoelectronics. However, the maximal device performance may not be achieved due to its own limitation of planar structure and challenge of transfer without contamination. Here, through the chemical vapor deposition (CVD) technique, inch-size HfS2 nanoforest has been directly grown on diverse objective substrates covering insulating, semiconducting and conducting substrates. This direct CVD growth without conventional transfer process avoids contamination and degradation in quality, suggesting its promising and wide applications in high-quality and multifarious devices. It is noted that all the HfS2 nanoforests grown on diverse substrates are constructed with vertically aligned few-layered HfS2 nanosheets with high crystalline quality and edge orientation. Moreover, due to its unique structure, the HfS2 nanoforest owns abundant exposed edge sites and large active surface area, which is essential to apply in high-performance catalyst, sensor, and energy storage or field emitter.

  15. CVD techniques applied to energy problems

    International Nuclear Information System (INIS)

    McCreary, W.J.; Carroll, D.W.

    1981-01-01

    Some of the applications of chemical vapor deposition in energy related programs will be discussed, and coating parameters will be described. The coatings to be discussed were made at reduced pressures from the hydrogen reduction of metal fluorides, or metal silane and from the pyrolysis of metal carbonyls

  16. A Hybrid Information Mining Approach for Knowledge Discovery in Cardiovascular Disease (CVD

    Directory of Open Access Journals (Sweden)

    Stefania Pasanisi

    2018-04-01

    Full Text Available The healthcare ambit is usually perceived as “information rich” yet “knowledge poor”. Nowadays, an unprecedented effort is underway to increase the use of business intelligence techniques to solve this problem. Heart disease (HD is a major cause of mortality in modern society. This paper analyzes the risk factors that have been identified in cardiovascular disease (CVD surveillance systems. The Heart Care study identifies attributes related to CVD risk (gender, age, smoking habit, etc. and other dependent variables that include a specific form of CVD (diabetes, hypertension, cardiac disease, etc.. In this paper, we combine Clustering, Association Rules, and Neural Networks for the assessment of heart-event-related risk factors, targeting the reduction of CVD risk. With the use of the K-means algorithm, significant groups of patients are found. Then, the Apriori algorithm is applied in order to understand the kinds of relations between the attributes within the dataset, first looking within the whole dataset and then refining the results through the subsets defined by the clusters. Finally, both results allow us to better define patients’ characteristics in order to make predictions about CVD risk with a Multilayer Perceptron Neural Network. The results obtained with the hybrid information mining approach indicate that it is an effective strategy for knowledge discovery concerning chronic diseases, particularly for CVD risk.

  17. CVD transfer-free graphene for sensing applications.

    Science.gov (United States)

    Schiattarella, Chiara; Vollebregt, Sten; Polichetti, Tiziana; Alfano, Brigida; Massera, Ettore; Miglietta, Maria Lucia; Di Francia, Girolamo; Sarro, Pasqualina Maria

    2017-01-01

    The sp 2 carbon-based allotropes have been extensively exploited for the realization of gas sensors in the recent years because of their high conductivity and large specific surface area. A study on graphene that was synthetized by means of a novel transfer-free fabrication approach and is employed as sensing material is herein presented. Multilayer graphene was deposited by chemical vapour deposition (CVD) mediated by CMOS-compatible Mo. The utilized technique takes advantage of the absence of damage or contamination of the synthesized graphene, because there is no need for the transfer onto a substrate. Moreover, a proper pre-patterning of the Mo catalyst allows one to obtain graphene films with different shapes and dimensions. The sensing properties of the material have been investigated by exposing the devices to NO 2 , NH 3 and CO, which have been selected because they are well-known hazardous substances. The concentration ranges have been chosen according to the conventional monitoring of these gases. The measurements have been carried out in humid N 2 environment, setting the flow rate at 500 sccm, the temperature at 25 °C and the relative humidity (RH) at 50%. An increase of the conductance response has been recorded upon exposure towards NO 2 , whereas a decrease of the signal has been detected towards NH 3 . The material appears totally insensitive towards CO. Finally, the sensing selectivity has been proven by evaluating and comparing the degree of adsorption and the interaction energies for NO 2 and NH 3 on graphene. The direct-growth approach for the synthesis of graphene opens a promising path towards diverse applicative scenarios, including the straightforward integration in electronic devices.

  18. CVD transfer-free graphene for sensing applications

    Directory of Open Access Journals (Sweden)

    Chiara Schiattarella

    2017-05-01

    Full Text Available The sp2 carbon-based allotropes have been extensively exploited for the realization of gas sensors in the recent years because of their high conductivity and large specific surface area. A study on graphene that was synthetized by means of a novel transfer-free fabrication approach and is employed as sensing material is herein presented. Multilayer graphene was deposited by chemical vapour deposition (CVD mediated by CMOS-compatible Mo. The utilized technique takes advantage of the absence of damage or contamination of the synthesized graphene, because there is no need for the transfer onto a substrate. Moreover, a proper pre-patterning of the Mo catalyst allows one to obtain graphene films with different shapes and dimensions. The sensing properties of the material have been investigated by exposing the devices to NO2, NH3 and CO, which have been selected because they are well-known hazardous substances. The concentration ranges have been chosen according to the conventional monitoring of these gases. The measurements have been carried out in humid N2 environment, setting the flow rate at 500 sccm, the temperature at 25 °C and the relative humidity (RH at 50%. An increase of the conductance response has been recorded upon exposure towards NO2, whereas a decrease of the signal has been detected towards NH3. The material appears totally insensitive towards CO. Finally, the sensing selectivity has been proven by evaluating and comparing the degree of adsorption and the interaction energies for NO2 and NH3 on graphene. The direct-growth approach for the synthesis of graphene opens a promising path towards diverse applicative scenarios, including the straightforward integration in electronic devices.

  19. Combined sonochemical/CVD method for preparation of nanostructured carbon-doped TiO{sub 2} thin film

    Energy Technology Data Exchange (ETDEWEB)

    Rasoulnezhad, Hossein [Semiconductor Department, Materials and Energy Research Center (MERC), Karaj (Iran, Islamic Republic of); Kavei, Ghassem, E-mail: kaveighassem@gmail.com [Semiconductor Department, Materials and Energy Research Center (MERC), Karaj (Iran, Islamic Republic of); Ahmadi, Kamran [Semiconductor Department, Materials and Energy Research Center (MERC), Karaj (Iran, Islamic Republic of); Rahimipour, Mohammad Reza [Ceramic Department, Materials and Energy Research Center (MERC), Karaj (Iran, Islamic Republic of)

    2017-06-30

    Highlights: • Combination of sonochemical and CVD methods for preparation of nanostructured carbon-doped TiO{sub 2} thin film on glass substrate, for the first time. • High transparency, monodispersity and homogeneity of the prepared thin films. • Preparation of the carbon-doped TiO{sub 2} thin films with nanorod and nanosphere morphologies. - Abstract: The present work reports the successful synthesis of the nanostructured carbon-doped TiO{sub 2} thin films on glass substrate by combination of chemical vapor deposition (CVD) and ultrasonic methods, for the first time. In this method the ultrasound waves act as nebulizer for converting of sonochemically prepared TiO{sub 2} sol to the mist particles. These mist particles were thermally decomposed in subsequent CVD chamber at 320 °C to produce the carbon-doped TiO{sub 2} thin films. The obtained thin films were characterized by means of X-ray Diffraction (XRD), Raman spectroscopy, diffuse reflectance spectroscopy (DRS), X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM) and scanning electron microscopy (SEM) techniques. The results show that the prepared thin films have anatase crystal structure and nanorod morphology, which calcination of them at 800 °C results in the conversion of nanorods to nanoparticles. In addition, the prepared samples have high transparency, monodispersity and homogeneity. The presence of the carbon element in the structure of the thin films causes the narrowing of the band-gap energy of TiO{sub 2} to about 2.8 eV, which results in the improvement of visible light absorption capabilities of the thin film.

  20. A 3D tomographic EBSD analysis of a CVD diamond thin film

    International Nuclear Information System (INIS)

    Liu Tao; Raabe, Dierk; Zaefferer, Stefan

    2008-01-01

    We have studied the nucleation and growth processes in a chemical vapor deposition (CVD) diamond film using a tomographic electron backscattering diffraction method (3D EBSD). The approach is based on the combination of a focused ion beam (FIB) unit for serial sectioning in conjunction with high-resolution EBSD. Individual diamond grains were investigated in 3-dimensions particularly with regard to the role of twinning.

  1. Biomaterial thin film deposition and characterization by means of MAPLE technique

    International Nuclear Information System (INIS)

    Bloisi, F.; Vicari, L.; Papa, R.; Califano, V.; Pedrazzani, R.; Bontempi, E.; Depero, L.E.

    2007-01-01

    Polyethylene glycol (PEG) is a polymer with technologically important applications, especially as a biomaterial. Several biomedical applications (such as tissue engineering, spatial patterning of cells, anti-biofouling and biocompatible coatings) require the application of high quality PEG thin films. In order to have a good adhesion to substrate chemically modified polymer molecules have been used, but for some 'in vivo' applications it is essential to deposit a film with the same chemical and structural properties of bulk PEG. Pulsed laser deposition (PLD) technique is generally able to produce high quality thin films but it is inadequate for polymer/organic molecules. MAPLE (Matrix Assisted Pulsed Laser Evaporation) is a recently developed PLD based thin film deposition technique, particularly well suited for organic/polymer thin film deposition. Up to now MAPLE depositions have been carried out mainly by means of modified PLD systems, using excimer lasers operating in UV, but the use of less energetic radiations can minimize the photochemical decomposition of the polymer molecules. We have used a deposition system explicitly designed for MAPLE technique connected to a Q-switched Ng:YAG pulsed laser which can be operated at different wavelength ranging from IR to UV in order to optimise the deposition parameters. The capability of MAPLE technique to deposit PEG has been confirmed and preliminary results show that visible (532 nm wavelength) radiation gives better results with respect to UV (355 nm) radiation. Despite usually UV wavelengths have been used and even if more systematic tests must be performed, it is important to underline that the choice of laser wavelength plays an important role in the application of MAPLE thin film deposition technique

  2. Coating of carbon short fibers with thin ceramic layers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hackl, Gerrit; Gerhard, Helmut; Popovska, Nadejda

    2006-01-01

    Carbon short fiber bundles with a length of 6 mm were uniformly coated using specially designed, continuous chemical vapor deposition (CVD) equipment. Thin layers of titanium nitride, silicon nitride (SiC) and pyrolytic carbon (pyC) were deposited onto several kilograms of short fibers in this large scale CVD reactor. Thermo-gravimetric analyses and scanning electron microscopy investigations revealed layer thicknesses between 20 and 100 nm on the fibers. Raman spectra of pyC coated fibers show a change of structural order depending on the CVD process parameters. For the fibers coated with SiC, Raman investigations showed a deposition of amorphous SiC. The coated carbon short fibers will be applied as reinforcing material in composites with ceramic and metallic matrices

  3. A study of the thermoluminescent properties of CVD diamond detectors

    International Nuclear Information System (INIS)

    Marczewska, B.; Bilski, P.; Olko, P.; Rebisz, M.; Nesladek, M.; Waligorski, M.P.R.

    2002-01-01

    A batch of 20 diamond detectors obtained by the chemical vapour deposition (CVD) method at the Institute for Materials Research at the Limburg University, Belgium, was investigated with respect to their thermoluminescent (TL) properties. The investigated detectors demonstrate TL sensitivity similar to that of the standard LiF:Mg, Ti (MTS) thermoluminescent detectors, lack of fading after two weeks from irradiation and apparent linearity of dose response. In spite of the persistent fluctuation of individual detector sensitivity observed in this batch, a new annealing procedure improved the stability of the TL signal. It has been concluded that 1 h annealing at 350 C assures the highest reproducibility for this set of detectors. A 30% discrepancy of the value of the TL signal between individual detectors from the batch may be caused by non-uniform distribution of dopants in the volume of the CVD diamond. A prototype of a planar TL reader equipped with a CCD camera was employed in this investigation. (Abstract Copyright [2002], Wiley Periodicals, Inc.)

  4. Stress analysis of CVD diamond window for ECH system

    International Nuclear Information System (INIS)

    Takahashi, Koji

    2001-03-01

    The stress analysis of a chemical vapor deposition (CVD) diamond window for Electron Cyclotron Heating and Current Drive (ECH/ECCD) system of fusion reactors is described. It was found that the real size diamond window (φ aper =70mm, t=2.25mm) withstood 14.5 atm. (1.45 MPa). The calculation results of the diamond window by ABAQUS code agree well with the results of the pressure test. The design parameters of the torus diamond window for a vacuum and a safety barrier were also obtained. (author)

  5. Understanding the chemical vapor deposition of diamond: recent progress

    International Nuclear Information System (INIS)

    Butler, J E; Mankelevich, Y A; Cheesman, A; Ma, Jie; Ashfold, M N R

    2009-01-01

    In this paper we review and provide an overview to the understanding of the chemical vapor deposition (CVD) of diamond materials with a particular focus on the commonly used microwave plasma-activated chemical vapor deposition (MPCVD). The major topics covered are experimental measurements in situ to diamond CVD reactors, and MPCVD in particular, coupled with models of the gas phase chemical and plasma kinetics to provide insight into the distribution of critical chemical species throughout the reactor, followed by a discussion of the surface chemical process involved in diamond growth.

  6. Controlling the resistivity gradient in aluminum-doped zinc oxide grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Ponomarev, M.; Verheijen, M.A.; Keuning, W.; Sanden, van de M.C.M.; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO:Al layers by focusing on the control

  7. Thermionic vacuum arc (TVA) technique for magnesium thin film deposition

    Energy Technology Data Exchange (ETDEWEB)

    Balbag, M.Z., E-mail: zbalbag@ogu.edu.t [Eskisehir Osmangazi University, Education Faculty, Primary Education, Meselik Campus, Eskisehir 26480 (Turkey); Pat, S.; Ozkan, M.; Ekem, N. [Eskisehir Osmangazi University, Art and Science Faculty, Physics Department, Eskisehir 26480 (Turkey); Musa, G. [Ovidius University, Physics Department, Constanta (Romania)

    2010-08-15

    In this study, magnesium thin films were deposited on glass substrate by the Thermionic Vacuum Arc (TVA) technique for the first time. We present a different technique for deposition of high-quality magnesium thin films. By means of this technique, the production of films is achieved by condensing the plasma of anode material generated using Thermionic Vacuum Arc (TVA) under high vacuum conditions onto the surface to be coated. The crystal orientation and morphology of the deposited films were investigated by using XRD, EDX, SEM and AFM. The aim of this study is to search the use of TVA technique to coat magnesium thin films and to determine some of the physical properties of the films generated. Furthermore, this study will contribute to the scientific studies which search the thin films of magnesium or the compounds containing magnesium. In future, this study will be preliminary work to entirely produce magnesium diboride (MgB{sub 2}) superconductor thin film with the TVA technique.

  8. Advancements in artificial heart valve disks using nano-sized thin films deposited by CVD and sol-gel techniques

    International Nuclear Information System (INIS)

    Kousar, Y.; Ali, N.; Neto, V.F.; Mei, S.; Gracio, J.

    2003-01-01

    Pyrolytic carbon (PyC) is widely used in manufacturing commercial artificial heart valve disks (HVD). Although, PyC is commonly used in HVD, it is not the best material for this application since its blood compatibility is not ideal for prolonged clinical use. As a result thrombosis often occurs and the patients are required to take anti- coagulation drugs on a regular basis in order to minimise the formation of thrombosis. However, the anti-coagulation therapy gives rise to some detrimental side effects in patients. Therefore, it is extremely urgent that newer and more technically advanced materials with better surface and bulk properties are developed. In this paper, we report the mechanical properties of PyC-HVD, namely, strength, wear resistance and coefficient of friction. The strength of the material was assessed using Brinell indentation tests. Furthermore, wear resistance and the coefficient of friction values were obtained from the pin-on-disk testing. The micro-structural properties of PyC were characterized using XRD, Raman spectroscopy and SEM analysis. Also, in this paper we report the preparation of free standing nanocrystalline diamond films (FSND) using the time-modulated chemical vapor deposition (TMCVD) process. Furthermore, the sol-gel technique was used to uniformly coat PyC-HVD with dense, nanocrystalline-titanium oxide (nc-TiO/sub 2/) coatings. The as-grown nc-TiO/sub 2/ coatings were characterized for microstructure using SEM and XRD analysis. (author)

  9. Lipase biofilm deposited by Matrix Assisted Pulsed Laser Evaporation technique

    International Nuclear Information System (INIS)

    Aronne, Antonio; Bloisi, Francesco; Calabria, Raffaela; Califano, Valeria; Depero, Laura E.; Fanelli, Esther; Federici, Stefania; Massoli, Patrizio; Vicari, Luciano R.M.

    2015-01-01

    Highlights: • A lipase film was deposited with Matrix Assisted Pulsed Laser Evaporation technique. • FTIR spectra show that laser irradiation do not damage lipase molecule. • Laser fluence controls the characteristics of complex structure generated by MAPLE. - Abstract: Lipase is an enzyme that finds application in biodiesel production and for detection of esters and triglycerides in biosensors. Matrix Assisted Pulsed Laser Evaporation (MAPLE), a technique derived from Pulsed Laser Deposition (PLD) for deposition of undamaged biomolecules or polymers, is characterized by the use of a frozen target obtained from a solution/suspension of the guest material (to be deposited) in a volatile matrix (solvent). The presence of the solvent avoids or at least reduces the potential damage of guest molecules by laser radiation but only the guest material reaches the substrate in an essentially solvent-free deposition. MAPLE can be used for enzymes immobilization, essential for industrial application, allowing the development of continuous processes, an easier separation of products, the reuse of the catalyst and, in some cases, enhancing enzyme properties (pH, temperature stability, etc.) and catalytic activity in non-aqueous media. Here we show that MAPLE technique can be used to deposit undamaged lipase and that the complex structure (due to droplets generated during extraction from target) of the deposited material can be controlled by changing the laser beam fluence

  10. Lipase biofilm deposited by Matrix Assisted Pulsed Laser Evaporation technique

    Energy Technology Data Exchange (ETDEWEB)

    Aronne, Antonio [Department of Chemical Engineering, Materials and Industrial Production, University of Naples “Federico II”, Napoli (Italy); Bloisi, Francesco, E-mail: bloisi@na.infn.it [SPIN – CNR, Naples (Italy); Department of Physics, University of Naples “Federico II”, Napoli (Italy); Calabria, Raffaela; Califano, Valeria [Istituto Motori – CNR, Naples (Italy); Depero, Laura E. [Department of Mechanical and Industrial Engineering, University of Brescia, Brescia (Italy); Fanelli, Esther [Department of Chemical Engineering, Materials and Industrial Production, University of Naples “Federico II”, Napoli (Italy); Federici, Stefania [Department of Mechanical and Industrial Engineering, University of Brescia, Brescia (Italy); Massoli, Patrizio [Istituto Motori – CNR, Naples (Italy); Vicari, Luciano R.M. [SPIN – CNR, Naples (Italy); Department of Physics, University of Naples “Federico II”, Napoli (Italy)

    2015-05-01

    Highlights: • A lipase film was deposited with Matrix Assisted Pulsed Laser Evaporation technique. • FTIR spectra show that laser irradiation do not damage lipase molecule. • Laser fluence controls the characteristics of complex structure generated by MAPLE. - Abstract: Lipase is an enzyme that finds application in biodiesel production and for detection of esters and triglycerides in biosensors. Matrix Assisted Pulsed Laser Evaporation (MAPLE), a technique derived from Pulsed Laser Deposition (PLD) for deposition of undamaged biomolecules or polymers, is characterized by the use of a frozen target obtained from a solution/suspension of the guest material (to be deposited) in a volatile matrix (solvent). The presence of the solvent avoids or at least reduces the potential damage of guest molecules by laser radiation but only the guest material reaches the substrate in an essentially solvent-free deposition. MAPLE can be used for enzymes immobilization, essential for industrial application, allowing the development of continuous processes, an easier separation of products, the reuse of the catalyst and, in some cases, enhancing enzyme properties (pH, temperature stability, etc.) and catalytic activity in non-aqueous media. Here we show that MAPLE technique can be used to deposit undamaged lipase and that the complex structure (due to droplets generated during extraction from target) of the deposited material can be controlled by changing the laser beam fluence.

  11. Synergy between plasma-assisted ALD and roll-to-roll atmospheric pressure PE-CVD processing of moisture barrier films on polymers

    NARCIS (Netherlands)

    Starostin, S.A.; Keuning, W.; Schalken, J.R.G.; Creatore, M.; Kessels, W.M.M.; Bouwstra, J.B.; Sanden, van de M.C.M.; Vries, de H.W.

    2016-01-01

    The synergy between fast (1600 nm · min−1), roll-to-roll plasma-enhanced chemical vapor deposited (PE-CVD) SiO2 layers and plasma-assisted atomic layer deposited (PA-ALD) ultra-thin Al2O3 films has been investigated in terms of moisture permeation barrier properties. The effective and intrinsic

  12. Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers

    NARCIS (Netherlands)

    Starostin, S. A.; Keuning, W.; Schalken, J.; Creatore, M.; Kessels, W. M. M.; Bouwstra, J. B.; van de Sanden, M. C. M.; de Vries, H. W.

    2016-01-01

    The synergy between fast (1600 nm · min−1), roll-to-roll plasma-enhanced chemical vapor deposited (PE-CVD) SiO2 layers and plasma-assisted atomic layer deposited (PA-ALD) ultra-thin Al2O3 films has been investigated in terms of moisture permeation barrier properties. The effective and intrinsic

  13. A 3D tomographic EBSD analysis of a CVD diamond thin film

    Directory of Open Access Journals (Sweden)

    Tao Liu, Dierk Raabe and Stefan Zaefferer

    2008-01-01

    Full Text Available We have studied the nucleation and growth processes in a chemical vapor deposition (CVD diamond film using a tomographic electron backscattering diffraction method (3D EBSD. The approach is based on the combination of a focused ion beam (FIB unit for serial sectioning in conjunction with high-resolution EBSD. Individual diamond grains were investigated in 3-dimensions particularly with regard to the role of twinning.

  14. Control of Reaction Surface in Low Temperature CVD to Enhance Nucleation and Conformal Coverage

    Science.gov (United States)

    Kumar, Navneet

    2009-01-01

    The Holy Grail in CVD community is to find precursors that can afford the following: good nucleation on a desired substrate and conformal deposition in high AR features. Good nucleation is not only necessary for getting ultra-thin films at low thicknesses; it also offers films that are smooth at higher thickness values. On the other hand,…

  15. Magnetic filtered plasma deposition and implantation technique

    CERN Document Server

    Zhang Hui Xing; Wu Xian Ying

    2002-01-01

    A high dense metal plasma can be produced by using cathodic vacuum arc discharge technique. The microparticles emitted from the cathode in the metal plasma can be removed when the metal plasma passes through the magnetic filter. It is a new technique for making high quality, fine and close thin films which have very widespread applications. The authors describe the applications of cathodic vacuum arc technique, and then a filtered plasma deposition and ion implantation system as well as its applications

  16. Turbostratic stacked CVD graphene for high-performance devices

    Science.gov (United States)

    Uemura, Kohei; Ikuta, Takashi; Maehashi, Kenzo

    2018-03-01

    We have fabricated turbostratic stacked graphene with high-transport properties by the repeated transfer of CVD monolayer graphene. The turbostratic stacked CVD graphene exhibited higher carrier mobility and conductivity than CVD monolayer graphene. The electron mobility for the three-layer turbostratic stacked CVD graphene surpassed 10,000 cm2 V-1 s-1 at room temperature, which is five times greater than that for CVD monolayer graphene. The results indicate that the high performance is derived from maintenance of the linear band dispersion, suppression of the carrier scattering, and parallel conduction. Therefore, turbostratic stacked CVD graphene is a superior material for high-performance devices.

  17. Photoinitiated chemical vapor deposition of cytocompatible poly(2-hydroxyethyl methacrylate) films.

    Science.gov (United States)

    McMahon, Brian J; Pfluger, Courtney A; Sun, Bing; Ziemer, Katherine S; Burkey, Daniel D; Carrier, Rebecca L

    2014-07-01

    Poly(2-hydroxyethyl methacrylate) (pHEMA) is a widely utilized biomaterial due to lack of toxicity and suitable mechanical properties; conformal thin pHEMA films produced via chemical vapor deposition (CVD) would thus have broad biomedical applications. Thin films of pHEMA were deposited using photoinitiated CVD (piCVD). Incorporation of ethylene glycol diacrylate (EGDA) into the pHEMA polymer film as a crosslinker, confirmed via Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy, resulted in varied swelling and degradation behavior. 2-Hydroxyethyl methacrylate-only films showed significant thickness loss (up to 40%), possibly due to extraction of low-molecular-weight species or erosion, after 24 h in aqueous solution, whereas films crosslinked with EGDA (9.25-12.4%) were stable for up to 21 days. These results differ significantly from those obtained with plasma-polymerized pHEMA, which degraded steadily over a 21-day period, even with crosslinking. This suggests that the piCVD films differ structurally from those fabricated via plasma polymerization (plasma-enhanced CVD). piCVD pHEMA coatings proved to be good cell culture materials, with Caco-2 cell attachment and viability comparable to results obtained on tissue-culture polystyrene. Thus, thin film CVD pHEMA offers the advantage of enabling conformal coating of a cell culture substrate with tunable properties depending on method of preparation and incorporation of crosslinking agents. © 2013 Wiley Periodicals, Inc.

  18. Chemical vapor deposition of refractory metals and ceramics III

    International Nuclear Information System (INIS)

    Gallois, B.M.; Lee, W.Y.; Pickering, M.A.

    1995-01-01

    The papers contained in this volume were originally presented at Symposium K on Chemical Vapor Deposition of Refractory Metals and Ceramics III, held at the Fall Meeting of the Materials Research Society in Boston, Massachusetts, on November 28--30, 1994. This symposium was sponsored by Morton International Inc., Advanced Materials, and by The Department of Energy-Oak Ridge National Laboratory. The purpose of this symposium was to exchange scientific information on the chemical vapor deposition (CVD) of metallic and ceramic materials. CVD technology is receiving much interest in the scientific community, in particular, to synthesize new materials with tailored chemical composition and physical properties that offer multiple functionality. Multiphase or multilayered films, functionally graded materials (FGMs), ''smart'' material structures and nanocomposites are some examples of new classes of materials being produced via CVD. As rapid progress is being made in many interdisciplinary research areas, this symposium is intended to provide a forum for reporting new scientific results and addressing technological issues relevant to CVD materials and processes. Thirty four papers have been processed separately for inclusion on the data base

  19. Handbook of chemical vapor deposition principles, technology and applications

    CERN Document Server

    Pierson, Hugh O

    1999-01-01

    Turn to this new second edition for an understanding of the latest advances in the chemical vapor deposition (CVD) process. CVD technology has recently grown at a rapid rate, and the number and scope of its applications and their impact on the market have increased considerably. The market is now estimated to be at least double that of a mere seven years ago when the first edition of this book was published. The second edition is an update with a considerably expanded and revised scope. Plasma CVD and metallo-organic CVD are two major factors in this rapid growth. Readers will find the latest

  20. Interlayer utilization (including metal borides) for subsequent deposition of NSD films via microwave plasma CVD on 316 and 440C stainless steels

    Science.gov (United States)

    Ballinger, Jared

    . Surface boriding was implemented using the novel method of microwave plasma CVD with a mixture of hydrogen and diborane gases. On 440C bearings, dual phase boride layers of Fe2B and FeB were formed which supported adhered nanostructured diamond films. Continuity of the films was not seamless with limited regions remaining uncoated potentially corresponding to delamination of the film as evidenced by the presence of tubular structures presumably composed of sp2 bonded carbon. Surface boriding of 316 stainless steel discs was conducted at various powers and pressures to achieve temperatures ranging from 550-800 °C. The substrate boriding temperature was found to substantially influence the resultant interlayer by altering the metal boride(s) present. The lowest temperatures produced an interlayer where CrB was the single detected phase, higher temperatures yielded the presence of only Fe2B, and a combination of the two phases resulted from an intermediate boriding temperature. Compared with the more common, commercialized boriding methods, this a profound result given the problems posed by the FeB phase in addition to other advantages offered by CVD processes and microwave generated plasmas in general. Indentation testing of the boride layers revealed excellent adhesion strength for all borided interlayers, and above all, no evidence of cracking was observed for a sole Fe2B phase. As with boriding of 440C bearings, subsequent diamond deposition was achieved on these interlayers with substantially improved adhesion strength relative to diamond coated TiN interlayers. Both XRD and Raman spectroscopy confirmed a nanostructured diamond film with interfacial chromium carbides responsible for enhanced adhesion strength. Interlayers consisting solely of Fe2B have displayed an ability to support fully continuous nanostructured diamond films, yet additional study is required for consistent reproduction. This is in good agreement with initial work on pack borided high alloy steels

  1. GaN-based FETs using Cat-CVD SiN passivation for millimeter-wave applications

    International Nuclear Information System (INIS)

    Higashiwaki, Masataka; Mimura, Takashi; Matsui, Toshiaki

    2008-01-01

    We have found that SiN passivation by catalytic chemical vapor deposition (Cat-CVD) can significantly increase an electron density of an AlGaN/GaN heterostructure field-effect transistor (HFET). This effect enables thin-barrier HFET structures to have a high-density two-dimensional electron gas and leads to suppression of short-channel effects. We fabricated 30-nm-gate Al 0.4 Ga 0.6 N(8 nm)/GaN HFETs using Cat-CVD SiN. The maximum drain current density and extrinsic transconductance were 1.49 A/mm and 402 mS/mm, respectively. Current-gain cutoff frequency and maximum oscillation frequency of the HFETs were 181 and 186 GHz, respectively. These high-frequency device characteristics are sufficiently high enough for millimeter-wave applications

  2. Continuous, Highly Flexible, and Transparent Graphene Films by Chemical Vapor Deposition for Organic Photovoltaics

    KAUST Repository

    Gomez De Arco, Lewis; Zhang, Yi; Schlenker, Cody W.; Ryu, Koungmin; Thompson, Mark E.; Zhou, Chongwu

    2010-01-01

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD

  3. Complete long-term corrosion protection with chemical vapor deposited graphene

    DEFF Research Database (Denmark)

    Yu, Feng; Camilli, Luca; Wang, Ting

    2018-01-01

    Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer-graphene......Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer......-graphene hybrid coating, comprising two single layers of CVD graphene sandwiched by three layers of polyvinyl butyral, which provides complete corrosion protection of commercial aluminum alloys even after 120 days of exposure to simulated seawater. The essential role played by graphene in the hybrid coating...

  4. Influence of tungsten on the carbon nanotubes growth by CVD process

    Energy Technology Data Exchange (ETDEWEB)

    Escobar, Mariano [Instituto de Fisicoquimica de Materiales, Ambiente y Energia, CONICET-UBA, Pabellon II, Ciudad Universitaria (1428) Bs As (Argentina); LP and MC, Dep. De Fisica, FCEyN-UBA, Pabellon 1, Ciudad Universitaria (1428) Bs As (Argentina)], E-mail: mescobar@qi.fcen.uba.ar; Rubiolo, Gerardo H. [LP and MC, Dep. De Fisica, FCEyN-UBA, Pabellon 1, Ciudad Universitaria (1428) Bs As (Argentina); Unidad de Actividad Materiales, CNEA, Av. Gral. Paz 1499, San Martin (1650), Bs As (Argentina); Moreno, M. Sergio [Centro Atomico Bariloche, (8400) S.C. de Bariloche, Rio Negro (Argentina); Goyanes, Silvia [LP and MC, Dep. De Fisica, FCEyN-UBA, Pabellon 1, Ciudad Universitaria (1428) Bs As (Argentina); Candal, Roberto [Instituto de Fisicoquimica de Materiales, Ambiente y Energia, CONICET-UBA, Pabellon II, Ciudad Universitaria (1428) Bs As (Argentina)

    2009-06-24

    The effect of tungsten (W) on the growth of multi-walled carbon nanotubes (MWNTs) using the chemical vapour deposition (CVD) process over a metal Fe-W catalyst incorporated into a silica matrix is reported. A W molar content in Fe/SiO{sub 2} up to 10% was studied. The incorporation of only 2% of W substantially modifies the crystalline phases and the crystalline degree of the catalyst during the MWNTs synthesis. This fact seems to have a strong influence on the type and yield of the carbonaceous species obtained by the CVD of acetylene, at 600 deg. C and 180 Torr, over each catalyst. Tungsten interacts with iron within the matrix, diminishing the catalytic activity of the metal nanoparticles, and both, carbon nanotubes and carbon nanofibers, are obtained when tungsten is present. The results obtained support the hypothesis of a base growth model for carbon nanotubes indicating a strong interaction between silica matrix and Fe/W nanoparticles, independently of the content of W.

  5. Influence of tungsten on the carbon nanotubes growth by CVD process

    International Nuclear Information System (INIS)

    Escobar, Mariano; Rubiolo, Gerardo H.; Moreno, M. Sergio; Goyanes, Silvia; Candal, Roberto

    2009-01-01

    The effect of tungsten (W) on the growth of multi-walled carbon nanotubes (MWNTs) using the chemical vapour deposition (CVD) process over a metal Fe-W catalyst incorporated into a silica matrix is reported. A W molar content in Fe/SiO 2 up to 10% was studied. The incorporation of only 2% of W substantially modifies the crystalline phases and the crystalline degree of the catalyst during the MWNTs synthesis. This fact seems to have a strong influence on the type and yield of the carbonaceous species obtained by the CVD of acetylene, at 600 deg. C and 180 Torr, over each catalyst. Tungsten interacts with iron within the matrix, diminishing the catalytic activity of the metal nanoparticles, and both, carbon nanotubes and carbon nanofibers, are obtained when tungsten is present. The results obtained support the hypothesis of a base growth model for carbon nanotubes indicating a strong interaction between silica matrix and Fe/W nanoparticles, independently of the content of W.

  6. Study of the structural evolutions of crystalline tungsten oxide films prepared using hot-filament CVD

    International Nuclear Information System (INIS)

    Feng, P X; Wang, X P; Zhang, H X; Yang, B Q; Wang, Z B; Gonzalez-BerrIos, A; Morell, G; Weiner, B

    2007-01-01

    Structural evolutions of tungsten oxide(WO 3 ) samples on different substrates are studied using Raman spectroscopy, scanning electron microscopy, energy dispersive spectroscopy, x-ray diffraction and x-ray photoelectron spectroscopy. The WO 3 samples are prepared using hot-filament CVD techniques. The focus of the study is on the evolutions of nano structures at different stages following deposition time. The experimental measurements reveal evolutions of the surface structures from uniform film to fractal-like structures, and eventually to nano particles, and crystalline structures from mono (0 1 0) crystalline thin film to polycrystalline thick film developments. The effect of high temperature on the nanostructured WO 3 is also investigated. Well-aligned nanoscale WO 3 rod arrays are obtained at a substrate temperature of up to 1400 deg. C. Further increasing the substrate temperature yields microscale crystalline WO 3 particles

  7. Ballistic Transport Exceeding 28 μm in CVD Grown Graphene.

    Science.gov (United States)

    Banszerus, Luca; Schmitz, Michael; Engels, Stephan; Goldsche, Matthias; Watanabe, Kenji; Taniguchi, Takashi; Beschoten, Bernd; Stampfer, Christoph

    2016-02-10

    We report on ballistic transport over more than 28 μm in graphene grown by chemical vapor deposition (CVD) that is fully encapsulated in hexagonal boron nitride. The structures are fabricated by an advanced dry van-der-Waals transfer method and exhibit carrier mobilities of up to three million cm(2)/(Vs). The ballistic nature of charge transport is probed by measuring the bend resistance in cross- and square-shaped devices. Temperature-dependent measurements furthermore prove that ballistic transport is maintained exceeding 1 μm up to 200 K.

  8. CVD diamond - fundamental phenomena

    Energy Technology Data Exchange (ETDEWEB)

    Yarbrough, W.A. [Pennsylvania State Univ., University Park (United States)

    1993-01-01

    This compilation of figures and diagrams addresses the basic physical processes involved in the chemical vapor deposition of diamond. Different methods of deposition are illustrated. For each method, observations are made of the prominent advantages and disadvantages of the technique. Chemical mechanisms of nucleation are introduced.

  9. Tungsten deposition by hydrogen-atom reaction with tungsten hexafluoride

    International Nuclear Information System (INIS)

    Lee, W.W.

    1991-01-01

    Using gaseous hydrogen atoms with WF 6 , tungsten atoms can be produced in a gas-phase reaction. The atoms then deposit in a near-room temperature process, which results in the formation of tungsten films. The W atoms (10 10 -10 11 /cm 3 ) were measured in situ by atomic absorption spectroscopy during the CVD process. Deposited W films were characterized by Auger electron spectroscopy, Rutherford backscattering, and X-ray diffraction. The surface morphology of the deposited films and filled holes was studied using scanning electron microscopy. The deposited films were highly adherent to different substrates, such as Si, SiO 2 , Ti/Si, TiN/Si and Teflon. The reaction mechanism and kinetics were studied. The experimental results indicated that this method has three advantages compared to conventional CVD or PECVD: (1) film growth occurs at low temperatures; (2) deposition takes place in a plasma-free environment; and (3) a low level of impurities results in high-quality adherent films

  10. Oxide Dispersion Strengthened Iron Aluminide by CVD Coated Powders

    Energy Technology Data Exchange (ETDEWEB)

    Asit Biswas Andrew J. Sherman

    2006-09-25

    This I &I Category2 program developed chemical vapor deposition (CVD) of iron, aluminum and aluminum oxide coated iron powders and the availability of high temperature oxidation, corrosion and erosion resistant coating for future power generation equipment and can be used for retrofitting existing fossil-fired power plant equipment. This coating will provide enhanced life and performance of Coal-Fired Boilers components such as fire side corrosion on the outer diameter (OD) of the water wall and superheater tubing as well as on the inner diameter (ID) and OD of larger diameter headers. The program also developed a manufacturing route for readily available thermal spray powders for iron aluminide coating and fabrication of net shape component by powder metallurgy route using this CVD coated powders. This coating can also be applid on jet engine compressor blade and housing, industrial heat treating furnace fixtures, magnetic electronic parts, heating element, piping and tubing for fossil energy application and automotive application, chemical processing equipment , heat exchanger, and structural member of aircraft. The program also resulted in developing a new fabrication route of thermal spray coating and oxide dispersion strengthened (ODS) iron aluminide composites enabling more precise control over material microstructures.

  11. VOx effectively doping CVD-graphene for transparent conductive films

    Science.gov (United States)

    Ji, Qinghua; Shi, Liangjing; Zhang, Qinghong; Wang, Weiqi; Zheng, Huifeng; Zhang, Yuzhi; Liu, Yangqiao; Sun, Jing

    2016-11-01

    Chemical vapor deposition(CVD)-synthesized graphene is potentially an alternative for tin-doped indium oxide (ITO) transparent conductive films (TCFs), however its sheet resistance is still too high to meet many demands. Vanadium oxide has been widely applied as smart window materials, however, no study has been reported to use it as dopant to improve the conductivity of graphene TCFs. In this study, we firstly reported that VOx doping can effectively lower the sheet resistance of CVD-graphene films while keeping its good optical properties, whose transmittance is as high as 86-90%. The optimized VOx-doped graphene exhibits a sheet resistance as low as 176 Ω/□, which decreases by 56% compared to the undoped graphene films. The doping process is convenient, stable, economical and easy to operate. What is more, VOx can effectively increase the work function(WF) of the film, making it more appropriate for use in solar cells. The evolution of the VOx species annealed at different temperatures below 400 °C has been detailed studied for the first time, based on which the doping mechanism is proposed. The prepared VOx doped graphene is expected to be a promising candidate for transparent conductive film purposes.

  12. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  13. Cat-CVD-prepared oxygen-rich μc-Si:H for wide-bandgap material

    International Nuclear Information System (INIS)

    Matsumoto, Yasuhiro; Ortega, Mauricio; Peza, Juan-Manuel; Reyes, Mario-Alfredo; Escobosa, Arturo

    2005-01-01

    Microcrystalline phase-involved oxygen-rich a-Si:H (hydrogenated amorphous silicon) films have been obtained using catalytic chemical vapor deposition (Cat-CVD) process. Pure SiH 4 (silane), H 2 (hydrogen), and O 2 (oxygen) gases were introduced in the chamber by maintaining a pressure of 0.1 Torr. A tungsten catalyzer was fixed at temperatures of 1750 and 1950 deg. C for film deposition on glass and crystalline silicon substrates at 200 deg. C. As revealed from X-ray diffraction spectra, the microcrystalline phase appears for oxygen-rich a-Si:H samples deposited at a catalyzer temperature of 1950 deg. C. However, this microcrystalline phase tends to disappear for further oxygen incorporation. The oxygen content in the deposited films was corroborated by FTIR analysis revealing Si-O-Si bonds and typical Si-H bonding structures. The optical bandgap of the sample increases from 2.0 to 2.7 eV with oxygen gas flow and oxygen incorporation to the deposited films. In the present thin film deposition conditions, no strong tungsten filament degradation was observed after a number of sample preparations

  14. Anisotropy and dimensional characteristics in CVD route Y1Ba2Cu3O7-δ

    International Nuclear Information System (INIS)

    Watanabe, K.; Kobayashi, N.; Awaji, S.; Yamane, H.; Hirai, T.; Muto, Y.

    1993-01-01

    The anisotropic behaviors of the upper critical field B c2 and the critical current density J c were investigated in Y 1 Ba 2 Cu 3 O 7-δ films prepared by a chemical vapor deposition (CVD) route. The angular dependence of J c at fixed temperature, the field dependence of J c at fixed angle, and the temperature dependence of J c at fixed field were measured. The obtained results were explored in terms of the dimensional superconducting characteristics. The important information on the anisotropic behaviors of J c in CVD-Y 1 Ba 2 Cu 3 O 7-δ was discussed from a viewpoint of the flux pinning. (orig.)

  15. Electrical and thermal conductivity of low temperature CVD graphene: the effect of disorder

    International Nuclear Information System (INIS)

    Vlassiouk, Ivan; Datskos, Panos; Smirnov, Sergei; Ivanov, Ilia; Hensley, Dale; Lavrik, Nickolay V; Fulvio, Pasquale F; Dai Sheng; Meyer, Harry; Chi Miaofang

    2011-01-01

    In this paper we present a study of graphene produced by chemical vapor deposition (CVD) under different conditions with the main emphasis on correlating the thermal and electrical properties with the degree of disorder. Graphene grown by CVD on Cu and Ni catalysts demonstrates the increasing extent of disorder at low deposition temperatures as revealed by the Raman peak ratio, I G /I D . We relate this ratio to the characteristic domain size, L a , and investigate the electrical and thermal conductivity of graphene as a function of L a . The electrical resistivity, ρ, measured on graphene samples transferred onto SiO 2 /Si substrates shows linear correlation with L a -1 . The thermal conductivity, K, measured on the same graphene samples suspended on silicon pillars, on the other hand, appears to have a much weaker dependence on L a , close to K ∼ L a 1/3 . It results in an apparent ρ ∼ K 3 correlation between them. Despite the progressively increasing structural disorder in graphene grown at lower temperatures, it shows remarkably high thermal conductivity (10 2 -10 3 W K -1 m -1 ) and low electrical (10 3 -3 x 10 5 Ω) resistivities suitable for various applications.

  16. Fiscal 1998 joint R and D project on industrial science and technology with university. Research report on the production process of semiconductor devices by Cat-CVD (Development of practical technology for rational use of energy); 1998 nendo daigaku renkei sangyo kagaku gijutsu kenkyu kaihatsu project. Cat-CVD ho ni yoru handotai device seizo process seika hokokusho (energy shiyo gorika kankei gijutsu jitsuyoka kaihatsu)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1996-03-01

    The Cat-CVD method is in verification test to establish it as production process of various semiconductor devices such as Ga-As IC, ferroelectric IC, Si IC, and TFT. This paper outlines the research results in fiscal 1998. Study was made on concept design of the Cat-CVD equipment for formation of Ga-As protective film, and basic technology for formation of SiN{sub x} film. Although reducing gas is used for deposition of SiN{sub x} film, anxious modification of oxide ferroelectric materials was avoided by substrate temperature control. Design and fabrication of the CVD equipment for Si ICs were also studied. The equipment was made of Al to control degassing as low as possible. As for production of TFT for LCD, formation technology of high-quality insulating thin film for low-temperature poly-Si TFT by CVD method, and formation of advanced insulating thin film and advanced poly- Si thin film were studied. A large-size deposition method of TFT insulating film, and low-temperature formation technology of poly-Si were also studied. (NEDO)

  17. Evaluating electrically insulating films deposited on V-4% Cr-4% Ti by reactive CVD

    Energy Technology Data Exchange (ETDEWEB)

    Park, J.H.; Cho, W.D. [Argonne National Lab., IL (United States)

    1997-04-01

    Previous CaO coatings on V-4%Cr-4%Ti exhibited high-ohmic insulator behavior even though a small amount of vanadium from the alloy was incorporated in the coating. However, when the vanadium concentration in the coatings is > 15 wt%, the coating becomes conductive. When the vanadium concentration is high in localized areas, a calcium vanadate phase that exhibits semiconductor behavior can form. To explore this situation, CaO and Ca-V-O coatings were produced on vanadium alloys by chemical vapor deposition (CVD) and by a metallic-vapor process to investigate the electrical resistance of the coatings. Initially, the vanadium alloy specimens were either charged with oxygen in argon that contained trace levels of oxygen, or oxidized for 1.5-3 h in a 1% CO-CO{sub 2} gas mixture or in air to form vanadium oxide at 625-650{degrees}C. Most of the specimens were exposed to calcium vapor at 800-850{degrees}C. Initial and final weights were obtained to monitor each step, and surveillance samples were removed for examination by optical and scanning electron microscopy and electron-energy-dispersive and X-ray diffraction analysis; the electrical resistivity was also measured. The authors found that Ca-V-O films exhibited insulator behavior when the ratio of calcium concentration to vanadium concentration R in the film was > 0.9, and semiconductor or conductor behavior for R < 0.8. However, in some cases, semiconductor behavior was observed when CaO-coated samples with R > 0.98 were exposed in liquid lithium. Based on these studies, the authors conclude that semiconductor behavior occurs if a conductive calcium vanadate phase is present in localized regions in the CaO coating.

  18. Controlled growth of CNT in mesoporous AAO through optimized conditions for membrane preparation and CVD operation

    Energy Technology Data Exchange (ETDEWEB)

    Ciambelli, P; Sarno, M; Leone, C; Sannino, D [Department of Chemical and Food Engineering, University of Salerno, I-84084 Fisciano (Italy); Arurault, L; Fontorbes, S; Datas, L; Lenormand, P; Le Blond Du Plouy, S, E-mail: msarno@unisa.it, E-mail: arurault@chimie.ups-tlse.fr [Universite de Toulouse, CIRIMAT, UPS/INPT/CNRS, LCMIE, F-31062 Toulouse Cedex 9 (France)

    2011-07-01

    Anodic aluminium oxide (RAAO) membranes with a mesoporous structure were prepared under strictly controlling experimental process conditions, and physically and chemically characterized by a wide range of experimental techniques. Commercial anodic aluminium oxide (CAAO) membranes were also investigated for comparison. We demonstrated that RAAO membranes have lower content of both water and phosphorus and showed better porosity shape than CAAO. The RAAO membranes were used for template growth of carbon nanotubes (CNT) inside its pores by ethylene chemical vapour deposition (CVD) in the absence of a catalyst. A composite material, containing one nanotube for each channel, having the same length as the membrane thickness and an external diameter close to the diameter of the membrane holes, was obtained. Yield, selectivity and quality of CNTs in terms of diameter, length and arrangement (i.e. number of tubes for each channel) were optimized by investigating the effect of changing the experimental conditions for the CVD process. We showed that upon thermal treatment RAAO membranes were made up of crystallized allotropic alumina phases, which govern the subsequent CNT growth, because of their catalytic activity, likely due to their Lewis acidity. The strict control of experimental conditions for membrane preparation and CNT growth allowed us to enhance the carbon structural order, which is a critical requisite for CNT application as a substitute for copper in novel nano-interconnects.

  19. Colorimetry Technique for Scalable Characterization of Suspended Graphene.

    Science.gov (United States)

    Cartamil-Bueno, Santiago J; Steeneken, Peter G; Centeno, Alba; Zurutuza, Amaia; van der Zant, Herre S J; Houri, Samer

    2016-11-09

    Previous statistical studies on the mechanical properties of chemical-vapor-deposited (CVD) suspended graphene membranes have been performed by means of measuring individual devices or with techniques that affect the material. Here, we present a colorimetry technique as a parallel, noninvasive, and affordable way of characterizing suspended graphene devices. We exploit Newton's rings interference patterns to study the deformation of a double-layer graphene drum 13.2 μm in diameter when a pressure step is applied. By studying the time evolution of the deformation, we find that filling the drum cavity with air is 2-5 times slower than when it is purged.

  20. Functional materials - Study of process for CVD SiC/C composite material

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Doo Jin; Wang, Chae Chyun; Lee, Young Jin; Oh, Byung Jun [Yonsei University, Seoul (Korea)

    2000-04-01

    The CVD SiC coating techniques are the one of high functional material manufactures that improve the thermal, wear, oxidization and infiltration resistance of the surface of raw materials and extend the life of material. Silicon carbide films have been grown onto graphite substrates by low pressure chemical vapor deposition using MTS(CH{sub 3}SiCl{sub 3}) as a source precursor and H{sub 2} or N{sub 2} as a diluent gas. The experiments for temperature and diluent gas addition changes were performed. The effect of temperature from 900 deg. C to 1350 deg. C and the alteration of diluent gas species on the growth rate and structure of deposits have been studied. The experimental results showed that the deposition rate increased with increasing deposition temperature irrespective of diluent gases and reactant depletion effect increased especially at H{sub 2} diluent gas ambient. As the diluent gas added, the growth rate decreased parabolically. For N{sub 2} addition, surface morphology of leaf-like structure appeared, and for H{sub 2}, faceted structure at 1350 deg. C. The observed features were involved by crystalline phase of {beta}-SiC and surface composition with different gas ambient. We also compared the experimental results of the effect of partial pressure on the growth rate with the results of theoretical approach based on the Langmuir-Hinshelwood model. C/SiC composites were prepared by isothermal chemical vapor infiltration (ICVI). In order to fabricate the more dense C/SiC composites, a novel process of the in-situ whisker growing and filling during ICVI was devised, which was manipulated by alternating dilute gas species. The denser C/SiC composites were successfully prepared by the novel process comparing with the conventional ICVI process. 64 refs., 36 figs., 5 tabs. (Author)

  1. [Application of Raman spectroscopy to investigation of CVD-SIC fiber].

    Science.gov (United States)

    Liu, Bin; Yang, Yan-Qing; Luo, Xian; Huang, Bin

    2011-11-01

    The CVD-SiC fiber was studied by using laser Raman spectra. It was found that the sharp TO peak exists in the first SiC deposit layer, indicating the larger SiC grains. But the second SiC deposit layer is with small grains. Raman peak of carbon and silicon was detected respectively in the first and second layer. Compared with that of the single SiC fiber, the TO peaks move to the high wave number for the SiC fiber in SiC(f)/Ti-6Al-4V composite. It indicates that the compressive thermal residual stress is present in the SiC fiber during the fabrication of the composite because of the mismatched coefficient of thermal expansion between Ti-6Al-4V matrix and SiC fiber. The average thermal residual stress of the SiC fiber in SiC(f)/Ti-6Al-4V composite was calculated to be 318 MPa and the residual stress in first deposit layer is 436 MPa which is much higher than that in the second layer.

  2. Fermented dairy food and CVD risk.

    Science.gov (United States)

    Tapsell, Linda C

    2015-04-01

    Fermented dairy foods such as yoghurt and cheese are commonly found in the Mediterranean diet. Recent landmark research has confirmed the effect of the Mediterranean diet on reducing the CVD risk, but the relative contributions of fermented dairy foods have not been fully articulated. The present study provides a review of the relationship between fermented dairy foods consumption and CVD risk in the context of the whole diet. Studies show that people who eat healthier diets may be more likely to consume yoghurt, so there is a challenge in attributing separate effects to yoghurt. Analyses from large population studies list yoghurt as the food most negatively associated with the risk of weight gain (a problem that may lead to CVD). There is some suggestion that fermented dairy foods consumption (yoghurt or cheese) may be associated with reduced inflammatory biomarkers associated with the development of CVD. Dietary trials suggest that cheese may not have the same effect on raising LDL-cholesterol levels as butter with the same saturated fat content. The same might be stated for yoghurt. The use of different probiotic cultures and other aspects of study design remain a problem for research. Nevertheless, population studies from a range of countries have shown that a reduced risk of CVD occurs with the consumption of fermented dairy foods. A combination of evidence is necessary, and more research is always valuable, but indications remain that fermented dairy foods such as cheese and yoghurt are integral to diets that are protective against CVD.

  3. Recent Development of Advanced Electrode Materials by Atomic Layer Deposition for Electrochemical Energy Storage.

    Science.gov (United States)

    Guan, Cao; Wang, John

    2016-10-01

    Electrode materials play a decisive role in almost all electrochemical energy storage devices, determining their overall performance. Proper selection, design and fabrication of electrode materials have thus been regarded as one of the most critical steps in achieving high electrochemical energy storage performance. As an advanced nanotechnology for thin films and surfaces with conformal interfacial features and well controllable deposition thickness, atomic layer deposition (ALD) has been successfully developed for deposition and surface modification of electrode materials, where there are considerable issues of interfacial and surface chemistry at atomic and nanometer scale. In addition, ALD has shown great potential in construction of novel nanostructured active materials that otherwise can be hardly obtained by other processing techniques, such as those solution-based processing and chemical vapor deposition (CVD) techniques. This review focuses on the recent development of ALD for the design and delivery of advanced electrode materials in electrochemical energy storage devices, where typical examples will be highlighted and analyzed, and the merits and challenges of ALD for applications in energy storage will also be discussed.

  4. Experimental setup for producing tungsten coated graphite tiles using plasma enhanced chemical vapor deposition technique for fusion plasma applications

    International Nuclear Information System (INIS)

    Chauhan, Sachin Singh; Sharma, Uttam; Choudhary, K.K.; Sanyasi, A.K.; Ghosh, J.; Sharma, Jayshree

    2013-01-01

    Plasma wall interaction (PWI) in fusion grade machines puts stringent demands on the choice of materials in terms of high heat load handling capabilities and low sputtering yields. Choice of suitable material still remains a challenge and open topic of research for the PWI community. Carbon fibre composites (CFC), Beryllium (Be), and Tungsten (W) are now being considered as first runners for the first wall components of future fusion machines. Tungsten is considered to be one of the suitable materials for the job because of its superior properties than carbon like low physical sputtering yield and high sputter energy threshold, high melting point, fairly high re-crystallization temperature, low fuel retention capabilities, low chemical sputtering with hydrogen and its isotopes and most importantly the reparability with various plasma techniques both ex-situ and in-situ. Plasma assisted chemical vapour deposition is considered among various techniques as the most preferable technique for fabricating tungsten coated graphite tiles to be used as tokamak first wall and target components. These coated tiles are more favourable compared to pure tungsten due to their light weight and easier machining. A system has been designed, fabricated and installed at SVITS, Indore for producing tungsten coated graphite tiles using Plasma Enhanced Chemical Vapor Deposition (PE-CVD) technique for Fusion plasma applications. The system contains a vacuum chamber, a turbo-molecular pump, two electrodes, vacuum gauges, mass analyzer, mass flow controllers and a RF power supply for producing the plasma using hydrogen gas. The graphite tiles will be put on one of the electrodes and WF6 gas will be inserted in a controlled manner in the hydrogen plasma to achieve the tungsten-coating with WF6 dissociation. The system is integrated at SVITS, Indore and a vacuum of the order of 3*10 -6 is achieved and glow discharge plasma has been created to test all the sub-systems. The system design with

  5. Sublimation-assisted graphene transfer technique based on small polyaromatic hydrocarbons

    Science.gov (United States)

    Chen, Mingguang; Stekovic, Dejan; Li, Wangxiang; Arkook, Bassim; Haddon, Robert C.; Bekyarova, Elena

    2017-06-01

    Advances in the chemical vapor deposition (CVD) growth of graphene have made this material a very attractive candidate for a number of applications including transparent conductors, electronics, optoeletronics, biomedical devices and energy storage. The CVD method requires transfer of graphene on a desired substrate and this is most commonly accomplished with polymers. The removal of polymer carriers is achieved with organic solvents or thermal treatment which makes this approach inappropriate for application to plastic thin films such as polyethylene terephthalate substrates. An ultraclean graphene transfer method under mild conditions is highly desired. In this article, we report a naphthalene-assisted graphene transfer technique which provides a reliable route to residue-free transfer of graphene to both hard and flexible substrates. The quality of the transferred graphene was characterized with atomic force microscopy, scanning electron microscopy, and Raman spectroscopy. Field effect transistors, based on the naphthalene-transfered graphene, were fabricated and characterized. This work has the potential to broaden the applications of CVD graphene in fields where ultraclean graphene and mild graphene transfer conditions are required.

  6. Rapid growth of single-layer graphene on the insulating substrates by thermal CVD

    Energy Technology Data Exchange (ETDEWEB)

    Chen, C.Y. [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, Kunming 650093 (China); Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Dai, D.; Chen, G.X.; Yu, J.H. [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Nishimura, K. [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Advanced Nano-processing Engineering Lab, Mechanical Systems Engineering, Kogakuin University (Japan); Lin, C.-T. [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Jiang, N., E-mail: jiangnan@nimte.ac.cn [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Zhan, Z.L., E-mail: zl_zhan@sohu.com [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, Kunming 650093 (China)

    2015-08-15

    Highlights: • A rapid thermal CVD process has been developed to directly grow graphene on the insulating substrates. • The treating time consumed is ≈25% compared to conventional CVD procedure. • Single-layer and few-layer graphene can be formed on quartz and SiO{sub 2}/Si substrates, respectively. • The formation of thinner graphene at the interface is due to the fast precipitation rate of carbon atoms during cooling. - Abstract: The advance of CVD technique to directly grow graphene on the insulating substrates is particularly significant for further device fabrication. As graphene is catalytically grown on metal foils, the degradation of the sample properties is unavoidable during transfer of graphene on the dielectric layer. Moreover, shortening the treatment time as possible, while achieving single-layer growth of graphene, is worthy to be investigated for promoting the efficiency of mass production. Here we performed a rapid heating/cooling process to grow graphene films directly on the insulating substrates by thermal CVD. The treating time consumed is ≈25% compared to conventional CVD procedure. In addition, we found that high-quality, single-layer graphene can be formed on quartz, but on SiO{sub 2}/Si substrate only few-layer graphene can be obtained. The pronounced substrate effect is attributed to the different dewetting behavior of Ni films on the both substrates at 950 °C.

  7. Hard coatings by plasma CVD on polycarbonate for automotive and optical applications

    International Nuclear Information System (INIS)

    Schmauder, T.; Nauenburg, K.-D.; Kruse, K.; Ickes, G.

    2006-01-01

    In many applications, plastic surfaces need coatings as a protection against abrasion or weathering. Leybold Optics is developing Plasma CVD processes and machinery for transparent hard coatings (THC) for polycarbonate parts. In this paper we present the current features and remaining challenges of this technique. The coatings generally show excellent adhesion. Abrasion resistance is superior to commonly used lacquers. Climate durability of the coating has been improved to pass the tests demanded by automotive specifications. Current activities are focused on improving the durability under exposure to UV radiation. Estimations show that our high-rate plasma CVD hard coating process is also economically competitive to lacquering

  8. Making Alloys (TI1 - xAlx N using plasma CVD technique from AlCl3 Powder, H2 and N2 Gas ,with solvent TiCl4

    Directory of Open Access Journals (Sweden)

    Keba Moto

    2010-10-01

    Full Text Available Normal 0 false false false IN X-NONE X-NONE /* Style Definitions */ table.MsoNormalTable {mso-style-name:"Table Normal"; mso-tstyle-rowband-size:0; mso-tstyle-colband-size:0; mso-style-noshow:yes; mso-style-priority:99; mso-style-parent:""; mso-padding-alt:0cm 5.4pt 0cm 5.4pt; mso-para-margin-top:0cm; mso-para-margin-right:0cm; mso-para-margin-bottom:10.0pt; mso-para-margin-left:0cm; line-height:115%; mso-pagination:widow-orphan; font-size:11.0pt; font-family:"Calibri","sans-serif"; mso-ascii-font-family:Calibri; mso-ascii-theme-font:minor-latin; mso-hansi-font-family:Calibri; mso-hansi-theme-font:minor-latin; mso-bidi-font-family:"Times New Roman"; mso-bidi-theme-font:minor-bidi; mso-fareast-language:EN-US;} The substitution of Ti atoms by Al atoms in TiN lattice sites to form (Ti1-xAlxN is clearly demonstrated by the coatings which were deposited on steel substrate and using plasma CVD technique. The presence of Al atoms in TiN lattice site is shown by means of XRD and EDX techniques: lattice parameters decreasing of TiN as the fraction of Al increases (XRD and precipitation of hexagonal AlN phase (XRD as the fraction of Al exceeding 0.8 (EDX. The hardness of these coating are just around 30 GPa, which is beyond the values reported in literatures.

  9. Mass-Spectrometric Studies of Catalytic Chemical Vapor Deposition Processes of Organic Silicon Compounds Containing Nitrogen

    Science.gov (United States)

    Morimoto, Takashi; Ansari, S. G.; Yoneyama, Koji; Nakajima, Teppei; Masuda, Atsushi; Matsumura, Hideki; Nakamura, Megumi; Umemoto, Hironobu

    2006-02-01

    The mechanism of catalytic chemical vapor deposition (Cat-CVD) processes for hexamethyldisilazane (HMDS) and trisdimethylaminosilane (TDMAS), which are used as source gases to prepare SiNx or SiCxNy films, was studied using three different mass spectrometric techniques: ionization by Li+ ion attachment, vacuum-ultraviolet radiation and electron impact. The results for HMDS show that Si-N bonds dissociate selectively, although Si-C bonds are weaker, and (CH3)3SiNH should be one of the main precursors of deposited films. This decomposition mechanism did not change when NH3 was introduced, but the decomposition efficiency was slightly increased. Similar results were obtained for TDMAS.

  10. Thermodynamic calculations for chemical vapor deposition of silicon carbide

    International Nuclear Information System (INIS)

    Minato, Kazuo; Fukuda, Kousaku; Ikawa, Katsuichi

    1985-03-01

    The composition of vapor and condensed phases at equilibrium and CVD phase diagrams were calculated for the CH 3 SiCl 3 -H 2 -Ar system using a computer code SOLGASMIX-PV, which is based on the free energy minimization method. These calculations showed that β-SiC, β-SiC+C(s), β-SiC+Si(s), β-SiC+Si(l), Si(s), Si(l), or C(s) would be deposited depending on deposition parameters. In the CH 3 SiCl 3 -Ar system, condensed phase was found to be β-SiC+C(s) or C(s). Comparing the calculated CVD phase diagrams with the experimental results from the literature, β-SiC+C(s) and β-SiC+Si(s) were deposited in the experiments at the high temperature (more than 2000K) and low temperature (less than 1700K) parts of a resion, respectively, where only β-SiC would be deposited in the calculations. These are remakable results to consider the deposition mechanism of silicon carbide. (author)

  11. Improved CVD Techniques for Depositing Passivation Layers of ICs

    Science.gov (United States)

    1975-10-01

    Halted PhilcoT xong, 43 o Flex b ru.an I ery t92." PrutptEnt,"e Electro nic -News; p. 34 --(M a~y 20, 1974). 355. 4t3fAtil, No 2(estbgru sey SpesHg-o e...and No. 4, 78 (1974). *J. G. Biddle Co., Plymouth Meeting, PA 19462. 222 NARROW AND WIDE INTERFERENCE CONTOUR 2 4 6 IC PE LLET Bonding pad oxide area

  12. Flash-lamp-crystallized polycrystalline silicon films with high hydrogen concentration formed from Cat-CVD a-Si films

    International Nuclear Information System (INIS)

    Ohdaira, Keisuke; Tomura, Naohito; Ishii, Shohei; Matsumura, Hideki

    2011-01-01

    We investigate residual forms of hydrogen (H) atoms such as bonding configuration in poly-crystalline silicon (poly-Si) films formed by the flash-lamp-induced crystallization of catalytic chemical vapor deposited (Cat-CVD) a-Si films. Raman spectroscopy reveals that at least part of H atoms in flash-lamp-crystallized (FLC) poly-Si films form Si-H 2 bonds as well as Si-H bonds with Si atoms even using Si-H-rich Cat-CVD a-Si films, which indicates the rearrangement of H atoms during crystallization. The peak desorption temperature during thermal desorption spectroscopy (TDS) is as high as 900 o C, similar to the reported value for bulk poly-Si.

  13. CVD-graphene growth on different polycrystalline transition metals

    Directory of Open Access Journals (Sweden)

    M. P. Lavin-Lopez

    2017-01-01

    Full Text Available The chemical vapor deposition (CVD graphene growth on two polycrystalline transition metals (Ni and Cu was investigated in detail using Raman spectroscopy and optical microscopy as a way to synthesize graphene of the highest quality (i.e. uniform growth of monolayer graphene, which is considered a key issue for electronic devices. Key CVD process parameters (reaction temperature, CH4/H2flow rate ratio, total flow of gases (CH4+H2, reaction time were optimized for both metals in order to obtain the highest graphene uniformity and quality. The conclusions previously reported in literature about the performance of low and high carbon solubility metals in the synthesis of graphene and their associated reaction mechanisms, i.e. surface depositionand precipitation on cooling, respectively, was not corroborated by the results obtained in this work. Under the optimal reaction conditions, a large percentage of monolayer graphene was obtained over the Ni foil since the carbon saturation was not complete, allowing carbon atoms to be stored in the bulk metal, which could diffuse forming high quality monolayer graphene at the surface. However, under the optimal reaction conditions, the formation of a non-uniform mixture of few layers and multilayer graphene on the Cu foil was related to the presence of an excess of active carbon atoms on the Cu surface.

  14. SiO2 coating of silver nanoparticles by photoinduced chemical vapor deposition

    International Nuclear Information System (INIS)

    Boies, Adam M; Girshick, Steven L; Roberts, Jeffrey T; Zhang Bin; Nakamura, Toshitaka; Mochizuki, Amane

    2009-01-01

    Gas-phase silver nanoparticles were coated with silicon dioxide (SiO 2 ) by photoinduced chemical vapor deposition (photo-CVD). Silver nanoparticles, produced by inert gas condensation, and a SiO 2 precursor, tetraethylorthosilicate (TEOS), were exposed to vacuum ultraviolet (VUV) radiation at atmospheric pressure and varying temperatures. The VUV photons dissociate the TEOS precursor, initiating a chemical reaction that forms SiO 2 coatings on the particle surfaces. Coating thicknesses were measured for a variety of operation parameters using tandem differential mobility analysis and transmission electron microscopy. The chemical composition of the particle coatings was analyzed using energy dispersive x-ray spectrometry and Fourier transform infrared spectroscopy. The highest purity films were produced at 300-400 0 C with low flow rates of additional oxygen. The photo-CVD coating technique was shown to effectively coat nanoparticles and limit core particle agglomeration at concentrations up to 10 7 particles cm -3 .

  15. MgO by injection CVD

    International Nuclear Information System (INIS)

    Abrutis, A.; Kubilius, V.; Teiserkis, A.; Bigelyte, V.; Vengalis, B.; Jukna, A.; Butkute, R.

    1997-01-01

    Epitaxial YBa 2 Cu 3 O 7 layers with 45 in-plane orientation have been grown by injection CVD on MgO substrates polished off-axis to within 1.4-1.9 of the [100] direction. This new single-source CVD process is based on computer-controlled injection of precise microdoses of a metal-organic precursor solution into a CVD reactor. A wide range of solution compositions was tested to investigate compositional effects on phase purity, surface morphology, texturing and superconducting properties of the prepared films. The highest quality films with pure 45 texture had a smooth surface, zero resistance T c (R=0) of 88-89 K, and critical current density J c (77 K) above 10 6 A/cm 2 . (orig.) and critical current density J c (77 K) above 10 6 A/cm 2 . (orig.)

  16. Granular nanocrystalline zirconia electrolyte layers deposited on porous SOFC cathode substrates

    International Nuclear Information System (INIS)

    Seydel, Johannes; Becker, Michael; Ivers-Tiffee, Ellen; Hahn, Horst

    2009-01-01

    Thin granular yttria-stabilized zirconia (YSZ) electrolyte layers were prepared by chemical vapor synthesis and deposition (CVD/CVS) on a porous substoichiometric lanthanum-strontium-manganite (ULSM) solid oxide fuel cell cathode substrate. The substrate porosity was optimized with a screen printed fine porous buffer layer. Structural analysis by scanning electron microscopy showed a homogeneous, granular nanocrystalline layer with a microstructure that was controlled via reactor settings. The CVD/CVS gas-phase process enabled the deposition of crack-free granular YSZ films on porous ULSM substrates. The electrolyte layers characterized with impedance spectroscopy exhibited enhanced grain boundary conductivity.

  17. Effect of mixture ratios and nitrogen carrier gas flow rates on the morphology of carbon nanotube structures grown by CVD

    CSIR Research Space (South Africa)

    Malgas, GF

    2008-02-01

    Full Text Available This paper reports on the growth of carbon nanotubes (CNTs) by thermal Chemical Vapour Deposition (CVD) and investigates the effects of nitrogen carrier gas flow rates and mixture ratios on the morphology of CNTs on a silicon substrate by vaporizing...

  18. Synthesis and characterization of organosilicon compounds as novel precursors for CVD processes

    Energy Technology Data Exchange (ETDEWEB)

    Ermakova, E.N.; Sysoev, S.V.; Nikulina, L.D. [Nikolaev Institute of Inorganic Chemistry, Siberian Branch of the Russian Academy of Sciences, Acad. Lavrentiev Ave. 3, Novosibirsk 630090 (Russian Federation); Tsyrendorzhieva, I.P.; Rakhlin, V.I. [Favorskii Institute of Chemistry, Siberian Branch of the Russian Academy of Sciences, Favorskii Str. 1, Irkutsk 664033 (Russian Federation); Kosinova, M.L., E-mail: marina@niic.nsc.ru [Nikolaev Institute of Inorganic Chemistry, Siberian Branch of the Russian Academy of Sciences, Acad. Lavrentiev Ave. 3, Novosibirsk 630090 (Russian Federation)

    2015-12-20

    Highlights: • The temperature dependences of vapor pressure of four precursors have been measured. • The experimental data were used to calculate standard thermodynamic functions. • The thermodynamic modelling of SiC{sub x}N{sub y} films formation has been performed. - Abstract: Chemical vapor deposition using single-source organosilicon precursors is one of the most effective ways to produce multifunctional SiC{sub x}N{sub y} films. It is worth mentioning that the precursor molecule design affects both the composition and properties of films. Four organosilicon compounds containing a phenyl substituent (namely, trimethylphenylsilane, trimethyl(phenylamino) silane, trimethyl(benzylamino)silane and bis(trimethylsilyl)phenylamine) have been synthesized and characterized as potential CVD precursors for SiC{sub x}N{sub y} films synthesis. The compounds have been shown to be volatile and stable enough to be used in chemical vapor deposition of SiC{sub x}N{sub y} films. Thermodynamic modeling of the film deposition from the gaseous mixture of trimethylphenylsilane and ammonia in Si–C–N–H system has demonstrated that SiC{sub x}N{sub y} films can be deposited, and there is an opportunity to determine the area of appropriate deposition conditions.

  19. Thermoluminescence in CVD diamond films: application to actinometric dosimetry

    International Nuclear Information System (INIS)

    Barboza-Flores, M.; Melendrez, R.; Chernov, V.; Castaneda, B.; Pedroza-Montero, M.; Gan, B.; Ahn, J.; Zhang, Q.; Yoon, S.F.

    2002-01-01

    Diamond is considered a tissue-equivalent material since its atomic number (Z=6) is close to the effective atomic number of biological tissue (Z=7.42). Such a situation makes it suitable for radiation detection purposes in medical applications. In the present work the analysis is reported of the thermoluminescence (TL) and dosimetric features of chemically vapour deposited (CVD) diamond film samples subjected to ultraviolet (UV) irradiation in the actinometric region. The TL glow curve shows peaks at 120, 220, 320 and 370 deg. C. The 120 and 370 deg. C peaks are too weak and the first one fades away in a few seconds after exposure. The overall room temperature fading shows a 50% TL decay 30 min after exposure. The 320 deg. C glow peak is considered to be the most adequate for dosimetric applications due to its low fading and linear TL behaviour as a function of UV dose in the 180-260 nm range. The TL excitation spectrum presents a broad band with at least two overlapped components around 205 and 220 nm. The results indicate that the TL behaviour of CVD diamond film can be a good alternative to the currently available dosemeter and detector in the actinometric region as well as in clinical and medical applications. (author)

  20. Erosion behaviour of physically vapour-deposited and chemically vapour-deposited SiC films coated on molybdenum during oxygenated argon beam thinning

    International Nuclear Information System (INIS)

    Shikama, T.; Kitajima, M.; Fukutomi, M.; Okada, M.

    1984-01-01

    The erosion behaviour during bombardment with a 5 keV argon beam at room temperature was studied for silicon carbide (SiC) films of thickness of about 10 μm coated on molybdenum by physical vapour deposition (PVD) and chemical vapour deposition (CVD). The PVD SiC (plasma-assisted ion plating) exhibited a greater thinning rate than the CVD SiC film. Electron probe X-ray microanalysis revealed that the chemical composition of PVD SiC was changed to a composition enriched in silicon by the bombardment, and there was a notable change in its surface morphology. The CVD SiC retained its initial chemical composition with only a small change in its surface morphology. Auger electron spectroscopy indicated that silicon oxide was formed on the surface of PVD SiC by the bombardment. The greater thinning rate and easier change in chemical composition in PVD SiC could be attributed to its readier chemical reaction with oxygen due to its more non-uniform structure and weaker chemical bonding. Oxygen was present as one of the impurities in the argon beam. (Auth.)

  1. Metal–organic covalent network chemical vapor deposition for gas separation

    NARCIS (Netherlands)

    Boscher, N.D.; Wang, M.; Perrotta, A.; Heinze, K.; Creatore, A.; Gleason, K.K.

    2016-01-01

    The chemical vapor deposition (CVD) polymerization of metalloporphyrin building units is demonstrated to provide an easily up-scalable one-step method toward the deposition of a new class of dense and defect-free metal–organic covalent network (MOCN) layers. The resulting hyper-thin and flexible

  2. Raman Spectroscopic Study of As-Deposited and Exfoliated Defected Graphene Grown on (001 Si Substrates by CVD

    Directory of Open Access Journals (Sweden)

    T. I. Milenov

    2017-01-01

    Full Text Available We present here results on a Raman spectroscopic study of the deposited defected graphene on Si substrates by chemical vapor deposition (thermal decomposition of acetone. The graphene films are not deposited on the (001 Si substrate directly but on two types of interlayers of mixed phases unintentionally deposited on the substrates: а diamond-like carbon (designated here as DLC and amorphous carbon (designated here as αC are dominated ones. The performed thorough Raman spectroscopic study of as-deposited as well as exfoliated specimens by two different techniques using different excitation wavelengths (488, 514, and 613 nm as well as polarized Raman spectroscopy establishes that the composition of the designated DLC layers varies with depth: the initial layers on the Si substrate consist of DLC, nanodiamond species, and C70 fullerenes while the upper ones are dominated by DLC with an occasional presence of C70 fullerenes. The αC interlayer is dominated by turbostratic graphite and contains a larger quantity of C70 than the DLC-designated interlayers. The results of polarized and unpolarized Raman spectroscopic studies of as-grown and exfoliated graphene films tend to assume that single- to three-layered defected graphene is deposited on the interlayers. It can be concluded that the observed slight upshift of the 2D band as well as the broadening of 2D band should be related to the strain and doping.

  3. Application of CVD diamond film for radiation detection

    International Nuclear Information System (INIS)

    Zhou Haiyang; Zhu Xiaodong; Zhan Rujuan

    2005-01-01

    With the development of diamond synthesis at low pressure, the CVD diamond properties including electronic characteristics have improved continuously. Now the fabrication of electronic devices based on the CVD diamond has been one of hot research subjects in this field. Due to many unique advantages, such as high signal-noise ratio, fast time response, and normal output in extremely harsh surrounding, the CVD diamond radiation detector has attracted more and more interest. In this paper, we have reviewed the development and status of the CVD diamond radiation detector. The prospect of this detector is described. (authors)

  4. Gas analysis during the chemical vapor deposition of carbon

    International Nuclear Information System (INIS)

    Lieberman, M.L.; Noles, G.T.

    1973-01-01

    Gas chromatographic analyses were performed during the chemical vapor deposition of carbon in both isothermal and thermal gradient systems. Such data offer insight into the gas phase processes which occur during deposition and the interrelations which exist between gas composition, deposition rate, and resultant structure of the deposit. The results support a carbon CVD model presented previously. The application of chromatographic analysis to research, development, and full-scale facilities is shown. (U.S.)

  5. The Chemistry of Inorganic Precursors during the Chemical Deposition of Films on Solid Surfaces.

    Science.gov (United States)

    Barry, Seán T; Teplyakov, Andrew V; Zaera, Francisco

    2018-03-20

    The deposition of thin solid films is central to many industrial applications, and chemical vapor deposition (CVD) methods are particularly useful for this task. For one, the isotropic nature of the adsorption of chemical species affords even coverages on surfaces with rough topographies, an increasingly common requirement in microelectronics. Furthermore, by splitting the overall film-depositing reactions into two or more complementary and self-limiting steps, as it is done in atomic layer depositions (ALD), film thicknesses can be controlled down to the sub-monolayer level. Thanks to the availability of a vast array of inorganic and metalorganic precursors, CVD and ALD are quite versatile and can be engineered to deposit virtually any type of solid material. On the negative side, the surface chemistry that takes place in these processes is often complex, and can include undesirable side reactions leading to the incorporation of impurities in the growing films. Appropriate precursors and deposition conditions need to be chosen to minimize these problems, and that requires a proper understanding of the underlying surface chemistry. The precursors for CVD and ALD are often designed and chosen based on their known thermal chemistry from inorganic chemistry studies, taking advantage of the vast knowledge developed in that field over the years. Although a good first approximation, however, this approach can lead to wrong choices, because the reactions of these precursors at gas-solid interfaces can be quite different from what is seen in solution. For one, solvents often aid in the displacement of ligands in metalorganic compounds, providing the right dielectric environment, temporarily coordinating to the metal, or facilitating multiple ligand-complex interactions to increase reaction probabilities; these options are not available in the gas-solid reactions associated with CVD and ALD. Moreover, solid surfaces act as unique "ligands", if these reactions are to be

  6. A Bayesian method to estimate the neutron response matrix of a single crystal CVD diamond detector

    International Nuclear Information System (INIS)

    Reginatto, Marcel; Araque, Jorge Guerrero; Nolte, Ralf; Zbořil, Miroslav; Zimbal, Andreas; Gagnon-Moisan, Francis

    2015-01-01

    Detectors made from artificial chemical vapor deposition (CVD) single crystal diamond are very promising candidates for applications where high resolution neutron spectrometry in very high neutron fluxes is required, for example in fusion research. We propose a Bayesian method to estimate the neutron response function of the detector for a continuous range of neutron energies (in our case, 10 MeV ≤ E n ≤ 16 MeV) based on a few measurements with quasi-monoenergetic neutrons. This method is needed because a complete set of measurements is not available and the alternative approach of using responses based on Monte Carlo calculations is not feasible. Our approach uses Bayesian signal-background separation techniques and radial basis function interpolation methods. We present the analysis of data measured at the PTB accelerator facility PIAF. The method is quite general and it can be applied to other particle detectors with similar characteristics

  7. CVD of alternated microcrystalline (MCD) and nanocrystalline (NCD) diamond films on WC-TIC-CO substrates

    International Nuclear Information System (INIS)

    Campos, Raonei Alves; Contin, Andre; Trava-Airoldi, Vladimir J.; Corat, Evaldo Jose; Barquete, Danilo Maciel

    2010-01-01

    CVD Diamond coating of WC-TiC-Co cutting tools has been an alternative to increase tool lifetime. Experiments have shown that residual stresses produced during films growth on WC-TiC-Co substrates significantly increases with increasing film thickness up to 20 μm and usually leads to film delamination. In this work alternated micro- and nanocrystalline CVD diamond films have been used to relax interface stresses and to increase diamond coatings performance. WC-TiC-Co substrates have been submitted to a boronizing thermal diffusion treatment prior to CVD diamond films growth. After reactive heat treatment samples were submitted to chemical etching in acid and alkaline solution. The diamond films deposition was performed using HFCVD reactor with different gas concentrations for microcrystalline (MCD) and nano-crystalline (NCD) films growth. As a result, we present the improvement of diamond films adherence on WC-TiC-Co, evaluated by indentation and machining tests. Samples were characterized by Scanning Electron Microscopy (SEM) and Energy Dispersive X-ray (EDX) for qualitative analysis of diamond films. X-ray Diffraction (XRD) was used for phases identification after boronizing process. Diamond film compressive residual stresses were analyzed by Raman Scattering Spectroscopy (RSS). (author)

  8. The effects of H sub 2 addition on the enhanced deposition rate and high quality Cu films by MOCVD

    CERN Document Server

    Lee, J H; Park, S J; Choi, S Y

    1998-01-01

    High-quality Cu thin films were deposited on the TiN/Si substrate from the hexafluoroacetylacetonate Copper thrmethylvinylsilane [Cu (hfac) (tmvs)] source using a metal organic chemical vapor deposition (MOCVD) technique. The optimum deposition condition is with a substrate temperature of 200 .deg. C and the hydrogen flow rate of 80 sccm. The deposition rate, electrical resistivity, surface morphology, grain size, and optical properties of the deposited Cu films were investigated by the AES, four-point probe, SEM, XRD, and the visible spectrophotometer as a function of hydrogen gas flow rate, The results indicated that additional hydrogen gas affects the CVD hydrogen reduction reaction improving the purity, deposition rate, and electrical resistivity of Cu thin films. A prospective idea will be discussed for the preparation of Cu thin films showing a more enhanced electromigration resistance applicable to the next-generation interconnection.

  9. CVD growth and characterization of 3C-SiC thin films

    Indian Academy of Sciences (India)

    Unknown

    Cubic silicon carbide (3C-SiC) thin films were grown on (100) and (111) Si substrates by CVD technique using ... of grown films were studied using optical microscopy, scanning electron microscopy (SEM), X-ray diffraction (XRD) analysis and X-ray ... the oxide mask gets damaged (Edgar et al 1998). There- fore, lower ...

  10. A kinetic and equilibrium analysis of silicon carbide chemical vapor deposition on monofilaments

    Science.gov (United States)

    Gokoglu, S. A.; Kuczmarski, M. A.

    1993-01-01

    Chemical kinetics of atmospheric pressure silicon carbide (SiC) chemical vapor deposition (CVD) from dilute silane and propane source gases in hydrogen is numerically analyzed in a cylindrical upflow reactor designed for CVD on monofilaments. The chemical composition of the SiC deposit is assessed both from the calculated total fluxes of carbon and silicon and from chemical equilibrium considerations for the prevailing temperatures and species concentrations at and along the filament surface. The effects of gas and surface chemistry on the evolution of major gas phase species are considered in the analysis.

  11. Performance of CVD and CVR coated carbon-carbon in high temperature hydrogen

    Science.gov (United States)

    Adams, J. W.; Barletta, R. E.; Svandrlik, J.; Vanier, P. E.

    As a part of the component development process for the particle bed reactor (PBR), it is necessary to develop coatings which will be time and temperature stable at extremely high temperatures in flowing hydrogen. These coatings must protect the underlying carbon structure from attack by the hydrogen coolant. Degradation which causes small changes in the reactor component, e.g. hole diameter in the hot frit, can have a profound effect on operation. The ability of a component to withstand repeated temperature cycles is also a coating development issue. Coatings which crack or spall under these conditions would be unacceptable. While refractory carbides appear to be the coating material of choice for carbon substrates being used in PBR components, the method of applying these coatings can have a large effect on their performance. Two deposition processes for these refractory carbides, chemical vapor deposition (CVD) and chemical vapor reaction (CVR), have been evaluated. Screening tests for these coatings consisted of testing of coated 2-D and 3-D weave carbon-carbon in flowing hot hydrogen at one atmosphere. Carbon loss from these samples was measured as a function of time. Exposure temperatures up to 3,000 K were used, and samples were exposed in a cyclical fashion cooling to room temperature between exposures. The results of these measurements are presented along with an evaluation of the relative merits of CVR and CVD coatings for this application.

  12. Simulation and experimental approach to CVD-FBR aluminide coatings on ferritic steels under steam oxidation

    International Nuclear Information System (INIS)

    Leal, J.; Alcala, G.; Bolivar, F.J.; Sanchez, L.; Hierro, M.P.; Perez, F.J.

    2008-01-01

    The ferritic steels used to produce structural components for steam turbines are susceptible to strong corrosion and creep damage due to the extreme working conditions pushed to increase the process efficiency and to reduce pollutants release. The response of aluminide coatings on the P-92 ferritic steel, deposited by CVD-FBR, during oxidation in a simulated steam environment was studied. The analyses were performed at 650 deg. C in order to simulate the working conditions of a steam turbine, and 800 deg. C in order to produce a critical accelerated oxidation test. The Thermo-Calc software was used to predict the different solid phases that could be generated during the oxidation process, in both, coated and uncoated samples. In order to validate the thermodynamic results, the oxides scales produced during steam tests were characterized by different techniques such as XRD, SEM and EDS. The preliminary results obtained are discussed in the present work

  13. Advances in the chemical vapor deposition (CVD) of Tantalum

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Christensen, Erik

    2014-01-01

    The chemical stability of tantalum in hot acidic media has made it a key material in the protection of industrial equipment from corrosion under such conditions. The Chemical Vapor Deposition of tantalum to achieve such thin corrosion resistant coatings is one of the most widely mentioned examples...

  14. TiO2 anatase thin films deposited by spray pyrolysis of an aerosol of titanium diisopropoxide

    International Nuclear Information System (INIS)

    Conde-Gallardo, A.; Guerrero, M.; Castillo, N.; Soto, A.B.; Fragoso, R.; Cabanas-Moreno, J.G.

    2005-01-01

    Titanium dioxide thin films were deposited on crystalline silicon (100) and fused quartz substrates by spray pyrolysis (SP) of an aerosol, generated ultrasonically, of titanium diisopropoxide. The evolution of the crystallization, studied by X-ray diffraction (XRD), atomic force (AFM) and scanning electron microscopy (SEM), reflection and transmission spectroscopies, shows that the deposition process is nearly close to the classical chemical vapor deposition (CVD) technique, producing films with smooth surface and good crystalline properties. At deposition temperatures below 400 deg. C, the films grow in amorphous phase with a flat surface (roughness∼0.5 nm); while for equal or higher values to this temperature, the films develop a crystalline phase corresponding to the TiO 2 anatase phase and the surface roughness is increased. After annealing at 750 deg. C, the samples deposited on Si show a transition to the rutile phase oriented in (111) direction, while for those films deposited on fused quartz no phase transition is observed

  15. The study on diamond-coated insert by DC plasma jet CVD

    International Nuclear Information System (INIS)

    Zhou Kesong; Dai Mingjiang; Song Jinbing; Kuang Tongchun; Liu Zhengyi

    2001-01-01

    Diamond coating were deposited on cemented carbide inserts by DC plasma jet CVD. The cemented carbide inserts were pretreated by methods including chemical etching of Co, Ar/H 2 plasma etching. The characteristics of diamond film, interface structure, adhesion strength and film stress were analysized by different methods such as SEM, XRD, Raman spectrum etc. A comparing experiment of cutting Al - 22 % Si alloy was carried out with diamond-coated cemented carbide inserts and uncoated cemented carbide inserts. The results show that the diamond-coated cemented carbide insert has a great advantage for cutting abrasive high content Al - Si alloy. (author)

  16. Chemical vapour deposition synthetic diamond: materials, technology and applications

    International Nuclear Information System (INIS)

    Balmer, R S; Brandon, J R; Clewes, S L; Dhillon, H K; Dodson, J M; Friel, I; Inglis, P N; Madgwick, T D; Markham, M L; Mollart, T P; Perkins, N; Scarsbrook, G A; Twitchen, D J; Whitehead, A J; Wilman, J J; Woollard, S M

    2009-01-01

    Substantial developments have been achieved in the synthesis of chemical vapour deposition (CVD) diamond in recent years, providing engineers and designers with access to a large range of new diamond materials. CVD diamond has a number of outstanding material properties that can enable exceptional performance in applications as diverse as medical diagnostics, water treatment, radiation detection, high power electronics, consumer audio, magnetometry and novel lasers. Often the material is synthesized in planar form; however, non-planar geometries are also possible and enable a number of key applications. This paper reviews the material properties and characteristics of single crystal and polycrystalline CVD diamond, and how these can be utilized, focusing particularly on optics, electronics and electrochemistry. It also summarizes how CVD diamond can be tailored for specific applications, on the basis of the ability to synthesize a consistent and engineered high performance product.

  17. Liquid-phase exfoliation of chemical vapor deposition-grown single layer graphene and its application in solution-processed transparent electrodes for flexible organic light-emitting devices

    International Nuclear Information System (INIS)

    Wu, Chaoxing; Li, Fushan; Wu, Wei; Chen, Wei; Guo, Tailiang

    2014-01-01

    Efficient and low-cost methods for obtaining high performance flexible transparent electrodes based on chemical vapor deposition (CVD)-grown graphene are highly desirable. In this work, the graphene grown on copper foil was exfoliated into micron-size sheets through controllable ultrasonication. We developed a clean technique by blending the exfoliated single layer graphene sheets with conducting polymer to form graphene-based composite solution, which can be spin-coated on flexible substrate, forming flexible transparent conducting film with high conductivity (∼8 Ω/□), high transmittance (∼81% at 550 nm), and excellent mechanical robustness. In addition, CVD-grown-graphene-based polymer light emitting diodes with excellent bendable performances were demonstrated

  18. Direct CVD Graphene Growth on Semiconductors and Dielectrics for Transfer-Free Device Fabrication.

    Science.gov (United States)

    Wang, Huaping; Yu, Gui

    2016-07-01

    Graphene is the most broadly discussed and studied two-dimensional material because of its preeminent physical, mechanical, optical, and thermal properties. Until now, metal-catalyzed chemical vapor deposition (CVD) has been widely employed for the scalable production of high-quality graphene. However, in order to incorporate the graphene into electronic devices, a transfer process from metal substrates to targeted substrates is inevitable. This process usually results in contamination, wrinkling, and breakage of graphene samples - undesirable in graphene-based technology and not compatible with industrial production. Therefore, direct graphene growth on desired semiconductor and dielectric substrates is considered as an effective alternative. Over the past years, there have been intensive investigations to realize direct graphene growth using CVD methods without the catalytic role of metals. Owing to the low catalytic activity of non-metal substrates for carbon precursor decomposition and graphene growth, several strategies have been designed to facilitate and engineer graphene fabrication on semiconductors and insulators. Here, those developed strategies for direct CVD graphene growth on semiconductors and dielectrics for transfer-free fabrication of electronic devices are reviewed. By employing these methods, various graphene-related structures can be directly prepared on desired substrates and exhibit excellent performance, providing versatile routes for varied graphene-based materials fabrication. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Performance of CVD diamond as an optically and thermally stimulated luminescence dosemeter

    International Nuclear Information System (INIS)

    Preciado-Flores, S.; Schreck, M.; Melendrez, R.; Chernov, V.; Bernal, R.; Cruz-Vazquez, C.; Cruz-Zaragoza, E.; Barboza-Flores, M.

    2006-01-01

    Diamond is a material with extreme physical properties. Its radiation hardness, chemical inertness and tissue equivalence qualify it as an ideal material for radiation dosimetry. In the present work, the optically stimulated luminescence (OSL) and thermoluminescence (TL) characteristics of a 10 μm thick CVD diamond (polycrystalline diamond films prepared by chemical vapor deposition) film were studied in order to test its performance as a beta radiation dosemeter. The TL response is composed of four main TL glow peaks; two of these are in the range of 150-200 deg. C and two additional peaks in the 250-400 deg. C temperature range. The integrated TL as a function of radiation dose is linear up to 100 Gy and increases with increasing dose exposure. The dose dependence of the integrated OSL exhibits a similar behavior. The observed OSL/TL behavior for the CVD diamond film clearly demonstrate its capability for applications in radiation dosimetry with special relevance in medical dosimetry owing to the diamond's intrinsic material properties. (authors)

  20. Heparin free coating on PLA membranes for enhanced hemocompatibility via iCVD

    Science.gov (United States)

    Wang, Hui; Shi, Xiao; Gao, Ailin; Lin, Haibo; Chen, Yongliang; Ye, Yumin; He, Jidong; Liu, Fu; Deng, Gang

    2018-03-01

    In the present work, we report one-step immobilization of nano-heparin coating on PLA membranes via initiated chemical vapor deposition (iCVD) for enhanced hemocompatibility. The nano-coating introduced onto the membrane surface via the crosslinking of P(MAA-EGDA) was confirmed by the FTIR, SEM and weight measurement respectively. The negative carboxyl groups could form the hydration interaction with the protein and platelets and electrostatic interaction with amide groups of thrombin by the mediation of antithrombin, which is similar but different with heparin. The P(MAA-EGDA) coated membranes showed suppressed platelet adhesion and prolonged clotting time (APTTs increased to 59 s, PTs increased to 20.4 s, TTs increased to 17.5 s, and the FIBs declined by 30 mg/dL). Moreover, the complement activation tests demonstrated the formation of C3a and C5a was inhibited. All results demonstrated that the nano-coating of P(MAA-EGDA) via iCVD significantly enhanced the hemocompatibility of PLA membranes, which is also applicable for various membranes.

  1. CVD carbon powders modified by ball milling

    Directory of Open Access Journals (Sweden)

    Kazmierczak Tomasz

    2015-09-01

    Full Text Available Carbon powders produced using a plasma assisted chemical vapor deposition (CVD methods are an interesting subject of research. One of the most interesting methods of synthesizing these powders is using radio frequency plasma. This method, originally used in deposition of carbon films containing different sp2/sp3 ratios, also makes possible to produce carbon structures in the form of powder. Results of research related to the mechanical modification of these powders have been presented. The powders were modified using a planetary ball mill with varying parameters, such as milling speed, time, ball/powder mass ratio and additional liquids. Changes in morphology and particle sizes were measured using scanning electron microscopy and dynamic light scattering. Phase composition was analyzed using Raman spectroscopy. The influence of individual parameters on the modification outcome was estimated using statistical method. The research proved that the size of obtained powders is mostly influenced by the milling speed and the amount of balls. Powders tend to form conglomerates sized up to hundreds of micrometers. Additionally, it is possible to obtain nanopowders with the size around 100 nm. Furthermore, application of additional liquid, i.e. water in the process reduces the graphitization of the powder, which takes place during dry milling.

  2. Synthesis of mullite coatings by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mulpuri, R.P.; Auger, M.; Sarin, V.K. [Boston Univ., MA (United States)

    1996-08-01

    Formation of mullite on ceramic substrates via chemical vapor deposition was investigated. Mullite is a solid solution of Al{sub 2}O{sub 3} and SiO{sub 2} with a composition of 3Al{sub 2}O{sub 3}{circ}2SiO{sub 2}. Thermodynamic calculations performed on the AlCl{sub 3}-SiCl{sub 4}-CO{sub 2}-H{sub 2} system were used to construct equilibrium CVD phase diagrams. With the aid of these diagrams and consideration of kinetic rate limiting factors, initial process parameters were determined. Through process optimization, crystalline CVD mullite coatings have been successfully grown on SiC and Si{sub 3}N{sub 4} substrates. Results from the thermodynamic analysis, process optimization, and effect of various process parameters on deposition rate and coating morphology are discussed.

  3. ZrN coatings deposited by high power impulse magnetron sputtering and cathodic arc techniques

    Energy Technology Data Exchange (ETDEWEB)

    Purandare, Yashodhan, E-mail: Y.Purandare@shu.ac.uk; Ehiasarian, Arutiun; Hovsepian, Papken [Nanotechnology Centre for PVD Research, Materials and Engineering Research Institute, Sheffield Hallam University, Sheffield S1 1WB (United Kingdom); Santana, Antonio [Ionbond AG Olten, Industriestrasse 211, CH-4600 Olten (Switzerland)

    2014-05-15

    Zirconium nitride (ZrN) coatings were deposited on 1 μm finish high speed steel and 316L stainless steel test coupons. Cathodic Arc (CA) and High Power Impulse Magnetron Sputtering (HIPIMS) + Unbalanced Magnetron Sputtering (UBM) techniques were utilized to deposit coatings. CA plasmas are known to be rich in metal and gas ions of the depositing species as well as macroparticles (droplets) emitted from the arc sports. Combining HIPIMS technique with UBM in the same deposition process facilitated increased ion bombardment on the depositing species during coating growth maintaining high deposition rate. Prior to coating deposition, substrates were pretreated with Zr{sup +} rich plasma, for both arc deposited and HIPIMS deposited coatings, which led to a very high scratch adhesion value (L{sub C2}) of 100 N. Characterization results revealed the overall thickness of the coatings in the range of 2.5 μm with hardness in the range of 30–40 GPa depending on the deposition technique. Cross-sectional transmission electron microscopy and tribological experiments such as dry sliding wear tests and corrosion studies have been utilized to study the effects of ion bombardment on the structure and properties of these coatings. In all the cases, HIPIMS assisted UBM deposited coating fared equal or better than the arc deposited coatings, the reasons being discussed in this paper. Thus H+U coatings provide a good alternative to arc deposited where smooth, dense coatings are required and macrodroplets cannot be tolerated.

  4. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Yuping; Li, Chengchen [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Mingming, E-mail: andychain@live.cn [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Yu, Xiao; Chang, Yunwei [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Anqi [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Zhu, Hai, E-mail: zhuhai5@mail.sysu.edu.cn [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Tang, Zikang, E-mail: zktang@umac.mo [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); The Institute of Applied Physics and Materials Engineering, University of Macau, Avenida da Universidade, Taipa, Macau (China)

    2016-12-09

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  5. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhao, Yuping; Li, Chengchen; Chen, Mingming; Yu, Xiao; Chang, Yunwei; Chen, Anqi; Zhu, Hai; Tang, Zikang

    2016-01-01

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  6. Development of a fluorine-free chemical solution deposition route for rare-earth cuprate superconducting tapes and its application to reel-to-reel processing

    DEFF Research Database (Denmark)

    Tang, Xiao

    temperature, REBCO (RE= rare earth) has some evident advantages compared to other high-temperature superconductors in retaining high current densities under strong magnetic fields, thus REBCO high temperature superconducto rs have significant potential for high field engineering applications. Compared...... to Pulsed Laser Deposition (PLD) and Chemical Vapor Deposition (CVD), the trifluoroacetate metal-organic deposition (TFA-MOD) route is more promising for producing REBCO superconducting films, owing to the high-Jc, high reproducibility, and low cost of this technique, which doesn't require any high vacuum...... on the microstructure and performance of FF-MOD derived YBCO films was investigated. Chapter 9 is the summary of the thesis....

  7. Organic solar cells using CVD-grown graphene electrodes

    International Nuclear Information System (INIS)

    Kim, Hobeom; Han, Tae-Hee; Lim, Kyung-Geun; Lee, Tae-Woo; Bae, Sang-Hoon; Ahn, Jong-Hyun

    2014-01-01

    We report on the development of flexible organic solar cells (OSCs) incorporating graphene sheets synthesized by chemical vapor deposition (CVD) as transparent conducting electrodes on polyethylene terephthalate (PET) substrates. A key barrier that must be overcome for the successful fabrication of OSCs with graphene electrodes is the poor-film properties of water-based poly(3,4-ethylenedioxythiphene):poly(styrenesulfonate) (PEDOT:PSS) when coated onto hydrophobic graphene surfaces. To form a uniform PEDOT:PSS film on a graphene surface, we added perfluorinated ionomers (PFI) to pristine PEDOT:PSS to create ‘GraHEL’, which we then successfully spin coated onto the graphene surface. We systematically investigated the effect of number of layers in layer-by-layer stacked graphene anode of an OSC on the performance parameters including the open-circuit voltage (V oc ), short-circuit current (J sc ), and fill factor (FF). As the number of graphene layers increased, the FF tended to increase owing to lower sheet resistance, while J sc tended to decrease owing to the lower light absorption. In light of this trade-off between sheet resistance and transmittance, we determined that three-layer graphene (3LG) represents the best configuration for obtaining the optimal power conversion efficiency (PCE) in OSC anodes, even at suboptimal sheet resistances. We finally developed efficient, flexible OSCs with a PCE of 4.33%, which is the highest efficiency attained so far by an OSC with CVD-grown graphene electrodes to the best of our knowledge. (paper)

  8. Transport mechanisms through PE-CVD coatings: influence of temperature, coating properties and defects on permeation of water vapour

    International Nuclear Information System (INIS)

    Kirchheim, Dennis; Jaritz, Montgomery; Hopmann, Christian; Dahlmann, Rainer; Mitschker, Felix; Awakowicz, Peter; Gebhard, Maximilian; Devi, Anjana; Brochhagen, Markus; Böke, Marc

    2017-01-01

    Gas transport mechanisms through plastics are usually described by the temperature-dependent Arrhenius-model and compositions of several plastic layers are represented by the CLT. When it comes to thin films such as plasma-enhanced chemical vapour deposition (PE-CVD) or plasma-enhanced atomic layer deposition (PE-ALD) coatings on substrates of polymeric material, a universal model is lacking. While existing models describe diffusion through defects, these models presume that permeation does not occur by other means of transport mechanisms. This paper correlates the existing transport models with data from water vapour transmission experiments. (paper)

  9. Effect of Different Catalyst Deposition Technique on Aligned Multiwalled Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Mohamed Shuaib Mohamed Saheed

    2014-01-01

    Full Text Available The paper reported the investigation of the substrate preparation technique involving deposition of iron catalyst by electron beam evaporation and ferrocene vaporization in order to produce vertically aligned multiwalled carbon nanotubes array needed for fabrication of tailored devices. Prior to the growth at 700°C in ethylene, silicon dioxide coated silicon substrate was prepared by depositing alumina followed by iron using two different methods as described earlier. Characterization analysis revealed that aligned multiwalled carbon nanotubes array of 107.9 µm thickness grown by thermal chemical vapor deposition technique can only be achieved for the sample with iron deposited using ferrocene vaporization. The thick layer of partially oxidized iron film can prevent the deactivation of catalyst and thus is able to sustain the growth. It also increases the rate of permeation of the hydrocarbon gas into the catalyst particles and prevents agglomeration at the growth temperature. Combination of alumina-iron layer provides an efficient growth of high density multiwalled carbon nanotubes array with the steady growth rate of 3.6 µm per minute for the first 12 minutes and dropped by half after 40 minutes. Thicker and uniform iron catalyst film obtained from ferrocene vaporization is attributed to the multidirectional deposition of particles in the gaseous form.

  10. Deposition and Characterization of Thin Films on Metallic Substrates

    Science.gov (United States)

    Gatica, Jorge E.

    2005-01-01

    A CVD method was successfully developed to produce conversion coatings on aluminum alloys surfaces with reproducible results with a variety of precursors. A well defined protocol to prepare the precursor solutions formulated in a previous research was extended to other additives. It was demonstrated that solutions prepared following such a protocol could be used to systematically generate protective coatings onto aluminum surfaces. Experiments with a variety of formulations revealed that a refined deposition protocol yields reproducible conversion coatings of controlled composition. A preliminary correlation between solution formulations and successful precursors was derived. Coatings were tested for adhesion properties enhancement for commercial paints. A standard testing method was followed and clear trends were identified. Only one precursors was tested systematically. Anticipated work on other precursors should allow a better characterization of the effect of intermetallics on the production of conversion/protective coatings on metals and ceramics. The significance of this work was the practical demonstration that chemical vapor deposition (CVD) techniques can be used to systematically generate protective/conversion coating on non-ferrous surfaces. In order to become an effective approach to replace chromate-based pre- treatment processes, namely in the aerospace or automobile industry, the process parameters must be defined more precisely. Moreover, the feasibility of scale-up designs necessitates a more comprehensive characterization of the fluid flow, transport phenomena, and chemical kinetics interacting in the process. Kinetic characterization showed a significantly different effect of magnesium-based precursors when compared to iron-based precursors. Future work will concentrate on refining the process through computer simulations and further experimental studies on the effect of other transition metals to induce deposition of conversion/protective films

  11. Chemical vapour deposition - a promising method for production of different kinds of carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Leonhardt, A.; Ritschel, M.; Bartsch, K.; Graff, A.; Taeschner, C.; Fink, J. [Institut fuer Festkoerper- und Werkstofforschung Dresden e.V. (Germany)

    2001-08-01

    Carbon nanostructures (fibres, multi and single walled tubes) have been synthesized by catalytic chemical vapour deposition. The catalyst material, deposition temperature and the used hydrocarbon are the main parameters responsible for the formation of the desired structure. In dependence on these parameters and by optimising the deposition process nanofibres with herringbone structure and tubular multiwalled nanotubes were deposited in large amounts and high purity. In the case of single wall nanotubes synthesis an aftertreatment and process is absolutely necessary to obtain material with high percentage of tubes. Layers of disordered and aligned multiwalled nanotubes were deposited on oxidised silicon substrates coated with thin sputtered metal layers (Co, permalloy) by using the micro-wave assisted plasma CVD process or the bias supported hot filament CVD method. The latter method allows relatively low deposition temperatures (550 - 750 C). The obtained carbon modifications were characterised by scanning and transmission electron microscopy. Furthermore, the electron field emission of the CNT's layers were investigated. (orig.)

  12. CVD grown 2D MoS{sub 2} layers: A photoluminescence and fluorescence lifetime imaging study

    Energy Technology Data Exchange (ETDEWEB)

    Oezden, Ayberk; Madenoglu, Buesra [Department of Materials Science and Engineering, Faculty of Engineering, Anadolu University, Eskisehir (Turkey); Sar, Hueseyin; Ay, Feridun; Perkgoez, Nihan Kosku [Department of Electrical and Electronics Engineering, Faculty of Engineering, Anadolu University, Eskisehir (Turkey); Yeltik, Aydan [Department of Physics, UNAM Institute of Materials Science and Nanotechnology, Bilkent University, Ankara (Turkey); Sevik, Cem [Department of Mechanical Engineering, Faculty of Engineering, Anadolu University, Eskisehir (Turkey)

    2016-11-15

    In this letter, we report on the fluorescence lifetime imaging and accompanying photoluminescence properties of a chemical vapour deposition (CVD) grown atomically thin material, MoS{sub 2}. μ-Raman, μ-photoluminescence (PL) and fluorescence lifetime imaging microscopy (FLIM) are utilized to probe the fluorescence lifetime and photoluminescence properties of individual flakes of MoS{sub 2} films. Usage of these three techniques allows identification of the grown layers, grain boundaries, structural defects and their relative effects on the PL and fluorescence lifetime spectra. Our investigation on individual monolayer flakes reveals a clear increase of the fluorescence lifetime from 0.3 ns to 0.45 ns at the edges with respect to interior region. On the other hand, investigation of the film layer reveals quenching of PL intensity and lifetime at the grain boundaries. These results could be important for applications where the activity of edges is important such as in photocatalytic water splitting. Finally, it has been demonstrated that PL mapping and FLIM are viable techniques for the investigation of the grain-boundaries. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  13. Surface functionalization of 3D-printed plastics via initiated chemical vapor deposition

    Directory of Open Access Journals (Sweden)

    Christine Cheng

    2017-08-01

    Full Text Available 3D printing is a useful fabrication technique because it offers design flexibility and rapid prototyping. The ability to functionalize the surfaces of 3D-printed objects allows the bulk properties, such as material strength or printability, to be chosen separately from surface properties, which is critical to expanding the breadth of 3D printing applications. In this work, we studied the ability of the initiated chemical vapor deposition (iCVD process to coat 3D-printed shapes composed of poly(lactic acid and acrylonitrile butadiene styrene. The thermally insulating properties of 3D-printed plastics pose a challenge to the iCVD process due to large thermal gradients along the structures during processing. In this study, processing parameters such as the substrate temperature and the filament temperature were systematically varied to understand how these parameters affect the uniformity of the coatings along the 3D-printed objects. The 3D-printed objects were coated with both hydrophobic and hydrophilic polymers. Contact angle goniometry and X-ray photoelectron spectroscopy were used to characterize the functionalized surfaces. Our results can enable the use of iCVD to functionalize 3D-printed materials for a range of applications such as tissue scaffolds and microfluidics.

  14. Chemical vapour deposition of carbon nanotubes

    CSIR Research Space (South Africa)

    Arendse, CJ

    2006-02-01

    Full Text Available , effective, more versatile and easily scalable to large substrate sizes. In this paper, we present a design of the hot-wire CVD system constructed at the CSIR for the deposition of CNTs. Additionally, we will report on the structure of CNTs deposited... exhibit exceptional chemical and physical properties related to toughness, chemical inertness, magnetism, and electrical and thermal conductivity. A variety of preparation methods to synthesise CNTs are known, e.g. carbon-arc discharge, laser ablation...

  15. Laser diagnostics of a diamond depositing chemical vapour deposition gas-phase environment

    International Nuclear Information System (INIS)

    Smith, James Anthony

    2002-01-01

    Studies have been carried out to understand the gas-phase chemistry underpinning diamond deposition in hot filament and DC-arcjet chemical vapour deposition (CVD) systems. Resonance enhanced Multiphoton lonisation (REMPI) techniques were used to measure the relative H atom and CH 3 radical number densities and local gas temperatures prevalent in a hot filament reactor, operating on Ch 4 /H 2 and C 2 H 2 /H 2 gas mixtures. These results were compared to a 3D-computer simulation, and hence provided an insight into the nature of the gas-phase chemistry with particular reference to C 2 →C 1 species conversion. Similar experimental and theoretical studies were also carried out to explain the chemistry involved in NH 3 /CH 4 /H 2 and N 2 /CH 4 /H 2 gas mixtures. It was demonstrated that the reactive nature of the filament surface was dependent on the addition of NH 3 , influencing atomic hydrogen production, and thus the H/C/N gas-phase chemistry. Studies of the DC-arcjet diamond CVD reactor consisted of optical emission spectroscopic studies of the plume during deposition from an Ar/H 2 /CH 4 /N 2 gas mixture. Spatially resolved species emission intensity maps were obtained for C 2 (d→a), CN(B→X) and H β from Abel-inverted datasets. The C 2 (d→a) and CN(B→X) emission intensity maps both show local maxima near the substrate surface. SEM and Laser Raman analyses indicate that N 2 additions lead to a reduction in film quality and growth rate. Photoluminescence and SIMS analyses of the grown films provide conclusive evidence of nitrogen incorporation (as chemically bonded CN). Absolute column densities of C 2 (a) in a DC-arcjet reactor operating on an Ar/H 2 /CH 4 gas mixture, were measured using Cavity ring down spectroscopy. Simulations of the measured C 2 (v=0) transition revealed a rotational temperature of ∼3300 K. This gas temperature is similar to that deduced from optical emission spectroscopy studies of the C 2 (d→a) transition. (author)

  16. Metalorganic atomic layer deposition of TiN thin films using TDMAT and NH3

    International Nuclear Information System (INIS)

    Kim, Hyo Kyeom; Kim, Ju Youn; Park, Jin Yong; Kim, Yang Do; Kim, Young Do; Jeon, Hyeong Tag; Kim, Won Mok

    2002-01-01

    TiN films were deposited by using the metalorganic atomic layer deposition (MOALD) method using tetrakis-dimethyl-amino-titanium (TDMAT) as the titanium precursor and ammonia (NH 3 ) as the reactant gas. Two saturated TiN film growth regions were observed in the temperature ranges from 175 and 190 .deg. C and from 200 and 210 .deg. C. TiN films deposited by the MOALD technique showed relatively lower carbon content than films deposited by metalorganic chemical vapor deposition (MOCVD) method. TiN films deposited at around 200 .deg. C under standard conditions showed the resistivity values as low as 500 μΩ-cm, which is about one order lower than the values for TiN films deposited by MOCVD using TDMAT or TDMAT with NH 3 . Also, the carbon incorporation and the resistivity were further decreased with increasing Ar purge time and flow rate. TiN films deposited at temperature below 300 .deg. C showed amorphous characteristics. TiN film deposited on contact holes, about 0.4-μm wide and 0.8-μm deep, by using the MOALD method showed excellent conformal deposition with almost 100% step coverage. This study demonstrates that the processing parameters need to be carefully controlled to optimize the film properties that the processing parameters need to be carefully controlled to optimize the film properties when using the ALD technique and that TiN films deposited by using the MOALD method exhibited excellent film properties compared to those of films deposited by using other CVD methods

  17. The gate oxide integrity of CVD tungsten polycide

    International Nuclear Information System (INIS)

    Wu, N.W.; Su, W.D.; Chang, S.W.; Tseng, M.F.

    1988-01-01

    CVD tungsten polycide has been demonstrated as a good gate material in recent very large scale integration (VLSI) technology. CVD tungsten silicide offers advantages of low resistivity, high temperature stability and good step coverage. On the other hand, the polysilicon underlayer preserves most characteristics of the polysilicon gate and acts as a stress buffer layer to absorb part of the thermal stress origin from the large thermal expansion coefficient of tungsten silicide. Nevertheless, the gate oxide of CVD tungsten polycide is less stable or reliable than that of polysilicon gate. In this paper, the gate oxide integrity of CVD tungsten polycide with various thickness combinations and different thermal processes have been analyzed by several electrical measurements including breakdown yield, breakdown fluence, room temperature TDDB, I-V characteristics, electron traps and interface state density

  18. SiO{sub 2} coating of silver nanoparticles by photoinduced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Boies, Adam M; Girshick, Steven L [Department of Mechanical Engineering, University of Minnesota, 111 Church Street SE, Minneapolis, MN 55455 (United States); Roberts, Jeffrey T [Department of Chemistry, University of Minnesota, 207 Pleasant Street SE, Minneapolis, MN 55455 (United States); Zhang Bin; Nakamura, Toshitaka; Mochizuki, Amane, E-mail: jtrob@umn.ed, E-mail: slg@umn.ed [Nitto Denko Technical Corporation, 501 Via Del Monte, Oceanside, CA 92058 (United States)

    2009-07-22

    Gas-phase silver nanoparticles were coated with silicon dioxide (SiO{sub 2}) by photoinduced chemical vapor deposition (photo-CVD). Silver nanoparticles, produced by inert gas condensation, and a SiO{sub 2} precursor, tetraethylorthosilicate (TEOS), were exposed to vacuum ultraviolet (VUV) radiation at atmospheric pressure and varying temperatures. The VUV photons dissociate the TEOS precursor, initiating a chemical reaction that forms SiO{sub 2} coatings on the particle surfaces. Coating thicknesses were measured for a variety of operation parameters using tandem differential mobility analysis and transmission electron microscopy. The chemical composition of the particle coatings was analyzed using energy dispersive x-ray spectrometry and Fourier transform infrared spectroscopy. The highest purity films were produced at 300-400 {sup 0}C with low flow rates of additional oxygen. The photo-CVD coating technique was shown to effectively coat nanoparticles and limit core particle agglomeration at concentrations up to 10{sup 7} particles cm{sup -3}.

  19. Carbonized tantalum catalysts for catalytic chemical vapor deposition of silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Cheng Shimin [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Graduate University of Chinese Academy of Sciences, Beijing 100049 (China); Gao Huiping; Ren Tong; Ying Pinliang [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Li Can, E-mail: canli@dicp.ac.cn [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China)

    2012-06-01

    Catalytic chemical vapor deposition (Cat-CVD) has been demonstrated as a promising way to prepare device-quality silicon films. However, catalyst ageing due to Si contamination is an urgency to be solved for the practical application of the technique. In this study, the effect of carbonization of tantalum catalyst on its structure and performance was investigated. The carbonized Ta catalyst has a TaC surface layer which is preserved over the temperature range between 1450 and 1750 Degree-Sign C and no Si contamination occurs on the catalyst after long-term use. Si film prepared using the carbonized Ta catalyst has a similar crystal structure to that prepared by uncarbonized Ta catalyst. Formation of the TaC surface layer can alleviate the ageing problem of the catalyst, which shows great potential as a stable catalyst for Cat-CVD of Si films. - Highlights: Black-Right-Pointing-Pointer Si films prepared by catalytic chemical vapor deposition. Black-Right-Pointing-Pointer Carbonized Ta with a TaC surface layer used as catalyst. Black-Right-Pointing-Pointer TaC surface structure preserved after long-term use in a wide temperature range. Black-Right-Pointing-Pointer Help to solve the ageing problem of metal catalysts. Black-Right-Pointing-Pointer Si film obtained has a similar crystal structure to that prepared by Ta catalyst.

  20. Surface modification of pitch-based spherical activated carbon by CVD of NH3 to improve its adsorption to uric acid

    International Nuclear Information System (INIS)

    Liu Chaojun; Liang Xiaoyi; Liu Xiaojun; Wang Qin; Zhan Liang; Zhang Rui; Qiao Wenming; Ling Licheng

    2008-01-01

    Surface chemistry of pitch-based spherical activated carbon (PSAC) was modified by chemical vapor deposition of NH 3 (NH 3 -CVD) to improve the adsorption properties of uric acid. The texture and surface chemistry of PSAC were studied by N 2 adsorption, pH PZC (point of zero charge), acid-base titration and X-ray photoelectron spectroscopy (XPS). NH 3 -CVD has a limited effect on carbon textural characteristics but it significantly changed the surface chemical properties, resulting in positive effects on uric acid adsorption. After modification by NH 3 -CVD, large numbers of nitrogen-containing groups (especially valley-N and center-N) are introduced on the surface of PSAC, which is responsible for the increase of pH PZC , surface basicity and uric acid adsorption capacity. Pseudo-second-order kinetic model can be used to describe the dynamic adsorption of uric acid on PSAC, and the thermodynamic parameters show that the adsorption of uric acid on PSAC is spontaneous, endothermic and irreversible process in nature

  1. Surface modification of pitch-based spherical activated carbon by CVD of NH 3 to improve its adsorption to uric acid

    Science.gov (United States)

    Liu, Chaojun; Liang, Xiaoyi; Liu, Xiaojun; Wang, Qin; Zhan, Liang; Zhang, Rui; Qiao, Wenming; Ling, Licheng

    2008-08-01

    Surface chemistry of pitch-based spherical activated carbon (PSAC) was modified by chemical vapor deposition of NH 3 (NH 3-CVD) to improve the adsorption properties of uric acid. The texture and surface chemistry of PSAC were studied by N 2 adsorption, pH PZC (point of zero charge), acid-base titration and X-ray photoelectron spectroscopy (XPS). NH 3-CVD has a limited effect on carbon textural characteristics but it significantly changed the surface chemical properties, resulting in positive effects on uric acid adsorption. After modification by NH 3-CVD, large numbers of nitrogen-containing groups (especially valley-N and center-N) are introduced on the surface of PSAC, which is responsible for the increase of pH PZC, surface basicity and uric acid adsorption capacity. Pseudo-second-order kinetic model can be used to describe the dynamic adsorption of uric acid on PSAC, and the thermodynamic parameters show that the adsorption of uric acid on PSAC is spontaneous, endothermic and irreversible process in nature.

  2. Conformal coverage of poly(3,4-ethylenedioxythiophene) films with tunable nanoporosity via oxidative chemical vapor deposition

    NARCIS (Netherlands)

    Im, S.G.; Kusters, D.J.N.; Choi, W.; Baxamusa, S.H.; Sanden, van de M.C.M.; Gleason, K.K.

    2008-01-01

    Novel nanoporous poly(3,4-ethylenedioxythiophene) (PEDOT) films with basalt-like surface morphology are successfully obtained via a one-step, vapor phase process of oxidative chemical vapor deposition (oCVD) by introducing a new oxidant, CuCl2, The substrate temperature of the oCVD process is a

  3. Gold catalytic Growth of Germanium Nanowires by chemical vapour deposition method

    Directory of Open Access Journals (Sweden)

    M. Zahedifar

    2013-03-01

    Full Text Available Germanium nanowires (GeNWs were synthesized using chemical vapor deposition (CVD based on vapor–liquid–solid (VLS mechanism with Au nanoparticles as catalyst and germanium tetrachloride (GeCl4 as a precursor of germanium. Au catalysts were deposited on silicon wafer as a thin film, firstly by sputtering technique and secondly by submerging the silicon substrates in Au colloidal solution, which resulted in Au nanoparticles with different sizes. GeNWs were synthesized at 400 °C, which is a low temperature for electrical device fabrication. Effect of different parameters such as Au nanoparticles size, carrier gas (Ar flow and mixture of H2 with the carrier gas on GeNWs diameter and shape was studied by SEM images. The chemical composition of the nanostructure was also examined by energy dispersive X-ray spectroscopy (EDS.

  4. Obtention of thin depositions by the vacuum evaporation technique

    International Nuclear Information System (INIS)

    Gonzalez Mateu, D.; Labrada, A.; Voronin, A.

    1991-01-01

    The vacuum evaporating technique used to prepare thin depositions, and the technical characteristics of the constructed installation are described. 235 U y 238 U nuclear target for the fission researches were obtained. Aluminium and gold self-supporting foils were obtained too

  5. Deposition of silicon oxynitride at room temperature by Inductively Coupled Plasma-CVD

    Energy Technology Data Exchange (ETDEWEB)

    Zambom, Luis da Silva [MPCE-Faculdade de Tecnologia de Sao Paulo - CEETEPS, Pca Coronel Fernando Prestes, 30, Sao Paulo - CEP 01124-060 (Brazil)]. E-mail: zambom@lsi.usp.br; Verdonck, Patrick [PSI-LSI-Escola Politecnica da Universidade de Sao Paulo (Brazil)]. E-mail: patrick@lsi.usp.br

    2006-10-25

    Oxynitride thin films are used in important optical applications and as gate dielectric for MOS devices. Their traditional deposition processes have the drawbacks that high temperatures are needed, high mechanical stresses are induced and the deposition rate is low. Plasma assisted processes may alleviate these problems. In this study, oxynitride films were deposited at room temperature through the chemical reaction of silane, nitrogen and nitrous oxide (N{sub 2}O), in a conventional LPCVD furnace, which was modified into a high density Inductively Coupled Plasma (ICP) reactor. Deposition rates increased with applied coil power and were never lower than 10 nm/min, quite high for room temperature depositions. The films' refractive indexes and FTIR spectra indicate that for processes with low N{sub 2}O gas concentrations, when mixed together with N{sub 2} and SiH{sub 4}, nitrogen was incorporated in the film. This incorporation increased the resistivity, which was up to 70 G{omega} cm, increased the refractive index, from approximately 1.47 to approximately 1.50, and decreased the dielectric constant of these films, which varied in the 4-14 range. These characteristics are adequate for electric applications e.g. for TFT fabrication on glass or polymers which can not stand high temperature steps.

  6. Sub-10-nm patterning via directed self-assembly of block copolymer films with a vapour-phase deposited topcoat

    Science.gov (United States)

    Suh, Hyo Seon; Kim, Do Han; Moni, Priya; Xiong, Shisheng; Ocola, Leonidas E.; Zaluzec, Nestor J.; Gleason, Karen K.; Nealey, Paul F.

    2017-07-01

    Directed self-assembly (DSA) of the domain structure in block copolymer (BCP) thin films is a promising approach for sub-10-nm surface patterning. DSA requires the control of interfacial properties on both interfaces of a BCP film to induce the formation of domains that traverse the entire film with a perpendicular orientation. Here we show a methodology to control the interfacial properties of BCP films that uses a polymer topcoat deposited by initiated chemical vapour deposition (iCVD). The iCVD topcoat forms a crosslinked network that grafts to and immobilizes BCP chains to create an interface that is equally attractive to both blocks of the underlying copolymer. The topcoat, in conjunction with a chemically patterned substrate, directs the assembly of the grating structures in BCP films with a half-pitch dimension of 9.3 nm. As the iCVD topcoat can be as thin as 7 nm, it is amenable to pattern transfer without removal. The ease of vapour-phase deposition, applicability to high-resolution BCP systems and integration with pattern-transfer schemes are attractive properties of iCVD topcoats for industrial applications.

  7. Ballistic transport in graphene grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Calado, V. E.; Goswami, S.; Xu, Q.; Vandersypen, L. M. K.; Zhu, Shou-En; Janssen, G. C. A. M.; Watanabe, K.; Taniguchi, T.

    2014-01-01

    In this letter, we report the observation of ballistic transport on micron length scales in graphene synthesised by chemical vapour deposition (CVD). Transport measurements were done on Hall bar geometries in a liquid He cryostat. Using non-local measurements, we show that electrons can be ballistically directed by a magnetic field (transverse magnetic focussing) over length scales of ∼1 μm. Comparison with atomic force microscope measurements suggests a correlation between the absence of wrinkles and the presence of ballistic transport in CVD graphene

  8. Ballistic transport in graphene grown by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Calado, V. E.; Goswami, S.; Xu, Q.; Vandersypen, L. M. K., E-mail: l.m.k.vandersypen@tudelft.nl [Kavli Institute of Nanoscience, Delft University of Technology, 2600 GA Delft (Netherlands); Zhu, Shou-En; Janssen, G. C. A. M. [Micro and Nano Engineering Laboratory, Precision and Microsystems Engineering, Delft University of Technology, 2628 CD Delft (Netherlands); Watanabe, K.; Taniguchi, T. [Advanced Materials Laboratory, National Institute for Materials Science, 1-1 Namiki, Tsukuba 305-0044 (Japan)

    2014-01-13

    In this letter, we report the observation of ballistic transport on micron length scales in graphene synthesised by chemical vapour deposition (CVD). Transport measurements were done on Hall bar geometries in a liquid He cryostat. Using non-local measurements, we show that electrons can be ballistically directed by a magnetic field (transverse magnetic focussing) over length scales of ∼1 μm. Comparison with atomic force microscope measurements suggests a correlation between the absence of wrinkles and the presence of ballistic transport in CVD graphene.

  9. A comparative study of CdS thin films deposited by different techniques

    Energy Technology Data Exchange (ETDEWEB)

    Pérez-Hernández, G., E-mail: german.perez@ujat.mx [Universidad Juárez Autónoma de Tabasco, Avenida Universidad s/n, Col. Magisterial, Villahermosa, Tabasco 86040 (Mexico); Pantoja-Enríquez, J. [Centro de Investigación y Desarrollo Tecnológico en Energías Renovables, UNICACH, Libramiento Norte No 1150, Tuxtla Gutiérrez, Chiapas 29039 (Mexico); Escobar-Morales, B. [Instituto Tecnológico de Cancún, Avenida Kábah Km 3, Cancún, Quintana Roo 77500 (Mexico); Martinez-Hernández, D.; Díaz-Flores, L.L.; Ricardez-Jiménez, C. [Universidad Juárez Autónoma de Tabasco, Avenida Universidad s/n, Col. Magisterial, Villahermosa, Tabasco 86040 (Mexico); Mathews, N.R.; Mathew, X. [Centro de Investigación en Energía, Universidad Nacional Autónoma de México, Temixco, Morelos 62580 (Mexico)

    2013-05-01

    Cadmium sulfide thin-films were deposited on glass slides and SnO{sub 2}:F coated glass substrates by chemical bath deposition, sputtering and close-spaced sublimation techniques. The films were studied for the structural and opto-electronic properties after annealing in an ambient identical to that employed in the fabrication of CdTe/CdS devices. Quantum efficiency of the CdTe/CdS solar cells fabricated with CdS buffer films prepared by the three methods were investigated to understand the role of CdS film preparation method on the blue response of the devices. The higher blue response observed for the devices fabricated with chemical bath deposited CdS film is discussed. - Highlights: ► CdS films were prepared by different techniques. ► Role of CdS on the blue response of device was studied. ► Structural and optical properties of CdS were analyzed. ► Chemically deposited CdS has high blue transmittance. ► CdS deposition method influences diffusion of S and Te.

  10. Temperature dependence of InN film deposition by an RF plasma-assisted reactive ion beam sputtering deposition technique

    International Nuclear Information System (INIS)

    Shinoda, Hiroyuki; Mutsukura, Nobuki

    2005-01-01

    Indium nitride (InN) films were deposited on Si(100) substrates using a radiofrequency (RF) plasma-assisted reactive ion beam sputtering deposition technique at various substrate temperatures. The X-ray diffraction patterns of the InN films suggest that the InN films deposited at substrate temperatures up to 370 deg C were cubic crystalline InN; and at 500 deg C, the InN film was hexagonal crystalline InN. In a scanning electron microscope image of the InN film surface, facets of cubic single-crystalline InN grains were clearly observed on the InN film deposited at 370 deg C. The inclusion of metallic indium appeared on the InN film deposited at 500 deg C

  11. Chemical vapor deposition of NiSi using Ni(PF3)4 and Si3H8

    International Nuclear Information System (INIS)

    Ishikawa, M.; Muramoto, I.; Machida, H.; Imai, S.; Ogura, A.; Ohshita, Y.

    2007-01-01

    NiSi x films were deposited using chemical vapor deposition (CVD) with a Ni(PF 3 ) 4 and Si 3 H 8 /H 2 gas system. The step coverage quality of deposited NiSi x was investigated using a horizontal type of hot-wall low pressure CVD reactor, which maintained a constant temperature throughout the deposition area. The step coverage quality improved as a function of the position of the gas flow direction, where PF 3 gas from decomposition of Ni(PF 3 ) 4 increased. By injecting PF 3 gas into the Ni(PF 3 ) 4 and Si 3 H 8 /H 2 gas system, the step coverage quality markedly improved. This improvement in step coverage quality naturally occurred when PF 3 gas was present, indicating a strong relationship. The Si/Ni deposit ratio at 250 deg. C is larger than at 180 deg. C. It caused a decreasing relative deposition rate of Ni to Si. PF 3 molecules appear to be adsorbed on the surface of the deposited film and interfere with faster deposition of active Ni deposition species

  12. A review of hydroxyapatite-based coating techniques: Sol-gel and electrochemical depositions on biocompatible metals.

    Science.gov (United States)

    Asri, R I M; Harun, W S W; Hassan, M A; Ghani, S A C; Buyong, Z

    2016-04-01

    New promising techniques for depositing biocompatible hydroxyapatite-based coatings on biocompatible metal substrates for biomedical applications have continuously been exploited for more than two decades. Currently, various experimental deposition processes have been employed. In this review, the two most frequently used deposition processes will be discussed: a sol-gel dip coating and an electrochemical deposition. This study deliberates the surface morphologies and chemical composition, mechanical performance and biological responses of sol-gel dip coating as well as the electrochemical deposition for two different sample conditions, with and without coating. The review shows that sol-gel dip coatings and electrochemical deposition were able to obtain the uniform and homogeneous coating thickness and high adherent biocompatible coatings even in complex shapes. It has been accepted that both coating techniques improve bone strength and initial osseointegration rate. The main advantages and limitations of those techniques of hydroxyapatite-based coatings are presented. Furthermore, the most significant challenges and critical issues are also highlighted. Copyright © 2015 Elsevier Ltd. All rights reserved.

  13. Structural characterization of the nickel thin film deposited by glad technique

    Directory of Open Access Journals (Sweden)

    Potočnik J.

    2013-01-01

    Full Text Available In this work, a columnar structure of nickel thin film has been obtained using an advanced deposition technique known as Glancing Angle Deposition. Nickel thin film was deposited on glass sample at the constant emission current of 100 mA. Glass sample was positioned 15 degrees with respect to the nickel vapor flux. The obtained nickel thin film was characterized by Force Modulation Atomic Force Microscopy and by Scanning Electron Microscopy. Analysis indicated that the formation of the columnar structure occurred at the film thickness of 1 μm, which was achieved for the deposition time of 3 hours. [Projekat Ministarstva nauke Republike Srbije, br. III45005

  14. Chemical vapour deposition growth and Raman characterization of graphene layers and carbon nanotubes

    Science.gov (United States)

    Lai, Y.-C.; Rafailov, P. M.; Vlaikova, E.; Marinova, V.; Lin, S. H.; Yu, P.; Yu, S.-C.; Chi, G. C.; Dimitrov, D.; Sveshtarov, P.; Mehandjiev, V.; Gospodinov, M. M.

    2016-02-01

    Single-layer graphene films were grown by chemical vapour deposition (CVD) on Cu foil. The CVD process was complemented by plasma enhancement to grow also vertically aligned multiwalled carbon nanotubes using Ni nanoparticles as catalyst. The obtained samples were characterized by Raman spectroscopy analysis. Nature of defects in the samples and optimal growth conditions leading to achieve high quality of graphene and carbon nanotubes are discussed.

  15. Coordination number constraint models for hydrogenated amorphous Si deposited by catalytic chemical vapour deposition

    Science.gov (United States)

    Kawahara, Toshio; Tabuchi, Norikazu; Arai, Takashi; Sato, Yoshikazu; Morimoto, Jun; Matsumura, Hideki

    2005-02-01

    We measured structure factors of hydrogenated amorphous Si by x-ray diffraction and analysed the obtained structures using a reverse Monte Carlo (RMC) technique. A small shoulder in the measured structure factor S(Q) was observed on the larger Q side of the first peak. The RMC results with an unconstrained model did not clearly show the small shoulder. Adding constraints for coordination numbers 2 and 3, the small shoulder was reproduced and the agreement with the experimental data became better. The ratio of the constrained coordination numbers was consistent with the ratio of Si-H and Si-H2 bonds which was estimated by the Fourier transformed infrared spectra of the same sample. This shoulder and the oscillation of the corresponding pair distribution function g(r) at large r seem to be related to the low randomness of cat-CVD deposited a-Si:H.

  16. Coordination number constraint models for hydrogenated amorphous Si deposited by catalytic chemical vapour deposition

    International Nuclear Information System (INIS)

    Kawahara, Toshio; Tabuchi, Norikazu; Arai, Takashi; Sato, Yoshikazu; Morimoto, Jun; Matsumura, Hideki

    2005-01-01

    We measured structure factors of hydrogenated amorphous Si by x-ray diffraction and analysed the obtained structures using a reverse Monte Carlo (RMC) technique. A small shoulder in the measured structure factor S(Q) was observed on the larger Q side of the first peak. The RMC results with an unconstrained model did not clearly show the small shoulder. Adding constraints for coordination numbers 2 and 3, the small shoulder was reproduced and the agreement with the experimental data became better. The ratio of the constrained coordination numbers was consistent with the ratio of Si-H and Si-H 2 bonds which was estimated by the Fourier transformed infrared spectra of the same sample. This shoulder and the oscillation of the corresponding pair distribution function g(r) at large r seem to be related to the low randomness of cat-CVD deposited a-Si:H

  17. Morphological and structural characterization of CrO2/Cr2O3 films grown by Laser-CVD

    International Nuclear Information System (INIS)

    Sousa, P.M.; Silvestre, A.J.; Popovici, N.; Conde, O.

    2005-01-01

    This work reports on the synthesis of chromium (III, IV) oxides films by KrF laser-assisted CVD. Films were deposited onto sapphire substrates at room temperature by the photodissociation of Cr(CO) 6 in dynamic atmospheres containing oxygen and argon. A study of the processing parameters has shown that partial pressure ratio of O 2 to Cr(CO) 6 and laser fluence are the prominent parameters that have to be accurately controlled in order to co-deposit both the crystalline oxide phases. Films consistent with such a two-phase system were synthesised for a laser fluence of 75 mJ cm -2 and a partial pressure ratio of about 1

  18. Test of radiation hardness of pcCVD detectors

    Energy Technology Data Exchange (ETDEWEB)

    Schlemme, Steffen [GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Technische Universitaet Darmstadt (Germany); Enders, Joachim [Technische Universitaet Darmstadt (Germany); Figuera, P.; Salamone, S. [LNS-INFN Catania (Italy); Fruehauf, J.; Kis, Mladen; Kratz, A.; Kurz, N.; Loechner, S.; Nociforo, Chiara; Schirru, Fabio; Szczepanczyk, B.; Traeger, M.; Visinka, R. [GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Musumarra, A. [LNS-INFN Catania (Italy); University of Catania (Italy)

    2016-07-01

    The new in-flight separator Super-FRS is under construction at the Facility for Antiproton and Ion Research (FAIR, Darmstadt). Ion rates up to 3 x 10{sup 11} {sup 238}U/spill demand an adaption of detectors to a high radiation environment. A test experiment to investigate the radiation hardness of polycrystalline diamond detectors (pcCVD) was performed at the LNS-INFN in Catania using a {sup 12}C beam at 62 MeV/u and intensities of up to 1.5 pnA. The setup consisted of pcCVD strip detectors to measure the beam profile, a single crystal diamond detector to calibrate the ionisation chamber working in current mode as a beam intensity monitor and a pcCVD sample to be irradiated. The IC used was designed for FAIR and showed a stable counting rate allowing us to calibrate and perform beam intensity measurements with it. The total measured counts on the sample were 8.25 x 10{sup 11} counts/mm{sup 2} over a period of 60 hours. Digital waveforms of the pcCVD signals were taken with an oscilloscope and analysed. The results showed no change of the pcCVD signal properties during the entire irradiation.

  19. CVD boron nitride infiltration of fibrous structures: properties of low temprature deposits

    International Nuclear Information System (INIS)

    Gebhardt, J.J.

    1973-01-01

    The pyrolytic infiltration of boron nitride and silica fibrous structures with boron nitride was investigated using the thermal decomposition of B-trichloroborazole (TCB) to provide the matrix surrounding felted and 4-directional braided constructions. The deposition precursor was generated on a continuous basis by the reaction between boron trichloride and ammonium chloride in a fixed bed reactor under conditions of total conversion of the trichloride: 3BCl 3 + 3NH 4 Cl = B 3 N 3 H 3 Cl 3 + 9HCl. Deposition rates in boron nitride felt specimens varied between 8 and 28 μm/h, depending on the distance from the exterior surface at the minimum deposition temperature used (1100 0 C ). Infiltration of 4-directional silica braids was poorer because of clogging of the fiber bundle surfaces and access paths to voids in the weave. Deposits prepared at 1100 0 C and above were stable to moisture and consisted of glassy transparent materials which had no discernible x-ray diffraction pattern. Heat treatment of low temperature deposits in nitrogen at 1800 0 C caused significant growth of the crystallites and the emergence of x-ray patterns characteristic of hexagonal boron nitride. Heat treatment in vacuum caused changes in the infrared spectrum which could be correlated with mass analyses of the gases evolved. Loss of hydrogen with amines predominated to about 1500 0 C above which point the loss of nitrogen became significant. (14 figures) (U.S.)

  20. Chemical vapor deposition of nanocrystalline diamond films

    International Nuclear Information System (INIS)

    Vyrovets, I.I.; Gritsyna, V.I.; Dudnik, S.F.; Opalev, O.A.; Reshetnyak, O.M.; Strel'nitskij, V.E.

    2008-01-01

    The brief review of the literature is devoted to synthesis of nanocrystalline diamond films. It is shown that the CVD method is an effective way for deposition of such nanostructures. The basic technological methods that allow limit the size of growing diamond crystallites in the film are studied.

  1. Effect of vapor-phase oxygen on chemical vapor deposition growth of graphene

    Science.gov (United States)

    Terasawa, Tomo-o.; Saiki, Koichiro

    2015-03-01

    To obtain a large-area single-crystal graphene, chemical vapor deposition (CVD) growth on Cu is considered the most promising. Recently, the surface oxygen on Cu has been found to suppress the nucleation of graphene. However, the effect of oxygen in the vapor phase was not elucidated sufficiently. Here, we investigate the effect of O2 partial pressure (PO2) on the CVD growth of graphene using radiation-mode optical microscopy. The nucleation density of graphene decreases monotonically with PO2, while its growth rate reaches a maximum at a certain pressure. Our results indicate that PO2 is an important parameter to optimize in the CVD growth of graphene.

  2. Single crystal diamond detectors grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Tuve, C.; Angelone, M.; Bellini, V.; Balducci, A.; Donato, M.G.; Faggio, G.; Marinelli, M.; Messina, G.; Milani, E.; Morgada, M.E.; Pillon, M.; Potenza, R.; Pucella, G.; Russo, G.; Santangelo, S.; Scoccia, M.; Sutera, C.; Tucciarone, A.; Verona-Rinati, G.

    2007-01-01

    The detection properties of heteropitaxial (polycrystalline, pCVD) and homoepitaxial (single crystal, scCVD) diamond films grown by microwave chemical vapor deposition (CVD) in the Laboratories of Roma 'Tor Vergata' University are reported. The pCVD diamond detectors were tested with α-particles from different sources and 12 C ions produced by 15MV Tandem accelerator at Southern National Laboratories (LNS) in Catania (Italy). pCVDs were also used to monitor 14MeV neutrons produced by the D-T plasma at Joint European Torus (JET), Culham, U.K. The limit of pCVDs is the poor energy resolution. To overcome this problem, we developed scCVD diamonds using the same reactor parameters that optimized pCVD diamonds. scCVD were grown on a low cost (100) HPHT single crystal substrate. A detector 110μm thick was tested under α-particles and under 14MeV neutron irradiation. The charge collection efficiency spectrum measured under irradiation with a triple α-particle source shows three clearly resolved peaks, with an energy resolution of about 1.1%. The measured spectra under neutron irradiation show a well separated C(n,α 0 ) 9 Be12 reaction peak with an energy spread of 0.5MeV for 14.8MeV neutrons and 0.3MeV for 14.1MeV neutrons, which are fully compatible with the energy spread of the incident neutron beams

  3. Expanding thermal plasma chemical vapour deposition of ZnO:Al layers for CIGS solar cells

    NARCIS (Netherlands)

    Sharma, K.; Williams, B.L.; Mittal, A.; Knoops, H.C.M.; Kniknie, B.J.; Bakker, N.J.; Kessels, W.M.M.; Schropp, R.E.I.; Creatore, M.

    2014-01-01

    Aluminium-doped zinc oxide (ZnO:Al) grown by expanding thermal plasma chemical vapour deposition (ETP-CVD) has demonstrated excellent electrical and optical properties, which make it an attractive candidate as a transparent conductive oxide for photovoltaic applications. However, when depositing

  4. Role of hydrogen in Sb film deposition and characterization of Sb and GexSby films deposited by cyclic plasma enhanced chemical vapor deposition using metal-organic precursors

    International Nuclear Information System (INIS)

    Kim, Hyung Keun; Jung, Jin Hwan; Choi, Doo Jin

    2012-01-01

    th increase were explained via the bonding characteristics of each element. - Highlights: ► We used non-toxic precursors not containing Si, Cl nor N elements. ► We investigated the role of H 2 gas during GeSb film deposition. ► GeSb films were deposited by chemical vapor deposition (CVD). ► Atomic ratio of Ge and Sb was well controlled by our custom made CVD system. ► Electrical switching behaviors were clearly observed in CVD-deposited GeSb film.

  5. Chemically vapor-deposited ZrB2 as a selective solar absorber

    International Nuclear Information System (INIS)

    Randich, E.; Allred, D.D.

    1981-01-01

    Coatings of ZrB 2 and TiB 2 for photothermal solar absorber applications were prepared using chemical vapor deposition (CVD) techniques. Oxidation tests suggest a maximum temperature limit for air exposure of 600 K for TiB 2 and 800 K for ZrB 2 . Both materials exhibit innate spectral selectivity with an emittance at 375 K ranging from 0.06 to 0.09, a solar absorptance for ZrB 2 ranging from 0.67 to 0.77 and a solar absorptance for TiB 2 ranging from 0.46 to 0.59. ZrB 2 has better solar selectivity and more desirable oxidation behavior than TiB 2 . A 0.071 μm antireflection coating of Si 3 N 4 deposited onto the ZrB 2 coating leads to an increase in absorptance from 0.77 to 0.93, while the emittance remains unchanged. (Auth.)

  6. Fabrication and characteristics of self-assembly nano-polystyrene films by laser induced CVD

    Energy Technology Data Exchange (ETDEWEB)

    Xiao, Tingting [Department of Applied Physics, Chongqing University, Chongqing 401331 (China); Science and Technology on Plasma Physics Laboratory, Research Center of Laser Fusion, CAEP, Mianyang 621900 (China); Cai, Congzhong [Department of Applied Physics, Chongqing University, Chongqing 401331 (China); Peng, Liping [Science and Technology on Plasma Physics Laboratory, Research Center of Laser Fusion, CAEP, Mianyang 621900 (China); Wu, Weidong, E-mail: wuweidongding@163.com [Science and Technology on Plasma Physics Laboratory, Research Center of Laser Fusion, CAEP, Mianyang 621900 (China)

    2013-10-01

    The self-assembly nano-polystyrene (PS) films have been prepared by laser induced CVD at room temperature. The XPS, Raman and UV–vis absorption spectra all indicated that the films were PS. The optical properties, microstructure and controllable nanostructure of PS films have been investigated. Dewetting-like microstructure in PS films was investigated and uniform island structures with a diameter of about 200 nm were observed at the deposition pressure of 14 Pa. The films possess good toughness and precisely controlled thicknesses. The free-standing PS films with thickness of 10 nm could be obtained by this method though a series of process.

  7. Unravelling merging behaviors and electrostatic properties of CVD-grown monolayer MoS2 domains

    International Nuclear Information System (INIS)

    Hao, Song; Yang, Bingchu; Gao, Yongli

    2016-01-01

    The presence of grain boundaries is inevitable for chemical vapor deposition (CVD)-grown MoS 2 domains owing to various merging behaviors, which greatly limits its potential applications in novel electronic and optoelectronic devices. It is therefore of great significance to unravel the merging behaviors of the synthesized polygon shape MoS 2 domains. Here we provide systematic investigations of merging behaviors and electrostatic properties of CVD-grown polycrystalline MoS 2 crystals by multiple means. Morphological results exhibit various polygon shape features, ascribed to polycrystalline crystals merged with triangle shape MoS 2 single crystals. The thickness of triangle and polygon shape MoS 2 crystals is identical manifested by Raman intensity and peak position mappings. Three merging behaviors are proposed to illustrate the formation mechanisms of observed various polygon shaped MoS 2 crystals. The combined photoemission electron microscopy and kelvin probe force microscopy results reveal that the surface potential of perfect merged crystals is identical, which has an important implication for fabricating MoS 2 -based devices.

  8. Development of amorphous silicon based EUV hardmasks through physical vapor deposition

    Science.gov (United States)

    De Silva, Anuja; Mignot, Yann; Meli, Luciana; DeVries, Scott; Xu, Yongan; Seshadri, Indira; Felix, Nelson M.; Zeng, Wilson; Cao, Yong; Phan, Khoi; Dai, Huixiong; Ngai, Christopher S.; Stolfi, Michael; Diehl, Daniel L.

    2017-10-01

    Extending extreme ultraviolet (EUV) single exposure patterning to its limits requires more than photoresist development. The hardmask film is a key contributor in the patterning stack that offers opportunities to enhance lithographic process window, increase pattern transfer efficiency, and decrease defectivity when utilizing very thin film stacks. This paper introduces the development of amorphous silicon (a-Si) deposited through physical vapor deposited (PVD) as an alternative to a silicon ARC (SiARC) or silicon-oxide-type EUV hardmasks in a typical trilayer patterning scheme. PVD offers benefits such as lower deposition temperature, and higher purity, compared to conventional chemical vapor deposition (CVD) techniques. In this work, sub-36nm pitch line-space features were resolved with a positive-tone organic chemically-amplified resist directly patterned on PVD a-Si, without an adhesion promotion layer and without pattern collapse. Pattern transfer into the underlying hardmask stack was demonstrated, allowing an evaluation of patterning metrics related to resolution, pattern transfer fidelity, and film defectivity for PVD a-Si compared to a conventional tri-layer patterning scheme. Etch selectivity and the scalability of PVD a-Si to reduce the aspect ratio of the patterning stack will also be discussed.

  9. A CVD diamond beam telescope for charged particle tracking

    CERN Document Server

    Adam, W; Bergonzo, P; de Boer, Wim; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Dulinski, W; Doroshenko, J; Doucet, M; van Eijk, B; Fallou, A; Fischer, P; Fizzotti, F; Kania, D R; Gan, K K; Grigoriev, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kaplon, J; Kass, R; Keil, M; Knöpfle, K T; Koeth, T W; Krammer, Manfred; Meuser, S; Lo Giudice, A; MacLynne, L; Manfredotti, C; Meier, D; Menichelli, D; Mishina, M; Moroni, L; Noomen, J; Oh, A; Pan, L S; Pernicka, Manfred; Perera, L P; Riester, J L; Roe, S; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Trischuk, W; Tromson, D; Vittone, E; Weilhammer, Peter; Wermes, N; Wetstein, M; Zeuner, W; Zöller, M

    2002-01-01

    CVD diamond is a radiation hard sensor material which may be used for charged particle tracking near the interaction region in experiments at high luminosity colliders. The goal of the work described here is to investigate the use of several detector planes made of CVD diamond strip sensors for charged particle tracking. Towards this end a tracking telescope composed entirely of CVD diamond planes has been constructed. The telescope was tested in muon beams and its tracking capability has been investigated.

  10. A comparison of different spray chemical vapour deposition methods for the production of undoped ZnO thin films

    International Nuclear Information System (INIS)

    Garnier, Jerome; Bouteville, Anne; Hamilton, Jeff; Pemble, Martyn E.; Povey, Ian M.

    2009-01-01

    Two different methods of spray chemical vapour deposition have been used to grow ZnO thin films on glass substrates from zinc acetate solution over the temperature range 400 o C to 550 o C. The first of these is named InfraRed Assisted Spray Chemical Vapour Deposition (IRAS-CVD). This method uses intense IR radiation to heat not only the substrate but also the gaseous species entering the reactor. The second method is a more conventional approach known simply as ultrasonic spray CVD, which utilises IR lamps to heat the substrate only. By way of comparing these two approaches we present data obtained from contact angle measurements, crystallinity and mean crystallite size, photoluminescence, electrical and optical properties. Additionally we have examined the role of annealing within the IRAS-CVD reactor environment.

  11. Limitations of patterning thin films by shadow mask high vacuum chemical vapor deposition

    International Nuclear Information System (INIS)

    Reinke, Michael; Kuzminykh, Yury; Hoffmann, Patrik

    2014-01-01

    A key factor in engineering integrated devices such as electro-optic switches or waveguides is the patterning of high quality crystalline thin films into specific geometries. In this contribution high vacuum chemical vapor deposition (HV-CVD) was employed to grow titanium dioxide (TiO 2 ) patterns onto silicon. The directed nature of precursor transport – which originates from the high vacuum environment during the process – allows shading certain regions on the substrate by shadow masks and thus depositing patterned thin films. While the use of such masks is an emerging field in stencil or shadow mask lithography, their use for structuring thin films within HV-CVD has not been reported so far. The advantage of the employed technique is the precise control of lateral spacing and of the distance between shading mask and substrate surface which is achieved by manufacturing them directly on the substrate. As precursor transport takes place in the molecular flow regime, the precursor impinging rates (and therefore the film growth rates) on the surface can be simulated as function of the reactor and shading mask geometry using a comparatively simple mathematical model. In the current contribution such a mathematical model, which predicts impinging rates on plain or shadow mask structured substrates, is presented. Its validity is confirmed by TiO 2 -deposition on plain silicon substrates (450 °C) using titanium tetra isopropoxide as precursor. Limitations of the patterning process are investigated by the deposition of TiO 2 on structured substrates and subsequent shadow mask lift-off. The geometry of the deposits is according to the mathematical model. Shading effects due to the growing film enables to fabricate deposits with predetermined variations in topography and non-flat top deposits which are complicated to obtain by classical clean room processes. As a result of the enhanced residual pressure of decomposition products and titanium precursors and the

  12. Filament poisoning at typical carbon nanotube deposition conditions by hot-filament CVD

    CSIR Research Space (South Africa)

    Oliphant, CJ

    2009-05-01

    Full Text Available extensively used for the deposition of various materials, including diamond [1], polymers [2], silicon thin films [3], boron-carbon-nitride layers [4] and carbon nanotubes (CNTs) [5]. The process relies on the catalytic decomposition of precursor gases... (Ho) twice as efficient as a W filament during the deposition of microcrystalline silicon thin films [6]. Reactions between the precursor gases and the heated filament result in changes of the structural properties of the filaments; a process...

  13. Laser diagnostics of a diamond depositing chemical vapour deposition gas-phase environment

    Energy Technology Data Exchange (ETDEWEB)

    Smith, James Anthony

    2002-07-01

    Studies have been carried out to understand the gas-phase chemistry underpinning diamond deposition in hot filament and DC-arcjet chemical vapour deposition (CVD) systems. Resonance enhanced Multiphoton lonisation (REMPI) techniques were used to measure the relative H atom and CH{sub 3} radical number densities and local gas temperatures prevalent in a hot filament reactor, operating on Ch{sub 4}/H{sub 2} and C{sub 2}H{sub 2}/H{sub 2} gas mixtures. These results were compared to a 3D-computer simulation, and hence provided an insight into the nature of the gas-phase chemistry with particular reference to C{sub 2}{yields}C{sub 1} species conversion. Similar experimental and theoretical studies were also carried out to explain the chemistry involved in NH{sub 3}/CH{sub 4}/H{sub 2} and N{sub 2}/CH{sub 4}/H{sub 2} gas mixtures. It was demonstrated that the reactive nature of the filament surface was dependent on the addition of NH{sub 3}, influencing atomic hydrogen production, and thus the H/C/N gas-phase chemistry. Studies of the DC-arcjet diamond CVD reactor consisted of optical emission spectroscopic studies of the plume during deposition from an Ar/H{sub 2}/CH{sub 4}/N{sub 2} gas mixture. Spatially resolved species emission intensity maps were obtained for C{sub 2}(d{yields}a), CN(B{yields}X) and H{sub {beta}} from Abel-inverted datasets. The C{sub 2}(d{yields}a) and CN(B{yields}X) emission intensity maps both show local maxima near the substrate surface. SEM and Laser Raman analyses indicate that N{sub 2} additions lead to a reduction in film quality and growth rate. Photoluminescence and SIMS analyses of the grown films provide conclusive evidence of nitrogen incorporation (as chemically bonded CN). Absolute column densities of C{sub 2}(a) in a DC-arcjet reactor operating on an Ar/H{sub 2}/CH{sub 4} gas mixture, were measured using Cavity ring down spectroscopy. Simulations of the measured C{sub 2}(v=0) transition revealed a rotational temperature of {approx

  14. Cardiovascular disease (CVD and chronic kidney disease (CKD event rates in HIV-positive persons at high predicted CVD and CKD risk: A prospective analysis of the D:A:D observational study.

    Directory of Open Access Journals (Sweden)

    Mark A Boyd

    2017-11-01

    Full Text Available The Data Collection on Adverse Events of Anti-HIV Drugs (D:A:D study has developed predictive risk scores for cardiovascular disease (CVD and chronic kidney disease (CKD, defined as confirmed estimated glomerular filtration rate [eGFR] ≤ 60 ml/min/1.73 m2 events in HIV-positive people. We hypothesized that participants in D:A:D at high (>5% predicted risk for both CVD and CKD would be at even greater risk for CVD and CKD events.We included all participants with complete risk factor (covariate data, baseline eGFR > 60 ml/min/1.73 m2, and a confirmed (>3 months apart eGFR 1%-5%, >5% and fitted Poisson models to assess whether CVD and CKD risk group effects were multiplicative. A total of 27,215 participants contributed 202,034 person-years of follow-up: 74% male, median (IQR age 42 (36, 49 years, median (IQR baseline year of follow-up 2005 (2004, 2008. D:A:D risk equations predicted 3,560 (13.1% participants at high CVD risk, 4,996 (18.4% participants at high CKD risk, and 1,585 (5.8% participants at both high CKD and high CVD risk. CVD and CKD event rates by predicted risk group were multiplicative. Participants at high CVD risk had a 5.63-fold (95% CI 4.47, 7.09, p < 0.001 increase in CKD events compared to those at low risk; participants at high CKD risk had a 1.31-fold (95% CI 1.09, 1.56, p = 0.005 increase in CVD events compared to those at low risk. Participants' CVD and CKD risk groups had multiplicative predictive effects, with no evidence of an interaction (p = 0.329 and p = 0.291 for CKD and CVD, respectively. The main study limitation is the difference in the ascertainment of the clinically defined CVD endpoints and the laboratory-defined CKD endpoints.We found that people at high predicted risk for both CVD and CKD have substantially greater risks for both CVD and CKD events compared with those at low predicted risk for both outcomes, and compared to those at high predicted risk for only CVD or CKD events. This suggests that CVD and

  15. CVD diamond Brewster window: feasibility study by FEM analyses

    Directory of Open Access Journals (Sweden)

    Vaccaro A.

    2012-09-01

    Full Text Available Chemical vapor deposition (CVD diamond windows are a crucial component in heating and current drive (H&CD applications. In order to minimize the amount of reflected power from the diamond disc, its thickness must match the desired beam wavelength, thus proper targeting of the plasma requires movable beam reflectors. This is the case, for instance, of the ITER electron cyclotron H&CD system. However, looking at DEMO, the higher heat loads and neutron fluxes could make the use of movable parts close to the plasma difficult. The issue might be solved by using gyrotrons able to tune the beam frequency to the desired resonance, but this concept requires transmission windows that work in a given frequency range, such as the Brewster window. It consists of a CVD diamond disc brazed to two copper cuffs at the Brewster angle. The brazing process is carried out at about 800°C and then the temperature is decreased down to room temperature. Diamond and copper have very different thermal expansion coefficients, therefore high stresses build up during the cool down phase that might lead to failure of the disc. Considering also the complex geometry of the window with the skewed position of the disc, analyses are required in the first place to check its feasibility. The cool down phase was simulated by FEM structural analyses for several geometric and constraint configurations of the window. A study of indirect cooling of the window by water was also performed considering a HE11 mode beam. The results are here reported.

  16. Ballistic transport in graphene grown by chemical vapor deposition

    NARCIS (Netherlands)

    Calado, V.E.; Zhu, S.E.; Goswami, S.; Xu, Q.; Watanabe, K.; Taniguchi, T.; Janssen, G.C.A.M.; Vandersypen, L.M.K.

    2014-01-01

    In this letter, we report the observation of ballistic transport on micron length scales in graphene synthesised by chemical vapour deposition (CVD). Transport measurements were done on Hall bar geometries in a liquid He cryostat. Using non-local measurements, we show that electrons can be

  17. Atomic-layer chemical-vapor-deposition of TiN thin films on Si(100) and Si(111)

    CERN Document Server

    Kim, Y S; Kim, Y D; Kim, W M

    2000-01-01

    An atomic-layer chemical vapor deposition (AL-CVD) system was used to deposit TiN thin films on Si(100) and Si(111) substrates by cyclic exposures of TiCl sub 4 and NH sub 3. The growth rate was measured by using the number of deposition cycles, and the physical properties were compared with those of TiN films grown by using conventional deposition methods. To investigate the growth mechanism, we suggest a growth model for TiN n order to calculate the growth rate per cycle with a Cerius program. The results of the calculation with the model were compared with the experimental values for the TiN film deposited using the AL-CVD method. The stoichiometry of the TiN film was examined by using Auger electron spectroscopy, and the chlorine and the oxygen impurities were examined. The x-ray diffraction and the transmission electron microscopy results for the TiN film exhibited a strong (200) peak and a randomly oriented columnar microstructure. The electrical resistivity was found to decrease with increasing deposit...

  18. A new CVD diamond mosaic-detector for (n, α) cross-section measurements at the n{sub T}OF experiment at CERN

    Energy Technology Data Exchange (ETDEWEB)

    Weiß, C., E-mail: christina.weiss@cern.ch [Atominstitut, Technische Universität Wien (Austria); European Organization for Nuclear Research (CERN), Geneva (Switzerland); Griesmayer, E. [Atominstitut, Technische Universität Wien (Austria); Guerrero, C. [European Organization for Nuclear Research (CERN), Geneva (Switzerland); Altstadt, S. [Johann-Wolfgang-Goethe Universität, Frankfurt (Germany); Andrzejewski, J. [Uniwersytet Łódzki, Lodz (Poland); Audouin, L. [Centre National de la Recherche Scientifique/IN2P3 - IPN, Orsay (France); Badurek, G. [Atominstitut, Technische Universität Wien (Austria); Barbagallo, M. [Istituto Nazionale di Fisica Nucleare, Bari (Italy); Bécares, V. [Centro de Investigaciones Energeticas Medioambientales y Tecnológicas (CIEMAT), Madrid (Spain); Bečvář, F. [Charles University, Prague (Czech Republic); Belloni, F. [Commissariat à l’Énergie Atomique (CEA) Saclay - Irfu, Gif-sur-Yvette (France); Berthoumieux, E. [Commissariat à l’Énergie Atomique (CEA) Saclay - Irfu, Gif-sur-Yvette (France); European Organization for Nuclear Research (CERN), Geneva (Switzerland); Billowes, J. [University of Manchester, Oxford Road, Manchester (United Kingdom); Boccone, V. [European Organization for Nuclear Research (CERN), Geneva (Switzerland); Bosnar, D. [Department of Physics, Faculty of Science, University of Zagreb (Croatia); Brugger, M.; Calviani, M. [European Organization for Nuclear Research (CERN), Geneva (Switzerland); Calviño, F. [Universitat Politecnica de Catalunya, Barcelona (Spain); and others

    2013-12-21

    At the n{sub T}OF experiment at CERN a dedicated single-crystal chemical vapor deposition (sCVD) Diamond Mosaic-Detector has been developed for (n,α) cross-section measurements. The detector, characterized by an excellent time and energy resolution, consists of an array of 9 sCVD diamond diodes. The detector has been characterized and a cross-section measurement has been performed for the {sup 59}Ni(n,α){sup 56}Fe reaction in 2012. The characteristics of the detector, its performance and the promising preliminary results of the experiment are presented. -- Highlights: •A large-area detector of 3 ×3 sCVD diamonds was built for (n, α) measurements. •The {sup 59}Ni(n, α){sup 56}Fe cross-section was measured successfully at n{sub T}OF/CERN. •The energy resolution of the detector meets the expectations from simulations. •The reaction products during the measurement at n{sub T}OF could clearly be separated. •The detector is suitable for (n, α) measurements in a heterogeneous beam.

  19. Surface modification of pitch-based spherical activated carbon by CVD of NH{sub 3} to improve its adsorption to uric acid

    Energy Technology Data Exchange (ETDEWEB)

    Liu Chaojun [State Key Laboratory of Chemical Engineering, East China University of Science and Technology (ECUST), Shanghai 200237 (China); Liang Xiaoyi [State Key Laboratory of Chemical Engineering, East China University of Science and Technology (ECUST), Shanghai 200237 (China)], E-mail: xyliang@ecust.edu.cn; Liu Xiaojun; Wang Qin; Zhan Liang; Zhang Rui; Qiao Wenming; Ling Licheng [State Key Laboratory of Chemical Engineering, East China University of Science and Technology (ECUST), Shanghai 200237 (China)

    2008-08-30

    Surface chemistry of pitch-based spherical activated carbon (PSAC) was modified by chemical vapor deposition of NH{sub 3} (NH{sub 3}-CVD) to improve the adsorption properties of uric acid. The texture and surface chemistry of PSAC were studied by N{sub 2} adsorption, pH{sub PZC} (point of zero charge), acid-base titration and X-ray photoelectron spectroscopy (XPS). NH{sub 3}-CVD has a limited effect on carbon textural characteristics but it significantly changed the surface chemical properties, resulting in positive effects on uric acid adsorption. After modification by NH{sub 3}-CVD, large numbers of nitrogen-containing groups (especially valley-N and center-N) are introduced on the surface of PSAC, which is responsible for the increase of pH{sub PZC}, surface basicity and uric acid adsorption capacity. Pseudo-second-order kinetic model can be used to describe the dynamic adsorption of uric acid on PSAC, and the thermodynamic parameters show that the adsorption of uric acid on PSAC is spontaneous, endothermic and irreversible process in nature.

  20. Growth and characterization of high-Tc Y1Ba2Cu3O7-x superconducting thin films by chemical vapor deposition

    International Nuclear Information System (INIS)

    Feng, A.

    1992-01-01

    In chapter I, the current status of high-Tc superconductors (especially Y 1 Ba 2 Cu 3 O 7-x ), their microstructures and their unique physical properties are reviewed. An introduction to the potential and importance of those high-Tc superconductors in practical applications, especially for the application of YBCO thin films in microelectronics, is given. A general description of the common YBCO thin film fabrication and characterization techniques is also presented in this first chapter. Chapter II describes a new CVD process, temperature-controlled chemical vapor deposition (TC-CVD) for the growth of YBCO superconducting thin films on substrates of practical importance, such as sapphire (Al 2 O 3 ) and on substrates of lattice matched perovskite-type single crystals, such as LaAlO 3 . In order to verify the viability of this new CVD process the qualities of YBCO superconducting thin films were examined by various characterization methods, such as resistivity vs. temperature (R vs. T), scanning electron microscopy (SEM), X-ray diffraction (XRD), and magnetic susceptibility (x) measurements. Chapter III deals with the effect of substrate temperature on the properties of YBCO thin films made by TC-CVD. The principle objective of this study is to raise the transition temperature and critical current densities of CVD YBCO superconducting thin films. Understanding the relations between YBCO film growth process and varying substrate temperatures proved to be crucial in reaching this goal. The authors present the characterization results of YBCO thin films produced by different temperature schemes, to illustrate the importance of varying substrate temperature during the film growth. In chapter IV, the Rutherford backscattering (RBS) channeling technique is described. They have used RBS channeling to characterize the epitaxial YBCO thin film's crystallinity and lattice alignment. Transmission electron microscopy studies are also included

  1. Carbon diffusion in uncoated and titanium nitride coated iron substrates during microwave plasma assisted chemical vapor deposition of diamond

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Manory, R.R.; Paterson, P.J.K.; Stuart, Sue-Anne

    1992-01-01

    Auger Electron Spectroscopy has been employed to investigate the effectiveness of thin films of TiN as barriers to carbon diffusion during Chemical Vapor Deposition (CVD) of diamond onto Fe substrates. Auger Depth Profiling was used to monitor the C concentration in the TiN layer, through the interface and into the substrate both before and after CVD diamond deposition. The results show that a layer of TiN only 250 Angstroems thick is sufficient to inhibit soot formation on the Fe surface and C diffusion into the Fe bulk. 14 refs., 4 figs

  2. Unravelling merging behaviors and electrostatic properties of CVD-grown monolayer MoS{sub 2} domains

    Energy Technology Data Exchange (ETDEWEB)

    Hao, Song; Yang, Bingchu, E-mail: bingchuyang@csu.edu.cn [College of Physics and Electronics, Institute of Super Microstructure and Ultrafast Process in Advanced Materials, Central South University, 605 South Lushan Road, Changsha 410012 (China); Hunan Key Laboratory for Super-Microstructure and Ultrafast Process, Central South University, 932 South Lushan Road, Changsha 410012 (China); Gao, Yongli [College of Physics and Electronics, Institute of Super Microstructure and Ultrafast Process in Advanced Materials, Central South University, 605 South Lushan Road, Changsha 410012 (China); Hunan Key Laboratory for Super-Microstructure and Ultrafast Process, Central South University, 932 South Lushan Road, Changsha 410012 (China); Department of Physics and Astronomy, University of Rochester, Rochester, New York 14534 (United States)

    2016-08-28

    The presence of grain boundaries is inevitable for chemical vapor deposition (CVD)-grown MoS{sub 2} domains owing to various merging behaviors, which greatly limits its potential applications in novel electronic and optoelectronic devices. It is therefore of great significance to unravel the merging behaviors of the synthesized polygon shape MoS{sub 2} domains. Here we provide systematic investigations of merging behaviors and electrostatic properties of CVD-grown polycrystalline MoS{sub 2} crystals by multiple means. Morphological results exhibit various polygon shape features, ascribed to polycrystalline crystals merged with triangle shape MoS{sub 2} single crystals. The thickness of triangle and polygon shape MoS{sub 2} crystals is identical manifested by Raman intensity and peak position mappings. Three merging behaviors are proposed to illustrate the formation mechanisms of observed various polygon shaped MoS{sub 2} crystals. The combined photoemission electron microscopy and kelvin probe force microscopy results reveal that the surface potential of perfect merged crystals is identical, which has an important implication for fabricating MoS{sub 2}-based devices.

  3. Optimization of Al-CVD process based on elementary reaction simulation and experimental verification: From the growth rate to the surface morphology

    International Nuclear Information System (INIS)

    Sugiyama, Masakazu; Iino, Tomohisa; Nakajima, Tohru; Tanaka, Takeshi; Egashira, Yasuyuki; Yamashita, Kohichi; Komiyama, Hiroshi; Shimogaki, Yukihiro

    2006-01-01

    We propose a method to reduce the surface roughness of Al film in the chemical vapor deposition (CVD) using dimethyl-aluminum-hydride (DMAH) as the precursor. An elementary reaction simulation was executed not only to predict the deposition rate but also to predict the coverage of the film by surface adsorbates. It was assumed that high surface coverage is essential in order to deposit smooth films because the adsorbates protect the surface from oxidation which causes discontinuous growth of crystal grains. According to this principle, the condition, that realizes both high surface coverage and high deposition rate at the same time by using the elementary reaction simulation, was sought. A nozzle inlet was used instead of a conventional showerhead. This drastically improved the surface morphology, showing the effectiveness of this theoretical optimization procedure

  4. Application of Cat-CVD for ULSI technology

    International Nuclear Information System (INIS)

    Akasaka, Yoichi

    2008-01-01

    The ULSI technology has been following Moore's law into the sub-100 nm era, although several challenging technical issues must be resolved. This paper describes possible application of Cat-CVD for ULSI technology beyond the 45 nm node. Especially, Cat-CVD SiN film for a transistor gate sidewall and/or a pre-metallic liner layer, and removal of photo resist (ash) by Cat-induced hydrogen atoms in the interconnect structure with an extreme low-k material are mainly discussed

  5. A review of basic phenomena and techniques for sputter-deposition of high temperature superconducting films

    Energy Technology Data Exchange (ETDEWEB)

    Auciello, O. (Microelectronics Center of North Carolina, Research Triangle Park, NC (USA) North Carolina State Univ., Raleigh, NC (USA). Dept. of Materials Science and Engineering); Ameen, M.S.; Kingon, A.I.; Lichtenwalner, D.J. (North Carolina State Univ., Raleigh, NC (USA). Dept. of Materials Science and Engineering); Krauss, A.R. (Argonne National Lab., IL (USA))

    1990-01-01

    The processes involved in plasma and ion beam sputter-deposition of high temperature superconducting thin films are critically reviewed. Recent advances in the development of these techniques are discussed in relation to basic physical phenomena, specific to each technique, which must be understood before high quality films can be produced. Control of film composition is a major issue in sputter-deposition of multicomponent materials. Low temperature processing of films is a common goal for each technique, particularly in relation to integrating high temperature superconducting films with the current microelectronics technology. It has been understood for some time that for Y{sub 1}Ba{sub 2}Cu{sub 3}O{sub 7} deposition, the most intensely studied high-{Tc} compound, incorporation of sufficient oxygen into the film during deposition is necessary to produce as-deposited superconducting films at relatively substrate temperatures. Recent results have shown that with the use of suitable buffer layers, high quality Y{sub 1}Ba{sub 2}Cu{sub 3}O{sub 7} sputtered films can be obtained on Si substrates without the need for post-deposition anneal processing. This review is mainly focussed on issues related to sputter-deposition of Y{sub 1}Ba{sub 2}Cu{sub 3}O{sub 7} thin films, although representative results concerning the bismuth and thallium based compounds are included. 143 refs., 11 figs.

  6. Influence of Gas Flow Rate on the Deposition Rate on Stainless Steel 202 Substrates

    OpenAIRE

    M.A. Chowdhury; D.M. Nuruzzaman

    2012-01-01

    Solid thin films have been deposited on stainless steel 202 (SS 202) substrates at different flow rates of natural gas using a hot filament thermal chemical vapor deposition (CVD) reactor. In the experiments, the variations of thin film deposition rate with the variation of gas flow rate have been investigated. The effects of gap between activation heater and substrate on the deposition rate have also been observed. Results show that deposition rate on SS 202 increases with the increase in g...

  7. Controlling single and few-layer graphene crystals growth in a solid carbon source based chemical vapor deposition

    International Nuclear Information System (INIS)

    Papon, Remi; Sharma, Subash; Shinde, Sachin M.; Vishwakarma, Riteshkumar; Tanemura, Masaki; Kalita, Golap

    2014-01-01

    Here, we reveal the growth process of single and few-layer graphene crystals in the solid carbon source based chemical vapor deposition (CVD) technique. Nucleation and growth of graphene crystals on a polycrystalline Cu foil are significantly affected by the injection of carbon atoms with pyrolysis rate of the carbon source. We observe micron length ribbons like growth front as well as saturated growth edges of graphene crystals depending on growth conditions. Controlling the pyrolysis rate of carbon source, monolayer and few-layer crystals and corresponding continuous films are obtained. In a controlled process, we observed growth of large monolayer graphene crystals, which interconnect and merge together to form a continuous film. On the other hand, adlayer growth is observed with an increased pyrolysis rate, resulting few-layer graphene crystal structure and merged continuous film. The understanding of monolayer and few-layer crystals growth in the developed CVD process can be significant to grow graphene with controlled layer numbers.

  8. Investigation of chemical vapour deposition diamond detectors by X-ray micro-beam induced current and X-ray micro-beam induced luminescence techniques

    International Nuclear Information System (INIS)

    Olivero, P.; Manfredotti, C.; Vittone, E.; Fizzotti, F.; Paolini, C.; Lo Giudice, A.; Barrett, R.; Tucoulou, R.

    2004-01-01

    Tracking detectors have become an important ingredient in high-energy physics experiments. In order to survive the harsh detection environment of the large hadron collider (LHC), trackers need to have special properties. They must be radiation hard, provide fast collection of charge, be as thin as possible and remove heat from readout electronics. The unique properties of diamond allow it to fulfill these requirements. In this work we present an investigation of the charge transport and luminescence properties of 'detector grade' artificial chemical vapour deposition (CVD) diamond devices developed within the CERN RD42 collaboration, performed by means of X-ray micro-beam induced current collection (XBICC) and X-ray micro-beam induced luminescence (XBIL) techniques. XBICC technique allows quantitative estimates of the transport parameters of the material to be evaluated and mapped with micrometric spatial resolution. In particular, the high resolution and sensitivity of the technique has allowed a quantitative study of the inhomogeneity of the charge transport parameter defined as the product of mobility and lifetime for both electron and holes. XBIL represents a technique complementary to ion beam induced luminescence (IBIL), which has already been used by our group, since X-ray energy loss profile in the material is different from that of MeV ions. X-ray induced luminescence maps have been performed simultaneously with induced photocurrent maps, to correlate charge transport and induced luminescence properties of diamond. Simultaneous XBICC and XBIL maps exhibit features of partial complementarity that have been interpreted on the basis of considerations on radiative and non-radiative recombination processes which compete with charge transport efficiency

  9. Anisotropic electrical conduction and reduction in dangling-bond density for polycrystalline Si films prepared by catalytic chemical vapor deposition

    Science.gov (United States)

    Niikura, Chisato; Masuda, Atsushi; Matsumura, Hideki

    1999-07-01

    Polycrystalline Si (poly-Si) films with high crystalline fraction and low dangling-bond density were prepared by catalytic chemical vapor deposition (Cat-CVD), often called hot-wire CVD. Directional anisotropy in electrical conduction, probably due to structural anisotropy, was observed for Cat-CVD poly-Si films. A novel method to separately characterize both crystalline and amorphous phases in poly-Si films using anisotropic electrical conduction was proposed. On the basis of results obtained by the proposed method and electron spin resonance measurements, reduction in dangling-bond density for Cat-CVD poly-Si films was achieved using the condition to make the quality of the included amorphous phase high. The properties of Cat-CVD poly-Si films are found to be promising in solar-cell applications.

  10. Large-scale synthesis of NbS2 nanosheets with controlled orientation on graphene by ambient pressure CVD.

    Science.gov (United States)

    Ge, Wanyin; Kawahara, Kenji; Tsuji, Masaharu; Ago, Hiroki

    2013-07-07

    We report ambient pressure chemical vapor deposition (CVD) growth of single-crystalline NbS2 nanosheets with controlled orientation. On Si and SiO2 substrates, NbS2 nanosheets grow almost perpendicular to the substrate surface. However, when we apply transferred CVD graphene on SiO2 as a substrate, NbS2 sheets grow laterally lying on the graphene. The NbS2 sheets show the triangular and hexagonal shapes with a thickness of about 20-200 nm and several micrometres in the lateral dimension. Analyses based on X-ray diffraction and Raman spectroscopy indicate that the NbS2 nanosheets are single crystalline 3R-type with a rhombohedral structure of R3m space group. Our findings on the formation of highly aligned NbS2 nanosheets on graphene give new insight into the formation mechanism of NbS2 and would contribute to the templated growth of various layered materials.

  11. CVD and obesity in transitional Syria: a perspective from the Middle East.

    Science.gov (United States)

    Barakat, Hani; Barakat, Hanniya; Baaj, Mohamad K

    2012-01-01

    Syria is caught in the middle of a disruptive nutritional transition. Its healthcare system is distracted by challenges and successes in other areas while neglecting to address the onslaught of Syria's cardiovascular disease (CVD) epidemic. Despite the official viewpoint touting improvement in health indicators, current trends jeopardize population health, and several surveys in the Syrian population signal the epidemic spreading far and wide. The goal is to counteract the indifference towards obesity as a threat to Syrian's health, as the country is slowly becoming a leader in CVD mortality globally. PubMed, World Health Organization, and official government websites were searched for primary surveys in Syria related to CVD morbidity, mortality, and risk factors. Inclusion criteria ensured that results maximized relevance while producing comparable studies. Statistical analysis was applied to detect the most common risk factor and significant differences in risk factor prevalence and CVD rates. Obesity remained the prevailing CVD risk factor except in older Syrian men, where smoking and hypertension were more common. CVD mortality was more common in males due to coronary disease, while stroke dominated female mortality. The young workforce is especially impacted, with 50% of CVD mortality occurring before age 65 years and an 81% prevalence of obesity in women over 45 years. Syria can overcome its slow response to the CVD epidemic and curb further deterioration by reducing obesity and, thus, inheritance and clustering of risk factors. This can be achieved via multilayered awareness and intensive parental and familial involvement. Extinguishing the CVD epidemic is readily achievable as demonstrated in other countries.

  12. Chemical vapour deposition of vanadium oxide thermochromic thin films

    Science.gov (United States)

    Piccirillo, Clara

    Thermochromic materials change optical properties, such as transmittance or reflectance, with a variation in temperature. An ideal intelligent (smart) material will allow solar radiation in through a window in cold conditions, but reflect that radiation in warmer conditions. The variation in the properties is often associated with a phase change, which takes place at a definite temperature, and is normally reversible. Such materials are usually applied to window glass as thin films. This thesis presents the work on the development of thermochromic vanadium (IV) oxide (VO2) thin films - both undoped and doped with tungsten, niobium and gold nanoparticles - which could be employed as solar control coatings. The films were deposited using Chemical Vapour Deposition (CVD), using improved Atmospheric Pressure (APCVD), novel Aerosol Assisted (AACVD) and novel hybrid AP/AACVD techniques. The effects of dopants on the metalto- semiconductor transition temperature and transmittance/reflectance characteristics were also investigated. This work significantly increased the understanding of the mechanisms behind thermochromic behaviour, and resulted in thermochromic materials based on VO2 with greatly improved properties.

  13. CVD refractory metals and alloys for space nuclear power application

    International Nuclear Information System (INIS)

    Yang, L.; Gulden, T.D.; Watson, J.F.

    1984-01-01

    CVD technology has made significant contributions to the development of space nuclear power systems during the period 1962 to 1972. For the in-core thermionic concept, CVD technology is essential to the fabrication of the tungsten electron emitter. For the liquid metal cooled fuel pin using uranium nitride as fuel and T-111 and Nb-1 Zr as cladding, a tungsten barrier possibly produced by CVD methods is essential to the fuel-cladding compatibility at the designed operating temperature. Space power reactors may use heat pipes to transfer heat from the reactor core to the conversion system. CVD technology has been used for fabricating the heat pipe used as cross-flow heat exchanger, including the built-in channels on the condenser wall for liquid lithium return. 28 references, 17 figures

  14. Investigation of defects in CVD diamond: Influence for radiotherapy applications

    International Nuclear Information System (INIS)

    Guerrero, M.J.; Tromson, D.; Bergonzo, P.; Barrett, R.

    2005-01-01

    In this study we present the potentialities of CVD diamond as an ionisation chamber for radiotherapy applications. Trapping levels present in CVD diamond are characterised using Thermally Stimulated Current (TSC) method with X-ray sources. The influence of the corresponding defects on the detector response is investigated and compared to those observed in natural diamond. Also, their spatial distribution across a large area polycrystalline diamond ionisation chamber is discussed. Results show the relative influence of two different populations of trapping levels in CVD diamond whose effect is crucial for radiotherapy applications. To partially overcome the defect detrimental effects, we propose to use CVD diamond ionisation chambers at moderate temperatures from 70 to 100 deg. C that could be provided by self heating of the device, for a dramatically improved stability and reproducibility

  15. The formation of tritium permeation barriers by CVD

    International Nuclear Information System (INIS)

    Forcey, K.S.; Perujo, A.; Reiter, F.; Lolli-Ceroni, P.L.

    1993-01-01

    The effectiveness as permeation barriers of the following CVD coatings have been investigated: TiC (1 to 2 μm in thickness); a bi-layer of TiN on TiC (3 μm total thickness) and CVD Al 2 O 3 on a TiN/TiC bi-layer. The substrate materials were TZM (a Mo alloy) and 316L stainless steel in the form of discs of diameter 48 mm and thickness 0.1 or 1 mm. Permeation measurements were performed in the temperature range 515-742 K using deuterium at pressures in the range 1-50 kPa. CVD layers were shown to form reasonably effective permeation barriers. At a temperature of 673 K TiC is around 6000 times less permeable to deuterium than 316L stainless steel. (orig.)

  16. P-type sp3-bonded BN/n-type Si heterodiode solar cell fabricated by laser-plasma synchronous CVD method

    International Nuclear Information System (INIS)

    Komatsu, Shojiro; Nagata, Takahiro; Chikyo, Toyohiro; Sato, Yuhei; Watanabe, Takayuki; Hirano, Daisuke; Takizawa, Takeo; Nakamura, Katsumitsu; Hashimoto, Takuya; Nakamura, Takuya; Koga, Kazunori; Shiratani, Masaharu; Yamamoto, Atsushi

    2009-01-01

    A heterojunction of p-type sp 3 -bonded boron nitride (BN) and n-type Si fabricated by laser-plasma synchronous chemical vapour deposition (CVD) showed excellent rectifying properties and proved to work as a solar cell with photovoltaic conversion efficiency of 1.76%. The BN film was deposited on an n-type Si (1 0 0) substrate by plasma CVD from B 2 H 6 + NH 3 + Ar while doping of Si into the BN film was induced by the simultaneous irradiation of an intense excimer laser with a pulse power of 490 mJ cm -2 , at a wavelength of 193 nm and at a repetition rate of 20 Hz. The source of dopant Si was supposed to be the Si substrate ablated at the initial stage of the film growth. The laser enhanced the doping (and/or diffusion) of Si into BN as well as the growth of sp 3 -bonded BN simultaneously in this method. P-type conduction of BN films was determined by the hot (thermoelectric) probe method. The BN/Si heterodiode with an essentially transparent p-type BN as a front layer is supposed to efficiently absorb light reaching the active region so as to potentially result in high efficiency.

  17. Synthesis of conductive semi-transparent silver films deposited by a Pneumatically-Assisted Ultrasonic Spray Pyrolysis Technique

    Energy Technology Data Exchange (ETDEWEB)

    Zaleta-Alejandre, E.; Balderas-Xicoténcatl, R. [Centro de Investigación y de Estudios Avanzados-IPN, Departamento de Física, , Apdo. Postal 14-470, Del, Gustavo A. Madero, C.P. 07000, México, D.F. (Mexico); Arrieta, M.L. Pérez [Universidad Autónoma de Zacatecas, Unidad Académica de Física, Calzada Solidaridad esq. Paseo, La Bufa s/n, C.P. 98060, Zacatecas, México (Mexico); Meza-Rocha, A.N.; Rivera-Álvarez, Z. [Centro de Investigación y de Estudios Avanzados-IPN, Departamento de Física, , Apdo. Postal 14-470, Del, Gustavo A. Madero, C.P. 07000, México, D.F. (Mexico); Falcony, C., E-mail: cfalcony@fis.cinvestav.mx [Centro de Investigación y de Estudios Avanzados-IPN, Departamento de Física, , Apdo. Postal 14-470, Del, Gustavo A. Madero, C.P. 07000, México, D.F. (Mexico)

    2013-10-01

    Highlights: • We deposited metallic silver films without post-deposition annealing. • The spray pyrolysis technique is of low cost and scalable for industrial applications. • We obtained deposition rate of 60 nm min{sup −1} at 300 °C. • The average resistivity was 1E−7 Ω m. • Semi-transparent silver films were obtained at 350 °C and deposition time of 45 s. -- Abstract: The synthesis and characterization of nanostructured silver films deposited on corning glass by a deposition technique called Pneumatically-Assisted Ultrasonic Spray Pyrolysis are reported. Silver nitrate and triethanolamine were used as silver precursor and reducer agent, respectively. The substrate temperatures during deposition were in the range of 300–450 °C and the deposition times from 30 to 240 s. The deposited films are polycrystalline with cubic face-centered structure, and crystalline grain size less than 30 nm. Deposition rates up to 600 Å min{sup −1} were obtained at substrate temperature as low as 300 °C. The electrical, optical, and morphological properties of these films are also reported. Semi-transparent conductive silver films were obtained at 350 °C with a deposition time of 45 s.

  18. Synthesis of conductive semi-transparent silver films deposited by a Pneumatically-Assisted Ultrasonic Spray Pyrolysis Technique

    International Nuclear Information System (INIS)

    Zaleta-Alejandre, E.; Balderas-Xicoténcatl, R.; Arrieta, M.L. Pérez; Meza-Rocha, A.N.; Rivera-Álvarez, Z.; Falcony, C.

    2013-01-01

    Highlights: • We deposited metallic silver films without post-deposition annealing. • The spray pyrolysis technique is of low cost and scalable for industrial applications. • We obtained deposition rate of 60 nm min −1 at 300 °C. • The average resistivity was 1E−7 Ω m. • Semi-transparent silver films were obtained at 350 °C and deposition time of 45 s. -- Abstract: The synthesis and characterization of nanostructured silver films deposited on corning glass by a deposition technique called Pneumatically-Assisted Ultrasonic Spray Pyrolysis are reported. Silver nitrate and triethanolamine were used as silver precursor and reducer agent, respectively. The substrate temperatures during deposition were in the range of 300–450 °C and the deposition times from 30 to 240 s. The deposited films are polycrystalline with cubic face-centered structure, and crystalline grain size less than 30 nm. Deposition rates up to 600 Å min −1 were obtained at substrate temperature as low as 300 °C. The electrical, optical, and morphological properties of these films are also reported. Semi-transparent conductive silver films were obtained at 350 °C with a deposition time of 45 s

  19. A CVD diamond detector for (n,α) cross-section measurements

    International Nuclear Information System (INIS)

    Weiss, C.

    2014-01-01

    A novel detector based on the chemical vapor deposition (CVD) diamond technology has been developed in the framework of this PhD, for the experimental determination of (n,α) cross-sections at the neutron time-of-flight facility n⎽TOF at CERN. The 59 Ni(n,α) 56 Fe cross-section, which is relevant for astrophysical questions as well as for risk-assessment studies in nuclear technology, has been measured in order to validate the applicability of the detector for such experiments. The thesis is divided in four parts. In the introductory part the motivation for measuring (n,α) cross-sections, the experimental challenges for such measurements and the reasons for choosing the CVD diamond technology for the detector are given. This is followed by the presentation of the n⎽TOF facility, an introduction to neutron-induced nuclear reactions and a brief summary of the interaction of particles with matter. The CVD diamond technology and the relevant matters related to electronics are given as well in this first part of the thesis. The second part is dedicated to the design and production of the Diamond Mosaic-Detector (DM-D) and its characterization. The 59 Ni(n,α) 56 Fe cross-section measurement at n⎽TOF and the data analysis are discussed in detail in the third part of the thesis, before the summary of the thesis and an outlook to possible future developments and applications conclude the thesis in the forth part. In this work, the Diamond Mosaic-Detector, which consist of eight single-crystal (sCVD) diamond sensors and one 'Diamond on Iridium' (DOI) sensor has proven to be well suited for (n,α) cross-section measurements for 1 MeV < E α < 22 MeV. The upper limit is given by the thickness of the sensors, d = 150 μm, while the lower limit is dictated by background induced by neutron capture reactions in in-beam materials. The cross-section measurement was focussed on the resonance integral of 59 Ni(n,α) 56 Fe at E n = 203 eV, with the aim of clarifying

  20. A comparative study of two advanced spraying techniques for the deposition of biologically active enzyme coatings onto bone-substituting implants

    International Nuclear Information System (INIS)

    Jonge, Lise T. de; Ju, J.; Leeuwenburgh, S.C.G.; Yamagata, Y.; Higuchi, T.; Wolke, J.G.C.; Inoue, K.; Jansen, J.A.

    2010-01-01

    Surface modification of implant materials with biomolecule coatings is of high importance to improve implant fixation in bone tissue. In the current study, we present two techniques for the deposition of biologically active enzyme coatings onto implant materials. The well-established thin film ElectroSpray Deposition (ESD) technique was compared with the SAW-ED technique that combines high-frequency Surface Acoustic Wave atomization with Electrostatic Deposition. By immobilizing the enzyme alkaline phosphatase (ALP) onto implant surfaces, the influence of both SAW-ED and ESD deposition parameters on ALP deposition efficiency and ALP biological activity was investigated. ALP coatings with preserved enzyme activity were deposited by means of both the SAW-ED and ESD technique. The advantages of SAW-ED over ESD include the possibility to spray highly conductive protein solutions, and the 60-times faster deposition rate. Furthermore, significantly higher deposition efficiencies were observed for the SAW-ED technique compared to ESD. Generally, it was shown that protein inactivation is highly dependent on both droplet dehydration and the applied electrical field strength. The current study shows that SAW-ED is a versatile and flexible technique for the fabrication of functionally active biomolecule coatings.

  1. Properties, synthesis, and growth mechanisms of carbon nanotubes with special focus on thermal chemical vapor deposition.

    Science.gov (United States)

    Nessim, Gilbert D

    2010-08-01

    Carbon nanotubes (CNTs) have been extensively investigated in the last decade because their superior properties could benefit many applications. However, CNTs have not yet made a major leap into industry, especially for electronic devices, because of fabrication challenges. This review provides an overview of state-of-the-art of CNT synthesis techniques and illustrates their major technical difficulties. It also charts possible in situ analyses and new reactor designs that might enable commercialization. After a brief description of the CNT properties and of the various techniques used to synthesize substrate-free CNTs, the bulk of this review analyzes chemical vapor deposition (CVD). This technique receives special attention since it allows CNTs to be grown in predefined locations, provides a certain degree of control of the types of CNTs grown, and may have the highest chance to succeed commercially. Understanding the primary growth mechanisms at play during CVD is critical for controlling the properties of the CNTs grown and remains the major hurdle to overcome. Various factors that influence CNT growth receive a special focus: choice of catalyst and substrate materials, source gases, and process parameters. This review illustrates important considerations for in situ characterization and new reactor designs that may enable researchers to better understand the physical growth mechanisms and to optimize the synthesis of CNTs, thus contributing to make carbon nanotubes a manufacturing reality.

  2. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.; Sevilla, Galo T.; Rader, Kelly; Hussain, Muhammad Mustafa

    2013-01-01

    electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace

  3. A study on the basic CVD process technology for TRISO coated particle fuel

    International Nuclear Information System (INIS)

    Choi, D. J.; Cheon, J. H.; Keum, I. S.; Lee, H. S.; Kim, J. G.

    2006-03-01

    Hydrogen energy has many advantages and is suitable as alternative energy of fossil fuel. The study of nuclear hydrogen production has performed at present. For nuclear hydrogen production, it is needed the study of VHTR(Very High Temperature Reactor) and TRISO(TRI-iSOtropic) coated fuel. TRISO coated fuel particle deposited by FBCVD(Fludized Bed CVD) method is composed of three isotropic layers: Inner Pyrolytic Carbon (IPyC), Silicon Carbide (SiC), Outer Pyrolytic Carbon (OPyC) layers. Silicon carbide was chemically vapor deposed on graphite substrate using methyltrichlorosilane (CH 3 SiCl 3 ) as a source in hydrogen atmosphere. The effect of deposition temperature and input gas ratios ( α=Q H2 /Q MTS =P H2 /P MTS ) was investigated in order to find out characteristics of silicon carbide layer. From results of those, SiC-TRISO coating deposition was conducted and achieved. Zirconium carbide layer as an advanced material of silicon carbide layer has studied. In order to find out basic properties and characteristics, studies have conducted using various methods. Zirconium carbide is chemically vapor deposed subliming zirconium tetrachloride(ZrCl 4 ) and using methan(CH 4 ) as a source in hydrogen atmosphere. Many experiments were conducted on graphite substrate about many deposition conditions such as ZrCl 4 heating temperatures and variables of H2 and CH 4 flow rate. but carbon graphite was deposited. For deposition of zirconium carbide, several different methods were approached. so zirconium carbide deposed on ZrO 2 substrate. In this experiments. source subliming type and equipment are no problems. But deposition of zirconium carbide will be continuously studied on graphite substrate approaching views of experimental way and equipment structure

  4. Chemically vapor-deposited ZrB/sub 2/ as a selective solar absorber

    Energy Technology Data Exchange (ETDEWEB)

    Randich, E.; Allred, D.D.

    1981-09-25

    Coatings of ZrB/sub 2/ and TiB/sub 2/ for photothermal solar absorber applications were prepared using chemical vapor deposition (CVD) techniques. Oxidation tests suggest a maximum temperature limit for air exposure of 600 K for TiB/sub 2/ and 800 K for ZrB/sub 2/. Both materials exhibit innate spectral selectivity with an emittance at 375 K ranging from 0.06 to 0.09, a solar absorptance for ZrB/sub 2/ ranging from 0.67 to 0.77 and a solar absorptance for TiB/sub 2/ ranging from 0.46 to 0.59. ZrB/sub 2/ has better solar selectivity and more desirable oxidation behavior than TiB/sub 2/. A 0.071 ..mu..m antireflection coating of Si/sub 3/N/sub 4/ deposited onto the ZrB/sub 2/ coating leads to an increase in absorptance from 0.77 to 0.93, while the emittance remains unchanged.

  5. Validation of a model to investigate the effects of modifying cardiovascular disease (CVD) risk factors on the burden of CVD: the rotterdam ischemic heart disease and stroke computer simulation (RISC) model.

    Science.gov (United States)

    van Kempen, Bob J H; Ferket, Bart S; Hofman, Albert; Steyerberg, Ewout W; Colkesen, Ersen B; Boekholdt, S Matthijs; Wareham, Nicholas J; Khaw, Kay-Tee; Hunink, M G Myriam

    2012-12-06

    We developed a Monte Carlo Markov model designed to investigate the effects of modifying cardiovascular disease (CVD) risk factors on the burden of CVD. Internal, predictive, and external validity of the model have not yet been established. The Rotterdam Ischemic Heart Disease and Stroke Computer Simulation (RISC) model was developed using data covering 5 years of follow-up from the Rotterdam Study. To prove 1) internal and 2) predictive validity, the incidences of coronary heart disease (CHD), stroke, CVD death, and non-CVD death simulated by the model over a 13-year period were compared with those recorded for 3,478 participants in the Rotterdam Study with at least 13 years of follow-up. 3) External validity was verified using 10 years of follow-up data from the European Prospective Investigation of Cancer (EPIC)-Norfolk study of 25,492 participants, for whom CVD and non-CVD mortality was compared. At year 5, the observed incidences (with simulated incidences in brackets) of CHD, stroke, and CVD and non-CVD mortality for the 3,478 Rotterdam Study participants were 5.30% (4.68%), 3.60% (3.23%), 4.70% (4.80%), and 7.50% (7.96%), respectively. At year 13, these percentages were 10.60% (10.91%), 9.90% (9.13%), 14.20% (15.12%), and 24.30% (23.42%). After recalibrating the model for the EPIC-Norfolk population, the 10-year observed (simulated) incidences of CVD and non-CVD mortality were 3.70% (4.95%) and 6.50% (6.29%). All observed incidences fell well within the 95% credibility intervals of the simulated incidences. We have confirmed the internal, predictive, and external validity of the RISC model. These findings provide a basis for analyzing the effects of modifying cardiovascular disease risk factors on the burden of CVD with the RISC model.

  6. Validation of a model to investigate the effects of modifying cardiovascular disease (CVD risk factors on the burden of CVD: the rotterdam ischemic heart disease and stroke computer simulation (RISC model

    Directory of Open Access Journals (Sweden)

    van Kempen Bob JH

    2012-12-01

    Full Text Available Abstract Background We developed a Monte Carlo Markov model designed to investigate the effects of modifying cardiovascular disease (CVD risk factors on the burden of CVD. Internal, predictive, and external validity of the model have not yet been established. Methods The Rotterdam Ischemic Heart Disease and Stroke Computer Simulation (RISC model was developed using data covering 5 years of follow-up from the Rotterdam Study. To prove 1 internal and 2 predictive validity, the incidences of coronary heart disease (CHD, stroke, CVD death, and non-CVD death simulated by the model over a 13-year period were compared with those recorded for 3,478 participants in the Rotterdam Study with at least 13 years of follow-up. 3 External validity was verified using 10 years of follow-up data from the European Prospective Investigation of Cancer (EPIC-Norfolk study of 25,492 participants, for whom CVD and non-CVD mortality was compared. Results At year 5, the observed incidences (with simulated incidences in brackets of CHD, stroke, and CVD and non-CVD mortality for the 3,478 Rotterdam Study participants were 5.30% (4.68%, 3.60% (3.23%, 4.70% (4.80%, and 7.50% (7.96%, respectively. At year 13, these percentages were 10.60% (10.91%, 9.90% (9.13%, 14.20% (15.12%, and 24.30% (23.42%. After recalibrating the model for the EPIC-Norfolk population, the 10-year observed (simulated incidences of CVD and non-CVD mortality were 3.70% (4.95% and 6.50% (6.29%. All observed incidences fell well within the 95% credibility intervals of the simulated incidences. Conclusions We have confirmed the internal, predictive, and external validity of the RISC model. These findings provide a basis for analyzing the effects of modifying cardiovascular disease risk factors on the burden of CVD with the RISC model.

  7. Impedance study on the corrosion of PVD and CVD titanium nitride coatings

    International Nuclear Information System (INIS)

    Elsener, B.; Rota, A.; Boehni, H.

    1989-01-01

    Titanium nitride (TiN) coatings, produced by physical (PVD) or chemical (CVD) vapor deposition techniques are used routinely to improve the wear and corrosion resistance of a surface. The main problem in using TiN as a protective coating in aggressive environements are pores and pinholes in the coating where the substrate is exposed to the electrolyte. In this work, the electrochemical and corrosion behaviour of TiN films on quartz glass, carbon steel, 304 and 316 stainless steel is studied by polarization curves and electrochemical impedance spectroscopy (EIS) in hydrochloric acid. It is shown that the TiN coating can be used successfully only on substrates that passivate easily. On mild steel rapid corrosion takes place at pores in the coating due to the very noble steady state potential of the TiN coating. The interaction of the metallic substrate with the TiN coating is discussed for the two limiting cases mild steel (active) and 316SS (passive). It is shown that the determination of the coating porosity is possible for the active substrate only. On the passive substrate the occurence of an additional time constant in the high frequency region of the spectrum qualitatively indicates the presence of pores. A quality control of the coatings based on this fact might be possible. (author) 15 refs., 6 figs., 2 tabs

  8. Uranium solution mining cost estimating technique: means for rapid comparative analysis of deposits

    International Nuclear Information System (INIS)

    Anon.

    1978-01-01

    Twelve graphs provide a technique for determining relative cost ranges for uranium solution mining projects. The use of the technique can provide a consistent framework for rapid comparative analysis of various properties of mining situations. The technique is also useful to determine the sensitivities of cost figures to incremental changes in mining factors or deposit characteristics

  9. Continuous, Highly Flexible, and Transparent Graphene Films by Chemical Vapor Deposition for Organic Photovoltaics

    KAUST Repository

    Gomez De Arco, Lewis

    2010-05-25

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD, transferred to transparent substrates, and evaluated in organic solar cell heterojunctions (TCE/poly-3,4- ethylenedioxythiophene:poly styrenesulfonate (PEDOT:PSS)/copper phthalocyanine/fullerene/bathocuproine/aluminum). Key to our success is the continuous nature of the CVD graphene films, which led to minimal surface roughness (∼ 0.9 nm) and offered sheet resistance down to 230 Ω/sq (at 72% transparency), much lower than stacked graphene flakes at similar transparency. In addition, solar cells with CVD graphene and indium tin oxide (ITO) electrodes were fabricated side-by-side on flexible polyethylene terephthalate (PET) substrates and were confirmed to offer comparable performance, with power conversion efficiencies (η) of 1.18 and 1.27%, respectively. Furthermore, CVD graphene solar cells demonstrated outstanding capability to operate under bending conditions up to 138°, whereas the ITO-based devices displayed cracks and irreversible failure under bending of 60°. Our work indicates the great potential of CVD graphene films for flexible photovoltaic applications. © 2010 American Chemical Society.

  10. Continuous, highly flexible, and transparent graphene films by chemical vapor deposition for organic photovoltaics.

    Science.gov (United States)

    Gomez De Arco, Lewis; Zhang, Yi; Schlenker, Cody W; Ryu, Koungmin; Thompson, Mark E; Zhou, Chongwu

    2010-05-25

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD, transferred to transparent substrates, and evaluated in organic solar cell heterojunctions (TCE/poly-3,4-ethylenedioxythiophene:poly styrenesulfonate (PEDOT:PSS)/copper phthalocyanine/fullerene/bathocuproine/aluminum). Key to our success is the continuous nature of the CVD graphene films, which led to minimal surface roughness ( approximately 0.9 nm) and offered sheet resistance down to 230 Omega/sq (at 72% transparency), much lower than stacked graphene flakes at similar transparency. In addition, solar cells with CVD graphene and indium tin oxide (ITO) electrodes were fabricated side-by-side on flexible polyethylene terephthalate (PET) substrates and were confirmed to offer comparable performance, with power conversion efficiencies (eta) of 1.18 and 1.27%, respectively. Furthermore, CVD graphene solar cells demonstrated outstanding capability to operate under bending conditions up to 138 degrees , whereas the ITO-based devices displayed cracks and irreversible failure under bending of 60 degrees . Our work indicates the great potential of CVD graphene films for flexible photovoltaic applications.

  11. New materials for advanced lithium battery. Especially on plasma-assisted CVD of TiS sub 2

    Energy Technology Data Exchange (ETDEWEB)

    Kikkawa, Shin' ichi [Osaka Univ. (Japan)

    1989-03-15

    Intercalation can be applied to cathode reaction of rechargeable battery. Three kinds of candidate materials, TiS{sub 2}, NbS{sub 3} and alkali transition metal dioxides such as LiCoO{sub 2} were studied as the battery cathode. TiS{sub 2} is closest to a practical usage. Lithium ion has to diffuse in the TiS{sub 2} crystal lattice during the intercalation. Large surface area is necessary to obtain high current density. Preferred orientation of the crystallite is also required in its film formation to further enhance its current density. CVD reactor was used to obtain TiS{sub 2} fine powder and its thin film. Black fine power was obtained on a thin film deposited on a glass substrate. The powder was obtained in high yield under a pressure of 120 Pa at the electrode center where the plasma density was high. In conclusion, TiS{sub 2} fine power with submicron diameter and also highly preferred oriented TiS{sub 2} thin film were obtained using plasma-CVD. 21 refs., 8 figs.

  12. Chemical vapor deposition growth of two-dimensional heterojunctions

    Science.gov (United States)

    Cui, Yu; Li, Bo; Li, JingBo; Wei, ZhongMing

    2018-01-01

    The properties of two-dimensional (2D) layered materials with atom-smooth surface and special interlayer van der Waals coupling are different from those of traditional materials. Due to the absence of dangling bonds from the clean surface of 2D layered materials, the lattice mismatch influences slightly on the growth of 2D heterojunctions, thus providing a flexible design strategy. 2D heterojunctions have attracted extensive attention because of their excellent performance in optoelectronics, spintronics, and valleytronics. The transfer method was utilized for the fabrication of 2D heterojunctions during the early stage of fundamental research on these materials. This method, however, has limited practical applications. Therefore, chemical vapor deposition (CVD) method was recently developed and applied for the preparation of 2D heterojunctions. The CVD method is a naturally down-top growth strategy that yields 2D heterojunctions with sharp interfaces. Moreover, this method effectively reduces the introduction of contaminants to the fabricated heterojunctions. Nevertheless, the CVD-growth method is sensitive to variations in growth conditions. In this review article, we attempt to provide a comprehensive overview of the influence of growth conditions on the fabrication of 2D heterojunctions through the direct CVD method. We believe that elucidating the effects of growth conditions on the CVD method is necessary to help control and improve the efficiency of the large-scale fabrication of 2D heterojunctions for future applications in integrated circuits.

  13. Geochemical Exploration Techniques Applicable in the Search for Copper Deposits

    Science.gov (United States)

    Chaffee, Maurice A.

    1975-01-01

    Geochemical exploration is an important part of copper-resource evaluation. A large number of geochemical exploration techniques, both proved and untried, are available to the geochemist to use in the search for new copper deposits. Analyses of whole-rock samples have been used in both regional and local geochemical exploration surveys in the search for copper. Analyses of mineral separates, such as biotite, magnetite, and sulfides, have also been used. Analyses of soil samples are widely used in geochemical exploration, especially for localized surveys. It is important to distinguish between residual and transported soil types. Orientation studies should always be conducted prior to a geochemical investigation in a given area in order to determine the best soil horizon and the best size of soil material for sampling in that area. Silty frost boils, caliche, and desert varnish are specialized types of soil samples that might be useful sampling media. Soil gas is a new and potentially valuable geochemical sampling medium, especially in exploring for buried mineral deposits in arid regions. Gaseous products in samples of soil may be related to base-metal deposits and include mercury vapor, sulfur dioxide, hydrogen sulfide, carbon oxysulfide, carbon dioxide, hydrogen, oxygen, nitrogen, the noble gases, the halogens, and many hydrocarbon compounds. Transported materials that have been used in geochemical sampling programs include glacial float boulders, glacial till, esker gravels, stream sediments, stream-sediment concentrates, and lake sediments. Stream-sediment sampling is probably the most widely used and most successful geochemical exploration technique. Hydrogeochemical exploration programs have utilized hot- and cold-spring waters and their precipitates as well as waters from lakes, streams, and wells. Organic gel found in lakes and at stream mouths is an unproved sampling medium. Suspended material and dissolved gases in any type of water may also be useful

  14. Electrical and optical performance of transparent conducting oxide films deposited by electrostatic spray assisted vapour deposition.

    Science.gov (United States)

    Hou, Xianghui; Choy, Kwang-Leong; Liu, Jun-Peng

    2011-09-01

    Transparent conducting oxide (TCO) films have the remarkable combination of high electrical conductivity and optical transparency. There is always a strong motivation to produce TCO films with good performance at low cost. Electrostatic Spray Assisted Vapor Deposition (ESAVD), as a variant of chemical vapour deposition (CVD), is a non-vacuum and low-cost deposition method. Several types of TCO films have been deposited using ESAVD process, including indium tin oxide (ITO), antimony-doped tin oxide (ATO), and fluorine doped tin oxide (FTO). This paper reports the electrical and optical properties of TCO films produced by ESAVD methods, as well as the effects of post treatment by plasma hydrogenation on these TCO films. The possible mechanisms involved during plasma hydrogenation of TCO films are also discussed. Reduction and etching effect during plasma hydrogenation are the most important factors which determine the optical and electrical performance of TCO films.

  15. Enhanced graphitization of c-CVD grown multi-wall carbon nanotube arrays assisted by removal of encapsulated iron-based phases under thermal treatment in argon

    International Nuclear Information System (INIS)

    Boncel, Slawomir; Koziol, Krzysztof K.K.

    2014-01-01

    Graphical abstract: - Highlights: • Annealing of the c-CVD MWCNT arrays toward complete removal of iron nanoparticles. • The ICP-AES protocol established for quantitative analysis of Fe-content in MWCNTs. • The vertical alignment from the as-grown MWCNT arrays found intact after annealing. • A route to decrease number of defects/imperfections in the MWCNT graphene walls. • A foundation for commercial purification of c-CVD derived MWCNTs. - Abstract: The effect of annealing on multi-walled carbon nanotube (MWCNT) arrays grown via catalytic Chemical Vapour Deposition (c-CVD) was studied. The treatment enabled to decrease number of defects/imperfections in the graphene walls of MWCNTs’, which was reflected in Raman spectroscopy by reduction of the I D /I G ratio by 27%. Moreover, the vertical alignment from the as-synthesized nanotube arrays was found intact after annealing. Not only graphitization of the nanotube walls occurred under annealing, but the amount of metal iron-based catalyst residues (interfering with numerous physicochemical properties, and hence applications of MWCNTs) was reduced from 9.00 wt.% (for pristine MWCNTs) to 0.02 wt.% as detected by Inductively Coupled Plasma Atomic Emission Spectroscopy (ICP-AES). This value, established by a new analytical protocol, is the lowest recorded by now for purified c-CVD MWCNTs and, due to operating under atmospheric pressure, medium temperature regime (as for annealing processes), reasonable time-scale and metal residue non-specificity, it could lay the foundation for commercial purification of c-CVD derived MWCNTs

  16. VO{sub x} effectively doping CVD-graphene for transparent conductive films

    Energy Technology Data Exchange (ETDEWEB)

    Ji, Qinghua; Shi, Liangjing [State Key Laboratory of High Performance Ceramics and Superfine Microstructure, Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China); Zhang, Qinghong [State Key Laboratory of Modification of Chemical Fibers and Polymer Materials, College of Material Science and Engineering, Donghua University, 2999 North Renmin Road, Shanghai 201620 (China); Wang, Weiqi; Zheng, Huifeng [State Key Laboratory of High Performance Ceramics and Superfine Microstructure, Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China); Zhang, Yuzhi [The Key Laboratory of Inorganic Coating Materials, Shanghai Institute of Ceramics, Chinese Academy of Sciences,1295 Dingxi Road, Shanghai 200050 (China); Liu, Yangqiao, E-mail: yqliu@mail.sic.ac.cn [State Key Laboratory of High Performance Ceramics and Superfine Microstructure, Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China); Sun, Jing, E-mail: jingsun@mail.sic.ac.cn [State Key Laboratory of High Performance Ceramics and Superfine Microstructure, Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China)

    2016-11-30

    Highlights: • Doping process operated easily. • Sheet resistance decreased efficiently after doping. • Sheet resistance of doped graphene is stable after exposed in the air. • Mechanism of doping process is studied. - Abstract: Chemical vapor deposition(CVD)-synthesized graphene is potentially an alternative for tin-doped indium oxide (ITO) transparent conductive films (TCFs), however its sheet resistance is still too high to meet many demands. Vanadium oxide has been widely applied as smart window materials, however, no study has been reported to use it as dopant to improve the conductivity of graphene TCFs. In this study, we firstly reported that VO{sub x} doping can effectively lower the sheet resistance of CVD-graphene films while keeping its good optical properties, whose transmittance is as high as 86–90%. The optimized VO{sub x}-doped graphene exhibits a sheet resistance as low as 176 Ω/□, which decreases by 56% compared to the undoped graphene films. The doping process is convenient, stable, economical and easy to operate. What is more, VO{sub x} can effectively increase the work function(WF) of the film, making it more appropriate for use in solar cells. The evolution of the VO{sub x} species annealed at different temperatures below 400 °C has been detailed studied for the first time, based on which the doping mechanism is proposed. The prepared VO{sub x} doped graphene is expected to be a promising candidate for transparent conductive film purposes.

  17. Development of laser-fired contacts for amorphous silicon layers obtained by Hot-Wire CVD

    International Nuclear Information System (INIS)

    Munoz, D.; Voz, C.; Blanque, S.; Ibarz, D.; Bertomeu, J.; Alcubilla, R.

    2009-01-01

    In this work we study aluminium laser-fired contacts for intrinsic amorphous silicon layers deposited by Hot-Wire CVD. This structure could be used as an alternative low temperature back contact for rear passivated heterojunction solar cells. An infrared Nd:YAG laser (1064 nm) has been used to locally fire the aluminium through the thin amorphous silicon layers. Under optimized laser firing parameters, very low specific contact resistances (ρ c ∼ 10 mΩ cm 2 ) have been obtained on 2.8 Ω cm p-type c-Si wafers. This investigation focuses on maintaining the passivation quality of the interface without an excessive increase in the series resistance of the device.

  18. Long distance spin communication in chemical vapour deposited graphene

    Science.gov (United States)

    Kamalakar, M. Venkata; Groenveld, Christiaan; Dankert, André; Dash, Saroj P.

    2015-04-01

    Graphene is an ideal medium for long-distance spin communication in future spintronic technologies. So far, the prospect is limited by the smaller sizes of exfoliated graphene flakes and lower spin transport properties of large-area chemical vapour-deposited (CVD) graphene. Here we demonstrate a high spintronic performance in CVD graphene on SiO2/Si substrate at room temperature. We show pure spin transport and precession over long channel lengths extending up to 16 μm with a spin lifetime of 1.2 ns and a spin diffusion length ~6 μm at room temperature. These spin parameters are up to six times higher than previous reports and highest at room temperature for any form of pristine graphene on industrial standard SiO2/Si substrates. Our detailed investigation reinforces the observed performance in CVD graphene over wafer scale and opens up new prospects for the development of lateral spin-based memory and logic applications.

  19. Enhanced intrinsic voltage gain in artificially stacked bilayer CVD graphene field effect transistors

    Energy Technology Data Exchange (ETDEWEB)

    Pandey, Himadri; Kataria, Satender [RWTH Aachen University, Chair for Electronic Devices, Aachen (Germany); University of Siegen, School of Science and Technology, Siegen (Germany); Aguirre-Morales, Jorge-Daniel; Fregonese, Sebastien; Zimmer, Thomas [IMS Laboratory, Centre National de la Recherche Scientifique, University of Bordeaux, Talence (France); Passi, Vikram [University of Siegen, School of Science and Technology, Siegen (Germany); AMO GmbH, Advanced Microelectronics Center Aachen (Germany); Iannazzo, Mario; Alarcon, Eduard [Technical University of Catalonia, Department of Electronics Engineering, UPC, Barcelona (Spain); Lemme, Max C. [RWTH Aachen University, Chair for Electronic Devices, Aachen (Germany); University of Siegen, School of Science and Technology, Siegen (Germany); AMO GmbH, Advanced Microelectronics Center Aachen (Germany)

    2017-11-15

    We report on electronic transport in dual-gate, artificially stacked bilayer graphene field effect transistors (BiGFETs) fabricated from large-area chemical vapor deposited (CVD) graphene. The devices show enhanced tendency to current saturation, which leads to reduced minimum output conductance values. This results in improved intrinsic voltage gain of the devices when compared to monolayer graphene FETs. We employ a physics based compact model originally developed for Bernal stacked bilayer graphene FETs (BSBGFETs) to explore the observed phenomenon. The improvement in current saturation may be attributed to increased charge carrier density in the channel and thus reduced saturation velocity due to carrier-carrier scattering. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  20. Growth and electrical properties of AlOx grown by mist chemical vapor deposition

    Directory of Open Access Journals (Sweden)

    Toshiyuki Kawaharamura

    2013-03-01

    Full Text Available Aluminum oxide (AlOx thin films were grown using aluminum acetylacetonate (Al(acac3 as a source solute by mist chemical vapor deposition (mist CVD. The AlOx thin films grown at temperatures above 400°C exhibited a breakdown field (EBD over 6 MV/cm and a dielectric constant (κ over 6. It is suggested that residual OH bonding in the AlOx thin films grown at temperatures below 375°C caused degradation of the breakdown field (EBD. With FC type mist CVD, the reaction proceeded efficiently (Ea = 22–24 kJ/mol because the solvent, especially H2O, worked as a stronger oxygen source. The AlOx film could be grown at 450°C with a high deposition rate (23 nm/min and smooth surface (RMS = 1.5 nm. Moreover, the AlOx thin films grown by mist CVD had excellent practicality as insulators because the gate leakage current (IG of the oxide thin film transistor (TFT with an IGZO/AlOx stack was suppressed below 1 pA at a gate voltage (VG of 20 V.

  1. THE RESEARCH TECHNIQUES FOR ANALYSIS OF MECHANICAL AND TRIBOLOGICAL PROPERTIES OF COATING-SUBSTRATE SYSTEMS

    Directory of Open Access Journals (Sweden)

    Kinga CHRONOWSKA-PRZYWARA

    2014-06-01

    Full Text Available The article presents research techniques for the analysis of both mechanical and tribological properties of thin coatings applied on highly loaded machine elements. In the Institute of Machine Design and Exploitation, AGH University of Science and Technology students of the second level of Mechanical Engineering study tribology attending laboratory class. Students learn on techniques for mechanical and tribological testing of thin, hard coatings deposited by PVD and CVD technologies. The program of laboratories contains micro-, nanohardness and Young's modulus measurements by instrumental indentations and analysys of coating to substrate adhesion by scratch testing. The tribological properties of the coating-substrate systems are studied using various techniques, mainly in point contact load conditions with ball-on-disc and block-on-ring tribomiters as well as using ball cratering method in strongly abrasive suspensions.

  2. Recent results on CVD diamond radiation sensors

    Science.gov (United States)

    Weilhammer, P.; Adam, W.; Bauer, C.; Berdermann, E.; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; v. d. Eijk, R.; van Eijk, B.; Fallou, A.; Fish, D.; Fried, M.; Gan, K. K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Knopfle, K. T.; Krammer, M.; Manfredi, P. F.; Meier, D.; LeNormand; Pan, L. S.; Pernegger, H.; Pernicka, M.; Plano, R.; Re, V.; Riester, J. L.; Roe, S.; Roff; Rudge, A.; Schieber, M.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R. J.; Tesarek, R.; Thomson, G. B.; Trawick, M.; Trischuk, W.; Turchetta, R.; RD 42 Collaboration

    1998-02-01

    CVD diamond radiation sensors are being developed for possible use in trackers in the LHC experiments. The diamond promises to be radiation hard well beyond particle fluences that can be tolerated by Si sensors. Recent results from the RD 42 collaboration on charge collection distance and on radiation hardness of CVD diamond samples will be reported. Measurements with diamond tracking devices, both strip detectors and pixel detectors, will be discussed. Results from beam tests using a diamond strip detector which was read out with fast, 25 ns shaping time, radiation-hard pipeline electronics will be presented.

  3. Effect of e-beam irradiation on graphene layer grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Iqbal, M. Z.; Kumar Singh, Arun; Iqbal, M. W.; Seo, Sunae; Eom, Jonghwa

    2012-01-01

    We have grown graphene by chemical vapor deposition (CVD) and transferred it onto Si/SiO 2 substrates to make tens of micron scale devices for Raman spectroscopy study. The effect of electron beam (e-beam) irradiation of various doses (600 to 12 000 μC/cm 2 ) on CVD grown graphene has been examined by using Raman spectroscopy. It is found that the radiation exposures result in the appearance of the strong disorder D band attributed the damage to the lattice. The evolution of peak frequencies, intensities, and widths of the main Raman bands of CVD graphene is analyzed as a function of defect created by e-beam irradiation. Especially, the D and G peak evolution with increasing radiation dose follows the amorphization trajectory, which suggests transformation of graphene to the nanocrystalline and then to amorphous form. We have also estimated the strain induced by e-beam irradiation in CVD graphene. These results obtained for CVD graphene are in line with previous findings reported for the mechanically exfoliated graphene [D. Teweldebrhan and A. A. Balandin, Appl. Phys. Lett. 94, 013101 (2009)]. The results have important implications for CVD graphene characterization and device fabrication, which rely on the electron microscopy.

  4. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    International Nuclear Information System (INIS)

    Tamura, Kazuyuki; Kuroki, Yuichiro; Yasui, Kanji; Suemitsu, Maki; Ito, Takashi; Endou, Tetsuro; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2008-01-01

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH 3 ) and trimetylgallium (TMG) under low V/III source gas ratio (NH 3 /TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C 3 H 8 ). The AlN layer was deposited as a buffer layer using NH 3 and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH x radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer

  5. Origin, state of the art and some prospects of the diamond CVD

    CERN Document Server

    Spitsyn, B V; Alexenko, A E

    2000-01-01

    A short review on the diamond CVD origin, together with its state of the art and some prospects was given. New hybrid methods of the diamond CVD permit to gain 1.2 to 6 times of growth rate in comparison with ordinary diamond CVD's. Recent results on n-type diamond film synthesis through phosphorus doping in the course of the CVD process are briefly discussed. In comparison with high-pressure diamond synthesis, the CVD processes open new facets of the diamond as ultimate crystal for science and technology evolution. It was stressed that, mainly on the basis of new CVDs of diamond, the properties of natural diamond are not only reproduced, but can be surpassed. As examples, mechanical (fracture resistance), physical (thermal conductivity), and chemical (oxidation stability) properties are mentioned. Some present issues in the field are considered.

  6. Process simulation for advanced composites production

    Energy Technology Data Exchange (ETDEWEB)

    Allendorf, M.D.; Ferko, S.M.; Griffiths, S. [Sandia National Labs., Livermore, CA (United States)] [and others

    1997-04-01

    The objective of this project is to improve the efficiency and lower the cost of chemical vapor deposition (CVD) processes used to manufacture advanced ceramics by providing the physical and chemical understanding necessary to optimize and control these processes. Project deliverables include: numerical process models; databases of thermodynamic and kinetic information related to the deposition process; and process sensors and software algorithms that can be used for process control. Target manufacturing techniques include CVD fiber coating technologies (used to deposit interfacial coatings on continuous fiber ceramic preforms), chemical vapor infiltration, thin-film deposition processes used in the glass industry, and coating techniques used to deposit wear-, abrasion-, and corrosion-resistant coatings for use in the pulp and paper, metals processing, and aluminum industries.

  7. Hot wire chemical vapor deposition: limits and opportunities of protecting the tungsten catalyzer from silicide with a cavity

    International Nuclear Information System (INIS)

    Frigeri, P.A.; Nos, O.; Bengoechea, S.; Frevert, C.; Asensi, J.M.; Bertomeu, J.

    2009-01-01

    Hot Wire Chemical Vapor Deposition (HW-CVD) is one of the most promising techniques for depositing the intrinsic microcrystalline silicon layer for the production of micro-morph solar cells. However, the silicide formation at the colder ends of the tungsten wire drastically reduces the lifetime of the catalyzer, thus limiting its industrial exploitation. A simple but interesting strategy to decrease the silicide formation is to hide the electrical contacts of the catalyzer in a long narrow cavity which reduces the probability of the silane molecules to reach the colder ends of the wire. In this paper, the working mechanism of the cavity is elucidated. Measurements of the thickness profile of the silicon deposited in the internal walls of the cavity have been compared with those predicted using a simple diffusion model based on the assumption of Knudsen flow. A lifetime study of the protected and unprotected wires has been carried out. The different mechanisms which determine the deterioration of the catalyzer have been identified and discussed.

  8. Effects of Light Intensity Activity on CVD Risk Factors: A Systematic Review of Intervention Studies

    Directory of Open Access Journals (Sweden)

    Romeo B. Batacan

    2015-01-01

    Full Text Available The effects of light intensity physical activity (LIPA on cardiovascular disease (CVD risk factors remain to be established. This review summarizes the effects of LIPA on CVD risk factors and CVD-related markers in adults. A systematic search of four electronic databases (PubMed, Academic Search Complete, SPORTDiscus, and CINAHL examining LIPA and CVD risk factors (body composition, blood pressure, glucose, insulin, glycosylated hemoglobin, and lipid profile and CVD-related markers (maximal oxygen uptake, heart rate, C-reactive protein, interleukin-6, tumor necrosis factor-alpha, and tumor necrosis factor receptors 1 and 2 published between 1970 and 2015 was performed on 15 March 2015. A total of 33 intervention studies examining the effect of LIPA on CVD risk factors and markers were included in this review. Results indicated that LIPA did not improve CVD risk factors and CVD-related markers in healthy individuals. LIPA was found to improve systolic and diastolic blood pressure in physically inactive populations with a medical condition. Reviewed studies show little support for the role of LIPA to reduce CVD risk factors. Many of the included studies were of low to fair study quality and used low doses of LIPA. Further studies are needed to establish the value of LIPA in reducing CVD risk.

  9. Probing Growth-Induced Anisotropic Thermal Transport in High-Quality CVD Diamond Membranes by Multifrequency and Multiple-Spot-Size Time-Domain Thermoreflectance.

    Science.gov (United States)

    Cheng, Zhe; Bougher, Thomas; Bai, Tingyu; Wang, Steven Y; Li, Chao; Yates, Luke; Foley, Brian M; Goorsky, Mark; Cola, Baratunde A; Faili, Firooz; Graham, Samuel

    2018-02-07

    The maximum output power of GaN-based high-electron mobility transistors is limited by high channel temperature induced by localized self-heating, which degrades device performance and reliability. Chemical vapor deposition (CVD) diamond is an attractive candidate to aid in the extraction of this heat and in minimizing the peak operating temperatures of high-power electronics. Owing to its inhomogeneous structure, the thermal conductivity of CVD diamond varies along the growth direction and can differ between the in-plane and out-of-plane directions, resulting in a complex three-dimensional (3D) distribution. Depending on the thickness of the diamond and size of the electronic device, this 3D distribution may impact the effectiveness of CVD diamond in device thermal management. In this work, time-domain thermoreflectance is used to measure the anisotropic thermal conductivity of an 11.8 μm-thick high-quality CVD diamond membrane from its nucleation side. Starting with a spot-size diameter larger than the thickness of the membrane, measurements are made at various modulation frequencies from 1.2 to 11.6 MHz to tune the heat penetration depth and sample the variation in thermal conductivity. We then analyze the data by creating a model with the membrane divided into ten sublayers and assume isotropic thermal conductivity in each sublayer. From this, we observe a two-dimensional gradient of the depth-dependent thermal conductivity for this membrane. The local thermal conductivity goes beyond 1000 W/(m K) when the distance from the nucleation interface only reaches 3 μm. Additionally, by measuring the same region with a smaller spot size at multiple frequencies, the in-plane and cross-plane thermal conductivities are extracted. Through this use of multiple spot sizes and modulation frequencies, the 3D anisotropic thermal conductivity of CVD diamond membrane is experimentally obtained by fitting the experimental data to a thermal model. This work provides an improved

  10. Deposition of porous cathodes using plasma spray technique for reduced-temperature SOFCs

    Energy Technology Data Exchange (ETDEWEB)

    Jankovic, J.; Hui, S.; Roller, J.; Kesler, O.; Xie, Y.; Maric, R.; Ghosh, D. [National Research Council of Canada, Vancouver, BC (Canada). Inst. for Fuel Cell Innovation

    2005-07-01

    Current techniques for Solid Oxide Fuel Cell (SOFC) materials deposition are often expensive and time-consuming. Plasma-spraying techniques provide higher deposition rates, short processing times and control over porosity and composition during deposition. Optimum plasma spraying for lanthanum based cathode materials were discussed. Plasma-spraying was used to deposit cathode materials onto ceramic and stainless steel substrates to obtain highly porous structures. Lanthanum cathode materials with composition of La{sub 0.6}Sr{sub 0.4}C{sub 0.2}Fe{sub 0.8}O{sub 3} were employed in the powder form. The powder was prepared from powder precursors with different power formers and binder levels, or from produced single-phase lanthanum powders. The (La{sub 0.8}Sr{sub 0.2}){sub 0.98}MnO{sub 3} cathode material was also processed for comparison purposes. The deposition process was developed to obtain coatings with good bond strength, porosity, film thickness and residual stresses. The phase and microstructure of deposited materials were characterized using X-Ray Diffraction and Scanning Electron Microscopy (SEM). It was concluded that good flow of the powder precursors is achieved by spraying 50-100 um particle size powders and using vibrating feeders. Further processing of the spraying powders was recommended. It was noted that oxide precursors showed greater reactivity among the precursors. The best precursor reactivity and coating morphology was obtained using 40 volume per cent of graphite pore former, incorporated into the precursor mixture during wet ball milling. It was concluded that higher power levels and larger distances between the plasma gun and the substrate result in coatings with the highest porosities and best phase compositions. 5 refs., 1 tab., 6 figs.

  11. Immobilization of glucoamylase on ceramic membrane surfaces modified with a new method of treatment utilizing SPCP-CVD.

    Science.gov (United States)

    Ida; Matsuyama; Yamamoto

    2000-07-01

    Glucoamylase, as a model enzyme, was immobilized on a ceramic membrane modified by surface corona discharge induced plasma chemical process-chemical vapor deposition (SPCP-CVD). Characterizations of the immobilized enzyme were then discussed. Three kinds of ceramic membranes with different amounts of amino groups on the surface were prepared utilizing the SPCP-CVD method. Each with 1-time, 3-times and 5-times surface modification treatments and used for supports in glucoamylase immobilization. The amount of immobilized glucoamylase increased with the increase in the number of surface modification treatments and saturated to a certain maximum value estimated by a two-dimensional random packing. The operational stability of the immobilized glucoamylase also increased with the increase in the number of the surface treatment. It was almost the same as the conventional method, while the activity of immobilized enzyme was higher. The results indicated the possibility of designing the performance of the immobilized enzyme by controlling the amount of amino groups. The above results showed that the completely new surface modification method using SPCP was effective in modifying ceramic membranes for enzyme immobilization.

  12. Hetero- and homogeneous three-dimensional hierarchical tungsten oxide nanostructures by hot-wire chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Houweling, Z.S., E-mail: Silvester.Houweling@asml.com [Utrecht University, Debye Institute for Nanomaterials Science, Nanophotonics—Physics of Devices, Princetonlaan 4, 3584 CB Utrecht (Netherlands); Harks, P.-P.R.M.L.; Kuang, Y.; Werf, C.H.M. van der [Utrecht University, Debye Institute for Nanomaterials Science, Nanophotonics—Physics of Devices, Princetonlaan 4, 3584 CB Utrecht (Netherlands); Geus, J.W. [Utrecht University, Inorganic Chemistry and Catalysis, Padualaan 8, 3584 CH Utrecht (Netherlands); Schropp, R.E.I. [Utrecht University, Debye Institute for Nanomaterials Science, Nanophotonics—Physics of Devices, Princetonlaan 4, 3584 CB Utrecht (Netherlands)

    2015-01-30

    We present the synthesis of three-dimensional tungsten oxide (WO{sub 3−x}) nanostructures, called nanocacti, using hot-wire chemical vapor deposition. The growth of the nanocacti is controlled through a succession of oxidation, reduction and re-oxidation processes. By using only a resistively heated W filament, a flow of ambient air and hydrogen at subatmospheric pressure, and a substrate heated to about 700 °C, branched nanostructures are deposited. We report three varieties of simple synthesis approaches to obtain hierarchical homo- and heterogeneous nanocacti. Furthermore, by using catalyst nanoparticles site-selection for the growth is demonstrated. The atomic, morphological and crystallographic compositions of the nanocacti are determined using a combination of electron microscopy techniques, energy-dispersive X-ray spectroscopy and electron diffraction. - Highlights: • Continuous upscalable hot-wire CVD of 3D hierarchical nanocacti • Controllable deposition of homo- and heterogeneous WO{sub 3−x}/WO{sub 3−y} nanocacti • Introduction of three synthesis routes comprising oxidation, reduction and re-oxidation processes • Growth of periodic arrays of hetero- and homogeneous hierarchical 3D nanocacti.

  13. Molybdenum Tube Characterization report

    Energy Technology Data Exchange (ETDEWEB)

    Beaux II, Miles Frank [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Usov, Igor Olegovich [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2017-02-07

    Chemical vapor deposition (CVD) techniques have been utilized to produce free-standing molybdenum tubes with the end goal of nuclear fuel clad applications. In order to produce tubes with properties desirable for this application, deposition rates were lowered requiring long deposition durations on the order of 50 hours. Standard CVD methods as well as fluidized-bed CVD (FBCVD) methods were applied towards these objectives. Characterization of the tubes produced in this manner revealed material suitable for fuel clad applications, but lacking necessary uniformity across the length of the tubes. The production of freestanding Mo tubes that possess the desired properties across their entire length represents an engineering challenge that can be overcome in a next iteration of the deposition system.

  14. Linear antenna microwave plasma CVD diamond deposition at the edge of no-growth region of C-H-O ternary diagram

    Czech Academy of Sciences Publication Activity Database

    Potocký, Štěpán; Babchenko, Oleg; Hruška, Karel; Kromka, Alexander

    2012-01-01

    Roč. 249, č. 12 (2012), s. 2612-2615 ISSN 0370-1972 R&D Projects: GA ČR(CZ) GBP108/12/G108; GA ČR GAP205/12/0908 Institutional research plan: CEZ:AV0Z10100521 Keywords : C-H-O phase diagram * nanocrystalline diamond * plasma enhanced CVD * Raman spectroscopy * SEM Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.489, year: 2012

  15. Superhydrophobic polytetrafluoroethylene thin films with hierarchical roughness deposited using a single step vapor phase technique

    International Nuclear Information System (INIS)

    Gupta, Sushant; Arjunan, Arul Chakkaravarthi; Deshpande, Sameer; Seal, Sudipta; Singh, Deepika; Singh, Rajiv K.

    2009-01-01

    Superhydrophobic polytetrafluoroethylene films with hierarchical surface roughness were deposited using pulse electron deposition technique. We were able to modulate roughness of the deposited films by controlling the beam energy and hence the electron penetration depth. The films deposited at higher beam energy showed contact angle as high as 166 o . The scanning electron and atomic force microscope studies revealed clustered growth and two level sub-micron asperities on films deposited at higher energies. Such dual-scale hierarchical roughness and heterogeneities at the water-surface interface was attributed to the observed contact angle and thus its superhydrophobic nature.

  16. Superhydrophobic polytetrafluoroethylene thin films with hierarchical roughness deposited using a single step vapor phase technique

    Energy Technology Data Exchange (ETDEWEB)

    Gupta, Sushant, E-mail: sushant3@ufl.ed [Department of Materials Science and Engineering, University of Florida, Gainesville, FL 32611 (United States); Arjunan, Arul Chakkaravarthi [Sinmat Incorporated, 2153 SE Hawthorne Road, 129, Gainesville, Florida 32641 (United States); Deshpande, Sameer; Seal, Sudipta [Advanced Material Processing and Analysis Center, University of Central Florida, Orlando, Florida 32816 (United States); Singh, Deepika [Sinmat Incorporated, 2153 SE Hawthorne Road, 129, Gainesville, Florida 32641 (United States); Singh, Rajiv K. [Department of Materials Science and Engineering, University of Florida, Gainesville, FL 32611 (United States)

    2009-06-30

    Superhydrophobic polytetrafluoroethylene films with hierarchical surface roughness were deposited using pulse electron deposition technique. We were able to modulate roughness of the deposited films by controlling the beam energy and hence the electron penetration depth. The films deposited at higher beam energy showed contact angle as high as 166{sup o}. The scanning electron and atomic force microscope studies revealed clustered growth and two level sub-micron asperities on films deposited at higher energies. Such dual-scale hierarchical roughness and heterogeneities at the water-surface interface was attributed to the observed contact angle and thus its superhydrophobic nature.

  17. Changes in CVD risk factors in the activity counseling trial

    Directory of Open Access Journals (Sweden)

    Meghan Baruth

    2011-01-01

    Full Text Available Meghan Baruth1, Sara Wilcox1, James F Sallis3, Abby C King4,5, Bess H Marcus6, Steven N Blair1,21Department of Exercise Science, 2Department of Epidemiology and Biostatistics, Arnold School of Public Health, University of South Carolina, Public Health Research Center, Columbia, SC, USA; 3Department of Psychology, San Diego State University, San Diego, CA, USA; 4Department of Health Research and Policy, 5Stanford Prevention Research Center, Department of Medicine, Stanford University School of Medicine, Stanford, CA, USA; 6Behavioral and Social Sciences Section, Brown University Program in Public Health, Providence, RI, USAAbstract: Primary care facilities may be a natural setting for delivering interventions that focus on behaviors that improve cardiovascular disease (CVD risk factors. The purpose of this study was to examine the 24-month effects of the Activity Counseling Trial (ACT on CVD risk factors, to examine whether changes in CVD risk factors differed according to baseline risk factor status, and to examine whether changes in fitness were associated with changes in CVD risk factors. ACT was a 24-month multicenter randomized controlled trial to increase physical activity. Participants were 874 inactive men and women aged 35–74 years. Participants were randomly assigned to one of three arms that varied by level of counseling, intensity, and resource requirements. Because there were no significant differences in change over time between arms on any of the CVD risk factors examined, all arms were combined, and the effects of time, independent of arm, were examined separately for men and women. Time × Baseline risk factor status interactions examined whether changes in CVD risk factors differed according to baseline risk factor status. Significant improvements in total cholesterol, high-density lipoprotein cholesterol (HDL-C and low-density lipoprotein cholesterol, the ratio of total cholesterol to HDL-C, and triglycerides were seen in

  18. Tailoring properties of lossy-mode resonance optical fiber sensors with atomic layer deposition technique

    Science.gov (United States)

    Kosiel, Kamil; Koba, Marcin; Masiewicz, Marcin; Śmietana, Mateusz

    2018-06-01

    The paper shows application of atomic layer deposition (ALD) technique as a tool for tailoring sensorial properties of lossy-mode-resonance (LMR)-based optical fiber sensors. Hafnium dioxide (HfO2), zirconium dioxide (ZrO2), and tantalum oxide (TaxOy), as high-refractive-index dielectrics that are particularly convenient for LMR-sensor fabrication, were deposited by low-temperature (100 °C) ALD ensuring safe conditions for thermally vulnerable fibers. Applicability of HfO2 and ZrO2 overlays, deposited with ALD-related atomic level thickness accuracy for fabrication of LMR-sensors with controlled sensorial properties was presented. Additionally, for the first time according to our best knowledge, the double-layer overlay composed of two different materials - silicon nitride (SixNy) and TaxOy - is presented for the LMR fiber sensors. The thin films of such overlay were deposited by two different techniques - PECVD (the SixNy) and ALD (the TaxOy). Such approach ensures fast overlay fabrication and at the same time facility for resonant wavelength tuning, yielding devices with satisfactory sensorial properties.

  19. Anisotropic Friction of Wrinkled Graphene Grown by Chemical Vapor Deposition.

    Science.gov (United States)

    Long, Fei; Yasaei, Poya; Yao, Wentao; Salehi-Khojin, Amin; Shahbazian-Yassar, Reza

    2017-06-21

    Wrinkle structures are commonly seen on graphene grown by the chemical vapor deposition (CVD) method due to the different thermal expansion coefficient between graphene and its substrate. Despite the intensive investigations focusing on the electrical properties, the nanotribological properties of wrinkles and the influence of wrinkle structures on the wrinkle-free graphene remain less understood. Here, we report the observation of anisotropic nanoscale frictional characteristics depending on the orientation of wrinkles in CVD-grown graphene. Using friction force microscopy, we found that the coefficient of friction perpendicular to the wrinkle direction was ∼194% compare to that of the parallel direction. Our systematic investigation shows that the ripples and "puckering" mechanism, which dominates the friction of exfoliated graphene, plays even a more significant role in the friction of wrinkled graphene grown by CVD. The anisotropic friction of wrinkled graphene suggests a new way to tune the graphene friction property by nano/microstructure engineering such as introducing wrinkles.

  20. Enhanced graphitization of c-CVD grown multi-wall carbon nanotube arrays assisted by removal of encapsulated iron-based phases under thermal treatment in argon

    Energy Technology Data Exchange (ETDEWEB)

    Boncel, Slawomir, E-mail: slawomir.boncel@polsl.pl [Department of Organic Chemistry, Biochemistry and Biotechnology, Silesian University of Technology, Krzywoustego 4, 44-100 Gliwice (Poland); Koziol, Krzysztof K.K., E-mail: kk292@cam.ac.uk [Department of Materials Science and Metallurgy, University of Cambridge, 27 Charles Babbage Road, CB3 0FS Cambridge (United Kingdom)

    2014-05-01

    Graphical abstract: - Highlights: • Annealing of the c-CVD MWCNT arrays toward complete removal of iron nanoparticles. • The ICP-AES protocol established for quantitative analysis of Fe-content in MWCNTs. • The vertical alignment from the as-grown MWCNT arrays found intact after annealing. • A route to decrease number of defects/imperfections in the MWCNT graphene walls. • A foundation for commercial purification of c-CVD derived MWCNTs. - Abstract: The effect of annealing on multi-walled carbon nanotube (MWCNT) arrays grown via catalytic Chemical Vapour Deposition (c-CVD) was studied. The treatment enabled to decrease number of defects/imperfections in the graphene walls of MWCNTs’, which was reflected in Raman spectroscopy by reduction of the I{sub D}/I{sub G} ratio by 27%. Moreover, the vertical alignment from the as-synthesized nanotube arrays was found intact after annealing. Not only graphitization of the nanotube walls occurred under annealing, but the amount of metal iron-based catalyst residues (interfering with numerous physicochemical properties, and hence applications of MWCNTs) was reduced from 9.00 wt.% (for pristine MWCNTs) to 0.02 wt.% as detected by Inductively Coupled Plasma Atomic Emission Spectroscopy (ICP-AES). This value, established by a new analytical protocol, is the lowest recorded by now for purified c-CVD MWCNTs and, due to operating under atmospheric pressure, medium temperature regime (as for annealing processes), reasonable time-scale and metal residue non-specificity, it could lay the foundation for commercial purification of c-CVD derived MWCNTs.

  1. CVD-based techniques for the synthesis of nanographites and nanodiamonds

    International Nuclear Information System (INIS)

    Guglielmotti, V.; Cianchetta, I.; Orlanducci, S.; Tamburri, E.; Gay, S.; Lavecchia, T.; Reina, G.; Terranova, M.L.; Passeri, D.; Rossi, M.

    2013-01-01

    We report about some Chemical Vapor Deposition approaches used to produce a variety of C-sp 2 and C-sp 3 crystalline nanostructures. The methodologies developed in our laboratories provide custom-made solutions for the fabrication of specific carbon nanomaterials with properties tailored for applications in the field of nanotechnology.

  2. X-ray diffraction characterization of epitaxial CVD diamond films with natural and isotopically modified compositions

    Energy Technology Data Exchange (ETDEWEB)

    Prokhorov, I. A., E-mail: igor.prokhorov@mail.ru [Russian Academy of Sciences, Space Materials Science Laboratory, Shubnikov Institute of Crystallography, Federal Scientific Research Centre “Crystallography and Photonics”, Kaluga Branch (Russian Federation); Voloshin, A. E. [Russian Academy of Sciences, Shubnikov Institute of Crystallography, Federal Scientific Research Centre “Crystallography and Photonics” (Russian Federation); Ralchenko, V. G.; Bolshakov, A. P. [Russian Academy of Sciences, Prokhorov General Physics Institute (Russian Federation); Romanov, D. A. [Bauman Moscow State Technical University, Kaluga Branch (Russian Federation); Khomich, A. A. [Russian Academy of Sciences, Prokhorov General Physics Institute (Russian Federation); Sozontov, E. A. [National Research Centre “Kurchatov Institute” (Russian Federation)

    2016-11-15

    Comparative investigations of homoepitaxial diamond films with natural and modified isotopic compositions, grown by chemical vapor deposition (CVD) on type-Ib diamond substrates, are carried out using double-crystal X-ray diffractometry and topography. The lattice mismatch between the substrate and film is precisely measured. A decrease in the lattice constant on the order of (Δa/a){sub relax} ∼ (1.1–1.2) × 10{sup –4} is recorded in isotopically modified {sup 13}C (99.96%) films. The critical thicknesses of pseudomorphic diamond films is calculated. A significant increase in the dislocation density due to the elastic stress relaxation is revealed by X-ray topography.

  3. Surface structure deduced differences of copper foil and film for graphene CVD growth

    Energy Technology Data Exchange (ETDEWEB)

    Tian, Junjun [School of Chemistry and Chemical Engineering, Chongqing University, Chongqing 400044 (China); Hu, Baoshan, E-mail: hubaoshan@cqu.edu.cn [School of Chemistry and Chemical Engineering, Chongqing University, Chongqing 400044 (China); Wei, Zidong; Jin, Yan [School of Chemistry and Chemical Engineering, Chongqing University, Chongqing 400044 (China); Luo, Zhengtang [Department of Chemical and Biomolecular Engineering, The Hongkong University of Science and Technology, Kowloon (Hong Kong); Xia, Meirong [School of Chemistry and Chemical Engineering, Chongqing University, Chongqing 400044 (China); Pan, Qingjiang [Key Laboratory of Functional Inorganic Material Chemistry, Ministry of Education, Heilongjiang University, Harbin 150080 (China); Liu, Yunling [State Key Laboratory of Inorganic Synthesis and Preparative Chemistry, College of Chemistry, Jilin University, Changchun 130012 (China)

    2014-05-01

    Highlights: • We demonstrate the significant differences between Cu foil and film in the surface morphology and crystal orientation distribution. • The different surface structure leads to the distinctive influences of the CH₄ and H₂ concentrations on the thickness and quality of as-grown graphene. • Nucleation densities and growth rate differences at the initial growth stages on the Cu foil and film were investigated and discussed. Abstract: Graphene was synthesized on Cu foil and film by atmospheric pressure chemical vapor deposition (CVD) with CH₄ as carbon source. Electron backscattered scattering diffraction (EBSD) characterization demonstrates that the Cu foil surface after the H₂-assisted pre-annealing was almost composed of Cu(1 0 0) crystal facet with larger grain size of ~100 μm; meanwhile, the Cu film surface involved a variety of crystal facets of Cu(1 1 1), Cu(1 0 0), and Cu(1 1 0), with the relatively small grain size of ~10 μm. The different surface structure led to the distinctive influences of the CH₄ and H₂ concentrations on the thickness and quality of as-grown graphene. Further data demonstrate that the Cu foil enabled more nucleation densities and faster growth rates at the initial growth stages than the Cu film. Our results are beneficial for understanding the relationship between the metal surface structure and graphene CVD growth.

  4. Lipids, atherosclerosis and CVD risk: is CRP an innocent bystander?

    DEFF Research Database (Denmark)

    Nordestgaard, B G; Zacho, J

    2009-01-01

    AIM: To evaluate recent human studies with respect to the interpretation of whether elevated plasma levels of C-reactive protein (CRP) cause cardiovascular disease (CVD), or whether elevated CRP levels more likely is an innocent bystander. DATA SYNTHESIS: Elevated CRP concentrations...... and vulnerability of atherosclerotic plaques, and thus simply an innocent bystander in CVD....

  5. FY 1999 achievement report on the project on the R and D of university-cooperation industrial science technology. Semiconductor device production process by Cat-CVD method (Semiconductor device production process by Cat-CVD method); 1999 nendo Cat-CVD ho ni yoru handotai device seizo process seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    The paper described the results obtained by FY 1999 of the semiconductor device production using the catalytic chemical vapor deposition method. As to the thermal fluid simulation modeling in the thermal insulation thin film formation process, elucidated were the decomposition rate (40%) of SiH{sub 4} gas on catalyst body and the gas use efficiency (60% in two collisions with catalyst body). The range where the gas flow has effects was made clear. In researches on the substrate temperature control and catalyst body structure, thermal radiation effects from catalyst body were evaluated, which led to a success in high-speed deposition of high-quality a-Si. Concerning the optical monitor technology in film deposition, the identification of decomposition species (Si, etc.) and temperature of decomposition species could be made clear. Effects of pollutant removal were also monitored. Relating to the basic technology for thermal insulation thin film formation, conditions for Si nitride film formation were made clear, and stoichiometric composition films of Si{sub 3}N{sub 4} were acquired at low temperature of 300 degrees C. Also acquired were high etching resistant/high wetting resistant films. As to the ultra-high purity thin film formation, it was successful to find out the metal pollution resource and remove it. In regard to the Cat-CVD application on to metal oxide ferroelectric substances, low temperature Si{sub 3}N{sub 4} films could be formed at deposition speed of 20nm/min. by making the temperature condition (200 degrees C or less) clear and controlling the substrate temperature. (NEDO)

  6. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    Energy Technology Data Exchange (ETDEWEB)

    Tamura, Kazuyuki [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)], E-mail: kazuyuki@stn.nagaokaut.ac.jp; Kuroki, Yuichiro; Yasui, Kanji [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Suemitsu, Maki; Ito, Takashi [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Endou, Tetsuro [Research Institute of Electrical Communication, Tohoku University, Sendai 980-8577 (Japan); Nakazawa, Hideki [Faculty of Science and Technology, Hirosaki University, Hirosaki 036-8561 (Japan); Narita, Yuzuru [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Takata, Masasuke; Akahane, Tadashi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)

    2008-01-15

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH{sub 3}) and trimetylgallium (TMG) under low V/III source gas ratio (NH{sub 3}/TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C{sub 3}H{sub 8}). The AlN layer was deposited as a buffer layer using NH{sub 3} and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH{sub x} radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer.

  7. Microdroplet deposition through a film-free laser forward printing technique

    International Nuclear Information System (INIS)

    Patrascioiu, A.; Fernández-Pradas, J.M.; Morenza, J.L.; Serra, P.

    2012-01-01

    Highlights: ► Circular droplets are obtained for a wide range of focusing depths at fixed energy. ► Focusing depth variation study reveals two abrupt transitions in droplet diameter. ► Liquid ejection mechanism is mediated by two types of jets of different origin. ► Evolution of jets depends on the focusing depth accounting for the seen transitions. - Abstract: A recently developed film-free laser forward microprinting technique allows printing transparent and weakly absorbing liquids with high resolution and reproducibility. Its operating principle consists in the tight focusing of ultrashort laser pulses inside the liquid, and near its free surface, such that all the laser energy is absorbed in a small region around the beam waist. A cavitation bubble is then created inside the liquid, whose subsequent expansion results into the ejection of liquid. The collection of the ejected liquid on a substrate leads to the deposition of micron-sized droplets. In this work, we investigate a relevant process parameter of the technique, namely the laser focusing depth, and its influence on the morphology of the deposited droplets. The study reveals that for a fixed laser pulse energy there exists a relatively wide range of focusing depths at which circular and uniform droplets can be printed. The process of liquid ejection is also investigated. Time-resolved images reveal that liquid ejection proceeds through the formation of two kinds of jets which display clearly differentiated dynamics, and which could provide an interpretation for the dependence observed between the morphology of the deposited droplets and the laser focusing depth.

  8. Thermal Analysis of Cold Vacuum Drying (CVD) of Spent Nuclear Fuel (SNF)

    International Nuclear Information System (INIS)

    PIEPHO, M.G.

    2000-01-01

    The thermal analysis examined transient thermal and chemical behavior of the Multi-Canister Overpack (MCO) container for a broad range of cases that represent the Cold Vacuum Drying (CVD) processes. The cases were defined to consider both normal and off-normal operations at the CVD Facility for an MCO with N Reactor spent fuel. This analysis provides the basis for the MCO thermal behavior at the CVD Facility in support of the safety basis documentation

  9. In situ growth rate measurements during plasma-enhanced chemical vapour deposition of vertically aligned multiwall carbon nanotube films

    International Nuclear Information System (INIS)

    Joensson, M; Nerushev, O A; Campbell, E E B

    2007-01-01

    In situ laser reflectivity measurements are used to monitor the growth of multiwalled carbon nanotube (MWCNT) films grown by DC plasma-enhanced chemical vapour deposition (PECVD) from an iron catalyst film deposited on a silicon wafer. In contrast to thermal CVD growth, there is no initial increase in the growth rate; instead, the initial growth rate is high (as much as 10 μm min -1 ) and then drops off rapidly to reach a steady level (2 μm min -1 ) for times beyond 1 min. We show that a limiting factor for growing thick films of multiwalled nanotubes (MWNTs) using PECVD can be the formation of an amorphous carbon layer at the top of the growing nanotubes. In situ reflectivity measurements provide a convenient technique for detecting the onset of the growth of this layer

  10. SU-E-T-153: Detector-Grade CVD Diamond for Radiotherapy Dosimetry.

    Science.gov (United States)

    Lansley, S; Betzel, G; McKay, D; Meyer, J

    2012-06-01

    To evaluate the use of commercially available detector-grade synthetic diamond films made via chemical vapor deposition (CVD) as x- ray detectors for radiotherapy dosimetry. A detector was fabricated using high-quality single crystal CVD diamond films (0.5 × 3 × 3 mm̂3) with 0.4 mm̂3 sensitive volumes, which were encapsulated with PMMA. The detector was placed in a (30 × 30 × 30 cm̂3) PTW water phantom. Six- and ten-MV photons from an Elekta Synergy linac were measured using an SSD of 90 cm and typically a 10-cm phantom depth with a 10 × 10 cm̂2 field size in the central axis of the beam. Data acquisition was performed using a PTW UNIDOS E electrometer with a 100-V bias. The detector was evaluated by measuring leakage current, priming dose, response dynamics, dose linearity, dependence on dose rate, percent depth dose (6 and 10 MV photons) and output factors. Some measurements were compared with a Si diode detector, 0.04 and/or 0.13-cc ion chamber(s). Leakage currents were negligible (∼1 pA) given the overall average sensitivity of the material (680 nC/Gy at 100 V). Detector current rise and fall times were detectors as expected. The type of diamond tested has potential to be used for small field dosimetry due to its small sensitive volume and high sensitivity. Further experiments are ongoing and detector packaging is yet to be optimized. © 2012 American Association of Physicists in Medicine.

  11. Effects of etchants in the transfer of chemical vapor deposited graphene

    Science.gov (United States)

    Wang, M.; Yang, E. H.; Vajtai, R.; Kono, J.; Ajayan, P. M.

    2018-05-01

    The quality of graphene can be strongly modified during the transfer process following chemical vapor deposition (CVD) growth. Here, we transferred CVD-grown graphene from a copper foil to a SiO2/Si substrate using wet etching with four different etchants: HNO3, FeCl3, (NH4)2S2O8, and a commercial copper etchant. We then compared the quality of graphene after the transfer process in terms of surface modifications, pollutions (residues and contaminations), and electrical properties (mobility and density). Our tests and analyses showed that the commercial copper etchant provides the best structural integrity, the least amount of residues, and the smallest doping carrier concentration.

  12. Diamond radiation detectors II. CVD diamond development for radiation detectors

    International Nuclear Information System (INIS)

    Kania, D.R.

    1997-01-01

    Interest in radiation detectors has supplied some of the impetus for improving the electronic properties of CVD diamond. In the present discussion, we will restrict our attention to polycrystalhne CVD material. We will focus on the evolution of these materials over the past decade and the correlation of detector performance with other properties of the material

  13. Studies of internal stress in diamond films prepared by DC plasma chemical vapour deposition

    International Nuclear Information System (INIS)

    Wang Wanlu; Gao Jinying; Liao Kejun; Liu Anmin

    1992-01-01

    The internal stress in diamond thin films deposited by DC plasma CVD was studied as a function of methane concentration and deposited temperature. Experimental results have shown that total stress in diamond thin films is sensitive to the deposition conditions. The results also indicate that the compressive stress can be explained in terms of amorphous state carbon and hydrogen, and tensile stress is ascribed to the grain boundary relaxation model due to high internal surface area and microstructure with voids

  14. The thermodynamic approach to boron chemical vapour deposition based on a computer minimization of the total Gibbs free energy

    International Nuclear Information System (INIS)

    Naslain, R.; Thebault, J.; Hagenmuller, P.; Bernard, C.

    1979-01-01

    A thermodynamic approach based on the minimization of the total Gibbs free energy of the system is used to study the chemical vapour deposition (CVD) of boron from BCl 3 -H 2 or BBr 3 -H 2 mixtures on various types of substrates (at 1000 < T< 1900 K and 1 atm). In this approach it is assumed that states close to equilibrium are reached in the boron CVD apparatus. (Auth.)

  15. Electrochemical Energy Storage Applications of CVD Grown Niobium Oxide Thin Films.

    Science.gov (United States)

    Fiz, Raquel; Appel, Linus; Gutiérrez-Pardo, Antonio; Ramírez-Rico, Joaquín; Mathur, Sanjay

    2016-08-24

    We report here on the controlled synthesis, characterization, and electrochemical properties of different polymorphs of niobium pentoxide grown by CVD of new single-source precursors. Nb2O5 films deposited at different temperatures showed systematic phase evolution from low-temperature tetragonal (TT-Nb2O5, T-Nb2O5) to high temperature monoclinic modifications (H-Nb2O5). Optimization of the precursor flux and substrate temperature enabled phase-selective growth of Nb2O5 nanorods and films on conductive mesoporous biomorphic carbon matrices (BioC). Nb2O5 thin films deposited on monolithic BioC scaffolds produced composite materials integrating the high surface area and conductivity of the carbonaceous matrix with the intrinsically high capacitance of nanostructured niobium oxide. Heterojunctions in Nb2O5/BioC composites were found to be beneficial in electrochemical capacitance. Electrochemical characterization of Nb2O5/BioC composites showed that small amounts of Nb2O5 (as low as 5%) in conjunction with BioCarbon resulted in a 7-fold increase in the electrode capacitance, from 15 to 104 F g(-1), while imparting good cycling stability, making these materials ideally suited for electrochemical energy storage applications.

  16. Defects in silicon carbide grown by fluorinated chemical vapor deposition chemistry

    Science.gov (United States)

    Stenberg, Pontus; Booker, Ian D.; Karhu, Robin; Pedersen, Henrik; Janzén, Erik; Ivanov, Ivan G.

    2018-04-01

    Point defects in n- and p-type 4H-SiC grown by fluorinated chemical vapor deposition (CVD) have been characterized optically by photoluminescence (PL) and electrically by deep-level transient spectroscopy (DLTS) and minority carrier transient spectroscopy (MCTS). The results are considered in comparison with defects observed in non-fluorinated CVD growth (e.g., using SiH4 instead of SiF4 as silicon precursor), in order to investigate whether specific fluorine-related defects form during the fluorinated CVD growth, which might prohibit the use of fluorinated chemistry for device-manufacturing purposes. Several new peaks identifying new defects appear in the PL of fluorinated-grown samples, which are not commonly observed neither in other halogenated chemistries, nor in the standard CVD chemistry using silane (SiH4). However, further investigation is needed in order to determine their origin and whether they are related to incorporation of F in the SiC lattice, or not. The electric characterization does not find any new electrically-active defects that can be related to F incorporation. Thus, we find no point defects prohibiting the use of fluorinated chemistry for device-making purposes.

  17. Comparative study of CdTe sources used for deposition of CdTe thin films by close spaced sublimation technique

    Directory of Open Access Journals (Sweden)

    Wagner Anacleto Pinheiro

    2006-03-01

    Full Text Available Unlike other thin film deposition techniques, close spaced sublimation (CSS requires a short source-substrate distance. The kind of source used in this technique strongly affects the control of the deposition parameters, especially the deposition rate. When depositing CdTe thin films by CSS, the most common CdTe sources are: single-crystal or polycrystalline wafers, powders, pellets or pieces, a thick CdTe film deposited onto glass or molybdenum substrate (CdTe source-plate and a sintered CdTe powder. In this work, CdTe thin films were deposited by CSS technique from different CdTe sources: particles, powder, compact powder, a paste made of CdTe and propylene glycol and source-plates (CdTe/Mo and CdTe/glass. The largest deposition rate was achieved when a paste made of CdTe and propylene glycol was used as the source. CdTe source-plates led to lower rates, probably due to the poor heat transmission, caused by the introduction of the plate substrate. The results also showed that compacting the powder the deposition rate increases due to the better thermal contact between powder particles.

  18. Chemically vapor deposited coatings for multibarrier containment of nuclear wastes

    International Nuclear Information System (INIS)

    Rusin, J.M.; Shade, J.W.; Kidd, R.W.; Browning, M.F.

    1981-01-01

    Chemical vapor deposition (CVD) was selected as a feasible method to coat ceramic cores, since the technology has previously been demonstrated for high-temperature gas-cooled reactor (HTGR) fuel particles. CVD coatings, including SiC, PyC (pyrolytic carbon), SiO 2 , and Al 2 O 3 were studied. This paper will discuss the development and characterization of PyC and Al 2 O 3 CVD coatings on supercalcine cores. Coatings were applied to 2 mm particles in either fluidized or vibrating beds. The PyC coating was deposited in a fluidized bed with ZrO 2 diluent from C 2 H 2 at temperatures between 1100 and 1200 0 C. The Al 2 O 3 coatings were deposited in a vibrated bed by a two-stage process to minimize loss of PyC during the overcoating operation. This process involved applying 10 μm of Al 2 O 3 using water vapor hydrolysis of AlCl 3 and then switching to the more surface-controlled hydrolysis via the H 2 + CO 2 reaction (3CO 2 + 3H 2 + 2AlCl 3 = Al 2 O 3 + 6HCl + 3CO). Typically, 50 to 80 μm Al 2 O 3 coatings were applied over 30 to 40 μm PyC coatings. The coatings were evaluated by metallographic examination, PyC oxidation tests, and leach resistance. After air oxidation for 100 hours at 750 0 C, the duplex PyC/Al 2 O 3 coated particles exhibited a weight loss of 0.01 percent. Leach resistance is being determined for temperatures from 50 to 150 0 C in various solutions. Typical results are given for selected ions. The leach resistance of supercalcine cores is significantly improved by the application of PyC and/or Al 2 O 3 coatings

  19. Novel geochemical techniques integrated in exploration for uranium deposits at depth

    International Nuclear Information System (INIS)

    Kyser, K.

    2014-01-01

    Mineral deposits are in fact geochemical anomalies, and as such their detection and assessment of their impact on the environment should be facilitated using geochemical techniques. Although geochemistry has been used directly in the discovery of uranium deposits and more indirectly in shaping deposit models, the novel applications of geochemistry and integration with other data can be more effective in formulating exploration and remediation strategies. Recent research on the use of geochemistry in detecting uranium deposits at depth include: (1) more effective integration of geochemical with geophysical data to refine targets, (2) revealing element distributions in and around deposits to adequately assess the total chemical environment associated with the deposit, (3) the use of element tracing using elemental concentrations and isotopic compositions in the near surface environment to detect specific components that have migrated to the surface from uranium deposits at depth, (4) understand the effects of both macro- and micro-environments on element mobility across the geosphere-biosphere interface to enhance exploration using select media for uranium at depth. Geophysical data used in exploration can identify areas of conductors where redox contrasts may host mineralization, structures that act to focus fluids during formation of the deposits and act as conduits for element migration to the surface, and contrasts in geology that are required for the deposits. However, precision of these data is greatly diminished with depth, but geochemical data from drill core or surface media can enhance target identification when integrated with geophysical data. Geochemical orientation surveys over known unconformity-related deposits at depth clearly identify mineralization 900m deep. Drill core near the deposit, clay-size fractions separated from soil horizons and vegetation over and far from the deposit record element migration from the deposit as radiogenic He, Rn and Pb

  20. A Effect discussion of transient electromagnetic sounding technique in paleochannel-type sandstone-hosted uranium deposit

    International Nuclear Information System (INIS)

    Yang Jianchun; Fang Genxian; Yang Yaxin

    2003-01-01

    On the base of the application of transient electromagnetic technique of paleochannel-type sandstone-hosted uranium deposits in Tengchong region of Yunan Province, this paper analyses the detect example. It discusses the theory foundation of TEM, fieldwork means, data processing and interpret. By contrast with routine electricity farad, the transient electromagnetic technique have the special merit and favorable space resolve gender under conditions of intricacy terrain. This means can get good effect in detecting paleochannel-type sandstone-hosted uranium deposits space position. It is a good reference for other prospecting and exploration work

  1. Self-catalytic growth of tin oxide nanowires by chemical vapor deposition process

    CSIR Research Space (South Africa)

    Thabethe, BS

    2013-01-01

    Full Text Available The authors report on the synthesis of tin oxide (SnO(sub2)) nanowires by a chemical vapor deposition (CVD) process. Commercially bought SnO nanopowders were vaporized at 1050°C for 30 minutes with argon gas continuously passing through the system...

  2. Graphene growth from reduced graphene oxide by chemical vapour deposition: seeded growth accompanied by restoration

    Science.gov (United States)

    Chang, Sung-Jin; Hyun, Moon Seop; Myung, Sung; Kang, Min-A.; Yoo, Jung Ho; Lee, Kyoung G.; Choi, Bong Gill; Cho, Youngji; Lee, Gaehang; Park, Tae Jung

    2016-03-01

    Understanding the underlying mechanisms involved in graphene growth via chemical vapour deposition (CVD) is critical for precise control of the characteristics of graphene. Despite much effort, the actual processes behind graphene synthesis still remain to be elucidated in a large number of aspects. Herein, we report the evolution of graphene properties during in-plane growth of graphene from reduced graphene oxide (RGO) on copper (Cu) via methane CVD. While graphene is laterally grown from RGO flakes on Cu foils up to a few hundred nanometres during CVD process, it shows appreciable improvement in structural quality. The monotonous enhancement of the structural quality of the graphene with increasing length of the graphene growth from RGO suggests that seeded CVD growth of graphene from RGO on Cu surface is accompanied by the restoration of graphitic structure. The finding provides insight into graphene growth and defect reconstruction useful for the production of tailored carbon nanostructures with required properties.

  3. Techniques for freeing deposited canisters. Final report

    International Nuclear Information System (INIS)

    Kalbantner, P.; Sjoeblom, R.

    2000-06-01

    Four different techniques for removal of the bentonite buffer around a deposited canister have been identified, studied and evaluated: mechanical, hydrodynamical, thermal, and electrical techniques. Different techniques to determine the position of the canister in the buffer have also been studied: mechanical, electromagnetic, thermal and acoustic techniques. The mechanical techniques studied are full-face boring, milling and core-drilling. It is expected that the bentonite can be machined relatively easily. It is assessed that cooling by means of flushing water over the outer surfaces of the tools is not feasible in view of the tendency of bentonite to form a gel. The mechanical techniques are characterized by the potential of damaging the canister, a high degree of complexity, and high requirements of energy/power input. The generated byproduct is solid and cannot be removed by means of flushing. Removal is assessed to be simplest in conjunction with full-face boring and most difficult when coredrilling is applied. The hydrodynamical techniques comprise high-pressure hydrodynamic techniques, where pressures above and below 100 bar, and low pressure hydrodynamical techniques (< 10 bar) are separated. At pressures above 100 bar, a water jet with a diameter of approximately a millimetre cuts through the material. If desired, sand can be added to the jet. At pressures below 100 bar the jet has a diameter of one or a few centimetres. The liquid contains a few percent of salt, which is essential for the efficiency of the process. The flushing is important not only because it removes the modified bentonite but also because it frees previously unaffected bentonite and thereby makes it accessible to chemical modification. All of the hydrodynamical techniques are applicable for freeing the end surface as well as the mantle surface. The degree of complexity and the requirement on energy/power decrease with a decrease in pressure. A significant potential for damaging the

  4. A Fast CVD Diamond Beam Loss Monitor for LHC

    CERN Document Server

    Griesmayer, E; Dobos, D; Effinger, E; Pernegger, H

    2011-01-01

    Chemical Vapour Deposition (CVD) diamond detectors were installed in the collimation area of the CERN LHC to study their feasibility as Fast Beam Loss Monitors in a high-radiation environment. The detectors were configured with a fast, radiation-hard pre-amplifier with a bandwidth of 2 GHz. The readout was via an oscilloscope with a bandwidth of 1 GHz and a sampling rate of 5 GSPS. Despite the 250 m cable run from the detectors to the oscilloscope, single MIPs were resolved with a 2 ns rise time, a pulse width of 10 ns and a time resolution of less than 1 ns. Two modes of operation were applied. For the analysis of unexpected beam aborts, the loss profile was recorded in a 1 ms buffer and, for nominal operation, the histogram of the time structure of the losses was recorded in synchronism with the LHC period of 89.2 μs. Measurements during the LHC start-up (February to December 2010) are presented. The Diamond Monitors gave an unprecedented insight into the time structure of the beam losses resolving the 400...

  5. Preparación de tamices moleculares de carbono por CVD

    Directory of Open Access Journals (Sweden)

    Manso, R.

    2001-02-01

    Full Text Available Carbon molecular sieves (CMS have been prepared by chemical vapour deposition (CVD of carbon from the pyrolysis of benzene molecules on activated carbon surfaces. The pyrolysis of benzene at temperatures in the range 650-850 ºC restricts the accessibility of the micropores due to the creation of constrictions on the microporous network. Temperatures higher than 850 ºC (temperature of carbonisation add difficulties due to decomposition and sinterization processes. Low flows of nitrogen (30 mL min-1 and high benzene content (13 % produce a more uniform carbon deposition and wider micropore size distributions. The CVD process on carbons activated to different burn-offs, using temperatures below 850 ºC, flows of 150 mL min-1 and benzene content of 1 %, gives rise to microporous materials which exhibit micropore volumes around 0,25 cm3 g-1 and narrow micropore size distributions: below 0,33 nm, between 0,33-0,41 nm or between 0,41-0,54 nm. The burn-off of the activated carbon has a relative little influence on the textural properties of the CMS that mainly depend on the degree of filling originated by the carbon deposition.

    Se han preparado tamices moleculares de carbono (CMS mediante el depósito de átomos de carbono, por pirólisis de benceno, sobre la superficie de carbones activados. La pirólisis de benceno a temperaturas comprendidas entre 650-850 ºC genera el cierre progresivo de los microporos debido a la creación de constricciones en la red microporosa que limitan la accesibilidad de determinadas moléculas. El uso de temperaturas superiores a la temperatura de carbonización del precursor (850 ºC introduce complicaciones debido a la descomposición y sinterización parcial del sólido. Flujos bajos de nitrógeno (30 mL min-1 con alto contenido en benceno (13 % producen un depósito homogéneo a lo largo de las paredes y los materiales presentan distribuciones microporosas mas anchas. El depósito de carbono sobre carbones

  6. Initiated chemical vapor deposition of pH responsive poly(2-diisopropylamino)ethyl methacrylate thin films

    Energy Technology Data Exchange (ETDEWEB)

    Karaman, Mustafa, E-mail: karamanm@selcuk.edu.tr [Department of Chemical Engineering, Selcuk University (Turkey); Advanced Technology Research and Application Center, Selcuk University (Turkey); Cabuk, Nihat [Department of Chemical Engineering, Selcuk University (Turkey)

    2012-08-31

    Poly(2-(diisopropylamino)ethyl methacrylate) (PDPAEMA) thin films were deposited on low temperature substrates by initiated chemical vapor deposition (iCVD) method using tertbutyl peroxide as an initiator. Very high deposition rates up to 38 nm/min were observed at low filament temperatures due to the use of the initiator. Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy show the formation of PDPAEMA films with high retention of tertiary amine functionality which is responsible for pH induced changes in the wetting behavior of the surfaces. As-deposited PDPAEMA thin films on flat Si surface showed a reversible switching of water contact angle values between 87 Degree-Sign and 28 Degree-Sign ; after successive treatments of high and low pH water solutions, respectively. Conformal and non-damaging nature of iCVD allowed to functionalize fragile and rough electrospun poly(methyl methacrylate) fiber mat surfaces by PDPAEMA, which creates a surface with a switching behavior between superhydrophobic and approaching superhydrophilic with contact angle values of 155 {+-} 3 Degree-Sign and 22 {+-} 5 Degree-Sign , respectively. - Highlights: Black-Right-Pointing-Pointer Poly(2-diisopropylaminoethyl methacrylate) thin films were deposited by a dry process. Black-Right-Pointing-Pointer Initiated chemical vapor deposition can produce thin films on fragile substrates. Black-Right-Pointing-Pointer We report a reversible pH-induced transition from hydrophilic to super-hydrophobic.

  7. Vertically aligned carbon nanotube growth by pulsed laser deposition and thermal chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Sohn, Jung Inn; Nam, Chunghee; Lee, Seonghoon

    2002-01-01

    We have grown vertically aligned carbon nanotubes on the various substrates such as a planar p-type Si(1 0 0) wafer, porous Si wafer, SiO 2 , Si 3 N 4 , Al 2 O 3 , and Cr by thermal chemical vapor deposition (CVD) at 800 deg.C, using C 2 H 2 gas as a carbon source and Fe catalyst films deposited by a pulsed laser on the substrates. The Fe films were deposited for 5 min by pulsed laser deposition (PLD). The advantage of Fe deposition by PLD over other deposition methods lies in the superior adhesion of Fe to a Si substrate due to high kinetic energies of the generated Fe species. Scanning electron microscopy (SEM) images show that vertically well-aligned carbon nanotubes are grown on Fe nanoparticles formed from the thermal annealing of the Fe film deposited by PLD on the various substrates. Atomic force microscopy (AFM) images show that the Fe film annealed at 800 deg.C is broken to Fe nanoparticles of 10-50 nm in size. We show that the appropriate density of Fe nanoparticles formed from the thermal annealing of the film deposited by PLD is crucial in growing vertically aligned carbon nanotubes. Using a PLD and a lift-off method, we developed the selective growth of carbon nanotubes on a patterned Fe-coated Si substrate

  8. CN distribution in flame deposition of diamond and its relation to the growth rate, morphology, and nitrogen incorporation of the diamond layer

    NARCIS (Netherlands)

    Klein-Douwel, R.J.H.; Schermer, J.J.; Meulen, ter J.J.

    1998-01-01

    Two-dimensional laser-induced fluorescence (2D-LIF) measurements areapplied to the chemical vapour deposition (CVD) of diamond by anoxyacetylene flame to visualize the distribution of CN in the gas phaseduring the diamond growth process. The obtained diamond deposits arecharacterized by optical as

  9. Monatomic chemical-vapor-deposited graphene membranes bridge a half-millimeter-scale gap.

    Science.gov (United States)

    Lee, Choong-Kwang; Hwangbo, Yun; Kim, Sang-Min; Lee, Seoung-Ki; Lee, Seung-Mo; Kim, Seong-Su; Kim, Kwang-Seop; Lee, Hak-Joo; Choi, Byung-Ik; Song, Chang-Kyu; Ahn, Jong-Hyun; Kim, Jae-Hyun

    2014-03-25

    One of the main concerns in nanotechnology is the utilization of nanomaterials in macroscopic applications without losing their extreme properties. In an effort to bridge the gap between the nano- and macroscales, we propose a clever fabrication method, the inverted floating method (IFM), for preparing freestanding chemical-vapor-deposited (CVD) graphene membranes. These freestanding membranes were then successfully suspended over a gap a half-millimeter in diameter. To understand the working principle of IFM, high-speed photography and white light interferometry were used to characterize and analyze the deformation behaviors of the freestanding graphene membranes in contact with a liquid during fabrication. Some nanoscale configurations in the macroscopic graphene membranes were able to be characterized by simple optical microscopy. The proposed IFM is a powerful approach to investigating the macroscopic structures of CVD graphene and enables the exploitation of freestanding CVD graphene for device applications.

  10. Microdroplet deposition through a film-free laser forward printing technique

    Energy Technology Data Exchange (ETDEWEB)

    Patrascioiu, A.; Fernandez-Pradas, J.M.; Morenza, J.L. [Departament de Fisica Aplicada i Optica, Universitat de Barcelona, Marti i Franques 1, 08028 Barcelona (Spain); Serra, P., E-mail: pserra@ub.edu [Departament de Fisica Aplicada i Optica, Universitat de Barcelona, Marti i Franques 1, 08028 Barcelona (Spain)

    2012-09-15

    Highlights: Black-Right-Pointing-Pointer Circular droplets are obtained for a wide range of focusing depths at fixed energy. Black-Right-Pointing-Pointer Focusing depth variation study reveals two abrupt transitions in droplet diameter. Black-Right-Pointing-Pointer Liquid ejection mechanism is mediated by two types of jets of different origin. Black-Right-Pointing-Pointer Evolution of jets depends on the focusing depth accounting for the seen transitions. - Abstract: A recently developed film-free laser forward microprinting technique allows printing transparent and weakly absorbing liquids with high resolution and reproducibility. Its operating principle consists in the tight focusing of ultrashort laser pulses inside the liquid, and near its free surface, such that all the laser energy is absorbed in a small region around the beam waist. A cavitation bubble is then created inside the liquid, whose subsequent expansion results into the ejection of liquid. The collection of the ejected liquid on a substrate leads to the deposition of micron-sized droplets. In this work, we investigate a relevant process parameter of the technique, namely the laser focusing depth, and its influence on the morphology of the deposited droplets. The study reveals that for a fixed laser pulse energy there exists a relatively wide range of focusing depths at which circular and uniform droplets can be printed. The process of liquid ejection is also investigated. Time-resolved images reveal that liquid ejection proceeds through the formation of two kinds of jets which display clearly differentiated dynamics, and which could provide an interpretation for the dependence observed between the morphology of the deposited droplets and the laser focusing depth.

  11. The influence of inhalation technique on Technegas particle deposition and image appearance in normal volunteers

    International Nuclear Information System (INIS)

    Lloyd, J.J.; James, J.M.; Shields, R.A.; Testa, H.J.

    1994-01-01

    The aim of this work was to investigate the influence of inhalation technique on Technegas image quality and on fractional particle deposition. This was investigated in six normal volunteers using three different types of breathing pattern. Fractional deposition was determined by analysis of dynamic gamma camera images acquired during Technegas administration. Static lung images were subsequently acquired and assessed independently by three experienced observers. High-quality images were obtained in all cases although slight differences were noted. The images produced using a slow deep inspiration with a breath hold (i.e. the standard method) were of more uniform texture and also had the least gradient in activity from apex to base. The converse was true for a rapid inhalation technique. The average fractional deposition per breath was 55%, but this varied between individuals and with breathing pattern, being most influenced by the total duration of a breath. We conclude that for patient studies the standard inhalation technique is best, although variation to suit individual patients would be acceptable. (orig./MG)

  12. Flavonoids and Reduction of Cardiovascular Disease (CVD) in Chronic Obstructive Pulmonary Disease (COPD).

    Science.gov (United States)

    Russo, Patrizia; Prinzi, Giulia; Lamonaca, Palma; Cardaci, Vittorio; Fini, Massimo

    2018-05-13

    Chronic obstructive pulmonary disease (COPD) and cardiovascular diseases (CV) often coexist. COPD and CVD are complex diseases characterized by a strict interaction between environment and genetic. The mechanisms linking these two diseases are complex, multifactorial and not entirely understood, influencing the therapeutic approach. COPD is characterized by several comorbidities, it is hypothesizable that treatment of cardiovascular co-morbidities may reduce morbidity and mortality. Flavonoids are an important class of plant low molecular weight secondary metabolites (SMs). Convincing data from laboratory, epidemiological, and human clinical studies point to an important effects on CVD risk prevention. This review aims to provide up-to-date information on the ability of Flavonoids to reduce the CVD risk. Current studies support the potential of Flavonoids to prevent the risk of CVD. Well-designed clinical studies are suggested to evaluate advantages and limits of Flavonoids for managing CVD comorbidity in COPD. Copyright© Bentham Science Publishers; For any queries, please email at epub@benthamscience.org.

  13. Optimization of operating parameters in polysilicon chemical vapor deposition reactor with response surface methodology

    Science.gov (United States)

    An, Li-sha; Liu, Chun-jiao; Liu, Ying-wen

    2018-05-01

    In the polysilicon chemical vapor deposition reactor, the operating parameters are complex to affect the polysilicon's output. Therefore, it is very important to address the coupling problem of multiple parameters and solve the optimization in a computationally efficient manner. Here, we adopted Response Surface Methodology (RSM) to analyze the complex coupling effects of different operating parameters on silicon deposition rate (R) and further achieve effective optimization of the silicon CVD system. Based on finite numerical experiments, an accurate RSM regression model is obtained and applied to predict the R with different operating parameters, including temperature (T), pressure (P), inlet velocity (V), and inlet mole fraction of H2 (M). The analysis of variance is conducted to describe the rationality of regression model and examine the statistical significance of each factor. Consequently, the optimum combination of operating parameters for the silicon CVD reactor is: T = 1400 K, P = 3.82 atm, V = 3.41 m/s, M = 0.91. The validation tests and optimum solution show that the results are in good agreement with those from CFD model and the deviations of the predicted values are less than 4.19%. This work provides a theoretical guidance to operate the polysilicon CVD process.

  14. Controllable chemical vapor deposition of large area uniform nanocrystalline graphene directly on silicon dioxide

    DEFF Research Database (Denmark)

    Sun, Jie; Lindvall, Niclas; Cole, Matthew T.

    2012-01-01

    Metal-catalyst-free chemical vapor deposition (CVD) of large area uniform nanocrystalline graphene on oxidized silicon substrates is demonstrated. The material grows slowly, allowing for thickness control down to monolayer graphene. The as-grown thin films are continuous with no observable pinholes...

  15. On the deposition parameters of indium oxide (IO) and tin oxide (TO) by reactive evaporation technique

    International Nuclear Information System (INIS)

    Hassan, F.; Abdullah, A.H.; Salam, R.

    1990-01-01

    Thin films of tin oxide (TO) and indium oxide (IO) are prepared by the reactive evaporation technique, where indium or tin sources are evaporated and made to react with oxygen gas injected close to the substrate. In both depositions a substrate temperature of 380 0 C and a chamber pressure of 2x10 -5 mbar are utilized, but however different oxygen flow rates has been maintained. For TO, the deposition rate is found to be constant up to about 55 minutes of deposition time with a deposition rate of about 0.10 A/s, but for longer deposition time the deposition rate increases rapidly up to about 0.30 A/s. The IO displays a higher deposition rate of about 0.80 A/s over a deposition time 30 minutes, beyond which the deposition rate increases gradually

  16. Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition

    Science.gov (United States)

    Lackey, Jr., Walter J.; Caputo, Anthony J.

    1986-01-01

    A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.

  17. The CVD graphene transfer procedure introduces metallic impurities which alter the graphene electrochemical properties.

    Science.gov (United States)

    Ambrosi, Adriano; Pumera, Martin

    2014-01-07

    High quality graphene films can be fabricated by chemical vapor deposition (CVD) using Ni and Cu as catalytic substrates. Such a synthesis procedure always requires a subsequent transfer process to be performed in order to eliminate the metallic substrate and transfer the graphene onto the desired surface. We show here that such a transfer process causes significant contamination of the graphene film with residual Fe and Ni metal impurities. Fe contamination derives from the use of Fe-based etching solutions to dissolve Ni (or Cu) substrates, while residual Ni (or Cu) is due to an incomplete metal substrate etching. The presence of these metallic impurities within the transferred graphene film affects tremendously its electrochemical behavior when adopted as an electrode material.

  18. Tantalum coating on porous Ti6Al4V scaffold using chemical vapor deposition and preliminary biological evaluation

    Energy Technology Data Exchange (ETDEWEB)

    Li, Xiang, E-mail: xiangliwj@sjtu.edu.cn [School of Mechanical Engineering, Shanghai Jiao Tong University, State Key Laboratory of Mechanical System and Vibration, Shanghai, 200240 (China); Wang, Lin [Institute of Orthopaedics, Xijing Hospital, The Fourth Military Medical University, Xi' an, 710032 (China); Yu, Xiaoming [The Institute of Metal Research, Chinese Academy of Sciences, Shenyang, 110016 (China); Feng, Yafei [Institute of Orthopaedics, Xijing Hospital, The Fourth Military Medical University, Xi' an, 710032 (China); Wang, Chengtao [School of Mechanical Engineering, Shanghai Jiao Tong University, State Key Laboratory of Mechanical System and Vibration, Shanghai, 200240 (China); Yang, Ke [The Institute of Metal Research, Chinese Academy of Sciences, Shenyang, 110016 (China); Su, Daniel [School of Mechanical Engineering, Shanghai Jiao Tong University, State Key Laboratory of Mechanical System and Vibration, Shanghai, 200240 (China)

    2013-07-01

    Porous tantalum (Ta), produced via chemical vapor deposition (CVD) of commercially pure Ta onto a vitreous carbon, is currently available for use in orthopedic applications. However, the relatively high manufacturing cost and the incapability to produce customized implant using medical image data have limited its application to gain widespread acceptance. In this study, Ta film was deposited on porous Ti6Al4V scaffolds using CVD technique. Digital microscopy and scanning electron microscopy indicated that the Ta coating evenly covered the entire scaffold structure. X-ray diffraction analysis showed that the coating consisted of α and β phases of Ta. Goat mesenchymal stem cells were seeded and cultured on the Ti6Al4V scaffolds with and without coating. The tetrazolium-based colorimetric assay exhibited better cell adhesion and proliferation on Ta-coated scaffolds compared with uncoated scaffolds. The porous scaffolds were subsequently implanted in goats for 12 weeks. Histological analysis revealed similar bone formation around the periphery of the coated and uncoated implants, but bone ingrowth is better within the Ta-coated scaffolds. To demonstrate the ability of producing custom implant for clinical applications via this technology, we designed and fabricated a porous Ti6Al4V scaffold with segmental mandibular shape derived from patient computerized tomography data. - Highlights: • Ta film was coated on porous Ti6Al4V scaffold using chemical vapor deposition. • Tantalum coating allowed for higher levels of cell adhesion and proliferation. • Better new bone formation occurred inside the tantalum-coated scaffolds. • Clinical image data was integrated with EBM to fabricate customized scaffold.

  19. Tantalum coating on porous Ti6Al4V scaffold using chemical vapor deposition and preliminary biological evaluation

    International Nuclear Information System (INIS)

    Li, Xiang; Wang, Lin; Yu, Xiaoming; Feng, Yafei; Wang, Chengtao; Yang, Ke; Su, Daniel

    2013-01-01

    Porous tantalum (Ta), produced via chemical vapor deposition (CVD) of commercially pure Ta onto a vitreous carbon, is currently available for use in orthopedic applications. However, the relatively high manufacturing cost and the incapability to produce customized implant using medical image data have limited its application to gain widespread acceptance. In this study, Ta film was deposited on porous Ti6Al4V scaffolds using CVD technique. Digital microscopy and scanning electron microscopy indicated that the Ta coating evenly covered the entire scaffold structure. X-ray diffraction analysis showed that the coating consisted of α and β phases of Ta. Goat mesenchymal stem cells were seeded and cultured on the Ti6Al4V scaffolds with and without coating. The tetrazolium-based colorimetric assay exhibited better cell adhesion and proliferation on Ta-coated scaffolds compared with uncoated scaffolds. The porous scaffolds were subsequently implanted in goats for 12 weeks. Histological analysis revealed similar bone formation around the periphery of the coated and uncoated implants, but bone ingrowth is better within the Ta-coated scaffolds. To demonstrate the ability of producing custom implant for clinical applications via this technology, we designed and fabricated a porous Ti6Al4V scaffold with segmental mandibular shape derived from patient computerized tomography data. - Highlights: • Ta film was coated on porous Ti6Al4V scaffold using chemical vapor deposition. • Tantalum coating allowed for higher levels of cell adhesion and proliferation. • Better new bone formation occurred inside the tantalum-coated scaffolds. • Clinical image data was integrated with EBM to fabricate customized scaffold

  20. Defining the relationship between COPD and CVD: what are the implications for clinical practice?

    Science.gov (United States)

    Morgan, Ann D; Zakeri, Rosita; Quint, Jennifer K

    2018-01-01

    Cardiovascular diseases (CVDs) are arguably the most important comorbidities in chronic obstructive pulmonary disease (COPD). CVDs are common in people with COPD, and their presence is associated with increased risk for hospitalization, longer length of stay and all-cause and CVD-related mortality. The economic burden associated with CVD in this population is considerable and the cumulative cost of treating comorbidities may even exceed that of treating COPD itself. Our understanding of the biological mechanisms that link COPD and various forms of CVD has improved significantly over the past decade. But despite broad acceptance of the prognostic significance of CVDs in COPD, there remains widespread under-recognition and undertreatment of comorbid CVD in this population. The reasons for this are unclear; however institutional barriers and a lack of evidence-based guidelines for the management of CVD in people with COPD may be contributory factors. In this review, we summarize current knowledge relating to the prevalence and incidence of CVD in people with COPD and the mechanisms that underlie their coexistence. We discuss the implications for clinical practice and highlight opportunities for improved prevention and treatment of CVD in people with COPD. While we advocate more active assessment for signs of cardiovascular conditions across all age groups and all stages of COPD severity, we suggest targeting those aged under 65 years. Evidence indicates that the increased risks for CVD are particularly pronounced in COPD patients in mid-to-late-middle-age and thus it is in this age group that the benefits of early intervention may prove to be the most effective. PMID:29355081

  1. A one-step technique to prepare aligned arrays of carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Mahanandia, Pitamber [Department of Physics, Indian Institute of Science, Bangalore 560012 (India); Nanda, Karuna Kar [Materials Research Centre, Indian Institute of Science, Bangalore 560012 (India)], E-mail: pitam@physics.iisc.ernet.in

    2008-04-16

    A simple effective pyrolysis technique has been developed to synthesize aligned arrays of multi-walled carbon nanotubes (MWCNTs) without using any carrier gas in a single-stage furnace at 700 deg. C. This technique eliminates nearly the entire complex and expensive machinery associated with other extensively used methods for preparation of CNTs such as chemical vapour deposition (CVD) and pyrolysis. Carbon source materials such as xylene, cyclohexane, camphor, hexane, toluene, pyridine and benzene have been pyrolyzed separately with the catalyst source material ferrocene to obtain aligned arrays of MWCNTs. The synthesized CNTs have been characterized by scanning electron microscopy (SEM), x-ray diffraction (XRD), transmission electron microscopy (TEM), thermogravimetric analysis (TGA) and Raman spectroscopy. In this technique, the need for the tedious and time-consuming preparation of metal catalysts and continuously fed carbon source material containing carrier gas can be avoided. This method is a single-step process where not many parameters are required to be monitored in order to prepare aligned MWCNTs. For the production of CNTs, the technique has great advantages such as low cost and easy operation.

  2. Development of CVD diamond radiation detectors

    CERN Document Server

    Adam, W; Berdermann, E; Bogani, F; Borchi, E; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fisch, D; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E A; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Knöpfle, K T; Krammer, Manfred; Manfredi, P F; Meier, D; Mishina, M; Le Normand, F; Pan, L S; Pernegger, H; Pernicka, Manfred; Pirollo, S; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Tapper, R J; Tesarek, R J; Thomson, G B; Trawick, M L; Trischuk, W; Turchetta, R; Walsh, A M; Wedenig, R; Weilhammer, Peter; Ziock, H J; Zoeller, M M

    1998-01-01

    Diamond is a nearly ideal material for detecting ionizing radiation. Its outstanding radiation hardness, fast charge collection and low leakage current allow a diamond detector to be used in high ra diation, high temperature and in aggressive chemical media. We have constructed charged particle detectors using high quality CVD diamond. Characterization of the diamond samples and various detect ors are presented in terms of collection distance, $d=\\mu E \\tau$, the average distance electron-hole pairs move apart under the influence of an electric field, where $\\mu$ is the sum of carrier mo bilities, $E$ is the applied electric field, and $\\tau$ is the mobility weighted carrier lifetime. Over the last two years the collection distance increased from $\\sim$ 75 $\\mu$m to over 200 $\\mu$ m. With this high quality CVD diamond a series of micro-strip and pixel particle detectors have been constructed. These devices were tested to determine their position resolution and signal to n oise performance. Diamond detectors w...

  3. Film fabrication of Fe or Fe3O4 nanoparticles mixed with palmitic acid for vertically aligned carbon nanotube growth using Langmuir-Blodgett technique

    Science.gov (United States)

    Nakamura, Kentaro; Kuriyama, Naoki; Takagiwa, Shota; Sato, Taiga; Kushida, Masahito

    2016-03-01

    Vertically aligned carbon nanotubes (VA-CNTs) were studied as a new catalyst support for polymer electrolyte fuel cells (PEFCs). Controlling the number density and the diameter of VA-CNTs may be necessary to optimize PEFC performance. As the catalyst for CNT growth, we fabricated Fe or Fe3O4 nanoparticle (NP) films by the Langmuir-Blodgett (LB) technique. The catalyst Fe or Fe3O4 NPs were widely separated by mixing with filler molecules [palmitic acid (C16)]. The number density of VA-CNTs was controlled by varying the ratio of catalyst NPs to C16 filler molecules. The VA-CNTs were synthesized from the catalyst NP-C16 LB films by thermal chemical vapor deposition (CVD) using acetylene gas as the carbon source. The developing solvents used in the LB technique and the hydrogen reduction conditions of CVD were optimized to improve the VA-CNT growth rate. We demonstrate that the proposed method can independently control both the density and the diameter of VA-CNTs.

  4. Synthesis of ultrathin polymer insulating layers by initiated chemical vapour deposition for low-power soft electronics.

    Science.gov (United States)

    Moon, Hanul; Seong, Hyejeong; Shin, Woo Cheol; Park, Won-Tae; Kim, Mincheol; Lee, Seungwon; Bong, Jae Hoon; Noh, Yong-Young; Cho, Byung Jin; Yoo, Seunghyup; Im, Sung Gap

    2015-06-01

    Insulating layers based on oxides and nitrides provide high capacitance, low leakage, high breakdown field and resistance to electrical stresses when used in electronic devices based on rigid substrates. However, their typically high process temperatures and brittleness make it difficult to achieve similar performance in flexible or organic electronics. Here, we show that poly(1,3,5-trimethyl-1,3,5-trivinyl cyclotrisiloxane) (pV3D3) prepared via a one-step, solvent-free technique called initiated chemical vapour deposition (iCVD) is a versatile polymeric insulating layer that meets a wide range of requirements for next-generation electronic devices. Highly uniform and pure ultrathin films of pV3D3 with excellent insulating properties, a large energy gap (>8 eV), tunnelling-limited leakage characteristics and resistance to a tensile strain of up to 4% are demonstrated. The low process temperature, surface-growth character, and solvent-free nature of the iCVD process enable pV3D3 to be grown conformally on plastic substrates to yield flexible field-effect transistors as well as on a variety of channel layers, including organics, oxides, and graphene.

  5. The Effect of Annealing at 15000C on Migration and Release of Ion Implanted Silver in CVD Silicon Carbide

    International Nuclear Information System (INIS)

    HJ MacLean; RG Ballinger; LE Kolaya; SA Simonson; N Lewis; M Hanson

    2004-01-01

    The transport of silver in CVD β-SiC has been studied using ion implantation. Silver ions were implanted in β-SiC using the ATLAS accelerator facility at the Argonne National Laboratory. Ion beams with energies of 93 and 161 MeV were used to achieve deposition with peak concentrations at depths of approximately 9 and 13 (micro)m, respectively. As-implanted samples were then annealed at 1500 C for 210 or 480 hours. XPS, SEM, TEM, STEM, and optical methods were used to analyze the material before and after annealing. Silver concentration profiles were determined using XPS before and after annealing. STEM and SEM equipped with quantitative chemical analysis capability were used to more fully characterize the location and morphology of the silver before and after annealing. The results show that, within the uncertainty of measurement techniques, there is no silver migration, via either inter- or intragrannular paths, for the times and temperature studied. Additionally, the silver was observed to phase separate within the SiC after annealing. The irradiation damage from the implantation process resulted in a three-layer morphology in the as-implanted condition: (1) a layer of unaltered SiC, followed by (2) a layer of crystallized SiC, followed by (3) an amorphized layer which contained essentially all of the implanted silver. After annealing the layer structure changed. Layer 1 was unaltered. The grains in layer 2 recrystallized to form an epitaxial (columnar) layer. Layer 3 recrystallized to form a fine grain equiaxed layer. The results of this work do not support the long held assumption that silver release from CVD SiC, used for gas-reactor coated particle fuel, is dominated by grain boundary diffusion

  6. CVD calibration light systems specifications. Rev. 0

    International Nuclear Information System (INIS)

    Mcllwain, A. K.

    1992-04-01

    Two prototype Cerenkov Viewing Device Calibration Light systems for the Mark IV CVD have been fabricated. They consist of a maintenance unit that will be used by the IAEA maintenance staff and a field unit that will be used by IAEA inspectors. More detailed information on the design of the calibration units can be obtained from the document SSP-39 and additional information on the Mark IV CVD can be obtained from the operating manual published as Canadian Safeguards Support Program document CSSP 6. The specifications refer to the prototype units which will be demonstrated to the IAEA in 1992 May. Based upon the feedback from the IAEA, the instruments will be changed in the final production models to provide devices that more closely satisfy the needs of the end users

  7. Single and multijunction silicon based thin film solar cells on a flexible substrate with absorber layers made by hot-wire CVD

    Science.gov (United States)

    Li, Hongbo

    2007-09-01

    With the worldwide growing concern about reliable energy supply and the environmental problems of fossil and nuclear energy production, the need for clean and sustainable energy sources is evident. Solar energy conversion, such as in photovoltaic systems, can play a major role in the urgently needed energy transition in electricity production. Solar cells based on thin film silicon and its alloys are a promising candidate that is capable of fulfilling the fast increasing demand of a reliable solar cell supply. The conventional method to deposit silicon thin films is based on plasma enhanced chemical vapour deposition (PECVD) techniques, which have the disadvantage of increasing film inhomogeneity at a high deposition rate when scaling up for the industrial production. In this thesis, we study the possibility of making high efficiency single and multijunction thin film silicon solar cells with the so-called hot-wire CVD technique, in which no strong electromagnetic field is involved in the deposition. Therefore, the up-scaling for industrial production is straightforward. We report and discuss our findings on the correlation of substrate surface rms roughness and the main output parameter of a solar cell, the open circuit voltage Voc of c-Si:H n i p cells. By considering all the possible reasons that could influence the Voc of such cells, we conclude that the near linear correlation of Voc and substrate surface rms roughness is the result the two most probable reasons: the unintentional doping through the cracks originated near the valleys of the substrate surface due to the in-diffusion of impurities, and the high density electrical defects formed by the collision of columnar silicon structures. Both of them relate to the morphology of substrate surface. Therefore, to have the best cell performance on a rough substrate surface, a good control on the substrate surface morphology is necessary. Another issue influencing the performance of c-Si:H solar cells is the

  8. The Development of a Differential Deposition Technique for Figure Correction in Grazing Incidence Optics

    Data.gov (United States)

    National Aeronautics and Space Administration — We propose the development of a physical-vapor-deposition coating technique to correct residual figure errors in grazing-incidence optics. The process involves...

  9. The development of chemically vapor deposited mullite coatings for the corrosion protection of SiC

    Energy Technology Data Exchange (ETDEWEB)

    Auger, M.; Hou, P.; Sengupta, A.; Basu, S.; Sarin, V. [Boston Univ., MA (United States)

    1998-05-01

    Crystalline mullite coatings have been chemically vapor deposited onto SiC substrates to enhance the corrosion and oxidation resistance of the substrate. Current research has been divided into three distinct areas: (1) Development of the deposition processing conditions for increased control over coating`s growth rate, microstructure, and morphology; (2) Analysis of the coating`s crystal structure and stability; (3) The corrosion resistance of the CVD mullite coating on SiC.

  10. Plasma Assisted Chemical Vapour Deposition – Technological Design Of Functional Coatings

    Directory of Open Access Journals (Sweden)

    Januś M.

    2015-06-01

    Full Text Available Plasma Assisted Chemical Vapour Deposition (PA CVD method allows to deposit of homogeneous, well-adhesive coatings at lower temperature on different substrates. Plasmochemical treatment significantly impacts on physicochemical parameters of modified surfaces. In this study we present the overview of the possibilities of plasma processes for the deposition of diamond-like carbon coatings doped Si and/or N atoms on the Ti Grade2, aluminum-zinc alloy and polyetherketone substrate. Depending on the type of modified substrate had improved the corrosion properties including biocompatibility of titanium surface, increase of surface hardness with deposition of good adhesion and fine-grained coatings (in the case of Al-Zn alloy and improving of the wear resistance (in the case of PEEK substrate.

  11. The growth of GaN films by alternate source gas supply hot-mesh CVD method

    Energy Technology Data Exchange (ETDEWEB)

    Komae, Yasuaki; Saitou, Takeshi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Suemitsu, Maki; Ito, Takashi [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Endoh, Tetsuo [Research Institute of Electrical Communication, Tohoku University, Sendai 980-8577 (Japan); Nakazawa, Hideki [Faculty of Science and Technology, Hirosaki University, Hirosaki 036-8561 (Japan); Narita, Yuzuru [Faculty of Engineering, Yamagata University, Yonezawa 992-8510 (Japan); Takata, Masasuke; Akahane, Tadashi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Yasui, Kanji, E-mail: kyasui@vos.nagaokaut.ac.j [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)

    2009-04-30

    Gallium nitride (GaN) films and Aluminium nitride (AlN) layers were deposited on SiC/Si (111) substrates by an alternating source gas supply or an intermittent supply of a source gas such as ammonia (NH{sub 3}), trimethylgallium (TMG) or trimethylaluminum (TMA) in a hot-mesh chemical vapor deposition (CVD) apparatus. The AlN layer was deposited as a buffer layer using NH{sub 3} and TMA on a SiC layer grown by carbonization on Si substrates using propane (C{sub 3}H{sub 8}). GaN films were grown on an AlN layer by a reaction between NH{sub x} radicals generated on a ruthenium (Ru) coated tungsten (W)-mesh and TMG molecules. An alternating source gas supply or an intermittent supply of one of the source gases during the film growth are expected to be effective for the suppression of gas phase reactions and for the enhancement of precursor migration on the substrate surface. By the intermittent supply of alkylmetal gas only during the growth of the AlN layer, the defect generation in the GaN films was reduced. GaN film growth by intermittent supply on an AlN buffer layer, however, did not lead to the improvement of the film quality.

  12. Growth of high quality AlN films on CVD diamond by RF reactive magnetron sputtering

    Science.gov (United States)

    Chen, Liang-xian; Liu, Hao; Liu, Sheng; Li, Cheng-ming; Wang, Yi-chao; An, Kang; Hua, Chen-yi; Liu, Jin-long; Wei, Jun-jun; Hei, Li-fu; Lv, Fan-xiu

    2018-02-01

    A highly oriented AlN layer has been successfully grown along the c-axis on a polycrystalline chemical vapor deposited (CVD) diamond by RF reactive magnetron sputtering. Structural, morphological and mechanical properties of the heterostructure were investigated by Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM), Transmission Electron Microscopy (TEM), X-ray diffraction (XRD), Nano-indentation and Four-probe meter. A compact AlN film was demonstrated on the diamond layer, showing columnar grains and a low surface roughness of 1.4 nm. TEM results revealed a sharp AlN/diamond interface, which was characterized by the presence of a distinct 10 nm thick buffer layer resulting from the initial AlN growth stage. The FWHM of AlN (002) diffraction peak and its rocking curve are as low as 0.41° and 3.35° respectively, indicating a highly preferred orientation along the c-axis. AlN sputtered films deposited on glass substrates show a higher bulk resistivity (up to 3 × 1012 Ω cm), compared to AlN films deposited on diamond (∼1010 Ω cm). Finally, the film hardness and Young's modulus of AlN films on diamond are 25.8 GPa and 489.5 GPa, respectively.

  13. Catalyst Design Using Nanoporous Iron for the Chemical Vapor Deposition Synthesis of Single-Walled Carbon Nanotubes

    Directory of Open Access Journals (Sweden)

    Tarek M. Abdel-Fattah

    2013-01-01

    Full Text Available Single-walled carbon nanotubes (SWNTs have been synthesized via a novel chemical vapor deposition (CVD approach utilizing nanoporous, iron-supported catalysts. Stable aqueous dispersions of the CVD-grown nanotubes using an anionic surfactant were also obtained. The properties of the as-produced SWNTs were characterized through atomic force microscopy and Raman spectroscopy and compared with purified SWNTs produced via the high-pressure CO (HiPCO method as a reference, and the nanotubes were observed with greater lengths than those of similarly processed HiPCO SWNTs.

  14. Structural Properties of Zn-ZnO Core-Shell Microspheres Grown by Hot-Filament CVD Technique

    Directory of Open Access Journals (Sweden)

    R. López

    2012-01-01

    Full Text Available We report the hot-filament chemical vapor deposition (HFCVD growth of Zn-ZnO core-shell microspheres in the temperature range of 350–650°C only using ZnO pellets as raw material. The samples were characterized by scanning electron microscope (SEM, energy dispersive spectroscopy (EDS, and X-ray diffraction (XRD techniques. SEM micrographs showed the presence of solid microspheres and a Zn-ZnO layer in all samples. The observed heterogeneous morphology on each sample suggested two different growth mechanisms. On the one hand, solid microspheres were formed by means of gas phase nucleation of Zn atoms. The Zn-ZnO layer was formed on the substrate as result of surface reactions. It is possible that Zn microspheres condensed during the natural cooling of the HFCVD reactor as they were observed on the Zn-ZnO layer.

  15. Graphene growth on Ge(100)/Si(100) substrates by CVD method.

    Science.gov (United States)

    Pasternak, Iwona; Wesolowski, Marek; Jozwik, Iwona; Lukosius, Mindaugas; Lupina, Grzegorz; Dabrowski, Pawel; Baranowski, Jacek M; Strupinski, Wlodek

    2016-02-22

    The successful integration of graphene into microelectronic devices is strongly dependent on the availability of direct deposition processes, which can provide uniform, large area and high quality graphene on nonmetallic substrates. As of today the dominant technology is based on Si and obtaining graphene with Si is treated as the most advantageous solution. However, the formation of carbide during the growth process makes manufacturing graphene on Si wafers extremely challenging. To overcome these difficulties and reach the set goals, we proposed growth of high quality graphene layers by the CVD method on Ge(100)/Si(100) wafers. In addition, a stochastic model was applied in order to describe the graphene growth process on the Ge(100)/Si(100) substrate and to determine the direction of further processes. As a result, high quality graphene was grown, which was proved by Raman spectroscopy results, showing uniform monolayer films with FWHM of the 2D band of 32 cm(-1).

  16. TPR system: a powerful technique to monitor carbon nanotube formation during chemical vapour deposition; Sistema RTP: uma tecnica poderosa para o monitoramento da formacao de nanotubos de carbono durante o processo por deposicao de vapor quimico

    Energy Technology Data Exchange (ETDEWEB)

    Tristao, Juliana Cristina; Moura, Flavia Cristina Camilo; Lago, Rochel Montero, E-mail: rochel@ufmg.b [Universidade Federal de Minas Gerais (DQ/UFMG), Belo Horizonte, MG (Brazil). Dept. de Quimica; Sapag, Karim [Universidade Nacional de San Luis (Argentina). Lab. de Ciencias de Superficies y Medios Porosos

    2010-07-01

    In this work, a TPR (Temperature Programmed Reduction) system is used as a powerful tool to monitor carbon nanotubes production during CVD (Chemical Vapour Deposition), The experiments were carried out using catalyst precursors based on Fe-Mo supported on Al{sub 2}O{sub 3} and methane as carbon source. As methane reacts on the Fe metal surface, carbon is deposited and H2 is produced. TPR is very sensitive to the presence of H2 and affords information on the temperature where catalyst is active to form different forms of carbon, the reaction kinetics, the catalyst deactivation and carbon yields. (author)

  17. Rubidium-strontium isotoppe study of Muruntan deposit. 1.Ore vien dating by isochrone technique

    International Nuclear Information System (INIS)

    Kostitsyn, Yu.A.

    1993-01-01

    Hydrothermal viens of Muruntau gold-ore deposit (Central Kyzylkum) have been studies by the isochrone technique. The ages obtained for the quartz-tourmaline (257+13 Ma), quartz-arsenopyrite (230.3+-3.5 Ma) and quartz-adularia (219.4+-4.2 Ma) hydrothermal viens reflect the different stages of the deposit evolution: gold-ore and gold-silver one. Strontium isotope analysis reveals that the matter of hydrothermal viens is originated from the surrounding black schists

  18. Computer Simulation of Temperature Parameter for Diamond Formation by Using Hot-Filament Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Chang Weon Song

    2017-12-01

    Full Text Available To optimize the deposition parameters of diamond films, the temperature, pressure, and distance between the filament and the susceptor need to be considered. However, it is difficult to precisely measure and predict the filament and susceptor temperature in relation to the applied power in a hot filament chemical vapor deposition (HF-CVD system. In this study, the temperature distribution inside the system was numerically calculated for the applied powers of 12, 14, 16, and 18 kW. The applied power needed to achieve the appropriate temperature at a constant pressure and other conditions was deduced, and applied to actual experimental depositions. The numerical simulation was conducted using the commercial computational fluent dynamics software ANSYS-FLUENT. To account for radiative heat-transfer in the HF-CVD reactor, the discrete ordinate (DO model was used. The temperatures of the filament surface and the susceptor at different power levels were predicted to be 2512–2802 K and 1076–1198 K, respectively. Based on the numerical calculations, experiments were performed. The simulated temperatures for the filament surface were in good agreement with the experimental temperatures measured using a two-color pyrometer. The results showed that the highest deposition rate and the lowest deposition of non-diamond was obtained at a power of 16 kW.

  19. Overlayer structure of subphthalocyanine derivative deposited on Au (111) surface by a spray-jet technique

    International Nuclear Information System (INIS)

    Suzuki, Hitoshi; Yamada, Toshiki; Miki, Hideki; Mashiko, Shinro

    2006-01-01

    A new spray-jet technique was used to deposit subphthalocyanine derivative (chloro[tri-tert-butyl subphthalocyaninato]boron (TBSubPc)) on Au (111) surface in an ultra-high vacuum (UHV) chamber. The deposited molecular overlayer was observed with UHV scanning tunneling microscopy (STM) at 77 K. The STM images showed that TBSubPc molecules formed a stripe pattern with regular spacing, indicating that they preferentially adsorbed along the herringbone structure of the Au (111) surface. This behavior was very similar to that of TBSubPc molecules deposited by thermal evaporation

  20. Characterization of CuS nanocrystalline thin films synthesized by chemical bath deposition and dip coating techniques

    International Nuclear Information System (INIS)

    Chaki, Sunil H.; Deshpande, M.P.; Tailor, Jiten P.

    2014-01-01

    CuS thin films were synthesized by chemical bath deposition and dip coating techniques at ambient temperature. The energy dispersive analysis of X-rays of the thin films confirmed that both the as synthesized thin films are stoichiometric. The X-ray diffraction of the chemical bath deposited and dip coating deposited thin films showed that the films possess hexagonal structure having lattice parameters, a = b = 3.79 A and c = 16.34 A. The crystallite sizes determined from the X-ray diffraction data using Scherrer's formula for the chemical bath deposition and dip coating deposition thin films came out to be nearly 11 nm and 13 nm, respectively. The optical microscopy of the as deposited thin films surfaces showed that the substrates are well covered in both the deposited films. The scanning electron microscopy of the thin films clearly showed that in chemical bath deposited thin films the grain size varies from few μm to nm, while in dip coating deposited films the grain size ranges in nm. The optical bandgap determined from the optical absorbance spectrum analysis showed, chemical bath deposited thin films possess direct bandgap of 2.2 eV and indirect bandgap of 1.8 eV. In the case of dip coating deposited thin films, the direct bandgap is 2.5 eV and indirect bandgap is 1.9 eV. The d.c. electrical resistivity variation with temperature for both the deposited films showed that the resistivity decreases with temperature thus confirming the semiconducting nature. The thermoelectric power variations with temperature and the room temperature Hall Effect study of both the synthesized CuS thin films showed them to be of p-type conductivity. The obtained results are discussed in details. - Highlights: • CuS thin films were synthesized by chemical bath deposition and dip coating techniques. • The films possessed hexagonal structure. • The optical absorption showed that the films had direct and indirect bandgap. • Study of electrical transport properties