WorldWideScience

Sample records for deposition ald process

  1. Plasma-assisted ALD for the conformal deposition of SiO2 : process, material and electronic properties

    NARCIS (Netherlands)

    Dingemans, G.; Helvoirt, van C.A.A.; Pierreux, D.; Keuning, W.; Kessels, W.M.M.

    2012-01-01

    Plasma-assisted atomic layer deposition (ALD) was used to deposit SiO2 films in the temperature range of Tdep = 50–400°C on Si(100). H2Si[N(C2H5)2]2 and an O2 plasma were used as Si precursor and oxidant, respectively. The ALD growth process and material properties were characterized in detail.

  2. Atomic layer deposition (ALD) for optical nanofabrication

    Science.gov (United States)

    Maula, Jarmo

    2010-02-01

    ALD is currently one of the most rapidly developing fields of thin film technology. Presentation gives an overview of ALD technology for optical film deposition, highlighting benefits, drawbacks and peculiarities of the ALD, especially compared to PVD. Viewpoint is practical, based on experience gained from tens of different applications over the last few decades. ALD is not competing, but enabling technology to provide coatings, which are difficult for traditional technologies. Examples of such cases are films inside of tubes; double side deposition on the substrate; large area accurate coatings; decorative coating for 3D parts; conformal coatings on high aspect ratio surfaces or inside porous structures. Novel materials can be easily engineered by making modifications on molecular level. ALD coats large surfaces effectively and fast. Opposite to common view, it actually provides high throughput (coated area/time), when used properly with a batch and/or in-line tools. It is possible to use ALD for many micrometers thick films or even produce thin parts with competitive cost. Besides optical films ALD provides large variety of features for nanofabrication. For example pin hole free films for passivation and barrier applications and best available films for conformal coatings like planarization or to improve surface smoothness. High deposition repeatability even with subnanometer film structures helps fabrication. ALD enters to production mostly through new products, not yet existing on the market and so the application IP field is reasonably open. ALD is an enabling, mature technology to fabricate novel optical materials and to open pathways for new applications.

  3. Dynamic Modeling for the Design and Cyclic Operation of an Atomic Layer Deposition (ALD Reactor

    Directory of Open Access Journals (Sweden)

    Curtisha D. Travis

    2013-08-01

    Full Text Available A laboratory-scale atomic layer deposition (ALD reactor system model is derived for alumina deposition using trimethylaluminum and water as precursors. Model components describing the precursor thermophysical properties, reactor-scale gas-phase dynamics and surface reaction kinetics derived from absolute reaction rate theory are integrated to simulate the complete reactor system. Limit-cycle solutions defining continuous cyclic ALD reactor operation are computed with a fixed point algorithm based on collocation discretization in time, resulting in an unambiguous definition of film growth-per-cycle (gpc. A key finding of this study is that unintended chemical vapor deposition conditions can mask regions of operation that would otherwise correspond to ideal saturating ALD operation. The use of the simulator for assisting in process design decisions is presented.

  4. Preparation and characterization of ALD deposited ZnO thin films studied for gas sensors

    Energy Technology Data Exchange (ETDEWEB)

    Boyadjiev, S.I., E-mail: boiajiev@gmail.com [MTA-BME Technical Analytical Chemistry Research Group, Szent Gellért tér 4, Budapest, H-1111 (Hungary); Georgi Nadjakov Institute of Solid State Physics, Bulgarian Academy of Sciences, 72 Tzarigradsko Chaussee Blvd., 1784 Sofia (Bulgaria); Georgieva, V. [Georgi Nadjakov Institute of Solid State Physics, Bulgarian Academy of Sciences, 72 Tzarigradsko Chaussee Blvd., 1784 Sofia (Bulgaria); Yordanov, R. [Department of Microelectronics, Technical University of Sofia, 8 Kliment Ohridski Blvd., 1756 Sofia (Bulgaria); Raicheva, Z. [Georgi Nadjakov Institute of Solid State Physics, Bulgarian Academy of Sciences, 72 Tzarigradsko Chaussee Blvd., 1784 Sofia (Bulgaria); Szilágyi, I.M. [MTA-BME Technical Analytical Chemistry Research Group, Szent Gellért tér 4, Budapest, H-1111 (Hungary); Budapest University of Technology and Economics, Department of Inorganic and Analytical Chemistry, Szent Gellért tér 4, Budapest, H-1111 (Hungary)

    2016-11-30

    Highlights: • For the first time the gas sensing towards NO{sub 2} of very thin ALD ZnO films is studied. • The very thin ALD ZnO films showed excellent sensitivity to NO{sub 2} at room temperature. • These very thin film ZnO-based QCM sensors very well register even low concentrations. • The sensors have fully reversible sorption and are able to be recovered in short time. • Described fast and cost-effective ALD deposition of ZnO thin films for QCM gas sensor. - Abstract: Applying atomic layer deposition (ALD), very thin zinc oxide (ZnO) films were deposited on quartz resonators, and their gas sensing properties were studied using the quartz crystal microbalance (QCM) method. The gas sensing of the ZnO films to NO{sub 2} was tested in the concentration interval between 10 and 5000 ppm. On the basis of registered frequency change of the QCM, for each concentration the sorbed mass was calculated. Further characterization of the films was carried out by various techniques, i.e. by SEM-EDS, XRD, ellipsometry, and FTIR spectroscopy. Although being very thin, the films were gas sensitive to NO{sub 2} already at room temperature and could register very well as low concentrations as 100 ppm, while the sorption was fully reversible. Our results for very thin ALD ZnO films show that the described fast, simple and cost-effective technology could be implemented for producing gas sensors working at room temperature and being capable to detect in real time low concentrations of NO{sub 2}.

  5. Contribution towards ALD and MOCVD of rare earth oxides and hafnium oxide. From precursor evaluation to process development and thin film characterization

    International Nuclear Information System (INIS)

    Xu, Ke

    2013-01-01

    This PhD thesis is consisted of two major parts: precursor development for ALD and MOCVD applications as well as thin film deposition using ALD and MOCVD with self developed precursors. The first part of this work presents the synthesis, characterization and detailed thermal property investigations of different novel group IV and rare earth precursor classes (guandinate, guanidine and ketoiminate). The second part of this work presents the ALD and MOCVD depositions using various guanidinate precursors for forming corresponding metal oxide thin films. The overall motivation of this work is to fulfill the lack of precursors of rare earth and group IV elements for ALD and MOCVD applications that satisfy the stringent requirements for the modern microelectronic and optoelectronic technologies. The aspect of the precursor engineering part is focusing on influence of ligand sphere on precursors' chemical and thermal properties. In this way, we successfully introduced guanidine and ketoiminate as potential ligands for the precursor design. The thin film deposition part of this work is ALD of rare earth oxides and group IV oxides employing literature known compounds which were previously developed in our research group. The main focus was dedicated to the process optimization, the characterization of the structural, morphological, compositional and functional properties of the deposited thin films. Certain film properties were discussed comparatively with the corresponding thin films deposited with literature known precursors. It was already shortly demonstrated in Chapter 6 that the guanidine ligand showed potential interest as suitable ligand for precursor engineering. This titan guanidine precursor [Ti(NC(NMe 2 ) 2 ) 4 ] (GD1) possesses higher thermal stability compared to its parent amide, [Ti(NMe 2 ) 4 ], while reactivity against water is not significantly affected. It could be very interesting to transfer this ligand for the precursor development of rare earth

  6. High speed atmospheric pressure ALD for industrial scale solar cell passivation

    NARCIS (Netherlands)

    Vermang, B.; Rothschild, A.; Racz, A.; John, J.; Poortmans, J.; Mertens, R.; Poodt, P.; Tiba, M.V.; Roozeboom, F.

    2010-01-01

    A next generation material for surface passivation is atomic layer deposition (ALD) Al2O3. However, conventional time-resolved ALD is limited by its low deposition rate. Therefore, an experimental high-deposition-rate prototype ALD reactor based on the spatially-separated ALD principle has been

  7. Effects of annealing temperature on the characteristics of ALD-deposited HfO2 in MIM capacitors

    International Nuclear Information System (INIS)

    Jeong, S.-W.; Lee, H.J.; Kim, K.S.; You, M.T.; Roh, Y.; Noguchi, T.; Xianyu, W.; Jung, J.

    2006-01-01

    We have investigated the annealing effects of HfO 2 films deposited by an atomic layer deposition (ALD) method on the electrical and physical properties in the Si/SiO 2 /Pt/ALD-HfO 2 /Pd metal-insulator-metal (MIM) capacitors. If the annealing temperature for HfO 2 films was restricted below 500 deg. C, an annealing step using a rapid thermal processor (RTP) improves the electrical properties such as the dissipation factor and the dielectric constant. On the other hand, annealing at 700 deg. C degrades the electrical characteristics in general; the dissipation factor increases over the frequency range of 1∼4 MHz, and the leakage current increases up to 2 orders at the low electric field regions. We found that the degradation of electrical properties is due to the grain growth in the HfO 2 film (i.e., poly-crystallization of the film) by the high temperature annealing processing. We suggested that the annealing temperature must be restricted below 500 deg. C to obtain the high quality high-k film for the MIM capacitors

  8. Energy-enhanced atomic layer deposition : offering more processing freedom

    NARCIS (Netherlands)

    Potts, S.E.; Kessels, W.M.M.

    2013-01-01

    Atomic layer deposition (ALD) is a popular deposition technique comprising two or more sequential, self-limiting surface reactions, which make up an ALD cycle. Energy-enhanced ALD is an evolution of traditional thermal ALD methods, whereby energy is supplied to a gas in situ in order to convert a

  9. Sensor-based atomic layer deposition for rapid process learning and enhanced manufacturability

    Science.gov (United States)

    Lei, Wei

    In the search for sensor based atomic layer deposition (ALD) process to accelerate process learning and enhance manufacturability, we have explored new reactor designs and applied in-situ process sensing to W and HfO 2 ALD processes. A novel wafer scale ALD reactor, which features fast gas switching, good process sensing compatibility and significant similarity to the real manufacturing environment, is constructed. The reactor has a unique movable reactor cap design that allows two possible operation modes: (1) steady-state flow with alternating gas species; or (2) fill-and-pump-out cycling of each gas, accelerating the pump-out by lifting the cap to employ the large chamber volume as ballast. Downstream quadrupole mass spectrometry (QMS) sampling is applied for in-situ process sensing of tungsten ALD process. The QMS reveals essential surface reaction dynamics through real-time signals associated with byproduct generation as well as precursor introduction and depletion for each ALD half cycle, which are then used for process learning and optimization. More subtle interactions such as imperfect surface saturation and reactant dose interaction are also directly observed by QMS, indicating that ALD process is more complicated than the suggested layer-by-layer growth. By integrating in real-time the byproduct QMS signals over each exposure and plotting it against process cycle number, the deposition kinetics on the wafer is directly measured. For continuous ALD runs, the total integrated byproduct QMS signal in each ALD run is also linear to ALD film thickness, and therefore can be used for ALD film thickness metrology. The in-situ process sensing is also applied to HfO2 ALD process that is carried out in a furnace type ALD reactor. Precursor dose end-point control is applied to precisely control the precursor dose in each half cycle. Multiple process sensors, including quartz crystal microbalance (QCM) and QMS are used to provide real time process information. The

  10. Operando SXRD of E-ALD deposited sulphides ultra-thin films: Crystallite strain and size

    Science.gov (United States)

    Giaccherini, Andrea; Russo, Francesca; Carlà, Francesco; Guerri, Annalisa; Picca, Rosaria Anna; Cioffi, Nicola; Cinotti, Serena; Montegrossi, Giordano; Passaponti, Maurizio; Di Benedetto, Francesco; Felici, Roberto; Innocenti, Massimo

    2018-02-01

    Electrochemical Atomic Layer Deposition (E-ALD), exploiting surface limited electrodeposition of atomic layers, can easily grow highly ordered ultra-thin films and 2D structures. Among other compounds CuxZnyS grown by means of E-ALD on Ag(111) has been found particularly suitable for the solar energy conversion due to its band gap (1.61 eV). However its growth seems to be characterized by a micrometric thread-like structure, probably overgrowing a smooth ultra-thin films. On this ground, a SXRD investigation has been performed, to address the open questions about the structure and the growth of CuxZnyS by means of E-ALD. The experiment shows a pseudo single crystal pattern as well as a powder pattern, confirming that part of the sample grows epitaxially on the Ag(111) substrate. The growth of the film was monitored by following the evolution of the Bragg peaks and Debye rings during the E-ALD steps. Breadth and profile analysis of the Bragg peaks lead to a qualitative interpretation of the growth mechanism. This study confirms that Zn lead to the growth of a strained Cu2S-like structure, while the growth of the thread-like structure is probably driven by the release of the stress from the epitaxial phase.

  11. Homogeneous transparent conductive ZnO:Ga by ALD for large LED wafers

    Energy Technology Data Exchange (ETDEWEB)

    Szabó, Zoltán; Baji, Zsófia [MTA EK Institute of Technical Physics and Materials Science, Konkoly Thege M. út 29-33, 1121 Budapest (Hungary); Basa, Péter [Semilab Semiconductor Physics Laboratory Co. Ltd., Prielle K. u. 2, H-1117 Budapest (Hungary); Czigány, Zsolt; Bársony, István [MTA EK Institute of Technical Physics and Materials Science, Konkoly Thege M. út 29-33, 1121 Budapest (Hungary); Wang, Hsin-Ying [Epistar corporation No 5, Li-hsin 5th Rd., Hsinchu Science Park, Hsinchu 300, Taiwan (China); Volk, János, E-mail: volk@mfa.kfki.hu [MTA EK Institute of Technical Physics and Materials Science, Konkoly Thege M. út 29-33, 1121 Budapest (Hungary)

    2016-08-30

    Highlights: • Highly conductive, transparent GZO layers were deposited by ALD. • The ALD layers show superior thickness and sheet resistance homogeneity for 4” wafers. • A two-step ALD deposition technique was proposed and demonstrated to improve the quality of GZO/p-GaN interface. - Abstract: Highly conductive and uniform Ga doped ZnO (GZO) films were prepared by atomic layer deposition (ALD) as transparent conductive layers for InGaN/GaN LEDs. The optimal Ga doping concentration was found to be 3 at%. Even for 4” wafers, the TCO layer shows excellent homogeneity of film resistivity (0.8 %) according to Eddy current and spectroscopic ellipsometry mapping. This makes ALD a favourable technique over concurrent methods like MBE and PLD where the up-scaling is problematic. In agreement with previous studies, it was found that by an annealing treatment the quality of the GZO/p-GaN interface can be improved, although it causes the degradation of TCO conductivity. Therefore, a two-step ALD deposition technique was proposed and demonstrated: a “buffer layer” deposited and annealed first was followed by a second deposition step to maintain the high conductivity of the top layer.

  12. Formation of Micro- and Nanostructures on the Nanotitanium Surface by Chemical Etching and Deposition of Titania Films by Atomic Layer Deposition (ALD

    Directory of Open Access Journals (Sweden)

    Denis V. Nazarov

    2015-12-01

    Full Text Available In this study, an integrated approach was used for the preparation of a nanotitanium-based bioactive material. The integrated approach included three methods: severe plastic deformation (SPD, chemical etching and atomic layer deposition (ALD. For the first time, it was experimentally shown that the nature of the etching medium (acidic or basic Piranha solutions and the etching time have a significant qualitative impact on the nanotitanium surface structure both at the nano- and microscale. The etched samples were coated with crystalline biocompatible TiO2 films with a thickness of 20 nm by Atomic Layer Deposition (ALD. Comparative study of the adhesive and spreading properties of human osteoblasts MG-63 has demonstrated that presence of nano- and microscale structures and crystalline titanium oxide on the surface of nanotitanium improve bioactive properties of the material.

  13. Investigating the Trimethylaluminium/Water ALD Process on Mesoporous Silica by In Situ Gravimetric Monitoring

    Directory of Open Access Journals (Sweden)

    Verena E. Strempel

    2018-05-01

    Full Text Available A low amount of AlOx was successfully deposited on an unordered, mesoporous SiO2 powder using 1–3 ALD (Atomic Layer Deposition cycles of trimethylaluminium and water. The process was realized in a self-built ALD setup featuring a microbalanceand a fixed particle bed. The reactor temperature was varied between 75, 120, and 200 °C. The self-limiting nature of the deposition was verified by in situ gravimetric monitoring for all temperatures. The coated material was further analyzed by nitrogen sorption, inductively coupled plasma-optical emission spectroscopy, powder X-ray diffraction, high-resolution transmission electron microscopy, attenuated total reflection Fourier transformed infrared spectroscopy, and elemental analysis. The obtained mass gains correspond to average growth between 0.81–1.10 Å/cycle depending on substrate temperature. In addition, the different mass gains during the half-cycles in combination with the analyzed aluminum content after one, two, and three cycles indicate a change in the preferred surface reaction of the trimethylaluminium molecule from a predominately two-ligand exchange with hydroxyl groups to more single-ligand exchange with increasing cycle number. Nitrogen sorption isotherms demonstrate (1 homogeneously coated mesopores, (2 a decrease in surface area, and (3 a reduction of the pore size. The experiment is successfully repeated in a scale-up using a ten times higher substrate batch size.

  14. Enhanced Corrosion Resistance of PVD-CrN Coatings by ALD Sealing Layers

    Science.gov (United States)

    Wan; Zhang, Teng Fei; Ding, Ji Cheng; Kim, Chang-Min; Park, So-Won; Yang, Yang; Kim, Kwang-Ho; Kwon, Se-Hun

    2017-04-01

    Multilayered hard coatings with a CrN matrix and an Al2O3, TiO2, or nanolaminate-Al2O3/TiO2 sealing layer were designed by a hybrid deposition process combined with physical vapor deposition (PVD) and atomic layer deposition (ALD). The strategy was to utilize ALD thin films as pinhole-free barriers to seal the intrinsic defects to protect the CrN matrix. The influences of the different sealing layers added in the coatings on the microstructure, surface roughness, and corrosion behaviors were investigated. The results indicated that the sealing layer added by ALD significantly decreased the average grain size and improved the corrosion resistance of the CrN coatings. The insertion of the nanolaminate-Al2O3/TiO2 sealing layers resulted in a further increase in corrosion resistance, which was attributed to the synergistic effect of Al2O3 and TiO2, both acting as excellent passivation barriers to the diffusion of corrosive substances.

  15. The Enhanced Catalytic Performance and Stability of Rh/γ-Al₂O₃ Catalyst Synthesized by Atomic Layer Deposition (ALD) for Methane Dry Reforming.

    Science.gov (United States)

    Li, Yunlin; Jiang, Jing; Zhu, Chaosheng; Li, Lili; Li, Quanliang; Ding, Yongjie; Yang, Weijie

    2018-01-22

    Rh/γ-Al₂O₃ catalysts were synthesized by both incipient wetness impregnation (IWI) and atomic layer deposition (ALD). The TEM images of the two catalysts showed that the catalyst from ALD had smaller particle size, and narrower size distribution. The surface chemical states of both catalysts were investigated by both XPS and X-ray Absorption Near Edge Structure (XANES), and the catalyst from IWI had higher concentration of Rh 3+ than that from ALD. The catalytic performance of both catalysts was tested in the dry reforming of methane reaction. The catalyst from ALD showed a higher conversion and selectivity than that from IWI. The stability testing results indicated that the catalyst from ALD showed similar stability to that from IWI at 500 °C, but higher stability at 800 °C.

  16. MOCVD and ALD of rare earth containing multifunctional materials. From precursor chemistry to thin film deposition and applications

    International Nuclear Information System (INIS)

    Milanov, Andrian Petrov

    2010-01-01

    The present thesis deals with the development of metal-organic complexes of rare elements. They should be used as novel precursors for the production of rare earth thin films by metal-organic chemical vapor deposition (MOCVD) and Atomic Layer Deposition (ALD). Within the work two precursor classes were examined, the tris-Malonato-complexes as well as the tris-Guanidinato-complexes of a series of rare earth metals. The latter showed excellent properties regarding to their volatility, their thermal stability, the defined decomposition and high reactivity towards water. They have been successfully used as precursors for the MOCVD of rare earth oxide layers. By using of a gadolinium guanidinate it could also be shown that the rare earth guanidinates are promising precursors for ALD of rare earth oxide and MOCVD of rare earth nitride layers. [de

  17. Nucleation, Growth Mechanism, and Controlled Coating of ZnO ALD onto Vertically Aligned N-Doped CNTs.

    Science.gov (United States)

    Silva, R M; Ferro, M C; Araujo, J R; Achete, C A; Clavel, G; Silva, R F; Pinna, N

    2016-07-19

    Zinc oxide thin films were deposited on vertically aligned nitrogen-doped carbon nanotubes (N-CNTs) by atomic layer deposition (ALD) from diethylzinc and water. The study demonstrates that doping CNTs with nitrogen is an effective approach for the "activation" of the CNTs surface for the ALD of metal oxides. Conformal ZnO coatings are already obtained after 50 ALD cycles, whereas at lower ALD cycles an island growth mode is observed. Moreover, the process allows for a uniform growth from the top to the bottom of the vertically aligned N-CNT arrays. X-ray photoelectron spectroscopy demonstrates that ZnO nucleation takes place at the N-containing species on the surface of the CNTs by the formation of the Zn-N bonds at the interface between the CNTs and the ZnO film.

  18. Atomic layer deposition for semiconductors

    CERN Document Server

    Hwang, Cheol Seong

    2014-01-01

    This edited volume discusses atomic layer deposition (ALD) for all modern semiconductor devices, moving from the basic chemistry of ALD and modeling of ALD processes to sections on ALD for memories, logic devices, and machines.

  19. Controllable ALD synthesis of platinum nanoparticles by tuning different synthesis parameters

    International Nuclear Information System (INIS)

    Wang, Chuandao; Lin, Yuyuan; Marks, Laurence; Hu, Linhua; Poeppelmeier, Kenneth; Stair, Peter

    2017-01-01

    Pt nanoparticles were successfully deposited using three different atomic layer deposition (ALD) methods, e.g. AB-type, ABC-type and static ABC-type ALD, on two different types of strontium titanate nanocuboids (STO-NCs) samples in a reaction temperature window of 125 °C–300 °C. The influence of reaction temperature, number of ALD cycles, type of substrate, 2nd reagent and type of ALD method on Pt nanoparticle deposition are comprehensively studied and discussed in this work. Varying the reaction temperature and number of cycles across the three different ALD methods affects Pt particle size, density, and loading. Surface termination of STO-NCs substrate will change deposited Pt nanoparticle growth orientation and thermodynamic shape. The B reagent besides platinum precursor can lead to different ligand decomposition mechanism when Pt precursors are exposed: oxygen allows more effective ligand combustion compared to water, however, the Pt particles are more oxidized according to XPS studies. We expect this work provides a way for tailoring nanoparticles with desired size, dispersion, exposed surfaces and chemical state etc, which helps controlling and optimizing their performance when applied as catalysts or nanosensors. (paper)

  20. Nanomechanical study of amorphous and polycrystalline ALD HfO2 thin films

    Science.gov (United States)

    K. Tapily; J.E. Jakes; D. Gu; H. Baumgart; A.A. Elmustafa

    2011-01-01

    Thin films of hafnium oxide (HfO2) were deposited by atomic layer deposition (ALD). The structural properties of the deposited films were characterised by transmission electron microscopy (TEM) and X-ray diffraction (XRD). We investigated the effect of phase transformations induced by thermal treatments on the mechanical properties of ALD HfO

  1. The Enhanced Catalytic Performance and Stability of Rh/γ-Al2O3 Catalyst Synthesized by Atomic Layer Deposition (ALD for Methane Dry Reforming

    Directory of Open Access Journals (Sweden)

    Yunlin Li

    2018-01-01

    Full Text Available Rh/γ-Al2O3 catalysts were synthesized by both incipient wetness impregnation (IWI and atomic layer deposition (ALD. The TEM images of the two catalysts showed that the catalyst from ALD had smaller particle size, and narrower size distribution. The surface chemical states of both catalysts were investigated by both XPS and X-ray Absorption Near Edge Structure (XANES, and the catalyst from IWI had higher concentration of Rh3+ than that from ALD. The catalytic performance of both catalysts was tested in the dry reforming of methane reaction. The catalyst from ALD showed a higher conversion and selectivity than that from IWI. The stability testing results indicated that the catalyst from ALD showed similar stability to that from IWI at 500 °C, but higher stability at 800 °C.

  2. Developments in plasma enhanced spatial ALD for high throughput applications [3.04

    NARCIS (Netherlands)

    Creyghton, Y.; Illiberi, A.; Mione, M.; Boekel, W. van; Debernardi, N.; Seitz, M.; Bruele, F. van den; Poodt, P.; Roozeboom,F.

    2016-01-01

    Atomic layer deposition by means of spatial separation of reactive gases is emerging as an industrial manufacturing technology. Integration of non-thermal plasma in spatial ALD machines will further expand the process window towards lower operation temperatures and specific materials requiring

  3. Thermoelectric characterization of Sb{sub 2}Te{sub 3} thin films deposited by ALD

    Energy Technology Data Exchange (ETDEWEB)

    Zastrow, Sebastian; Schumacher, Christian; Nielsch, Kornelius [University of Hamburg (Germany); Regus, Matthias [University of Kiel (Germany); Schulz, Stephan [University of Duisburg-Essen (Germany)

    2012-07-01

    Thermoelectric materials can be used as temperature sensors or peltier cooling devices as well as to recover a part of the massive losses of energy due to the waste heat generated in fossil-fuel driven power plants and vehicles. Antimony Telluride (Sb{sub 2}Te{sub 3}) is a p-doped semiconductor and in the focus of interest for room temperature applications because of its thermoelectric peak performance at around 350 K. However, thermoelectric properties of Sb{sub 2}Te{sub 3} ALD thin films have not been reported yet. Based on the work of Pore et al., Sb{sub 2}Te{sub 3} is deposited with a home-made reactor on SiO{sub 2} by using (Et{sub 3}Si){sub 2}Te and SbCl{sub 3}. The surface roughness as well as the growth rate depend strongly on the deposition temperature as reported by Cu et al. To check the preferential growth directions and the composition, XRD and EDX measurements are carried out. The thermoelectric properties are influenced by the deposition parameters. Therefore, spatial scans of the Seebeck coefficient are performed and the electrical resistivity is measured. In order to enhance the thermoelectric performance, a first optimization by short annealing processes is done under helium atmosphere up to 570 K. The authors would like to thank the ''Karl-Vossloh-Stiftung''.

  4. Electrocatalytic activity of Pt grown by ALD on carbon nanotubes for Si-based DMFC applications

    DEFF Research Database (Denmark)

    Johansson, Alicia Charlotte; Dalslet, Bjarke Thomas; Yang, R.B.

    2012-01-01

    in a top-flow ALD reactor at 250°C, using MeCpPtMe3 and O2 as precursors. The anode was tested for the methanol oxidation reaction (MOR) in a three-electrode electrochemical set-up and it showed improved catalytic activity compared to a reference sample of Pt deposited on flat Si. It is demonstrated......We present an anode design for silicon-based direct methanol fuel cell (DMFC) applications. Platinum was deposited conformally by atomic layer deposition (ALD) onto vertically aligned, nitrogendoped multi-walled carbon nanotubes (MWCNTs) grown on porous silicon. The deposition was carried out...... that ALD could be a MEMS compatible deposition technique for Si-based fuel cell applications. © The Electrochemical Society....

  5. Spatial atmospheric ALD of functional layers for CIGS Solar Cells

    NARCIS (Netherlands)

    Illiberi, A.; Frijters, C.; Balder, J. E.; Poodt, P.; Roozeboom, F.

    2015-01-01

    Spatial Atmospheric Atomic Layer Deposition combines the advantages of temporal ALD, i.e. excellent control of film composition and uniformity over large area substrates, with high growth rates (up to nm/s). In this paper we present a short overview of our research activity carried out on S-ALD of

  6. Review of recent progresses on flexible oxide semiconductor thin film transistors based on atomic layer deposition processes

    Science.gov (United States)

    Sheng, Jiazhen; Han, Ki-Lim; Hong, TaeHyun; Choi, Wan-Ho; Park, Jin-Seong

    2018-01-01

    The current article is a review of recent progress and major trends in the field of flexible oxide thin film transistors (TFTs), fabricating with atomic layer deposition (ALD) processes. The ALD process offers accurate controlling of film thickness and composition as well as ability of achieving excellent uniformity over large areas at relatively low temperatures. First, an introduction is provided on what is the definition of ALD, the difference among other vacuum deposition techniques, and the brief key factors of ALD on flexible devices. Second, considering functional layers in flexible oxide TFT, the ALD process on polymer substrates may improve device performances such as mobility and stability, adopting as buffer layers over the polymer substrate, gate insulators, and active layers. Third, this review consists of the evaluation methods of flexible oxide TFTs under various mechanical stress conditions. The bending radius and repetition cycles are mostly considering for conventional flexible devices. It summarizes how the device has been degraded/changed under various stress types (directions). The last part of this review suggests a potential of each ALD film, including the releasing stress, the optimization of TFT structure, and the enhancement of device performance. Thus, the functional ALD layers in flexible oxide TFTs offer great possibilities regarding anti-mechanical stress films, along with flexible display and information storage application fields. Project supported by the National Research Foundation of Korea (NRF) (No. NRF-2017R1D1A1B03034035), the Ministry of Trade, Industry & Energy (No. #10051403), and the Korea Semiconductor Research Consortium.

  7. Recent developments in the MOCVD and ALD of rare earth oxides and silicates

    International Nuclear Information System (INIS)

    Jones, Anthony C.; Aspinall, Helen C.; Chalker, Paul R.; Potter, Richard J.; Kukli, Kaupo; Rahtu, Antti; Ritala, Mikko; Leskelae, Markku

    2005-01-01

    Lanthanide, or rare-earth oxides are currently being investigated as alternatives to SiO 2 as the dielectric insulating layer in sub-0.1 μm CMOS technology. Metalorganic chemical vapour deposition (MOCVD) and atomic layer deposition (ALD) are promising techniques for the deposition of these high-κ dielectric oxides and in this paper some of our recent research into the MOCVD and ALD of PrO x , La 2 O 3 , Gd 2 O 3 , Nd 2 O 3 and their related silicates are reviewed

  8. Recent progress of atomic layer deposition on polymeric materials

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Hong Chen; Ye, Enyi [Institute of Materials Research and Engineering, A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis, #08-03, Singapore 138634 (Singapore); Li, Zibiao, E-mail: lizb@imre.a-star.edu.sg [Institute of Materials Research and Engineering, A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis, #08-03, Singapore 138634 (Singapore); Han, Ming-Yong [Institute of Materials Research and Engineering, A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis, #08-03, Singapore 138634 (Singapore); Loh, Xian Jun, E-mail: lohxj@imre.a-star.edu.sg [Institute of Materials Research and Engineering, A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis, #08-03, Singapore 138634 (Singapore); Department of Materials Science and Engineering, National University of Singapore, Singapore 117574 (Singapore); Singapore Eye Research Institute, 20 College Road, Singapore 169856 (Singapore)

    2017-01-01

    As a very promising surface coating technology, atomic layer deposition (ALD) can be used to modify the surfaces of polymeric materials for improving their functions and expanding their application areas. Polymeric materials vary in surface functional groups (number and type), surface morphology and internal structure, and thus ALD deposition conditions that typically work on a normal solid surface, usually do not work on a polymeric material surface. To date, a large variety of research has been carried out to investigate ALD deposition on various polymeric materials. This paper aims to provide an in-depth review of ALD deposition on polymeric materials and its applications. Through this review, we will provide a better understanding of surface chemistry and reaction mechanism for controlled surface modification of polymeric materials by ALD. The integrated knowledge can aid in devising an improved way in the reaction between reactant precursors and polymer functional groups/polymer backbones, which will in turn open new opportunities in processing ALD materials for better inorganic/organic film integration and potential applications. - Highlights: • ALD deposition on different natural and synthetic polymer materials • Reaction mechanism based on the surface functional groups of polymers • Application of ALD-modified polymers in different fields.

  9. Regulation of the ald Gene Encoding Alanine Dehydrogenase by AldR in Mycobacterium smegmatis

    Science.gov (United States)

    Jeong, Ji-A; Baek, Eun-Young; Kim, Si Wouk; Choi, Jong-Soon

    2013-01-01

    The regulatory gene aldR was identified 95 bp upstream of the ald gene encoding l-alanine dehydrogenase in Mycobacterium smegmatis. The AldR protein shows sequence similarity to the regulatory proteins of the Lrp/AsnC family. Using an aldR deletion mutant, we demonstrated that AldR serves as both activator and repressor for the regulation of ald gene expression, depending on the presence or absence of l-alanine. The purified AldR protein exists as a homodimer in the absence of l-alanine, while it adopts the quaternary structure of a homohexamer in the presence of l-alanine. The binding affinity of AldR for the ald control region was shown to be increased significantly by l-alanine. Two AldR binding sites (O1 and O2) with the consensus sequence GA-N2-ATC-N2-TC and one putative AldR binding site with the sequence GA-N2-GTT-N2-TC were identified upstream of the ald gene. Alanine and cysteine were demonstrated to be the effector molecules directly involved in the induction of ald expression. The cellular level of l-alanine was shown to be increased in M. smegmatis cells grown under hypoxic conditions, and the hypoxic induction of ald expression appears to be mediated by AldR, which senses the intracellular level of alanine. PMID:23749971

  10. Area-selective atomic layer deposition of platinum using photosensitive polyimide.

    Science.gov (United States)

    Vervuurt, René H J; Sharma, Akhil; Jiao, Yuqing; Kessels, Wilhelmus Erwin M M; Bol, Ageeth A

    2016-10-07

    Area-selective atomic layer deposition (AS-ALD) of platinum (Pt) was studied using photosensitive polyimide as a masking layer. The polyimide films were prepared by spin-coating and patterned using photolithography. AS-ALD of Pt using poly(methyl-methacrylate) (PMMA) masking layers was used as a reference. The results show that polyimide has excellent selectivity towards the Pt deposition, after 1000 ALD cycles less than a monolayer of Pt is deposited on the polyimide surface. The polyimide film could easily be removed after ALD using a hydrogen plasma, due to a combination of weakening of the polyimide resist during Pt ALD and the catalytic activity of Pt traces on the polyimide surface. Compared to PMMA for AS-ALD of Pt, polyimide has better temperature stability. This resulted in an improved uniformity of the Pt deposits and superior definition of the Pt patterns. In addition, due to the absence of reflow contamination using polyimide the nucleation phase during Pt ALD is drastically shortened. Pt patterns down to 3.5 μm were created with polyimide, a factor of ten smaller than what is possible using PMMA, at the typical Pt ALD processing temperature of 300 °C. Initial experiments indicate that after further optimization of the polyimide process Pt features down to 100 nm should be possible, which makes AS-ALD of Pt using photosensitive polyimide a promising candidate for patterning at the nanoscale.

  11. GeSbTe deposition for the PRAM application

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Junghyun [Nano Fabrication Center, SAIT, Suwon, P.O. Box 111 (Korea, Republic of); Choi, Sangjoon [Nano Fabrication Center, SAIT, Suwon, P.O. Box 111 (Korea, Republic of); Lee, Changsoo [Nano Fabrication Center, SAIT, Suwon, P.O. Box 111 (Korea, Republic of); Kang, Yoonho [Nano Fabrication Center, SAIT, Suwon, P.O. Box 111 (Korea, Republic of); Kim, Daeil [School of Materials Science and Engineering. University of Ulsan, San 29, Mugeo-Dong, Nam-Gu, Ulsan 680-749 (Korea, Republic of)]. E-mail: dkim84@mail.ulsan.ac.kr

    2007-02-15

    GeSbTe (GST) chalcogenide thin films for the phase-change random access memory (PRAM) were deposited by an atomic layer deposition (ALD) process. New precursors for GST thin films made with an ALD process were synthesized. Among the synthesized precursors, Ge(N(CH{sub 3}){sub 2}){sub 4}, Sb(N(CH{sub 3}){sub 2}){sub 4}, and Te(i-Pr){sub 2} (i-Pr = iso-propyl) were selected. Using the above precursors, GST thin films were deposited using an H{sub 2} plasma-assisted ALD process. Film resistivity abruptly changed after an N{sub 2} annealing process above a temperature of 350 deg. C. Cross-sectional scanning electron microscope (SEM) photographs of the GST films on the patterned substrate with aspect ratio of 7 shows that the step coverage is about 90%.

  12. Synergy between plasma-assisted ALD and roll-to-roll atmospheric pressure PE-CVD processing of moisture barrier films on polymers

    NARCIS (Netherlands)

    Starostin, S.A.; Keuning, W.; Schalken, J.R.G.; Creatore, M.; Kessels, W.M.M.; Bouwstra, J.B.; Sanden, van de M.C.M.; Vries, de H.W.

    2016-01-01

    The synergy between fast (1600 nm · min−1), roll-to-roll plasma-enhanced chemical vapor deposited (PE-CVD) SiO2 layers and plasma-assisted atomic layer deposited (PA-ALD) ultra-thin Al2O3 films has been investigated in terms of moisture permeation barrier properties. The effective and intrinsic

  13. Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers

    NARCIS (Netherlands)

    Starostin, S. A.; Keuning, W.; Schalken, J.; Creatore, M.; Kessels, W. M. M.; Bouwstra, J. B.; van de Sanden, M. C. M.; de Vries, H. W.

    2016-01-01

    The synergy between fast (1600 nm · min−1), roll-to-roll plasma-enhanced chemical vapor deposited (PE-CVD) SiO2 layers and plasma-assisted atomic layer deposited (PA-ALD) ultra-thin Al2O3 films has been investigated in terms of moisture permeation barrier properties. The effective and intrinsic

  14. Enhancing the stability of copper chromite catalysts for the selective hydrogenation of furfural using ALD overcoating

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Hongbo; Lei, Yu; Kropf, A. Jeremy; Zhang, Guanghui; Elam, Jeffrey W.; Miller, Jeffrey T.; Sollberger, Fred; Ribeiro, Fabio; Akatay, M. Cem; Stach, Eric A.; Dumesic, James A.; Marshall, Christopher L.

    2014-08-01

    The stability of a gas-phase furfural hydrogenation catalyst (CuCr2O4 center dot CuO) was enhanced by depositing a thin Al2O3 layer using atomic layer deposition (ALD). Based on temperature-programed reduction (TPR) measurements, the reduction temperature of Cu was raised significantly, and the activation energy for furfural reduction was decreased following the ALD treatment. Thinner ALD layers yielded higher furfural hydrogenation activities. X-ray absorption fine structure (XAFS) spectroscopy studies indicated that Cu1+/Cu-0 are the active species for furfural reduction.

  15. Effect of ALD surface treatment on structural and optical properties of ZnO nanorods

    Energy Technology Data Exchange (ETDEWEB)

    Jang, Jin-Tak [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Obang-dong, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Ryu, Hyukhyun, E-mail: hhryu@inje.ac.kr [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Obang-dong, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Lee, Won-Jae [Department of Materials and Components Engineering, Dong-Eui University, 995 Eomgwangno, Busanjin-gu, Busan 614-714 (Korea, Republic of)

    2013-07-01

    In this study, we report on the improvement of the optical and structural properties of ZnO nanorods using atomic layer deposition (ALD) on seed ZnO nanorods. After the initial growth of ZnO seed nanorods by hydrothermal synthesis for 1 h, a ZnO layer with a thickness of 10 nm was deposited on the initial ZnO seed nanorods using ALD. Then ZnO was further grown by hydrothermal synthesis for 4 h. The samples were characterized using room temperature photoluminescence (PL), field emission-scanning electron microscopy (FE-SEM) and X-ray diffraction (XRD). From this experiment, it was found that the ZnO nanorods with the ALD surface treatment show improved optical and structural properties when compared with the ZnO nanorods grown only by hydrothermal synthesis. The ZnO nanorods with the ALD surface treatment show about 2.7 times higher XRD (0 0 2) peak intensity, about 2.64 times higher PL NBE peak intensity, and about 3.1 times better NBE/DLE ratio than the ZnO nanorods without an ALD surface treatment.

  16. Roll-to-roll atomic layer deposition process for flexible electronics encapsulation applications

    International Nuclear Information System (INIS)

    Maydannik, Philipp S.; Kääriäinen, Tommi O.; Lahtinen, Kimmo; Cameron, David C.; Söderlund, Mikko; Soininen, Pekka; Johansson, Petri; Kuusipalo, Jurkka; Moro, Lorenza; Zeng, Xianghui

    2014-01-01

    At present flexible electronic devices are under extensive development and, among them, flexible organic light-emitting diode displays are the closest to a large market deployment. One of the remaining unsolved challenges is high throughput production of impermeable flexible transparent barrier layers that protect sensitive light-emitting materials against ambient moisture. The present studies deal with the adaptation of the atomic layer deposition (ALD) process to high-throughput roll-to-roll production using the spatial ALD concept. We report the development of such a process for the deposition of 20 nm thickness Al 2 O 3 diffusion barrier layers on 500 mm wide polymer webs. The process uses trimethylaluminum and water as precursors at a substrate temperature of 105 °C. The observation of self-limiting film growth behavior and uniformity of thickness confirms the ALD growth mechanism. Water vapor transmission rates for 20 nm Al 2 O 3 films deposited on polyethylene naphthalate (PEN) substrates were measured as a function of substrate residence time, that is, time of exposure of the substrate to one precursor zone. Moisture permeation levels measured at 38 °C/90% relative humidity by coulometric isostatic–isobaric method were below the detection limit of the instrument ( −4  g/m 2 day) for films coated at web moving speed of 0.25 m/min. Measurements using the Ca test indicated water vapor transmission rates ∼5 × 10 −6 g/m 2 day. Optical measurements on the coated web showed minimum transmission of 80% in the visible range that is the same as the original PEN substrate

  17. Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor

    Energy Technology Data Exchange (ETDEWEB)

    Suh, Sungin; Kim, Jun-Rae; Kim, Seongkyung; Hwang, Cheol Seong; Kim, Hyeong Joon, E-mail: thinfilm@snu.ac.kr [Department of Materials Science and Engineering with Inter-University Semiconductor Research Center (ISRC), Seoul National University, 599 Gwanak-ro, Gwanak-gu, Seoul 08826 (Korea, Republic of); Ryu, Seung Wook, E-mail: tazryu78@gmail.com [Department of Electrical Engineering, Stanford University, Stanford, California 94305-2311 (United States); Cho, Seongjae [Department of Electronic Engineering and New Technology Component & Material Research Center (NCMRC), Gachon University, Seongnam-si, Gyeonggi-do 13120 (Korea, Republic of)

    2016-01-15

    It has not been an easy task to deposit SiN at low temperature by conventional plasma-enhanced atomic layer deposition (PE-ALD) since Si organic precursors generally have high activation energy for adsorption of the Si atoms on the Si-N networks. In this work, in order to achieve successful deposition of SiN film at low temperature, the plasma processing steps in the PE-ALD have been modified for easier activation of Si precursors. In this modification, the efficiency of chemisorption of Si precursor has been improved by additional plasma steps after purging of the Si precursor. As the result, the SiN films prepared by the modified PE-ALD processes demonstrated higher purity of Si and N atoms with unwanted impurities such as C and O having below 10 at. % and Si-rich films could be formed consequently. Also, a very high step coverage ratio of 97% was obtained. Furthermore, the process-optimized SiN film showed a permissible charge-trapping capability with a wide memory window of 3.1 V when a capacitor structure was fabricated and measured with an insertion of the SiN film as the charge-trap layer. The modified PE-ALD process using the activated Si precursor would be one of the most practical and promising solutions for SiN deposition with lower thermal budget and higher cost-effectiveness.

  18. On the physical and chemical details of alumina atomic layer deposition: A combined experimental and numerical approach

    International Nuclear Information System (INIS)

    Pan, Dongqing; Ma, Lulu; Xie, Yuanyuan; Yuan, Chris; Jen, Tien Chien

    2015-01-01

    Alumina thin film is typically studied as a model atomic layer deposition (ALD) process due to its high dielectric constant, high thermal stability, and good adhesion on various wafer surfaces. Despite extensive applications of alumina ALD in microelectronics industries, details on the physical and chemical processes are not yet well understood. ALD experiments are not able to shed adequate light on the detailed information regarding the transient ALD process. Most of current numerical approaches lack detailed surface reaction mechanisms, and their results are not well correlated with experimental observations. In this paper, the authors present a combined experimental and numerical study on the details of flow and surface reactions in alumina ALD using trimethylaluminum and water as precursors. Results obtained from experiments and simulations are compared and correlated. By experiments, growth rate on five samples under different deposition conditions is characterized. The deposition rate from numerical simulation agrees well with the experimental results. Details of precursor distributions in a full cycle of ALD are studied numerically to bridge between experimental observations and simulations. The 3D transient numerical model adopts surface reaction kinetics and mechanisms based on atomic-level studies to investigate the surface deposition process. Surface deposition is shown as a strictly self-limited process in our numerical studies. ALD is a complex strong-coupled fluid, thermal and chemical process, which is not only heavily dependent on the chemical kinetics and surface conditions but also on the flow and material distributions

  19. Roll-to-roll atomic layer deposition process for flexible electronics encapsulation applications

    Energy Technology Data Exchange (ETDEWEB)

    Maydannik, Philipp S., E-mail: philipp.maydannik@lut.fi; Kääriäinen, Tommi O.; Lahtinen, Kimmo; Cameron, David C. [Advanced Surface Technology Research Laboratory, Lappeenranta University of Technology, Sammonkatu 12, 50130 Mikkeli (Finland); Söderlund, Mikko; Soininen, Pekka [Beneq Oy, P.O. Box 262, 01511 Vantaa (Finland); Johansson, Petri; Kuusipalo, Jurkka [Tampere University of Technology, Paper Converting and Packaging Technology, P.O. Box 589, 33101 Tampere (Finland); Moro, Lorenza; Zeng, Xianghui [Samsung Cheil Industries, San Jose R and D Center, 2186 Bering Drive, San Jose, California 95131 (United States)

    2014-09-01

    At present flexible electronic devices are under extensive development and, among them, flexible organic light-emitting diode displays are the closest to a large market deployment. One of the remaining unsolved challenges is high throughput production of impermeable flexible transparent barrier layers that protect sensitive light-emitting materials against ambient moisture. The present studies deal with the adaptation of the atomic layer deposition (ALD) process to high-throughput roll-to-roll production using the spatial ALD concept. We report the development of such a process for the deposition of 20 nm thickness Al{sub 2}O{sub 3} diffusion barrier layers on 500 mm wide polymer webs. The process uses trimethylaluminum and water as precursors at a substrate temperature of 105 °C. The observation of self-limiting film growth behavior and uniformity of thickness confirms the ALD growth mechanism. Water vapor transmission rates for 20 nm Al{sub 2}O{sub 3} films deposited on polyethylene naphthalate (PEN) substrates were measured as a function of substrate residence time, that is, time of exposure of the substrate to one precursor zone. Moisture permeation levels measured at 38 °C/90% relative humidity by coulometric isostatic–isobaric method were below the detection limit of the instrument (<5 × 10{sup −4} g/m{sup 2} day) for films coated at web moving speed of 0.25 m/min. Measurements using the Ca test indicated water vapor transmission rates ∼5 × 10{sup −6} g/m{sup 2} day. Optical measurements on the coated web showed minimum transmission of 80% in the visible range that is the same as the original PEN substrate.

  20. Self-limiting atomic layer deposition of conformal nanostructured silver films

    International Nuclear Information System (INIS)

    Golrokhi, Zahra; Chalker, Sophia; Sutcliffe, Christopher J.; Potter, Richard J.

    2016-01-01

    Graphical abstract: - Highlights: • We grow metallic silver by direct liquid injection thermal atomic layer deposition. • Highly conformal silver nanoparticle coatings on high aspect ratio surfaces. • An ALD temperature growth window between 123 and 128 °C is established. • ALD cycles provides sub nanometre control of silver growth. • Catalytic dehydrogenation ALD mechanism has been elucidated by in-situ QCM. - Abstract: The controlled deposition of ultra-thin conformal silver nanoparticle films is of interest for applications including anti-microbial surfaces, plasmonics, catalysts and sensors. While numerous techniques can produce silver nanoparticles, few are able to produce highly conformal coatings on high aspect ratio surfaces, together with sub-nanometre control and scalability. Here we develop a self-limiting atomic layer deposition (ALD) process for the deposition of conformal metallic silver nanoparticle films. The films have been deposited using direct liquid injection ALD with ((hexafluoroacetylacetonato)silver(I)(1,5-cyclooctadiene)) and propan-1-ol. An ALD temperature window between 123 and 128 °C is identified and within this range self-limiting growth is confirmed with a mass deposition rate of ∼17.5 ng/cm"2/cycle. The effects of temperature, precursor dose, co-reactant dose and cycle number on the deposition rate and on the properties of the films have been systematically investigated. Under self-limiting conditions, films are metallic silver with a nano-textured surface topography and nanoparticle size is dependent on the number of ALD cycles. The ALD reaction mechanisms have been elucidated using in-situ quartz crystal microbalance (QCM) measurements, showing chemisorption of the silver precursor, followed by heterogeneous catalytic dehydrogenation of the alcohol to form metallic silver and an aldehyde.

  1. A Low-Cost Method for Coating of Selective Laser Melting (SLM) Manufacturing of Complex High-Precision Components for Spaceflight Applications Using Atomic Layer Deposition (ALD), Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — This proposal is intended to perform basic research using Atomic Layer Deposition (ALD) as a means of coating various substrate materials with a variety of metallic...

  2. Atomic layer deposited high-k dielectric on graphene by functionalization through atmospheric plasma treatment

    Science.gov (United States)

    Shin, Jeong Woo; Kang, Myung Hoon; Oh, Seongkook; Yang, Byung Chan; Seong, Kwonil; Ahn, Hyo-Sok; Lee, Tae Hoon; An, Jihwan

    2018-05-01

    Atomic layer-deposited (ALD) dielectric films on graphene usually show noncontinuous and rough morphology owing to the inert surface of graphene. Here, we demonstrate the deposition of thin and uniform ALD ZrO2 films with no seed layer on chemical vapor-deposited graphene functionalized by atmospheric oxygen plasma treatment. Transmission electron microscopy showed that the ALD ZrO2 films were highly crystalline, despite a low ALD temperature of 150 °C. The ALD ZrO2 film served as an effective passivation layer for graphene, which was shown by negative shifts in the Dirac voltage and the enhanced air stability of graphene field-effect transistors after ALD of ZrO2. The ALD ZrO2 film on the functionalized graphene may find use in flexible graphene electronics and biosensors owing to its low process temperature and its capacity to improve device performance and stability.

  3. Fast method for reactor and feature scale coupling in ALD and CVD

    Science.gov (United States)

    Yanguas-Gil, Angel; Elam, Jeffrey W.

    2017-08-08

    Transport and surface chemistry of certain deposition techniques is modeled. Methods provide a model of the transport inside nanostructures as a single-particle discrete Markov chain process. This approach decouples the complexity of the surface chemistry from the transport model, thus allowing its application under general surface chemistry conditions, including atomic layer deposition (ALD) and chemical vapor deposition (CVD). Methods provide for determination of determine statistical information of the trajectory of individual molecules, such as the average interaction time or the number of wall collisions for molecules entering the nanostructures as well as to track the relative contributions to thin-film growth of different independent reaction pathways at each point of the feature.

  4. Atomic layer deposition for photovoltaics: applications and prospects for solar cell manufacturing

    International Nuclear Information System (INIS)

    Van Delft, J A; Garcia-Alonso, D; Kessels, W M M

    2012-01-01

    Atomic layer deposition (ALD) is a vapour-phase deposition technique capable of depositing high quality, uniform and conformal thin films at relatively low temperatures. These outstanding properties can be employed to face processing challenges for various types of next-generation solar cells; hence, ALD for photovoltaics (PV) has attracted great interest in academic and industrial research in recent years. In this review, the recent progress of ALD layers applied to various solar cell concepts and their future prospects are discussed. Crystalline silicon (c-Si), copper indium gallium selenide (CIGS) and dye-sensitized solar cells (DSSCs) benefit from the application of ALD surface passivation layers, buffer layers and barrier layers, respectively. ALD films are also excellent moisture permeation barriers that have been successfully used to encapsulate flexible CIGS and organic photovoltaic (OPV) cells. Furthermore, some emerging applications of the ALD method in solar cell research are reviewed. The potential of ALD for solar cells manufacturing is discussed, and the current status of high-throughput ALD equipment development is presented. ALD is on the verge of being introduced in the PV industry and it is expected that it will be part of the standard solar cell manufacturing equipment in the near future. (paper)

  5. Issues involved in the atomic layer deposition of metals

    Science.gov (United States)

    Grubbs, Robert Kimes

    Auger Electron Spectroscopy (AES) was used to study the nucleation and growth of tungsten on aluminum oxide surfaces. Tungsten metal was deposited using Atomic Layer Deposition (ALD) techniques. ALD uses sequential surface reactions to deposit material with atomic layer control. W ALD is performed using sequential exposures of WF6 and Si2H6. The step-wise nature of W ALD allows nucleation studies to be performed by analyzing the W surface concentration after each ALD reaction. Nucleation and growth regions can be identified by quantifying the AES signal intensities from both the W surface and the Al2O3 substrate. W nucleation occurred in 3 ALD reaction cycles. The AES results yielded a nucleation rate of 1.0 A/ALD cycle and a growth rate of ≈3 A/ALD cycle. AES studies also explored the nucleation and growth of Al2O3 on W. Al2O3 nucleated in 1 ALD cycle giving a nucleation rate of 3.5 A/ALD cycle and a subsequent growth rate of 1.0 A/ALD cycle. Mass spectrometry was then used to study the ALD reaction chemistry of tungsten deposition. Because of the step-wise nature of the W ALD chemistry, each W ALD reaction could be studied independently. The gaseous mass products were identified from both the WF6 and Si2H6 reactions. H2, HF and SiF4 mass products were observed for the WF6 reaction. The Si2H6 reaction displayed a room temperature reaction and a 200°C reaction. Products from the room temperature Si2H6 reaction were H2 and SiF3H. The reaction at 200°C yielded only H2 as a reaction product. H2 desorption from the surface contributes to the 200°C Si2H6 reaction. AES was used to confirm that the gas phase reaction products are correlated with a change in the surface species. Atomic hydrogen reduction of metal halides and oganometallic compounds provides another method for depositing metals with atomic layer control. The quantity of atomic hydrogen necessary to perform this chemistry is critical to the metal ALD process. A thermocouple probe was constructed to

  6. Plasma-Assisted ALD TiN/Al2O3 stacks for MIMIM Trench Capacitor Applications

    NARCIS (Netherlands)

    Hoogeland, D.; Jinesh, K.B.; Voogt, F.C.; Besling, W.F.A.; Lamy, Y.; Roozeboom, F.; Sanden, van de M.C.M.; Kessels, W.M.M.; Gendt, de S.

    2009-01-01

    In this paper we report on the overall plasma-assisted ALD processes of Al2O3 and TiN conducted in a single reactor chamber and at a single temperature (340 oC). The individual Al2O3 and TiN films in the stack were consecutively deposited in such a way that they were separated by purge intervals

  7. Scalable control program for multiprecursor flow-type atomic layer deposition system

    Energy Technology Data Exchange (ETDEWEB)

    Selvaraj, Sathees Kannan [Department of Chemical Engineering, University of Illinois at Chicago, Chicago, Illinois 60607 (United States); Takoudis, Christos G., E-mail: takoudis@uic.edu [Department of Chemical Engineering, University of Illinois at Chicago, Chicago, Illinois 60607 and Department of Bioengineering, University of Illinois at Chicago, Chicago, Illinois 60607 (United States)

    2015-01-01

    The authors report the development and implementation of a scalable control program to control flow type atomic layer deposition (ALD) reactor with multiple precursor delivery lines. The program logic is written and tested in LABVIEW environment to control ALD reactor with four precursor delivery lines to deposit up to four layers of different materials in cyclic manner. The programming logic is conceived such that to facilitate scale up for depositing more layers with multiple precursors and scale down for using single layer with any one precursor in the ALD reactor. The program takes precursor and oxidizer exposure and purging times as input and controls the sequential opening and closing of the valves to facilitate the complex ALD process in cyclic manner. The program could be used to deposit materials from any single line or in tandem with other lines in any combination and in any sequence.

  8. Interfacial engineering of two-dimensional nano-structured materials by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhuiykov, Serge, E-mail: serge.zhuiykov@ugent.be [Ghent University Global Campus, Department of Applied Analytical & Physical Chemistry, Faculty of Bioscience Engineering, 119 Songdomunhwa-ro, Yeonsu-Gu, Incheon 406-840 (Korea, Republic of); Kawaguchi, Toshikazu [Global Station for Food, Land and Water Resources, Global Institution for Collaborative Research and Education, Hokkaido University, N10W5 Kita-ku, Sapporo, Hokkaido 060-0810 (Japan); Graduate School of Environmental Science, Hokkaido University, N10W5 Kita-ku, Sapporo, Hokkaido 060-0810 (Japan); Hai, Zhenyin; Karbalaei Akbari, Mohammad; Heynderickx, Philippe M. [Ghent University Global Campus, Department of Applied Analytical & Physical Chemistry, Faculty of Bioscience Engineering, 119 Songdomunhwa-ro, Yeonsu-Gu, Incheon 406-840 (Korea, Republic of)

    2017-01-15

    Highlights: • Advantages of atomic layer deposition technology (ALD) for two-dimensional nano-crystals. • Conformation of ALD technique and chemistry of precursors. • ALD of semiconductor oxide thin films. • Ultra-thin (∼1.47 nm thick) ALD-developed tungsten oxide nano-crystals on large area. - Abstract: Atomic Layer Deposition (ALD) is an enabling technology which provides coating and material features with significant advantages compared to other existing techniques for depositing precise nanometer-thin two-dimensional (2D) nanostructures. It is a cyclic process which relies on sequential self-terminating reactions between gas phase precursor molecules and a solid surface. ALD is especially advantageous when the film quality or thickness is critical, offering ultra-high aspect ratios. ALD provides digital thickness control to the atomic level by depositing film one atomic layer at a time, as well as pinhole-free films even over a very large and complex areas. Digital control extends to sandwiches, hetero-structures, nano-laminates, metal oxides, graded index layers and doping, and it is perfect for conformal coating and challenging 2D electrodes for various functional devices. The technique’s capabilities are presented on the example of ALD-developed ultra-thin 2D tungsten oxide (WO{sub 3}) over the large area of standard 4” Si substrates. The discussed advantages of ALD enable and endorse the employment of this technique for the development of hetero-nanostructure 2D semiconductors with unique properties.

  9. Thickness dependent growth of low temperature atomic layer deposited zinc oxide films

    International Nuclear Information System (INIS)

    Montiel-González, Z.; Castelo-González, O.A.; Aguilar-Gama, M.T.; Ramírez-Morales, E.; Hu, H.

    2017-01-01

    Highlights: • Polycrystalline columnar ZnO thin films deposited by ALD at low temperatures. • Higher deposition temperature leads to a greater surface roughness in the ALD ZnO films. • Higher temperature originates larger refractive index values of the ALD ZnO films. • ZnO thin films were denser as the numbers of ALD deposition cycles were larger. • XPS analysis revels mayor extent of the DEZ reaction during the ALD process. - Abstract: Zinc oxide films are promising to improve the performance of electronic devices, including those based on organic materials. However, the dependence of the ZnO properties on the preparation conditions represents a challenge to obtain homogeneous thin films that satisfy specific applications. Here, we prepared ZnO films of a wide range of thicknesses by atomic layer deposition (ALD) at relatively low temperatures, 150 and 175 °C. From the results of X-ray photoelectron spectroscopy, X-ray diffraction and Spectroscopic Ellipsometry it is concluded that the polycrystalline structure of the wurtzite is the main phase of the ALD samples, with OH groups on their surface. Ellipsometry revealed that the temperature and the deposition cycles have a strong effect on the films roughness. Scanning electron micrographs evidenced such effect, through the large pyramids developed at the surface of the films. It is concluded that crystalline ZnO thin films within a broad range of thickness and roughness can be obtained for optic or optoelectronic applications.

  10. Interface control of atomic layer deposited oxide coatings by filtered cathodic arc deposited sublayers for improved corrosion protection

    Energy Technology Data Exchange (ETDEWEB)

    Härkönen, Emma, E-mail: emma.harkonen@helsinki.fi [Laboratory of Inorganic Chemistry, University of Helsinki, P.O. Box 55, FIN-00014 Helsinki (Finland); Tervakangas, Sanna; Kolehmainen, Jukka [DIARC-Technology Inc., Espoo (Finland); Díaz, Belén; Światowska, Jolanta; Maurice, Vincent; Seyeux, Antoine; Marcus, Philippe [Laboratoire de Physico-Chimie des Surfaces, CNRS (UMR 7075) – Chimie ParisTech (ENSCP), F-75005 Paris (France); Fenker, Martin [FEM Research Institute, Precious Metals and Metals Chemistry, D-73525 Schwäbisch Gmünd (Germany); Tóth, Lajos; Radnóczi, György [Research Centre for Natural Sciences HAS, (MTA TKK), Budapest (Hungary); Ritala, Mikko [Laboratory of Inorganic Chemistry, University of Helsinki, P.O. Box 55, FIN-00014 Helsinki (Finland)

    2014-10-15

    Sublayers grown with filtered cathodic arc deposition (FCAD) were added under atomic layer deposited (ALD) oxide coatings for interface control and improved corrosion protection of low alloy steel. The FCAD sublayer was either Ta:O or Cr:O–Ta:O nanolaminate, and the ALD layer was Al{sub 2}O{sub 3}–Ta{sub 2}O{sub 5} nanolaminate, Al{sub x}Ta{sub y}O{sub z} mixture or graded mixture. The total thicknesses of the FCAD/ALD duplex coatings were between 65 and 120 nm. Thorough analysis of the coatings was conducted to gain insight into the influence of the FCAD sublayer on the overall coating performance. Similar characteristics as with single FCAD and ALD coatings on steel were found in the morphology and composition of the duplex coatings. However, the FCAD process allowed better control of the interface with the steel by reducing the native oxide and preventing its regrowth during the initial stages of the ALD process. Residual hydrocarbon impurities were buried in the interface between the FCAD layer and steel. This enabled growth of ALD layers with improved electrochemical sealing properties, inhibiting the development of localized corrosion by pitting during immersion in acidic NaCl and enhancing durability in neutral salt spray testing. - Highlights: • Corrosion protection properties of ALD coatings were improved by FCAD sublayers. • The FCAD sublayer enabled control of the coating-substrate interface. • The duplex coatings offered improved sealing properties and durability in NSS. • The protective properties were maintained during immersion in a corrosive solution. • The improvements were due to a more ideal ALD growth on the homogeneous FCAD oxide.

  11. Atomic layer deposited oxide films as protective interface layers for integrated graphene transfer

    Science.gov (United States)

    Cabrero-Vilatela, A.; Alexander-Webber, J. A.; Sagade, A. A.; Aria, A. I.; Braeuninger-Weimer, P.; Martin, M.-B.; Weatherup, R. S.; Hofmann, S.

    2017-12-01

    The transfer of chemical vapour deposited graphene from its parent growth catalyst has become a bottleneck for many of its emerging applications. The sacrificial polymer layers that are typically deposited onto graphene for mechanical support during transfer are challenging to remove completely and hence leave graphene and subsequent device interfaces contaminated. Here, we report on the use of atomic layer deposited (ALD) oxide films as protective interface and support layers during graphene transfer. The method avoids any direct contact of the graphene with polymers and through the use of thicker ALD layers (≥100 nm), polymers can be eliminated from the transfer-process altogether. The ALD film can be kept as a functional device layer, facilitating integrated device manufacturing. We demonstrate back-gated field effect devices based on single-layer graphene transferred with a protective Al2O3 film onto SiO2 that show significantly reduced charge trap and residual carrier densities. We critically discuss the advantages and challenges of processing graphene/ALD bilayer structures.

  12. Atomic layer deposition of perovskite oxides and their epitaxial integration with Si, Ge, and other semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G., E-mail: ekerdt@utexas.edu [Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Posadas, Agham; Demkov, Alexander A. [Department of Physics, The University of Texas at Austin, Austin, Texas 78712 (United States)

    2015-12-15

    Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al{sub 2}O{sub 3} and HfO{sub 2}. However, there has been much effort to deposit ternary oxides, such as perovskites (ABO{sub 3}), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable.

  13. Atomic layer deposition of perovskite oxides and their epitaxial integration with Si, Ge, and other semiconductors

    International Nuclear Information System (INIS)

    McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G.; Posadas, Agham; Demkov, Alexander A.

    2015-01-01

    Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al 2 O 3 and HfO 2 . However, there has been much effort to deposit ternary oxides, such as perovskites (ABO 3 ), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable

  14. Micro-balance sensor integrated with atomic layer deposition chamber

    Science.gov (United States)

    Martinson, Alex B. F.; Libera, Joseph A.; Elam, Jeffrey W.; Riha, Shannon C.

    2018-01-02

    The invention is directed to QCM measurements in monitoring ALD processes. Previously, significant barriers remain in the ALD processes and accurate execution. To turn this exclusively dedicated in situ technique into a routine characterization method, an integral QCM fixture was developed. This new design is easily implemented on a variety of ALD tools, allows rapid sample exchange, prevents backside deposition, and minimizes both the footprint and flow disturbance. Unlike previous QCM designs, the fast thermal equilibration enables tasks such as temperature-dependent studies and ex situ sample exchange, further highlighting the feasibility of this QCM design for day-to-day use. Finally, the in situ mapping of thin film growth rates across the ALD reactor was demonstrated in a popular commercial tool operating in both continuous and quasi-static ALD modes.

  15. Recent Development of Advanced Electrode Materials by Atomic Layer Deposition for Electrochemical Energy Storage.

    Science.gov (United States)

    Guan, Cao; Wang, John

    2016-10-01

    Electrode materials play a decisive role in almost all electrochemical energy storage devices, determining their overall performance. Proper selection, design and fabrication of electrode materials have thus been regarded as one of the most critical steps in achieving high electrochemical energy storage performance. As an advanced nanotechnology for thin films and surfaces with conformal interfacial features and well controllable deposition thickness, atomic layer deposition (ALD) has been successfully developed for deposition and surface modification of electrode materials, where there are considerable issues of interfacial and surface chemistry at atomic and nanometer scale. In addition, ALD has shown great potential in construction of novel nanostructured active materials that otherwise can be hardly obtained by other processing techniques, such as those solution-based processing and chemical vapor deposition (CVD) techniques. This review focuses on the recent development of ALD for the design and delivery of advanced electrode materials in electrochemical energy storage devices, where typical examples will be highlighted and analyzed, and the merits and challenges of ALD for applications in energy storage will also be discussed.

  16. Enhancement of fiber-optic low-coherence Fabry-Pérot interferometer with ZnO ALD films

    Science.gov (United States)

    Hirsch, Marzena; Listewnik, Paulina; Jedrzejewska-Szczerska, Małgorzata

    2018-04-01

    In this paper investigation of the enhanced fiber-optic low coherence Fabry-Pérot interferometer with zinc oxide (ZnO) film deposited by atomic layer deposition (ALD) was presented. Model of the interferometer, which was constructed of single-mode optical fiber with applied ZnO ALD films, was built. The interferometer was also examined by means of experiment. Measurements were performed for both reflective and transmission modes, using wavelengths of 1300 nm and 1500 nm. The measurements with the air cavity showed the best performance in terms of a visibility of the interference signal can be achieved for small cavity lengths ( 50μm) in both configurations. Combined with the enhancement of reflectance of the interferometer mirrors due to the ALD film, proposed construction could be successfully applied in refractive index (RI) sensor that can operate with improved visibility of the signal even in 1.3-1.5 RI range as well as with small volume samples, as shown by the modeling.

  17. Thin films of copper oxide and copper grown by atomic layer deposition for applications in metallization systems of microelectronic devices

    Energy Technology Data Exchange (ETDEWEB)

    Waechtler, Thomas

    2010-05-25

    Copper-based multi-level metallization systems in today's ultralarge-scale integrated electronic circuits require the fabrication of diffusion barriers and conductive seed layers for the electrochemical metal deposition. Such films of only several nanometers in thickness have to be deposited void-free and conformal in patterned dielectrics. The envisaged further reduction of the geometric dimensions of the interconnect system calls for coating techniques that circumvent the drawbacks of the well-established physical vapor deposition. The atomic layer deposition method (ALD) allows depositing films on the nanometer scale conformally both on three-dimensional objects as well as on large-area substrates. The present work therefore is concerned with the development of an ALD process to grow copper oxide films based on the metal-organic precursor bis(trin- butylphosphane)copper(I)acetylacetonate [({sup n}Bu{sub 3}P){sub 2}Cu(acac)]. This liquid, non-fluorinated {beta}-diketonate is brought to react with a mixture of water vapor and oxygen at temperatures from 100 to 160 C. Typical ALD-like growth behavior arises between 100 and 130 C, depending on the respective substrate used. On tantalum nitride and silicon dioxide substrates, smooth films and selfsaturating film growth, typical for ALD, are obtained. On ruthenium substrates, positive deposition results are obtained as well. However, a considerable intermixing of the ALD copper oxide with the underlying films takes place. Tantalum substrates lead to a fast self-decomposition of the copper precursor. As a consequence, isolated nuclei or larger particles are always obtained together with continuous films. The copper oxide films grown by ALD can be reduced to copper by vapor-phase processes. If formic acid is used as the reducing agent, these processes can already be carried out at similar temperatures as the ALD, so that agglomeration of the films is largely avoided. Also for an integration with subsequent

  18. Thin films of copper oxide and copper grown by atomic layer deposition for applications in metallization systems of microelectronic devices

    Energy Technology Data Exchange (ETDEWEB)

    Waechtler, Thomas

    2010-05-25

    Copper-based multi-level metallization systems in today's ultralarge-scale integrated electronic circuits require the fabrication of diffusion barriers and conductive seed layers for the electrochemical metal deposition. Such films of only several nanometers in thickness have to be deposited void-free and conformal in patterned dielectrics. The envisaged further reduction of the geometric dimensions of the interconnect system calls for coating techniques that circumvent the drawbacks of the well-established physical vapor deposition. The atomic layer deposition method (ALD) allows depositing films on the nanometer scale conformally both on three-dimensional objects as well as on large-area substrates. The present work therefore is concerned with the development of an ALD process to grow copper oxide films based on the metal-organic precursor bis(trin- butylphosphane)copper(I)acetylacetonate [({sup n}Bu{sub 3}P){sub 2}Cu(acac)]. This liquid, non-fluorinated {beta}-diketonate is brought to react with a mixture of water vapor and oxygen at temperatures from 100 to 160 C. Typical ALD-like growth behavior arises between 100 and 130 C, depending on the respective substrate used. On tantalum nitride and silicon dioxide substrates, smooth films and selfsaturating film growth, typical for ALD, are obtained. On ruthenium substrates, positive deposition results are obtained as well. However, a considerable intermixing of the ALD copper oxide with the underlying films takes place. Tantalum substrates lead to a fast self-decomposition of the copper precursor. As a consequence, isolated nuclei or larger particles are always obtained together with continuous films. The copper oxide films grown by ALD can be reduced to copper by vapor-phase processes. If formic acid is used as the reducing agent, these processes can already be carried out at similar temperatures as the ALD, so that agglomeration of the films is largely avoided. Also for an integration with subsequent

  19. A pressure tuned stop-flow atomic layer deposition process for MoS2 on high porous nanostructure and fabrication of TiO2/MoS2 core/shell inverse opal structure

    Science.gov (United States)

    Li, Xianglin; Puttaswamy, Manjunath; Wang, Zhiwei; Kei Tan, Chiew; Grimsdale, Andrew C.; Kherani, Nazir P.; Tok, Alfred Iing Yoong

    2017-11-01

    MoS2 thin films are obtained by atomic layer deposition (ALD) in the temperature range of 120-150 °C using Mo(CO)6 and dimethyl disulfide (DMDS) as precursors. A pressure tuned stop-flow ALD process facilitates the precursor adsorption and enables the deposition of MoS2 on high porous three dimensional (3D) nanostructures. As a demonstration, a TiO2/MoS2 core/shell inverse opal (TiO2/MoS2-IO) structure has been fabricated through ALD of TiO2 and MoS2 on a self-assembled multilayer polystyrene (PS) structure template. Due to the self-limiting surface reaction mechanism of ALD and the utilization of pressure tuned stop-flow ALD processes, the as fabricated TiO2/MoS2-IO structure has a high uniformity, reflected by FESEM and FIB-SEM characterization. A crystallized TiO2/MoS2-IO structure can be obtained through a post annealing process. As a 3D photonic crystal, the TiO2/MoS2-IO exhibits obvious stopband reflecting peaks, which can be adjusted through changing the opal diameters as well as the thickness of MoS2 layer.

  20. Atomic-layer deposition of silicon nitride

    CERN Document Server

    Yokoyama, S; Ooba, K

    1999-01-01

    Atomic-layer deposition (ALD) of silicon nitride has been investigated by means of plasma ALD in which a NH sub 3 plasma is used, catalytic ALD in which NH sub 3 is dissociated by thermal catalytic reaction on a W filament, and temperature-controlled ALD in which only a thermal reaction on the substrate is employed. The NH sub 3 and the silicon source gases (SiH sub 2 Cl sub 2 or SiCl sub 4) were alternately supplied. For all these methods, the film thickness per cycle was saturated at a certain value for a wide range of deposition conditions. In the catalytic ALD, the selective deposition of silicon nitride on hydrogen-terminated Si was achieved, but, it was limited to only a thin (2SiO (evaporative).

  1. Low-temperature atomic layer deposition of TiO2 thin layers for the processing of memristive devices

    International Nuclear Information System (INIS)

    Porro, Samuele; Conti, Daniele; Guastella, Salvatore; Ricciardi, Carlo; Jasmin, Alladin; Pirri, Candido F.; Bejtka, Katarzyna; Perrone, Denis; Chiolerio, Alessandro

    2016-01-01

    Atomic layer deposition (ALD) represents one of the most fundamental techniques capable of satisfying the strict technological requirements imposed by the rapidly evolving electronic components industry. The actual scaling trend is rapidly leading to the fabrication of nanoscaled devices able to overcome limits of the present microelectronic technology, of which the memristor is one of the principal candidates. Since their development in 2008, TiO 2 thin film memristors have been identified as the future technology for resistive random access memories because of their numerous advantages in producing dense, low power-consuming, three-dimensional memory stacks. The typical features of ALD, such as self-limiting and conformal deposition without line-of-sight requirements, are strong assets for fabricating these nanosized devices. This work focuses on the realization of memristors based on low-temperature ALD TiO 2 thin films. In this process, the oxide layer was directly grown on a polymeric photoresist, thus simplifying the fabrication procedure with a direct liftoff patterning instead of a complex dry etching process. The TiO 2 thin films deposited in a temperature range of 120–230 °C were characterized via Raman spectroscopy and x-ray photoelectron spectroscopy, and electrical current–voltage measurements taken in voltage sweep mode were employed to confirm the existence of resistive switching behaviors typical of memristors. These measurements showed that these low-temperature devices exhibit an ON/OFF ratio comparable to that of a high-temperature memristor, thus exhibiting similar performances with respect to memory applications

  2. A Review of Atomic Layer Deposition for Nanoscale Devices

    Directory of Open Access Journals (Sweden)

    Edy Riyanto

    2012-12-01

    Full Text Available Atomic layer deposition (ALD is a thin film growth technique that utilizes alternating, self-saturation chemical reactions between gaseous precursors to achieve a deposited nanoscale layers. It has recently become a subject of great interest for ultrathin film deposition in many various applications such as microelectronics, photovoltaic, dynamic random access memory (DRAM, and microelectromechanic system (MEMS. By using ALD, the conformability and extreme uniformity of layers can be achieved in low temperature process. It facilitates to be deposited onto the surface in many variety substrates that have low melting temperature. Eventually it has advantages on the contribution to the wider nanodevices.

  3. Barrier properties of plastic films coated with an Al{sub 2}O{sub 3} layer by roll-to-toll atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hirvikorpi, Terhi, E-mail: Terhi.Hirvikorpi@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Laine, Risto, E-mail: Risto.Laine@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Vähä-Nissi, Mika, E-mail: Mika.Vaha-Nissi@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Kilpi, Väinö, E-mail: Vaino.Kilpi@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Salo, Erkki, E-mail: Erkki.Salo@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Li, Wei-Min, E-mail: Wei-Min.Li@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Lindfors, Sven, E-mail: Sven.Lindfors@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Vartiainen, Jari, E-mail: Jari.Vartiainen@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Kenttä, Eija, E-mail: Eija.Kentta@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Nikkola, Juha, E-mail: Juha.Nikkola@vtt.fi [VTT Technical Research Centre of Finland, P.O. Box 1300, FI-33101 Tampere (Finland); Harlin, Ali, E-mail: Ali.Harlin@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Kostamo, Juhana, E-mail: Juhana.Kostamo@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland)

    2014-01-01

    Thin (30–40 nm) and highly uniform Al{sub 2}O{sub 3} coatings have been deposited at relatively low temperature of 100 °C onto various polymeric materials employing the atomic layer deposition (ALD) technique, both batch and roll-to-roll (R2R) mode. The applications for ALD have long been limited those feasible for batch processing. The work demonstrates that R2R ALD can deposit thin films with properties that are comparable to the film properties fabricated by in batch. This accelerates considerably the commercialization of many products, such as flexible, printed electronics, organic light-emitting diode lighting, third generation thin film photovoltaic devices, high energy density thin film batteries, smart textiles, organic sensors, organic/recyclable packaging materials, and flexible displays, to name a few. - Highlights: • Thin and uniform Al{sub 2}O{sub 3} coatings have been deposited onto polymers materials. • Batch and roll-to-roll (R2R) atomic layer deposition (ALD) have been employed. • Deposition with either process improved the barrier properties. • Sensitivity of coated films to defects affects barrier obtained with R2R ALD.

  4. Integrating atomic layer deposition and ultra-high vacuum physical vapor deposition for in situ fabrication of tunnel junctions

    Energy Technology Data Exchange (ETDEWEB)

    Elliot, Alan J., E-mail: alane@ku.edu, E-mail: jwu@ku.edu; Malek, Gary A.; Lu, Rongtao; Han, Siyuan; Wu, Judy Z., E-mail: alane@ku.edu, E-mail: jwu@ku.edu [Department of Physics and Astronomy, The University of Kansas, Lawrence, Kansas 66045 (United States); Yu, Haifeng; Zhao, Shiping [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China)

    2014-07-15

    Atomic Layer Deposition (ALD) is a promising technique for growing ultrathin, pristine dielectrics on metal substrates, which is essential to many electronic devices. Tunnel junctions are an excellent example which require a leak-free, ultrathin dielectric tunnel barrier of typical thickness around 1 nm between two metal electrodes. A challenge in the development of ultrathin dielectric tunnel barriers using ALD is controlling the nucleation of dielectrics on metals with minimal formation of native oxides at the metal surface for high-quality interfaces between the tunnel barrier and metal electrodes. This poses a critical need for integrating ALD with ultra-high vacuum (UHV) physical vapor deposition. In order to address these challenges, a viscous-flow ALD chamber was designed and interfaced to an UHV magnetron sputtering chamber via a load lock. A sample transportation system was implemented for in situ sample transfer between the ALD, load lock, and sputtering chambers. Using this integrated ALD-UHV sputtering system, superconductor-insulator-superconductor (SIS) Nb-Al/Al{sub 2}O{sub 2}/Nb Josephson tunnel junctions were fabricated with tunnel barriers of thickness varied from sub-nm to ∼1 nm. The suitability of using an Al wetting layer for initiation of the ALD Al{sub 2}O{sub 3} tunnel barrier was investigated with ellipsometry, atomic force microscopy, and electrical transport measurements. With optimized processing conditions, leak-free SIS tunnel junctions were obtained, demonstrating the viability of this integrated ALD-UHV sputtering system for the fabrication of tunnel junctions and devices comprised of metal-dielectric-metal multilayers.

  5. Plasma-assisted ALD of LiPO(N) for solid state batteries

    NARCIS (Netherlands)

    Put, B.; Mees, M.J.; Hornsveld, N.; Sepúlveda, A.; Vereecken, P.M.; Kessels, W.M.M.; Creatore, M.

    2016-01-01

    All solid state 3D batteries are pursued for their increased safety and high power capabilities. At present conformai coating of the solid electrolyte remains one of the key hurdles for the implementation of such devices. In the present work we investigate atomic layer deposition (ALD) as means of

  6. Atomic layer deposition of Pd and Pt nanoparticles for catalysis: on the mechanisms of nanoparticle formation

    International Nuclear Information System (INIS)

    Mackus, Adriaan J M; Weber, Matthieu J; Thissen, Nick F W; Garcia-Alonso, Diana; Vervuurt, René H J; Assali, Simone; Bol, Ageeth A; Verheijen, Marcel A; Kessels, Wilhelmus M M

    2016-01-01

    The deposition of Pd and Pt nanoparticles by atomic layer deposition (ALD) has been studied extensively in recent years for the synthesis of nanoparticles for catalysis. For these applications, it is essential to synthesize nanoparticles with well-defined sizes and a high density on large-surface-area supports. Although the potential of ALD for synthesizing active nanocatalysts for various chemical reactions has been demonstrated, insight into how to control the nanoparticle properties (i.e. size, composition) by choosing suitable processing conditions is lacking. Furthermore, there is little understanding of the reaction mechanisms during the nucleation stage of metal ALD. In this work, nanoparticles synthesized with four different ALD processes (two for Pd and two for Pt) were extensively studied by transmission electron spectroscopy. Using these datasets as a starting point, the growth characteristics and reaction mechanisms of Pd and Pt ALD relevant for the synthesis of nanoparticles are discussed. The results reveal that ALD allows for the preparation of particles with control of the particle size, although it is also shown that the particle size distribution is strongly dependent on the processing conditions. Moreover, this paper discusses the opportunities and limitations of the use of ALD in the synthesis of nanocatalysts. (paper)

  7. Synthesis of Functional Ceramic Supports by Ice Templating and Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Michaela Klotz

    2018-05-01

    Full Text Available In this work, we report an innovative route for the manufacturing of functional ceramic supports, by combining ice templating of yttria stabilized zirconia (YSZ and atomic layer deposition (ALD of Al2O3 processes. Ceramic YSZ monoliths are prepared using the ice-templating process, which is based on the controlled crystallization of water following a thermal gradient. Sublimation of the ice and the sintering of the material reveal the straight micrometer sized pores shaped by the ice crystal growth. The high temperature sintering allows for the ceramic materials to present excellent mechanical strength and porosities of 67%. Next, the conformality benefit of ALD is used to deposit an alumina coating at the surface of the YSZ pores, in order to obtain a functional material. The Al2O3 thin films obtained by ALD are 100 nm thick and conformally deposited within the macroporous ceramic supports, as shown by SEM and EDS analysis. Mercury intrusion experiments revealed a reduction of the entrance pore diameter, in line with the growth per cycle of 2 Å of the ALD process. In addition to the manufacture of the innovative ceramic nanomaterials, this article also describes the fine characterization of the coatings obtained using mercury intrusion, SEM and XRD analysis.

  8. Fluorinated graphene and hexagonal boron nitride as ALD seed layers for graphene-based van der Waals heterostructures

    International Nuclear Information System (INIS)

    Guo, Hongwei; Liu, Yunlong; Xu, Yang; Meng, Nan; Luo, Jikui; Wang, Hongtao; Hasan, Tawfique; Wang, Xinran; Yu, Bin

    2014-01-01

    Ultrathin dielectric materials prepared by atomic-layer-deposition (ALD) technology are commonly used in graphene electronics. Using the first-principles density functional theory calculations with van der Waals (vdW) interactions included, we demonstrate that single-side fluorinated graphene (SFG) and hexagonal boron nitride (h-BN) exhibit large physical adsorption energy and strong electrostatic interactions with H 2 O-based ALD precursors, indicating their potential as the ALD seed layer for dielectric growth on graphene. In graphene-SFG vdW heterostructures, graphene is n-doped after ALD precursor adsorption on the SFG surface caused by vertical intrinsic polarization of SFG. However, graphene-h-BN vdW heterostructures help preserving the intrinsic characteristics of the underlying graphene due to in-plane intrinsic polarization of h-BN. By choosing SFG or BN as the ALD seed layer on the basis of actual device design needs, the graphene vdW heterostructures may find applications in low-dimensional electronics. (paper)

  9. Electrical properties of GaN-based metal-insulator-semiconductor structures with Al2O3 deposited by atomic layer deposition using water and ozone as the oxygen precursors

    Science.gov (United States)

    Kubo, Toshiharu; Freedsman, Joseph J.; Iwata, Yasuhiro; Egawa, Takashi

    2014-04-01

    Al2O3 deposited by atomic layer deposition (ALD) was used as an insulator in metal-insulator-semiconductor (MIS) structures for GaN-based MIS-devices. As the oxygen precursors for the ALD process, water (H2O), ozone (O3), and both H2O and O3 were used. The chemical characteristics of the ALD-Al2O3 surfaces were investigated by x-ray photoelectron spectroscopy. After fabrication of MIS-diodes and MIS-high-electron-mobility transistors (MIS-HEMTs) with the ALD-Al2O3, their electrical properties were evaluated by current-voltage (I-V) and capacitance-voltage (C-V) measurements. The threshold voltage of the C-V curves for MIS-diodes indicated that the fixed charge in the Al2O3 layer is decreased when using both H2O and O3 as the oxygen precursors. Furthermore, MIS-HEMTs with the H2O + O3-based Al2O3 showed good dc I-V characteristics without post-deposition annealing of the ALD-Al2O3, and the drain leakage current in the off-state region was suppressed by seven orders of magnitude.

  10. Ellipsometry and XPS comparative studies of thermal and plasma enhanced atomic layer deposited Al2O3-films

    Directory of Open Access Journals (Sweden)

    Jörg Haeberle

    2013-11-01

    Full Text Available We report on results on the preparation of thin (2O3 films on silicon substrates using thermal atomic layer deposition (T-ALD and plasma enhanced atomic layer deposition (PE-ALD in the SENTECH SI ALD LL system. The T-ALD Al2O3 layers were deposited at 200 °C, for the PE-ALD films we varied the substrate temperature range between room temperature (rt and 200 °C. We show data from spectroscopic ellipsometry (thickness, refractive index, growth rate over 4” wafers and correlate them to X-ray photoelectron spectroscopy (XPS results. The 200 °C T-ALD and PE-ALD processes yield films with similar refractive indices and with oxygen to aluminum elemental ratios very close to the stoichiometric value of 1.5. However, in both also fragments of the precursor are integrated into the film. The PE-ALD films show an increased growth rate and lower carbon contaminations. Reducing the deposition temperature down to rt leads to a higher content of carbon and CH-species. We also find a decrease of the refractive index and of the oxygen to aluminum elemental ratio as well as an increase of the growth rate whereas the homogeneity of the film growth is not influenced significantly. Initial state energy shifts in all PE-ALD samples are observed which we attribute to a net negative charge within the films.

  11. Designing high performance precursors for atomic layer deposition of silicon oxide

    Energy Technology Data Exchange (ETDEWEB)

    Mallikarjunan, Anupama, E-mail: mallika@airproducts.com; Chandra, Haripin; Xiao, Manchao; Lei, Xinjian; Pearlstein, Ronald M.; Bowen, Heather R.; O' Neill, Mark L. [Air Products and Chemicals, Inc., 1969 Palomar Oaks Way, Carlsbad, California 92011 (United States); Derecskei-Kovacs, Agnes [Air Products and Chemicals, Inc., 7201 Hamilton Blvd., Allentown, Pennsylvania 18195 (United States); Han, Bing [Air Products and Chemicals, Inc., 2 Dongsanhuan North Road, Chaoyang District, Beijing 100027 (China)

    2015-01-15

    Conformal and continuous silicon oxide films produced by atomic layer deposition (ALD) are enabling novel processing schemes and integrated device structures. The increasing drive toward lower temperature processing requires new precursors with even higher reactivity. The aminosilane family of precursors has advantages due to their reactive nature and relative ease of use. In this paper, the authors present the experimental results that reveal the uniqueness of the monoaminosilane structure [(R{sub 2}N)SiH{sub 3}] in providing ultralow temperature silicon oxide depositions. Disubstituted aminosilanes with primary amines such as in bis(t-butylamino)silane and with secondary amines such as in bis(diethylamino)silane were compared with a representative monoaminosilane: di-sec-butylaminosilane (DSBAS). DSBAS showed the highest growth per cycle in both thermal and plasma enhanced ALD. These findings show the importance of the arrangement of the precursor's organic groups in an ALD silicon oxide process.

  12. Reaction Mechanisms of the Atomic Layer Deposition of Tin Oxide Thin Films Using Tributyltin Ethoxide and Ozone.

    Science.gov (United States)

    Nanayakkara, Charith E; Liu, Guo; Vega, Abraham; Dezelah, Charles L; Kanjolia, Ravindra K; Chabal, Yves J

    2017-06-20

    Uniform and conformal deposition of tin oxide thin films is important for several applications in electronics, gas sensing, and transparent conducting electrodes. Thermal atomic layer deposition (ALD) is often best suited for these applications, but its implementation requires a mechanistic understanding of the initial nucleation and subsequent ALD processes. To this end, in situ FTIR and ex situ XPS have been used to explore the ALD of tin oxide films using tributyltin ethoxide and ozone on an OH-terminated, SiO 2 -passivated Si(111) substrate. Direct chemisorption of tributyltin ethoxide on surface OH groups and clear evidence that subsequent ligand exchange are obtained, providing mechanistic insight. Upon ozone pulse, the butyl groups react with ozone, forming surface carbonate and formate. The subsequent tributyltin ethoxide pulse removes the carbonate and formate features with the appearance of the bands for CH stretching and bending modes of the precursor butyl ligands. This ligand-exchange behavior is repeated for subsequent cycles, as is characteristic of ALD processes, and is clearly observed for deposition temperatures of 200 and 300 °C. On the basis of the in situ vibrational data, a reaction mechanism for the ALD process of tributyltin ethoxide and ozone is presented, whereby ligands are fully eliminated. Complementary ex situ XPS depth profiles confirm that the bulk of the films is carbon-free, that is, formate and carbonate are not incorporated into the film during the deposition process, and that good-quality SnO x films are produced. Furthermore, the process was scaled up in a cross-flow reactor at 225 °C, which allowed the determination of the growth rate (0.62 Å/cycle) and confirmed a self-limiting ALD growth at 225 and 268 °C. An analysis of the temperature-dependence data reveals that growth rate increases linearly between 200 and 300 °C.

  13. Enhancing the platinum atomic layer deposition infiltration depth inside anodic alumina nanoporous membrane

    Energy Technology Data Exchange (ETDEWEB)

    Vaish, Amit, E-mail: anv@udel.edu; Krueger, Susan; Dimitriou, Michael; Majkrzak, Charles [National Institute of Standards and Technology (NIST) Center for Neutron Research, Gaithersburg, MD 20899-8313 (United States); Vanderah, David J. [Institute for Bioscience and Biotechnology Research, NIST, Rockville, Maryland 20850 (United States); Chen, Lei, E-mail: lei.chen@nist.gov [NIST Center for Nanoscale Science and Technology, Gaithersburg, Maryland 20899-8313 (United States); Gawrisch, Klaus [Laboratory of Membrane Biochemistry and Biophysics, National Institute on Alcohol Abuse and Alcoholism, National Institutes of Health, Bethesda, Maryland 20892 (United States)

    2015-01-15

    Nanoporous platinum membranes can be straightforwardly fabricated by forming a Pt coating inside the nanopores of anodic alumina membranes (AAO) using atomic layer deposition (ALD). However, the high-aspect-ratio of AAO makes Pt ALD very challenging. By tuning the process deposition temperature and precursor exposure time, enhanced infiltration depth along with conformal coating was achieved for Pt ALD inside the AAO templates. Cross-sectional scanning electron microscopy/energy dispersive x-ray spectroscopy and small angle neutron scattering were employed to analyze the Pt coverage and thickness inside the AAO nanopores. Additionally, one application of platinum-coated membrane was demonstrated by creating a high-density protein-functionalized interface.

  14. Self-cleaning and surface chemical reactions during hafnium dioxide atomic layer deposition on indium arsenide.

    Science.gov (United States)

    Timm, Rainer; Head, Ashley R; Yngman, Sofie; Knutsson, Johan V; Hjort, Martin; McKibbin, Sarah R; Troian, Andrea; Persson, Olof; Urpelainen, Samuli; Knudsen, Jan; Schnadt, Joachim; Mikkelsen, Anders

    2018-04-12

    Atomic layer deposition (ALD) enables the ultrathin high-quality oxide layers that are central to all modern metal-oxide-semiconductor circuits. Crucial to achieving superior device performance are the chemical reactions during the first deposition cycle, which could ultimately result in atomic-scale perfection of the semiconductor-oxide interface. Here, we directly observe the chemical reactions at the surface during the first cycle of hafnium dioxide deposition on indium arsenide under realistic synthesis conditions using photoelectron spectroscopy. We find that the widely used ligand exchange model of the ALD process for the removal of native oxide on the semiconductor and the simultaneous formation of the first hafnium dioxide layer must be significantly revised. Our study provides substantial evidence that the efficiency of the self-cleaning process and the quality of the resulting semiconductor-oxide interface can be controlled by the molecular adsorption process of the ALD precursors, rather than the subsequent oxide formation.

  15. Integration of atomic layer deposition CeO2 thin films with functional complex oxides and 3D patterns

    International Nuclear Information System (INIS)

    Coll, M.; Palau, A.; Gonzalez-Rosillo, J.C.; Gazquez, J.; Obradors, X.; Puig, T.

    2014-01-01

    We present a low-temperature, < 300 °C, ex-situ integration of atomic layer deposition (ALD) ultrathin CeO 2 layers (3 to 5 unit cells) with chemical solution deposited La 0.7 Sr 0.3 MnO 3 (LSMO) functional complex oxides for multilayer growth without jeopardizing the morphology, microstructure and physical properties of the functional oxide layer. We have also extended this procedure to pulsed laser deposited YBa 2 Cu 3 O 7 (YBCO) thin films. Scanning force microscopy, X-ray diffraction, aberration corrected scanning transmission electron microscopy and macroscopic magnetic measurements were used to evaluate the quality of the perovskite films before and after the ALD process. By means of microcontact printing and ALD we have prepared CeO 2 patterns using an ozone-robust photoresist that will avoid the use of hazardous lithography processes directly on the device components. These bilayers, CeO 2 /LSMO and CeO 2 /YBCO, are foreseen to have special interest for resistive switching phenomena in resistive random-access memory. - Highlights: • Integration of atomic layer deposition (ALD) CeO 2 layers on functional complex oxides • Resistive switching is identified in CeO 2 /La 0.7 Sr 0.3 MnO 3 and CeO 2 /YBa 2 Cu 3 O 7 bilayers. • Study of the robustness of organic polymers for area-selective ALD • Combination of ALD and micro-contact printing to obtain 3D patterns of CeO 2

  16. Regulation Mechanism of the ald Gene Encoding Alanine Dehydrogenase in Mycobacterium smegmatis and Mycobacterium tuberculosis by the Lrp/AsnC Family Regulator AldR.

    Science.gov (United States)

    Jeong, Ji-A; Hyun, Jaekyung; Oh, Jeong-Il

    2015-10-01

    In the presence of alanine, AldR, which belongs to the Lrp/AsnC family of transcriptional regulators and regulates ald encoding alanine dehydrogenase in Mycobacterium smegmatis, changes its quaternary structure from a homodimer to an octamer with an open-ring conformation. Four AldR-binding sites (O2, O1, O4, and O3) with a consensus sequence of GA/T-N2-NWW/WWN-N2-A/TC were identified upstream of the M. smegmatis ald gene by means of DNase I footprinting analysis. O2, O1, and O4 are required for the induction of ald expression by alanine, while O3 is directly involved in the repression of ald expression. In addition to O3, both O1 and O4 are also necessary for full repression of ald expression in the absence of alanine, due to cooperative binding of AldR dimers to O1, O4, and O3. Binding of a molecule of the AldR octamer to the ald control region was demonstrated to require two AldR-binding sites separated by three helical turns between their centers and one additional binding site that is in phase with the two AldR-binding sites. The cooperative binding of AldR dimers to DNA requires three AldR-binding sites that are aligned with a periodicity of three helical turns. The aldR gene is negatively autoregulated independently of alanine. Comparative analysis of ald expression of M. smegmatis and Mycobacterium tuberculosis in conjunction with sequence analysis of both ald control regions led us to suggest that the expression of the ald genes in both mycobacterial species is regulated by the same mechanism. In mycobacteria, alanine dehydrogenase (Ald) is the enzyme required both to utilize alanine as a nitrogen source and to grow under hypoxic conditions by maintaining the redox state of the NADH/NAD(+) pool. Expression of the ald gene was reported to be regulated by the AldR regulator that belongs to the Lrp/AsnC (feast/famine) family, but the underlying mechanism was unknown. This study revealed the regulation mechanism of ald in Mycobacterium smegmatis and

  17. ALD Produced B{sub 2}O{sub 3}, Al{sub 2}O{sub 3} and TiO{sub 2} Coatings on Gd{sub 2}O{sub 3} Burnable Poison Nanoparticles and Carbonaceous TRISO Coating Layers

    Energy Technology Data Exchange (ETDEWEB)

    Weimer, Alan

    2012-11-26

    This project will demonstrate the feasibility of using atomic layer deposition (ALD) to apply ultrathin neutron-absorbing, corrosion-resistant layers consisting of ceramics, metals, or combinations thereof, on particles for enhanced nuclear fuel pellets. Current pellet coating technology utilizes chemical vapor deposition (CVD) in a fluidized bed reactor to deposit thick, porous layers of C (or PyC) and SiC. These graphitic/carbide materials degrade over time owing to fission product bombardment, active oxidation, thermal management issues, and long-term irradiation effects. ALD can be used to deposit potential ceramic barrier materials of interest, including ZrO{sub 2}, Y{sub 2}O{sub 3}:ZrO{sub 2} (YSZ), Al{sub 2}O{sub 3}, and TiO{sub 2}, or neutron-absorbing materials, namely B (in BN or B{sub 2}O{sub 3}) and Gd (in Gd{sub 2}O{sub 3}). This project consists of a two-pronged approach to integrate ALD into the next-generation nuclear plant (NGNP) fuel pellet manufacturing process:

  18. Atomic layer deposition for photovoltaics : applications and prospects for solar cell manufacturing

    NARCIS (Netherlands)

    van Delft, J.A.; Garcia-Alonso Garcia, D.; Kessels, W.M.M.

    2012-01-01

    Atomic layer deposition (ALD) is a vapour-phase deposition technique capable of depositing high quality, uniform and conformal thin films at relatively low temperatures. These outstanding properties can be employed to face processing challenges for various types of next-generation solar cells;

  19. Atomic layer deposition of a MoS₂ film.

    Science.gov (United States)

    Tan, Lee Kheng; Liu, Bo; Teng, Jing Hua; Guo, Shifeng; Low, Hong Yee; Tan, Hui Ru; Chong, Christy Yuen Tung; Yang, Ren Bin; Loh, Kian Ping

    2014-09-21

    A mono- to multilayer thick MoS₂ film has been grown by using the atomic layer deposition (ALD) technique at 300 °C on a sapphire wafer. ALD provides precise control of the MoS₂ film thickness due to pulsed introduction of the reactants and self-limiting reactions of MoCl₅ and H₂S. A post-deposition annealing of the ALD-deposited monolayer film improves the crystallinity of the film, which is evident from the presence of triangle-shaped crystals that exhibit strong photoluminescence in the visible range.

  20. Modeling and optimization of atomic layer deposition processes on vertically aligned carbon nanotubes.

    Science.gov (United States)

    Yazdani, Nuri; Chawla, Vipin; Edwards, Eve; Wood, Vanessa; Park, Hyung Gyu; Utke, Ivo

    2014-01-01

    Many energy conversion and storage devices exploit structured ceramics with large interfacial surface areas. Vertically aligned carbon nanotube (VACNT) arrays have emerged as possible scaffolds to support large surface area ceramic layers. However, obtaining conformal and uniform coatings of ceramics on structures with high aspect ratio morphologies is non-trivial, even with atomic layer deposition (ALD). Here we implement a diffusion model to investigate the effect of the ALD parameters on coating kinetics and use it to develop a guideline for achieving conformal and uniform thickness coatings throughout the depth of ultra-high aspect ratio structures. We validate the model predictions with experimental data from ALD coatings of VACNT arrays. However, the approach can be applied to predict film conformality as a function of depth for any porous topology, including nanopores and nanowire arrays.

  1. Atomic layer deposition and etching methods for far ultraviolet aluminum mirrors

    Science.gov (United States)

    Hennessy, John; Moore, Christopher S.; Balasubramanian, Kunjithapatham; Jewell, April D.; Carter, Christian; France, Kevin; Nikzad, Shouleh

    2017-09-01

    High-performance aluminum mirrors at far ultraviolet wavelengths require transparent dielectric materials as protective coatings to prevent oxidation. Reducing the thickness of this protective layer can result in additional performance gains by minimizing absorption losses, and provides a path toward high Al reflectance in the challenging wavelength range of 90 to 110 nm. We have pursued the development of new atomic layer deposition processes (ALD) for the metal fluoride materials of MgF2, AlF3 and LiF. Using anhydrous hydrogen fluoride as a reactant, these films can be deposited at the low temperatures required for large-area surface-finished optics and polymeric diffraction gratings. We also report on the development and application of an atomic layer etching (ALE) procedure to controllably etch native aluminum oxide. Our ALE process utilizes the same chemistry used in the ALD of AlF3 thin films, allowing for a combination of high-performance evaporated Al layers and ultrathin ALD encapsulation without requiring vacuum transfer. Progress in demonstrating the scalability of this approach, as well as the environmental stability of ALD/ALE Al mirrors are discussed in the context of possible future applications for NASA LUVOIR and HabEx mission concepts.

  2. Effect of growth temperature on the epitaxial growth of ZnO on GaN by ALD

    Science.gov (United States)

    Särkijärvi, Suvi; Sintonen, Sakari; Tuomisto, Filip; Bosund, Markus; Suihkonen, Sami; Lipsanen, Harri

    2014-07-01

    We report on the epitaxial growth of ZnO on GaN template by atomic layer deposition (ALD). Diethylzinc (DEZn) and water vapour (H2O) were used as precursors. The structure and the quality of the grown ZnO layers were studied with scanning electron microscope (SEM), X-ray diffraction (XRD), photoluminescence (PL) measurements and positron annihilation spectroscopy. The ZnO films were confirmed epitaxial, and the film quality was found to improve with increasing deposition temperature in the vicinity of the threshold temperature of two dimensional growth. We conclude that high quality ZnO thin films can be grown by ALD. Interestingly only separate Zn-vacancies were observed in the films, although ZnO thin films typically contain fairly high density of surface pits and vacancy clusters.

  3. Improvement of oxidation resistance of copper by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chang, M.L.; Cheng, T.C. [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 106, Taiwan (China); Lin, M.C. [Research Center for Biomedical Devices and Prototyping Production, Taipei Medical University, No. 250, Wu-Hsing Street, Taipei 110, Taiwan (China); Lin, H.C., E-mail: hclinntu@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 106, Taiwan (China); Chen, M.J., E-mail: mjchen@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 106, Taiwan (China)

    2012-10-01

    Graphical abstract: Results of glancing incident angle diffraction (GIXD) show the bare-Cu specimen was attacked by oxidation, whereas the coated-Cu specimens prevented from this problem. Highlights: Black-Right-Pointing-Pointer Deposition of Al{sub 2}O{sub 3} films on pure copper by an atomic layer deposition (ALD) technique. Black-Right-Pointing-Pointer Analysis of properties of the films coated at various substrate temperatures using the ALD technique. Black-Right-Pointing-Pointer Identification of the improvement of oxidation resistance of pure copper by the ALD-Al{sub 2}O{sub 3} films. Black-Right-Pointing-Pointer Assessment of the durability of the ALD-Al{sub 2}O{sub 3} films by adhesion strength. - Abstract: Al{sub 2}O{sub 3} films were deposited by the atomic layer deposition (ALD) technique onto pure copper at temperatures in the range 100-200 Degree-Sign C. The chemical composition, microstructure, and mechanic properties of the ALD-deposited Al{sub 2}O{sub 3} films were systematically analyzed. The variations in the film characteristics with substrate temperature were observed. Oxidation trials revealed that 20-nm-thick Al{sub 2}O{sub 3} films deposited at a substrate temperature as low as 100 Degree-Sign C suppress oxidative attack on pure copper. The Al{sub 2}O{sub 3} films also showed excellent durability of adhesion strength, according to predictions using the Coffin-Manson model based on the results of accelerated temperature cycling tests. These features indicate that ALD-deposited Al{sub 2}O{sub 3} film is a very promising candidate to be a protective coating for pure copper.

  4. Modeling and optimization of atomic layer deposition processes on vertically aligned carbon nanotubes

    Directory of Open Access Journals (Sweden)

    Nuri Yazdani

    2014-03-01

    Full Text Available Many energy conversion and storage devices exploit structured ceramics with large interfacial surface areas. Vertically aligned carbon nanotube (VACNT arrays have emerged as possible scaffolds to support large surface area ceramic layers. However, obtaining conformal and uniform coatings of ceramics on structures with high aspect ratio morphologies is non-trivial, even with atomic layer deposition (ALD. Here we implement a diffusion model to investigate the effect of the ALD parameters on coating kinetics and use it to develop a guideline for achieving conformal and uniform thickness coatings throughout the depth of ultra-high aspect ratio structures. We validate the model predictions with experimental data from ALD coatings of VACNT arrays. However, the approach can be applied to predict film conformality as a function of depth for any porous topology, including nanopores and nanowire arrays.

  5. Local deposition of high-purity Pt nanostructures by combining electron beam induced deposition and atomic layer deposition

    NARCIS (Netherlands)

    Mackus, A.J.M.; Mulders, J.J.L.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2010-01-01

    An approach for direct-write fabrication of high-purity platinum nanostructures has been developed by combining nanoscale lateral patterning by electron beam induced deposition (EBID) with area-selective deposition of high quality material by atomic layer deposition (ALD). Because virtually pure,

  6. Atomic layer deposition: prospects for solar cell manufacturing

    NARCIS (Netherlands)

    Kessels, W.M.M.; Hoex, B.; Sanden, van de M.C.M.

    2008-01-01

    Atomic layer deposition (ALD) is a thin film growth technology that is capable of depositing uniform and conformal films on complex, three-dimensional objects with atomic precision. ALD is a rapidly growing field and it is currently at the verge of being introduced in the semiconductor industry.

  7. Gas Diffusion Barriers Prepared by Spatial Atmospheric Pressure Plasma Enhanced ALD.

    Science.gov (United States)

    Hoffmann, Lukas; Theirich, Detlef; Pack, Sven; Kocak, Firat; Schlamm, Daniel; Hasselmann, Tim; Fahl, Henry; Räupke, André; Gargouri, Hassan; Riedl, Thomas

    2017-02-01

    In this work, we report on aluminum oxide (Al 2 O 3 ) gas permeation barriers prepared by spatial ALD (SALD) at atmospheric pressure. We compare the growth characteristics and layer properties using trimethylaluminum (TMA) in combination with an Ar/O 2 remote atmospheric pressure plasma for different substrate velocities and different temperatures. The resulting Al 2 O 3 films show ultralow water vapor transmission rates (WVTR) on the order of 10 -6 gm -2 d -1 . In notable contrast, plasma based layers already show good barrier properties at low deposition temperatures (75 °C), while water based processes require a growth temperature above 100 °C to achieve equally low WVTRs. The activation energy for the water permeation mechanism was determined to be 62 kJ/mol.

  8. Relation of lifetime to surface passivation for atomic-layer-deposited Al2O3 on crystalline silicon solar cell

    International Nuclear Information System (INIS)

    Cho, Young Joon; Song, Hee Eun; Chang, Hyo Sik

    2015-01-01

    Highlights: • We investigated the relation of potassium contamination on Si solar wafer to lifetime. • We deposited Al 2 O 3 layer by atomic layer deposition (ALD) on Si solar wafer after several cleaning process. • Potassium can be left on Si surface by incomplete cleaning process and degrade the Al 2 O 3 passivation quality. - Abstract: We investigated the relation of potassium contamination on a crystalline silicon (c-Si) surface after potassium hydroxide (KOH) etching to the lifetime of the c-Si solar cell. Alkaline solution was employed for saw damage removal (SDR), texturing, and planarization of a textured c-Si solar wafer prior to atomic layer deposition (ALD) Al 2 O 3 growth. In the solar-cell manufacturing process, ALD Al 2 O 3 passivation is utilized to obtain higher conversion efficiency. ALD Al 2 O 3 shows excellent surface passivation, though minority carrier lifetime varies with cleaning conditions. In the present study, we investigated the relation of potassium contamination to lifetime in solar-cell processing. The results showed that the potassium-contaminated samples, due to incomplete cleaning of KOH, had a short lifetime, thus establishing that residual potassium can degrade Al 2 O 3 surface passivation

  9. Atmospheric spatial atomic-layer-deposition of Zn(O, S) buffer layer for flexible Cu(In, Ga)Se2 solar cells: From lab-scale to large area roll to roll processing

    NARCIS (Netherlands)

    Frijters, C.H.; Bolt, P.J.; Poodt, P.W.G.; Knaapen, R.; Brink, J. van den; Ruth, M.; Bremaud, D.; Illiberi, A.

    2016-01-01

    In this manuscript we present the first successful application of a spatial atomic-layer-deposition process to thin film solar cells. Zn(O,S) has been grown by spatial atomic layer deposition (S-ALD) at atmospheric pressure and applied as buffer layer in rigid and flexible CIGS cells by a lab-scale

  10. Ultrahigh capacitance density for multiple ALD-grown MIM capacitor stacks in 3-D silicon

    NARCIS (Netherlands)

    Klootwijk, J.H.; Jinesh, K.B.; Dekkers, W.; Verhoeven, J.F.C.; Heuvel, van den F.C.; Kim, H.-D.; Blin, D.; Verheijen, M.A.; Weemaes, R.G.R.; Kaiser, M.; Ruigrok, J.J.M.; Roozeboom, F.

    2008-01-01

    "Trench" capacitors containing multiple metal-insulator-metal (MIM) layer stacks are realized by atomic-layer deposition (ALD), yielding an ultrahigh capacitance density of 440 nF/mm2 at a breakdown voltage VBD > 6 V. This capacitance density on silicon is at least 10 times higher than the values

  11. Integration of atomic layer deposited high-k dielectrics on GaSb via hydrogen plasma exposure

    Directory of Open Access Journals (Sweden)

    Laura B. Ruppalt

    2014-12-01

    Full Text Available In this letter we report the efficacy of a hydrogen plasma pretreatment for integrating atomic layer deposited (ALD high-k dielectric stacks with device-quality p-type GaSb(001 epitaxial layers. Molecular beam eptiaxy-grown GaSb surfaces were subjected to a 30 minute H2/Ar plasma treatment and subsequently removed to air. High-k HfO2 and Al2O3/HfO2 bilayer insulating films were then deposited via ALD and samples were processed into standard metal-oxide-semiconductor (MOS capacitors. The quality of the semiconductor/dielectric interface was probed by current-voltage and variable-frequency admittance measurements. Measurement results indicate that the H2-plamsa pretreatment leads to a low density of interface states nearly independent of the deposited dielectric material, suggesting that pre-deposition H2-plasma exposure, coupled with ALD of high-k dielectrics, may provide an effective means for achieving high-quality GaSb MOS structures for advanced Sb-based digital and analog electronics.

  12. QCM gas sensor characterization of ALD-grown very thin TiO2 films

    Science.gov (United States)

    Boyadjiev, S.; Georgieva, V.; Vergov, L.; Szilágyi, I. M.

    2018-03-01

    The paper presents a technology for preparation and characterization of titanium dioxide (TiO2) thin films suitable for gas sensor applications. Applying atomic layer deposition (ALD), very thin TiO2 films were deposited on quartz resonators, and their gas sensing properties were studied using the quartz crystal microbalance (QCM) method. The TiO2 thin films were grown using Ti(iOPr)4 and water as precursors. The surface of the films was observed by scanning electron microscopy (SEM), coupled with energy dispersive X-ray analysis (EDX) used for a composition study. The research was focused on the gas-sensing properties of the films. Films of 10-nm thickness were deposited on quartz resonators with Au electrodes and the QCMs were used to build highly sensitive gas sensors, which were tested for detecting NO2. Although very thin, these ALD-grown TiO2 films were sensitive to NO2 already at room temperature and could register as low concentrations as 50 ppm, while the sorption was fully reversible, and the sensors could be fully recovered. With the technology presented, the manufacturing of gas sensors is simple, fast and cost-effective, and suitable for energy-effective portable equipment for real-time environmental monitoring of NO2.

  13. CMOS compatible thin-film ALD tungsten nanoelectromechanical devices

    Science.gov (United States)

    Davidson, Bradley Darren

    This research focuses on the development of a novel, low-temperature, CMOS compatible, atomic-layer-deposition (ALD) enabled NEMS fabrication process for the development of ALD Tungsten (WALD) NEMS devices. The devices are intended for use in CMOS/NEMS hybrid systems, and NEMS based micro-processors/controllers capable of reliable operation in harsh environments not accessible to standard CMOS technologies. The majority of NEMS switches/devices to date have been based on carbon-nano-tube (CNT) designs. The devices consume little power during actuation, and as expected, have demonstrated actuation voltages much smaller than MEMS switches. Unfortunately, NEMS CNT switches are not typically CMOS integrable due to the high temperatures required for their growth, and their fabrication typically results in extremely low and unpredictable yields. Thin-film NEMS devices offer great advantages over reported CNT devices for several reasons, including: higher fabrication yields, low-temperature (CMOS compatible) deposition techniques like ALD, and increased control over design parameters/device performance metrics, i.e., device geometry. Furthermore, top-down, thin-film, nano-fabrication techniques are better capable of producing complicated device geometries than CNT based processes, enabling the design and development of multi-terminal switches well-suited for low-power hybrid NEMS/CMOS systems as well as electromechanical transistors and logic devices for use in temperature/radiation hard computing architectures. In this work several novel, low-temperature, CMOS compatible fabrication technologies, employing WALD as a structural layer for MEMS or NEMS devices, were developed. The technologies developed are top-down nano-scale fabrication processes based on traditional micro-machining techniques commonly used in the fabrication of MEMS devices. Using these processes a variety of novel WALD NEMS devices have been successfully fabricated and characterized. Using two different

  14. Kinetic study on hot-wire-assisted atomic layer deposition of nickel thin films

    International Nuclear Information System (INIS)

    Yuan, Guangjie; Shimizu, Hideharu; Momose, Takeshi; Shimogaki, Yukihiro

    2014-01-01

    High-purity Ni films were deposited using hot-wire-assisted atomic layer deposition (HW-ALD) at deposition temperatures of 175, 250, and 350 °C. Negligible amount of nitrogen or carbon contamination was detected, even though the authors used NH 2 radical as the reducing agent and nickelocene as the precursor. NH 2 radicals were generated by the thermal decomposition of NH 3 with the assist of HW and used to reduce the adsorbed metal growth precursors. To understand and improve the deposition process, the kinetics of HW-ALD were analyzed using a Langmuir-type model. Unlike remote-plasma-enhanced atomic layer deposition, HW-ALD does not lead to plasma-induced damage. This is a significant advantage, because the authors can supply sufficient NH 2 radicals to deposit high-purity metallic films by adjusting the distance between the hot wire and the substrate. NH 2 radicals have a short lifetime, and it was important to use a short distance between the radical generation site and substrate. Furthermore, the impurity content of the nickel films was independent of the deposition temperature, which is evidence of the temperature-independent nature of the NH 2 radical flux and the reactivity of the NH 2 radicals

  15. High performance organic field-effect transistors with ultra-thin HfO2 gate insulator deposited directly onto the organic semiconductor

    International Nuclear Information System (INIS)

    Ono, S.; Häusermann, R.; Chiba, D.; Shimamura, K.; Ono, T.; Batlogg, B.

    2014-01-01

    We have produced stable organic field-effect transistors (OFETs) with an ultra-thin HfO 2 gate insulator deposited directly on top of rubrene single crystals by atomic layer deposition (ALD). We find that ALD is a gentle deposition process to grow thin films without damaging rubrene single crystals, as results these devices have a negligibly small threshold voltage and are very stable against gate-bias-stress, and the mobility exceeds 1 cm 2 /V s. Moreover, the devices show very little degradation even when kept in air for more than 2 months. These results demonstrate thin HfO 2 layers deposited by ALD to be well suited as high capacitance gate dielectrics in OFETs operating at small gate voltage. In addition, the dielectric layer acts as an effective passivation layer to protect the organic semiconductor

  16. Novel Antimicrobial Titanium Dioxide Nanotubes Obtained through a Combination of Atomic Layer Deposition and Electrospinning Technologies

    Science.gov (United States)

    Patiño, Cristian; Galotto, María Jose; Palma, Juan Luis; Alburquenque, Daniela

    2018-01-01

    The search for new antimicrobial substances has increased in recent years. Antimicrobial nanostructures are one of the most promising alternatives. In this work, titanium dioxide nanotubes were obtained by an atomic layer deposition (ALD) process over electrospun polyvinyl alcohol nanofibers (PVN) at different temperatures with the purpose of obtaining antimicrobial nanostructures with a high specific area. Electrospinning and ALD parameters were studied in order to obtain PVN with smallest diameter and highest deposition rate, respectively. Chamber temperature was a key factor during ALD process and an appropriate titanium dioxide deposition performance was achieved at 200 °C. Subsequently, thermal and morphological analysis by SEM and TEM microscopies revealed hollow nanotubes were obtained after calcination process at 600 °C. This temperature allowed complete polymer removal and influenced the resulting anatase crystallographic structure of titanium dioxide that positively affected their antimicrobial activities. X-ray analysis confirmed the change of titanium dioxide crystallographic structure from amorphous phase of deposited PVN to anatase crystalline structure of nanotubes. These new nanostructures with very large surface areas resulted in interesting antimicrobial properties against Gram-positive and Gram-negative bacteria. Titanium dioxide nanotubes presented the highest activity against Escherichia coli with 5 log cycles reduction at 200 μg/mL concentration. PMID:29495318

  17. The influence of tertiary butyl hydrazine as a co-reactant on the atomic layer deposition of silver

    Energy Technology Data Exchange (ETDEWEB)

    Golrokhi, Zahra; Marshall, Paul A.; Romani, Simon [Centre for Materials and Structures, School of Engineering,The University of Liverpool, Liverpool L69 3GH (United Kingdom); Rushworth, Simon [EpiValence, The Wilton Centre, Redcar, Cleveland, TS10 4RF (United Kingdom); Chalker, Paul R. [Centre for Materials and Structures, School of Engineering,The University of Liverpool, Liverpool L69 3GH (United Kingdom); Potter, Richard J., E-mail: rjpott@liverpool.ac.uk [Centre for Materials and Structures, School of Engineering,The University of Liverpool, Liverpool L69 3GH (United Kingdom)

    2017-03-31

    Highlights: • We demonstrate metallic silver growth by direct liquid injection thermal ALD. • A substituted hydrazine is used as a powerful reducing agent for the first time. • The hydrazine extends the ALD temperature window compared with alcohol. • Hydrazine promotes a more planar growth mode compared to alcohol. • Film adhesion is improved using hydrazine compared with alcohol. - Abstract: Ultra-thin conformal silver films are the focus of development for applications such as anti-microbial surfaces, optical components and electronic devices. In this study, metallic silver films have been deposited using direct liquid injection thermal atomic layer deposition (ALD) using (hfac)Ag(1,5-COD) ((hexafluoroacetylacetonato)silver(I)(1,5-cyclooctadiene)) as the metal source and tertiary butyl hydrazine (TBH) as a co-reactant. The process provides a 23 °C wide ‘self-limiting’ ALD temperature window between 105 and 128 °C, which is significantly wider than is achievable using alcohol as a co-reactant. A mass deposition rate of ∼20 ng/cm{sup 2}/cycle (∼0.18 Å/cycle) is observed under self-limiting growth conditions. The resulting films are crystalline metallic silver with a near planar film-like morphology which are electrically conductive. By extending the temperature range of the ALD window by the use of TBH as a co-reactant, it is envisaged that the process will be exploitable in a range of new low temperature applications.

  18. Spatial atomic layer deposition on flexible substrates using a modular rotating cylinder reactor

    International Nuclear Information System (INIS)

    Sharma, Kashish; Hall, Robert A.; George, Steven M.

    2015-01-01

    Spatial atomic layer deposition (ALD) is a new version of ALD based on the separation of reactant gases in space instead of time. In this paper, the authors present results for spatial ALD on flexible substrates using a modular rotating cylinder reactor. The design for this reactor is based on two concentric cylinders. The outer cylinder remains fixed and contains a series of slits. These slits can accept a wide range of modules that attach from the outside. The modules can easily move between the various slit positions and perform precursor dosing, purging, or pumping. The inner cylinder rotates with the flexible substrate and passes underneath the various spatially separated slits in the outer cylinder. Trimethyl aluminum and ozone were used to grow Al 2 O 3 ALD films at 40 °C on metallized polyethylene terephthalate (PET) substrates to characterize this spatial ALD reactor. Spectroscopic ellipsometry measurements revealed a constant Al 2 O 3 ALD growth rate of 1.03 Å/cycle with rotation speeds from 40 to 100 RPM with the outer cylinder configured for one Al 2 O 3 ALD cycle per rotation. The Al 2 O 3 ALD growth rate then decreased at higher rotation rates for reactant residence times < 5 ms. The Al 2 O 3 ALD films were also uniform to within <1% across the central portion of metallized PET substrate. Fixed deposition time experiments revealed that Al 2 O 3 ALD films could be deposited at 2.08 Å/s at higher rotation speeds of 175 RPM. Even faster deposition rates are possible by adding more modules for additional Al 2 O 3 ALD cycles for every one rotation of the inner cylinder

  19. TiO2 coatings via atomic layer deposition on polyurethane and polydimethylsiloxane substrates: Properties and effects on C. albicans growth and inactivation process

    Science.gov (United States)

    Pessoa, R. S.; dos Santos, V. P.; Cardoso, S. B.; Doria, A. C. O. C.; Figueira, F. R.; Rodrigues, B. V. M.; Testoni, G. E.; Fraga, M. A.; Marciano, F. R.; Lobo, A. O.; Maciel, H. S.

    2017-11-01

    Atomic layer deposition (ALD) surges as an attractive technology to deposit thin films on different substrates for many advanced biomedical applications. Herein titanium dioxide (TiO2) thin films were successful obtained on polyurethane (PU) and polydimethylsiloxane (PDMS) substrates using ALD. The effect of TiO2 films on Candida albicans growth and inactivation process were also systematic discussed. TiCl4 and H2O were used as precursors at 80 °C, while the reaction cycle number ranged from 500 to 2000. Several chemical, physical and physicochemical techniques were used to evaluate the growth kinetics, elemental composition, material structure, chemical bonds, contact angle, work of adhesion and surface morphology of the ALD TiO2 thin films grown on both substrates. For microbiological analyses, yeasts of standard strains of C. albicans were grown on non- and TiO2-coated substrates. Next, the antifungal and photocatalytic activities of the TiO2 were also investigated by counting the colony-forming units (CFU) before and after UV-light treatment. Chlorine-doped amorphous TiO2 films with varied thicknesses and Cl concentration ranging from 2 to 12% were obtained. In sum, the ALD TiO2 films suppressed the yeast-hyphal transition of C. albicans onto PU, however, a high adhesion of yeasts was observed. Conversely, for PDMS substrate, the yeast adhesion did not change, as observed in control. Comparatively to control, the TiO2-covered PDMS had a reduction in CFU up to 59.5% after UV treatment, while no modification was observed to TiO2-covered PU. These results pointed out that ALD chlorine-doped amorphous TiO2 films grown on biomedical polymeric surfaces may act as fungistatic materials. Furthermore, in case of contamination, these materials may also behave as antifungal materials under UV light exposure.

  20. A combined scanning tunneling microscope-atomic layer deposition tool.

    Science.gov (United States)

    Mack, James F; Van Stockum, Philip B; Iwadate, Hitoshi; Prinz, Fritz B

    2011-12-01

    We have built a combined scanning tunneling microscope-atomic layer deposition (STM-ALD) tool that performs in situ imaging of deposition. It operates from room temperature up to 200 °C, and at pressures from 1 × 10(-6) Torr to 1 × 10(-2) Torr. The STM-ALD system has a complete passive vibration isolation system that counteracts both seismic and acoustic excitations. The instrument can be used as an observation tool to monitor the initial growth phases of ALD in situ, as well as a nanofabrication tool by applying an electric field with the tip to laterally pattern deposition. In this paper, we describe the design of the tool and demonstrate its capability for atomic resolution STM imaging, atomic layer deposition, and the combination of the two techniques for in situ characterization of deposition.

  1. The Chemistry of Inorganic Precursors during the Chemical Deposition of Films on Solid Surfaces.

    Science.gov (United States)

    Barry, Seán T; Teplyakov, Andrew V; Zaera, Francisco

    2018-03-20

    The deposition of thin solid films is central to many industrial applications, and chemical vapor deposition (CVD) methods are particularly useful for this task. For one, the isotropic nature of the adsorption of chemical species affords even coverages on surfaces with rough topographies, an increasingly common requirement in microelectronics. Furthermore, by splitting the overall film-depositing reactions into two or more complementary and self-limiting steps, as it is done in atomic layer depositions (ALD), film thicknesses can be controlled down to the sub-monolayer level. Thanks to the availability of a vast array of inorganic and metalorganic precursors, CVD and ALD are quite versatile and can be engineered to deposit virtually any type of solid material. On the negative side, the surface chemistry that takes place in these processes is often complex, and can include undesirable side reactions leading to the incorporation of impurities in the growing films. Appropriate precursors and deposition conditions need to be chosen to minimize these problems, and that requires a proper understanding of the underlying surface chemistry. The precursors for CVD and ALD are often designed and chosen based on their known thermal chemistry from inorganic chemistry studies, taking advantage of the vast knowledge developed in that field over the years. Although a good first approximation, however, this approach can lead to wrong choices, because the reactions of these precursors at gas-solid interfaces can be quite different from what is seen in solution. For one, solvents often aid in the displacement of ligands in metalorganic compounds, providing the right dielectric environment, temporarily coordinating to the metal, or facilitating multiple ligand-complex interactions to increase reaction probabilities; these options are not available in the gas-solid reactions associated with CVD and ALD. Moreover, solid surfaces act as unique "ligands", if these reactions are to be

  2. AlGaN/GaN MISHEMTs with AlN gate dielectric grown by thermal ALD technique.

    Science.gov (United States)

    Liu, Xiao-Yong; Zhao, Sheng-Xun; Zhang, Lin-Qing; Huang, Hong-Fan; Shi, Jin-Shan; Zhang, Chun-Min; Lu, Hong-Liang; Wang, Peng-Fei; Zhang, David Wei

    2015-01-01

    Recently, AlN plasma-enhanced atomic layer deposition (ALD) passivation technique had been proposed and investigated for suppressing the dynamic on-resistance degradation behavior of high-electron-mobility transistors (HEMTs). In this paper, a novel gate dielectric and passivation technique for GaN-on-Si AlGaN/GaN metal-insulator-semiconductor high-electron-mobility transistors (MISHEMTs) is presented. This technique features the AlN thin film grown by thermal ALD at 400°C without plasma enhancement. A 10.6-nm AlN thin film was grown upon the surface of the HEMT serving as the gate dielectric under the gate electrode and as the passivation layer in the access region at the same time. The MISHEMTs with thermal ALD AlN exhibit enhanced on/off ratio, reduced channel sheet resistance, reduction of gate leakage by three orders of magnitude at a bias of 4 V, reduced threshold voltage hysteresis of 60 mV, and suppressed current collapse degradation.

  3. Low temperature plasma-enhanced ALD TiN ultrathin films for Hf{sub 0.5}Zr{sub 0.5}O{sub 2}-based ferroelectric MIM structures

    Energy Technology Data Exchange (ETDEWEB)

    Kozodaev, M.G.; Chernikova, A.G.; Markeev, A.M. [Moscow Institute of Physics and Technology, Institutsky Lane 9, Dolgoprudny, Moscow Region 141700 (Russian Federation); Lebedinskii, Y.Y. [Moscow Institute of Physics and Technology, Institutsky Lane 9, Dolgoprudny, Moscow Region 141700 (Russian Federation); National Research Nuclear University MEPhI, Moscow Engineering Physics Institute, Kashirskoye Shosse 31, 115409 Moscow (Russian Federation); Polyakov, S.N. [Technological Institute for Superhard and Novel Carbon Materials, Tsentral' naya str. 7a, 142190, Troitsk, Moscow (Russian Federation)

    2017-06-15

    In this work chemical and electrical properties of TiN films, grown by low temperature plasma-enhanced atomic layer deposition (PE-ALD) process from TiCl{sub 4} and NH{sub 3}, were investigated. Electrical resistivity as low as 250 μOhm x cm, as well as the lowest Cl impurity content, was achieved at 320 C. Full-ALD Hf{sub 0.5}Zr{sub 0.5}O{sub 2}-based metal-ferroelectric-metal capacitor with TiN electrodes was fabricated and its electrical properties were investigated. It was also shown that the proposed PE-ALD process provides an early film continuity, which was confirmed by ultrathin fully continuous film growth. Such ultrathin (3 nm) and fully continuous TiN film was also successfully implemented as the top electrode to Hf{sub 0.5}Zr{sub 0.5}O{sub 2}-based ferroelectric capacitor. Angle-resolved X-ray photoelectron spectroscopy (AR-XPS) was used for its thickness determination and a visible wake-up effect in underlying Hf{sub 0.5}Zr{sub 0.5}O{sub 2} layer was clearly observed. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. Atomic layer deposited TiO2 for implantable brain-chip interfacing devices

    International Nuclear Information System (INIS)

    Cianci, E.; Lattanzio, S.; Seguini, G.; Vassanelli, S.; Fanciulli, M.

    2012-01-01

    In this paper we investigated atomic layer deposition (ALD) TiO 2 thin films deposited on implantable neuro-chips based on electrolyte-oxide-semiconductor (EOS) junctions, implementing both efficient capacitive neuron-silicon coupling and biocompatibility for long-term implantable functionality. The ALD process was performed at 295 °C using titanium tetraisopropoxide and ozone as precursors on needle-shaped silicon substrates. Engineering of the capacitance of the EOS junctions introducing a thin Al 2 O 3 buffer layer between TiO 2 and silicon resulted in a further increase of the specific capacitance. Biocompatibility for long-term implantable neuroprosthetic systems was checked upon in-vitro treatment.

  5. Microscratch testing method for systematic evaluation of the adhesion of atomic layer deposited thin films on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Kilpi, Lauri, E-mail: Lauri.Kilpi@vtt.fi; Ylivaara, Oili M. E.; Vaajoki, Antti; Puurunen, Riikka L.; Ronkainen, Helena [VTT Technical Research Centre of Finland Ltd., P.O. Box 1000, FI-02044 VTT (Finland); Malm, Jari [Department of Physics, University of Jyväskylä, P.O. Box 35, Jyväskylä 40014 (Finland); Sintonen, Sakari [Department of Micro- and Nanosciences, Aalto University School of Electrical Engineering, P.O. Box 13500, FI-00076 AALTO (Finland); Tuominen, Marko [ASM Microchemistry Oy, Pietari Kalmin katu 1 F 2, FIN-00560 Helsinki (Finland)

    2016-01-15

    The scratch test method is widely used for adhesion evaluation of thin films and coatings. Usual critical load criteria designed for scratch testing of coatings were not applicable to thin atomic layer deposition (ALD) films on silicon wafers. Thus, the bases for critical load evaluation were established and the critical loads suitable for ALD coating adhesion evaluation on silicon wafers were determined in this paper as L{sub CSi1}, L{sub CSi2}, L{sub CALD1}, and L{sub CALD2}, representing the failure points of the silicon substrate and the coating delamination points of the ALD coating. The adhesion performance of the ALD Al{sub 2}O{sub 3}, TiO{sub 2}, TiN, and TaCN+Ru coatings with a thickness range between 20 and 600 nm and deposition temperature between 30 and 410 °C on silicon wafers was investigated. In addition, the impact of the annealing process after deposition on adhesion was evaluated for selected cases. The tests carried out using scratch and Scotch tape test showed that the coating deposition and annealing temperature, thickness of the coating, and surface pretreatments of the Si wafer had an impact on the adhesion performance of the ALD coatings on the silicon wafer. There was also an improved load carrying capacity due to Al{sub 2}O{sub 3}, the magnitude of which depended on the coating thickness and the deposition temperature. The tape tests were carried out for selected coatings as a comparison. The results show that the scratch test is a useful and applicable tool for adhesion evaluation of ALD coatings, even when carried out for thin (20 nm thick) coatings.

  6. Atomic layer deposited nanocrystalline tungsten carbides thin films as a metal gate and diffusion barrier for Cu metallization

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Jun Beom; Kim, Soo-Hyun, E-mail: soohyun@ynu.ac.kr [School of Materials Science and Engineering, Yeungnam University, Gyeongsan-si 712-749 (Korea, Republic of); Han, Won Seok [UP Chemical 576, Chilgoedong, Pyeongtaek-si, Gyeonggi-do 459-050 (Korea, Republic of); Lee, Do-Joong [School of Engineering, Brown University, Providence, Rhode Island 02912 (United States)

    2016-07-15

    Tungsten carbides (WC{sub x}) thin films were deposited on thermally grown SiO{sub 2} substrates by atomic layer deposition (ALD) using a fluorine- and nitrogen-free W metallorganic precursor, tungsten tris(3-hexyne) carbonyl [W(CO)(CH{sub 3}CH{sub 2}C ≡ CCH{sub 2}CH{sub 3}){sub 3}], and N{sub 2} + H{sub 2} plasma as the reactant at deposition temperatures between 150 and 350 °C. The present ALD-WC{sub x} system showed an ALD temperature window between 200 and 250 °C, where the growth rate was independent of the deposition temperature. Typical ALD characteristics, such as self-limited film growth and a linear dependency of the film grown on the number of ALD cycles, were observed, with a growth rate of 0.052 nm/cycle at a deposition temperature of 250 °C. The ALD-WC{sub x} films formed a nanocrystalline structure with grains, ∼2 nm in size, which consisted of hexagonal W{sub 2}C, WC, and nonstoichiometric cubic β-WC{sub 1−x} phase. Under typical deposition conditions at 250 °C, an ALD-WC{sub x} film with a resistivity of ∼510 μΩ cm was deposited and the resistivity of the ALD-WC{sub x} film could be reduced even further to ∼285 μΩ cm by further optimizing the reactant pulsing conditions, such as the plasma power. The step coverage of ALD-WC{sub x} film was ∼80% on very small sized and dual trenched structures (bottom width of 15 nm and aspect ratio of ∼6.3). From ultraviolet photoelectron spectroscopy, the work function of the ALD-WC{sub x} film was determined to be 4.63 eV. Finally, the ultrathin (∼5 nm) ALD-WC{sub x} film blocked the diffusion of Cu, even up to 600 °C, which makes it a promising a diffusion barrier material for Cu interconnects.

  7. Atomic Layer Deposition in Bio-Nanotechnology: A Brief Overview.

    Science.gov (United States)

    Bishal, Arghya K; Butt, Arman; Selvaraj, Sathees K; Joshi, Bela; Patel, Sweetu B; Huang, Su; Yang, Bin; Shukohfar, Tolou; Sukotjo, Cortino; Takoudis, Christos G

    2015-01-01

    Atomic layer deposition (ALD) is a technique increasingly used in nanotechnology and ultrathin film deposition; it is ideal for films in the nanometer and Angstrom length scales. ALD can effectively be used to modify the surface chemistry and functionalization of engineering-related and biologically important surfaces. It can also be used to alter the mechanical, electrical, chemical, and other properties of materials that are increasingly used in biomedical engineering and biological sciences. ALD is a relatively new technique for optimizing materials for use in bio-nanotechnology. Here, after a brief review of the more widely used modes of ALD and a few of its applications in biotechnology, selected results that show the potential of ALD in bio-nanotechnology are presented. ALD seems to be a promising means for tuning the hydrophilicity/hydrophobicity characteristics of biomedical surfaces, forming conformal ultrathin coatings with desirable properties on biomedical substrates with a high aspect ratio, tuning the antibacterial properties of substrate surfaces of interest, and yielding multifunctional biomaterials for medical implants and other devices.

  8. Fabrication and characterization of vacuum deposited fluorescein thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jalkanen, Pasi, E-mail: pasi.jalkanen@gmail.co [University of Jyvaeskylae, Department of Physics, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Kulju, Sampo, E-mail: sampo.j.kulju@jyu.f [University of Jyvaeskylae, Department of Physics, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Arutyunov, Konstantin, E-mail: konstantin.arutyunov@jyu.f [University of Jyvaeskylae, Department of Physics, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Antila, Liisa, E-mail: liisa.j.antila@jyu.f [University of Jyvaeskylae, Department of Chemistry, Nanoscience center (NSC) P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Myllyperkioe, Pasi, E-mail: pasi.myllyperkio@jyu.f [University of Jyvaeskylae, Department of Chemistry, Nanoscience center (NSC) P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Ihalainen, Teemu, E-mail: teemu.o.ihalainen@jyu.f [University of Jyvaeskylae, Department of Biology, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Kaeaeriaeinen, Tommi, E-mail: tommi.kaariainen@lut.f [Lappeenranta University of Technology, ASTRal, P.O. Box 181, FI-50101 Mikkeli (Finland); Kaeaeriaeinen, Marja-Leena, E-mail: marja-leena.kaariainen@lut.f [Lappeenranta University of Technology, ASTRal, P.O. Box 181, FI-50101 Mikkeli (Finland); Korppi-Tommola, Jouko, E-mail: jouko.korppi-tommola@jyu.f [University of Jyvaeskylae, Department of Biology, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland)

    2011-03-31

    Simple vacuum evaporation technique for deposition of dyes on various solid surfaces has been developed. The method is compatible with conventional solvent-free nanofabrication processing enabling fabrication of nanoscale optoelectronic devices. Thin films of fluorescein were deposited on glass, fluorine-tin-oxide (FTO) coated glass with and without atomically layer deposited (ALD) nanocrystalline 20 nm thick anatase TiO{sub 2} coating. Surface topology, absorption and emission spectra of the films depend on their thickness and the material of supporting substrate. On a smooth glass surface the dye initially forms islands before merging into a uniform layer after 5 to 10 monolayers. On FTO covered glass the absorption spectra are similar to fluorescein solution in ethanol. Absorption spectra on ALD-TiO{sub 2} is red shifted compared to the film deposited on bare FTO. The corresponding emission spectra at {lambda} = 458 nm excitation show various thickness and substrate dependent features, while the emission of films deposited on TiO{sub 2} is quenched due to the effective electron transfer to the semiconductor conduction band.

  9. All-gas-phase synthesis of UiO-66 through modulated atomic layer deposition

    Science.gov (United States)

    Lausund, Kristian Blindheim; Nilsen, Ola

    2016-11-01

    Thin films of stable metal-organic frameworks (MOFs) such as UiO-66 have enormous application potential, for instance in microelectronics. However, all-gas-phase deposition techniques are currently not available for such MOFs. We here report on thin-film deposition of the thermally and chemically stable UiO-66 in an all-gas-phase process by the aid of atomic layer deposition (ALD). Sequential reactions of ZrCl4 and 1,4-benzenedicarboxylic acid produce amorphous organic-inorganic hybrid films that are subsequently crystallized to the UiO-66 structure by treatment in acetic acid vapour. We also introduce a new approach to control the stoichiometry between metal clusters and organic linkers by modulation of the ALD growth with additional acetic acid pulses. An all-gas-phase synthesis technique for UiO-66 could enable implementations in microelectronics that are not compatible with solvothermal synthesis. Since this technique is ALD-based, it could also give enhanced thickness control and the possibility to coat irregular substrates with high aspect ratios.

  10. Impact of process temperature on GaSb metal-oxide-semiconductor interface properties fabricated by ex-situ process

    Energy Technology Data Exchange (ETDEWEB)

    Yokoyama, Masafumi, E-mail: yokoyama@mosfet.t.u-tokyo.ac.jp; Takenaka, Mitsuru; Takagi, Shinichi [Department of Electrical Engineering and Information Systems, The University of Tokyo, Yayoi 2-11-16, Bunkyo, Tokyo 113-0032 (Japan); JST-CREST, Yayoi 2-11-16, Bunkyo, Tokyo 113-0032 (Japan); Asakura, Yuji [Department of Electrical Engineering and Information Systems, The University of Tokyo, Yayoi 2-11-16, Bunkyo, Tokyo 113-0032 (Japan); Yokoyama, Haruki [NTT Photonics Laboratories, NTT Corporation, Atsugi 243-0198 (Japan)

    2014-06-30

    We have studied the impact of process temperature on interface properties of GaSb metal-oxide-semiconductor (MOS) structures fabricated by an ex-situ atomic-layer-deposition (ALD) process. We have found that the ALD temperature strongly affects the Al{sub 2}O{sub 3}/GaSb MOS interface properties. The Al{sub 2}O{sub 3}/GaSb MOS interfaces fabricated at the low ALD temperature of 150 °C have the minimum interface-trap density (D{sub it}) of ∼4.5 × 10{sup 13 }cm{sup −2} eV{sup −1}. We have also found that the post-metalization annealing at temperature higher than 200 °C degrades the Al{sub 2}O{sub 3}/GaSb MOS interface properties. The low-temperature process is preferable in fabricating GaSb MOS interfaces in the ex-situ ALD process to avoid the high-temperature-induced degradations.

  11. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.; Hoogland, Sjoerd; Adachi, Michael M.; Kanjanaboos, Pongsakorn; Wong, Chris T. O.; McDowell, Jeffrey J.; Xu, Jixian; Voznyy, Oleksandr; Ning, Zhijun; Houtepen, Arjan J.; Sargent, Edward H.

    2014-01-01

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  12. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.

    2014-10-30

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  13. Atomic layer deposited TiO{sub 2} for implantable brain-chip interfacing devices

    Energy Technology Data Exchange (ETDEWEB)

    Cianci, E., E-mail: elena.cianci@mdm.imm.cnr.it [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (MB) (Italy); Lattanzio, S. [Istituto di Fisiologia, Dipartimento di Anatomia Umana e Fisiologia, Universita di Padova, 35131 Padova (Italy); Dipartimento di Ingegneria dell' Informazione, Universita di Padova, 35131 Padova (Italy); Seguini, G. [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (Italy); Vassanelli, S. [Istituto di Fisiologia, Dipartimento di Anatomia Umana e Fisiologia, Universita di Padova, 35131 Padova (Italy); Fanciulli, M. [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (Italy); Dipartimento di Scienza dei Materiali, Universita degli Studi di Milano-Bicocca, 20126 Milano (Italy)

    2012-05-01

    In this paper we investigated atomic layer deposition (ALD) TiO{sub 2} thin films deposited on implantable neuro-chips based on electrolyte-oxide-semiconductor (EOS) junctions, implementing both efficient capacitive neuron-silicon coupling and biocompatibility for long-term implantable functionality. The ALD process was performed at 295 Degree-Sign C using titanium tetraisopropoxide and ozone as precursors on needle-shaped silicon substrates. Engineering of the capacitance of the EOS junctions introducing a thin Al{sub 2}O{sub 3} buffer layer between TiO{sub 2} and silicon resulted in a further increase of the specific capacitance. Biocompatibility for long-term implantable neuroprosthetic systems was checked upon in-vitro treatment.

  14. X-ray scattering of calcite thin films deposited by atomic layer deposition: Studies in air and in calcite saturated water solution

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Peng [Lujan Neutron Scattering Center, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Hudak, Michael R.; Lerner, Allan [Earth and Environmental Sciences Division, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Grubbs, Robert K. [Sandia National Laboratories, P.O. Box 5800, Albuquerque, NM 87185 (United States); Wang, Shanmin [Lujan Neutron Scattering Center, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Zhang, Zhan; Karapetrova, Evguenia [Advance Photon Source, Argonne National Laboratory, 9700S Cass Ave, Argonne, IL 60439 (United States); Hickmott, Donald [Earth and Environmental Sciences Division, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Majewski, Jaroslaw, E-mail: jarek@lanl.gov [Lujan Neutron Scattering Center, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States)

    2014-08-28

    Carbonates are one of the most abundant groups of minerals in earth systems and are important in many geological settings and industrial processes. Calcite (CaCO{sub 3}) thin films produced by atomic layer deposition offer a method to evaluate the surficial properties of carbonates as well as interactions at the carbonate–fluid interface. Using synchrotron X-ray reflectivity and X-ray diffraction, these films are observed to be porous, polycrystalline, and have crystallites oriented with the major (104) calcite cleavage plane parallel to the surface of the z-cut single crystal quartz substrate. An Al{sub 2}O{sub 3} buffer layer, present between quartz and the calcite film, does not affect the as-deposited film, but does influence how the films reorganize in contact with fluid. Without a buffer layer, calcite reorients its crystallites to have populations of (006) and (030) parallel to the substrate, while those with an Al{sub 2}O{sub 3} buffer layer become more amorphous. Amorphous films may represent an analog to amorphous calcium carbonate and provide insights into that material's thermophysical behavior. Due to a higher percentage of pore spaces available for fluid infiltration, films deposited at higher temperature make the calcite thin films more susceptible to amorphization. These films are chemically similar, but structurally dissimilar to bulk natural calcite. Nevertheless, they can be a complementary system to traditional single crystal X-ray surface scattering studies on carbonates, particularly for important but less common minerals, to evaluate mineral–fluid interfacial interactions. - Highlights: • Atomic layer deposition (ALD) used to produce calcite films. • Calcite film orientation and crystallinity depend on ALD parameters. • ALD calcite films can be both crystalline and amorphous. • Interaction of water with films can re-orient or amorphize the films. • ALD calcite films may be useful to study carbonate–fluid interfacial

  15. X-ray scattering of calcite thin films deposited by atomic layer deposition: Studies in air and in calcite saturated water solution

    International Nuclear Information System (INIS)

    Wang, Peng; Hudak, Michael R.; Lerner, Allan; Grubbs, Robert K.; Wang, Shanmin; Zhang, Zhan; Karapetrova, Evguenia; Hickmott, Donald; Majewski, Jaroslaw

    2014-01-01

    Carbonates are one of the most abundant groups of minerals in earth systems and are important in many geological settings and industrial processes. Calcite (CaCO 3 ) thin films produced by atomic layer deposition offer a method to evaluate the surficial properties of carbonates as well as interactions at the carbonate–fluid interface. Using synchrotron X-ray reflectivity and X-ray diffraction, these films are observed to be porous, polycrystalline, and have crystallites oriented with the major (104) calcite cleavage plane parallel to the surface of the z-cut single crystal quartz substrate. An Al 2 O 3 buffer layer, present between quartz and the calcite film, does not affect the as-deposited film, but does influence how the films reorganize in contact with fluid. Without a buffer layer, calcite reorients its crystallites to have populations of (006) and (030) parallel to the substrate, while those with an Al 2 O 3 buffer layer become more amorphous. Amorphous films may represent an analog to amorphous calcium carbonate and provide insights into that material's thermophysical behavior. Due to a higher percentage of pore spaces available for fluid infiltration, films deposited at higher temperature make the calcite thin films more susceptible to amorphization. These films are chemically similar, but structurally dissimilar to bulk natural calcite. Nevertheless, they can be a complementary system to traditional single crystal X-ray surface scattering studies on carbonates, particularly for important but less common minerals, to evaluate mineral–fluid interfacial interactions. - Highlights: • Atomic layer deposition (ALD) used to produce calcite films. • Calcite film orientation and crystallinity depend on ALD parameters. • ALD calcite films can be both crystalline and amorphous. • Interaction of water with films can re-orient or amorphize the films. • ALD calcite films may be useful to study carbonate–fluid interfacial interactions

  16. Effect of low thermal budget annealing on surface passivation of silicon by ALD based aluminum oxide films.

    Science.gov (United States)

    Vandana; Batra, Neha; Gope, Jhuma; Singh, Rajbir; Panigrahi, Jagannath; Tyagi, Sanjay; Pathi, P; Srivastava, S K; Rauthan, C M S; Singh, P K

    2014-10-21

    Thermal ALD deposited Al2O3 films on silicon show a marked difference in surface passivation quality as a function of annealing time (using a rapid thermal process). An effective and quality passivation is realized in short anneal duration (∼100 s) in nitrogen ambient which is reflected in the low surface recombination velocity (SRV passivation. Both as-deposited and low thermal budget annealed films show the presence of positive fixed charges and this is never been reported in the literature before. The role of field and chemical passivation is investigated in terms of fixed charge and interface defect densities. Further, the importance of the annealing step sequence in the MIS structure fabrication protocol is also investigated from the view point of its effect on the nature of fixed charges.

  17. Tungsten atomic layer deposition on polymers

    Energy Technology Data Exchange (ETDEWEB)

    Wilson, C.A. [Department of Chemistry and Biochemistry, University of Colorado, Boulder, Colorado 80309-0215 (United States); McCormick, J.A. [Department of Chemical and Biological Engineering, University of Colorado, Boulder, Colorado 80309-0424 (United States); Cavanagh, A.S. [Department of Physics, University of Colorado, Boulder, Colorado 80309-0390 (United States); Goldstein, D.N. [Department of Chemistry and Biochemistry, University of Colorado, Boulder, Colorado 80309-0215 (United States); Weimer, A.W. [Department of Chemical and Biological Engineering, University of Colorado, Boulder, Colorado 80309-0424 (United States); George, S.M. [Department of Chemistry and Biochemistry, University of Colorado, Boulder, Colorado 80309-0215 (United States); Department of Chemical and Biological Engineering, University of Colorado, Boulder, Colorado 80309-0424 (United States)], E-mail: Steven.George@Colorado.Edu

    2008-07-31

    Tungsten (W) atomic layer deposition (ALD) was investigated on a variety of polymer films and polymer particles. These polymers included polyethylene, polyvinyl chloride, polystyrene, polycarbonate, polypropylene and polymethylmethacrylate. The W ALD was performed at 80 {sup o}C using WF{sub 6} and Si{sub 2}H{sub 6} as the gas phase reactants. W ALD on flat polymer films can eventually nucleate and grow after more than 60 AB cycles. X-ray photoelectron spectroscopy studies of W ALD on polystyrene after 50 AB cycles suggested that tungsten nanoclusters are present in the W ALD nucleation regime. The W ALD nucleation is greatly facilitated by a few cycles of Al{sub 2}O{sub 3} ALD. W ALD films were grown at 80 {sup o}C on spin-coated polymers on silicon wafers after 10 AB cycles of Al{sub 2}O{sub 3} ALD. The W ALD film was observed to grow linearly with a growth rate of 3.9 A per AB cycle on the polymer films treated with the Al{sub 2}O{sub 3} ALD seed layer. The W ALD films displayed an excellent, mirror-like optical reflectivity. The resistivity was 100-400 {mu}{omega} cm for W ALD films with thicknesses from 95-845 A. W ALD was also observed on polymer particles after W ALD in a rotary reactor. Without the Al{sub 2}O{sub 3} ALD seed layer, the nucleation of W ALD directly on the polymer particles at 80 {sup o}C required > 50 AB cycles. In contrast, the polymer particles treated with only 5 AB cycles of Al{sub 2}O{sub 3} ALD were observed to blacken after 25 AB cycles of W ALD. W ALD on polymers may have applications for flexible optical mirrors, electromagnetic interference shielding and gas diffusion barriers.

  18. Influence of deposition temperature of thermal ALD deposited Al2O3 films on silicon surface passivation

    Directory of Open Access Journals (Sweden)

    Neha Batra

    2015-06-01

    Full Text Available The effect of deposition temperature (Tdep and subsequent annealing time (tanl of atomic layer deposited aluminum oxide (Al2O3 films on silicon surface passivation (in terms of surface recombination velocity, SRV is investigated. The pristine samples (as-deposited show presence of positive fixed charges, QF. The interface defect density (Dit decreases with increase in Tdep which further decreases with tanl up to 100s. An effective surface passivation (SRV<8 cm/s is realized for Tdep ≥ 200 °C. The present investigation suggests that low thermal budget processing provides the same quality of passivation as realized by high thermal budget process (tanl between 10 to 30 min.

  19. Comparison of the cohesive and delamination fatigue properties of atomic-layer-deposited alumina and titania ultrathin protective coatings deposited at 200 °C

    Directory of Open Access Journals (Sweden)

    Farzad Sadeghi-Tohidi

    2014-01-01

    Full Text Available The fatigue properties of ultrathin protective coatings on silicon thin films were investigated. The cohesive and delamination fatigue properties of 22 nm-thick atomic-layered-deposited (ALD titania were characterized and compared to that of 25 nm-thick alumina. Both coatings were deposited at 200 °C. The fatigue rates are comparable at 30 °C, 50% relative humidity (RH while they are one order of magnitude larger for alumina compared to titania at 80 °C, 90% RH. The improved fatigue performance is believed to be related to the improved stability of the ALD titania coating with water compared to ALD alumina, which may in part be related to the fact that ALD titania is crystalline, while ALD alumina is amorphous. Static fatigue crack nucleation and propagation was not observed. The underlying fatigue mechanism is different from previously documented mechanisms, such as stress corrosion cracking, and appears to result from the presence of compressive stresses and a rough coating–substrate interface.

  20. Perpendicular magnetic anisotropy of CoFeB\\Ta bilayers on ALD HfO2

    Directory of Open Access Journals (Sweden)

    Bart F. Vermeulen

    2017-05-01

    Full Text Available Perpendicular magnetic anisotropy (PMA is an essential condition for CoFe thin films used in magnetic random access memories. Until recently, interfacial PMA was mainly known to occur in materials stacks with MgO\\CoFe(B interfaces or using an adjacent crystalline heavy metal film. Here, PMA is reported in a CoFeB\\Ta bilayer deposited on amorphous high-κ dielectric (relative permittivity κ=20 HfO2, grown by atomic layer deposition (ALD. PMA with interfacial anisotropy energy Ki up to 0.49 mJ/m2 appears after annealing the stacks between 200°C and 350°C, as shown with vibrating sample magnetometry. Transmission electron microscopy shows that the decrease of PMA starting from 350°C coincides with the onset of interdiffusion in the materials. High-κ dielectrics are potential enablers for giant voltage control of magnetic anisotropy (VCMA. The absence of VCMA in these experiments is ascribed to a 0.6 nm thick magnetic dead layer between HfO2 and CoFeB. The results show PMA can be easily obtained on ALD high-κ dielectrics.

  1. Comparing XPS on bare and capped ZrN films grown by plasma enhanced ALD: Effect of ambient oxidation

    Science.gov (United States)

    Muneshwar, Triratna; Cadien, Ken

    2018-03-01

    In this article we compare x-ray photoelectron spectroscopy (XPS) measurements on bare- and capped- zirconium nitride (ZrN) films to investigate the effect of ambient sample oxidation on the detected bound O in the form of oxide ZrO2 and/or oxynitride ZrOxNy. ZrN films in both bare- and Al2O3/AlN capped- XPS samples were grown by plasma-enhanced atomic layer deposition (PEALD) technique using tetrakis dimethylamino zirconium (TDMAZr) precursor, forming gas (5% H2, rest N2) inductively coupled plasma (ICP), and as received research grade process gases under identical process conditions. Capped samples were prepared by depositing 1 nm thick PEALD AlN on ZrN, followed by additional deposition of 1 nm thick ALD Al2O3, without venting of ALD reactor. On bare ZrN sample at room temperature, spectroscopic ellipsometry (SE) measurements with increasing ambient exposure times (texp) showed a self-limiting surface oxidation with the oxide thickness (dox) approaching 3.7 ± 0.02 nm for texp > 120 min. In XPS data measured prior to sample sputtering (tsput = 0), ZrO2 and ZrOxNy were detected in bare- samples, whereas only ZrN and Al2O3/AlN from capping layer were detected in capped- samples. For bare-ZrN samples, appearance of ZrO2 and ZrOxNy up to sputter depth (dsput) of 15 nm in depth-profile XPS data is in contradiction with measured dox = 3.7 nm, but explained from sputtering induced atomic inter-diffusion within analyzed sample. Appearance of artifacts in the XPS spectra from moderately sputtered (dsput = 0.2 nm and 0.4 nm) capped-ZrN sample, provides an evidence to ion-bombardment induced modifications within analyzed sample.

  2. Experimental Study on Fabrication of AZO Transparent Electrode for Organic Solar Cell Using Selective Low-Temperature Atomic Layer Deposition

    International Nuclear Information System (INIS)

    Kim, Kicheol; Song, Gensoo; Kim, Hyungtae; Yoo, Kyunghoon; Kang, Jeongjin; Hwang, Junyoung; Lee, Sangho; Kang, Kyungtae; Kang, Heuiseok; Cho, Youngjune

    2013-01-01

    AZO (aluminum-doped zinc oxide) is one of the best candidate materials to replace Into (indium tin oxide) for TKOs (transparent conductive oxides) used in flat panel displays, organic light-emitting diodes (OLDS), and organic solar cells (OCSS). In the present study, to apply an AZO thin film to the transparent electrode of an organic solar cell, a low temperature selective atomic layer deposition (ALD) process was adopted to deposit an AZO thin film on a flexible polyethylene-naphthanate (Pen) substrate. The reactive gases for the ALD process were di-ethyl-zinc (De) and tri-methylaluminum (Tma) as precursors and H 2 O as an oxidant. The structural, electrical, and optical characteristics of the AZO thin film were evaluated. From the measured results of the electrical and optical characteristics of the AZO thin films deposited on the Pen substrates by Ald, it was shown that the Azo thin film appeared to be comparable to a commercially used Into thin film, which confirmed the feasibility of AZO as a TCO for flexible organic solar cells in the near future

  3. Metallorganic chemical vapor deposition and atomic layer deposition approaches for the growth of hafnium-based thin films from dialkylamide precursors for advanced CMOS gate stack applications

    Science.gov (United States)

    Consiglio, Steven P.

    To continue the rapid progress of the semiconductor industry as described by Moore's Law, the feasibility of new material systems for front end of the line (FEOL) process technologies needs to be investigated, since the currently employed polysilicon/SiO2-based transistor system is reaching its fundamental scaling limits. Revolutionary breakthroughs in complementary-metal-oxide-semiconductor (CMOS) technology were recently announced by Intel Corporation and International Business Machines Corporation (IBM), with both organizations revealing significant progress in the implementation of hafnium-based high-k dielectrics along with metal gates. This announcement was heralded by Gordon Moore as "...the biggest change in transistor technology since the introduction of polysilicon gate MOS transistors in the late 1960s." Accordingly, the study described herein focuses on the growth of Hf-based dielectrics and Hf-based metal gates using chemical vapor-based deposition methods, specifically metallorganic chemical vapor deposition (MOCVD) and atomic layer deposition (ALD). A family of Hf source complexes that has received much attention recently due to their desirable properties for implementation in wafer scale manufacturing is the Hf dialkylamide precursors. These precursors are room temperature liquids and possess sufficient volatility and desirable decomposition characteristics for both MOCVD and ALD processing. Another benefit of using these sources is the existence of chemically compatible Si dialkylamide sources as co-precursors for use in Hf silicate growth. The first part of this study investigates properties of MOCVD-deposited HfO2 and HfSixOy using dimethylamido Hf and Si precursor sources using a customized MOCVD reactor. The second part of this study involves a study of wet and dry surface pre-treatments for ALD growth of HfO2 using tetrakis(ethylmethylamido)hafnium in a wafer scale manufacturing environment. The third part of this study is an investigation of

  4. Titanium dioxide thin films by atomic layer deposition: a review

    Science.gov (United States)

    Niemelä, Janne-Petteri; Marin, Giovanni; Karppinen, Maarit

    2017-09-01

    Within its rich phase diagram titanium dioxide is a truly multifunctional material with a property palette that has been shown to span from dielectric to transparent-conducting characteristics, in addition to the well-known catalytic properties. At the same time down-scaling of microelectronic devices has led to an explosive growth in research on atomic layer deposition (ALD) of a wide variety of frontier thin-film materials, among which TiO2 is one of the most popular ones. In this topical review we summarize the advances in research of ALD of titanium dioxide starting from the chemistries of the over 50 different deposition routes developed for TiO2 and the resultant structural characteristics of the films. We then continue with the doped ALD-TiO2 thin films from the perspective of dielectric, transparent-conductor and photocatalytic applications. Moreover, in order to cover the latest trends in the research field, both the variously constructed TiO2 nanostructures enabled by ALD and the Ti-based hybrid inorganic-organic films grown by the emerging ALD/MLD (combined atomic/molecular layer deposition) technique are discussed.

  5. Characterization of ALD grown TixAlyN and TixAlyC thin films

    Science.gov (United States)

    Kinnunen, S. A.; Malm, J.; Arstila, K.; Lahtinen, M.; Sajavaara, T.

    2017-09-01

    Atomic layer deposition (ALD) was used to grow TixAlyN and TixAlyC thin films using trimethylaluminum (TMA), titanium tetrachloride and ammonia as precursors. Deposition temperature was varied between 325 °C and 500 °C. Films were also annealed in vacuum and N2-atmosphere at 600-1000 °C. Wide range of characterization methods was used including time-of-flight elastic recoil detection analysis (ToF-ERDA), X-ray diffractometry (XRD), X-ray reflectometry (XRR), Raman spectroscopy, ellipsometry, helium ion microscopy (HIM), atomic force microscopy (AFM) and 4-point probe measurement for resistivity. Deposited films were roughly 100 nm thick and contained mainly desired elements. Carbon, chlorine and hydrogen were found to be the main impurities.

  6. Robust TaNx diffusion barrier for Cu-interconnect technology with subnanometer thickness by metal-organic plasma-enhanced atomic layer deposition

    International Nuclear Information System (INIS)

    Kim, H.; Detavenier, C.; Straten, O. van der; Rossnagel, S.M.; Kellock, A.J.; Park, D.-G.

    2005-01-01

    TaN x diffusion barriers with good barrier properties at subnanometer thickness were deposited by plasma-enhanced atomic layer deposition (PE-ALD) from pentakis(dimethylamino)Ta. Hydrogen and/or nitrogen plasma was used as reactants to produce TaN x thin films with a different nitrogen content. The film properties including the carbon and oxygen impurity content were affected by the nitrogen flow during the process. The deposited film has nanocrystalline grains with hydrogen-only plasma, while the amorphous structure was obtained for nitrogen plasma. The diffusion barrier properties of deposited TaN films for Cu interconnects have been studied by thermal stress test based on synchrotron x-ray diffraction. The results indicate that the PE-ALD TaN films are good diffusion barriers even at a small thickness as 0.6 nm. Better diffusion barrier properties were obtained for higher nitrogen content. Based on a diffusion kinetics analysis, the nanocrystalline microstructure of the films was responsible for the better diffusion barrier properties compared to polycrystalline PE-ALD TaN films deposited from TaCl 5

  7. ALDS 1978 panel review. [PNL

    Energy Technology Data Exchange (ETDEWEB)

    Hall, D.L. (ed.)

    1979-08-01

    Pacific Northwest Laboratory (PNL) is examining the analysis of large data sets (ALDS). After one year's work, a panel was convened to evaluate the project. This document is the permanent record of that panel review. It consists of edited transcripts of presentations made to the panel by the PNL staff, a summary of the responses of the panel to these presentations, and PNL's plans for the development of the ALDS project. The representations of the PNL staff described various aspects of the project and/or the philosophy surrounding the project. Supporting materials appear in appendixes. 20 figures, 4 tables. (RWR)

  8. Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide

    International Nuclear Information System (INIS)

    Lehnert, Wolfgang; Ruhl, Guenther; Gschwandtner, Alexander

    2012-01-01

    Among many promising high-k dielectrics, TiO 2 is an interesting candidate because of its relatively high k value of over 40 and its easy integration into existing semiconductor manufacturing schemes. The most critical issues of TiO 2 are its low electrical stability and its high leakage current density. However, doping TiO 2 with Al has shown to yield significant improvement of layer quality on Ru electrodes [S. K. Kim et al., Adv. Mater. 20, 1429 (2008)]. In this work we investigated if atomic layer deposition (ALD) of Al doped TiO 2 is feasible in a batch system. Electrical characterizations were done using common electrode materials like TiN, TaN, or W. Additionally, the effect of plasma enhanced processing in this reactor was studied. For this investigation a production batch ALD furnace has been retrofitted with a plasma source which can be used for post deposition anneals with oxygen radicals as well as for directly plasma enhanced ALD. After evaluation of several Ti precursors a deposition process for AlTiO x with excellent film thickness and composition uniformity was developed. The effects of post deposition anneals, Al 2 O 3 interlayers between electrode and TiO 2 , Al doping concentration, plasma enhanced deposition and electrode material type on leakage current density are shown. An optimized AlTiO x deposition process on TaN electrodes yields to leakage current density of 5 x 10 -7 A/cm 2 at 2 V and k values of about 35. Thus, it could be demonstrated that a plasma enhanced batch ALD process for Al doped TiO 2 is feasible with acceptable leakage current density on a standard electrode material.

  9. Growth Kinetics and Oxidation Mechanism of ALD TiN Thin Films Monitored by In Situ Spectroscopic Ellipsometry

    NARCIS (Netherlands)

    Van Hao, B.; Groenland, A.W.; Aarnink, Antonius A.I.; Wolters, Robertus A.M.; Schmitz, Jurriaan; Kovalgin, Alexeij Y.

    2011-01-01

    Spectroscopic ellipsometry (SE) was employed to investigate the growth of atomic layer deposited (ALD) TiN thin films from titanium chloride (TiCl4) and ammonia (NH3) and the followed oxidation in dry oxygen. Two regimes were found in the growth including a transient stage prior to a linear regime.

  10. Electron microscopy observation of TiO2 nanocrystal evolution in high-temperature atomic layer deposition.

    Science.gov (United States)

    Shi, Jian; Li, Zhaodong; Kvit, Alexander; Krylyuk, Sergiy; Davydov, Albert V; Wang, Xudong

    2013-01-01

    Understanding the evolution of amorphous and crystalline phases during atomic layer deposition (ALD) is essential for creating high quality dielectrics, multifunctional films/coatings, and predictable surface functionalization. Through comprehensive atomistic electron microscopy study of ALD TiO2 nanostructures at designed growth cycles, we revealed the transformation process and sequence of atom arrangement during TiO2 ALD growth. Evolution of TiO2 nanostructures in ALD was found following a path from amorphous layers to amorphous particles to metastable crystallites and ultimately to stable crystalline forms. Such a phase evolution is a manifestation of the Ostwald-Lussac Law, which governs the advent sequence and amount ratio of different phases in high-temperature TiO2 ALD nanostructures. The amorphous-crystalline mixture also enables a unique anisotropic crystal growth behavior at high temperature forming TiO2 nanorods via the principle of vapor-phase oriented attachment.

  11. Atmospheric spatial atomic layer deposition of Zn(O,S) buffer layer for Cu(In,Ga)Se2 solar cells

    NARCIS (Netherlands)

    Frijters, C.H.; Poodt, P.; Illeberi, A.

    2016-01-01

    Zinc oxysulfide has been grown by spatial atomic layer deposition (S-ALD) and successfully applied as buffer layer in Cu(In, Ga)Se2 (CIGS) solar cells. S-ALD combines high deposition rates (up to nm/s) with the advantages of conventional ALD, i.e. excellent control of film composition and superior

  12. Investigation of defects in ultra-thin Al{sub 2}O{sub 3} films deposited on pure copper by the atomic layer deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Chang, M.L.; Wang, L.C. [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 10617, Taiwan (China); Lin, H.C., E-mail: hclinntu@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 10617, Taiwan (China); Chen, M.J., E-mail: mjchen@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 10617, Taiwan (China); Lin, K.M. [Department of Materials Science and Engineering, Feng Chia University, No. 100, Wenhwa Road, Seatwen, Taichung 40724, Taiwan (China)

    2015-12-30

    Graphical abstract: Some residual OH ligands originating from incomplete reaction between TMA and surface species of OH* during ALD process induce the defects in deposited Al{sub 2}O{sub 3} films. Three possible types of defects are suggested. The analytic results indicate the defects are Type-I and/or Type-II but do not directly expose the substrate, like pinholes (Type-III). - Highlights: • Oxidation trials were conducted to investigate the defects in ultra-thin Al{sub 2}O{sub 3} films deposited ALD technique on pure copper. • The residual OH ligands in the deposited Al{sub 2}O{sub 3} films induce looser micro-structure which has worse oxidation resistance. • Superficial contamination particles on substrate surface are confirmed to be one of nucleation sites of the defects. - Abstract: Al{sub 2}O{sub 3} films with various thicknesses were deposited by the atomic layer deposition (ALD) technique on pure copper at temperatures of 100–200 °C. Oxidation trials were conducted in air at 200 °C to investigate the defects in these films. The analytic results show that the defects have a looser micro-structure compared to their surroundings, but do not directly expose the substrate, like pinholes. The film's crystallinity, mechanical properties and oxidation resistance could also be affected by these defects. Superficial contamination particles on the substrate surface are confirmed to be nucleation sites of the defects. A model for the mechanism of defect formation is proposed in this study.

  13. Characterization of ZnO film grown on polycarbonate by atomic layer deposition at low temperature

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Gyeong Beom; Han, Gwon Deok; Shim, Joon Hyung; Choi, Byoung-Ho, E-mail: bhchoi@korea.ac.kr [School of Mechanical Engineering, Korea University, Seoul 136-707 (Korea, Republic of)

    2015-01-15

    ZnO is an attractive material for use in various technological products such as phosphors, gas sensors, and transparent conductors. Recently, aluminum-doped zinc oxide has received attention as a potential replacement for indium tin oxide, which is one of the transparent conductive oxides used in flat panel displays, organic light-emitting diodes, and organic solar cells. In this study, the characteristics of ZnO films deposited on polycarbonate (PC) substrates by atomic layer deposition (ALD) are investigated for various process temperatures. The growth mechanism of these films was investigated at low process temperatures using x-ray diffraction (XRD) and x-ray photoelectron spectroscopy (XPS). XRD and XPS were used to determine the preferred orientation and chemical composition of the films, respectively. Furthermore, the difference of the deposition mechanisms on an amorphous organic material, i.e., PC substrate and an inorganic material such as silicon was discussed from the viewpoint of the diffusion and deposition of precursors. The structure of the films was also investigated by chemical analysis in order to determine the effect of growth temperature on the films deposited by ALD.

  14. Method to determine the sticking coefficient of precursor molecules in atomic layer deposition

    International Nuclear Information System (INIS)

    Rose, M.; Bartha, J.W.

    2009-01-01

    A method to determine the sticking coefficient of precursor molecules used in atomic layer deposition (ALD) will be introduced. The sticking coefficient is an interesting quantity for comparing different ALD processes and reactors but it cannot be observed easily. The method relies on free molecular flow in nanoscale cylindrical holes. The sticking coefficient is determined for tetrakis(dimethylamino)titanium in combination with ozone. The proposed method can be applied independent of the type of reactor, precursor delivery system and precursors.

  15. Effect of surface pretreatment on interfacial chemical bonding states of atomic layer deposited ZrO2 on AlGaN

    International Nuclear Information System (INIS)

    Ye, Gang; Arulkumaran, Subramaniam; Ng, Geok Ing; Li, Yang; Ang, Kian Siong; Wang, Hong; Ng, Serene Lay Geok; Ji, Rong; Liu, Zhi Hong

    2015-01-01

    Atomic layer deposition (ALD) of ZrO 2 on native oxide covered (untreated) and buffered oxide etchant (BOE) treated AlGaN surface was analyzed by utilizing x-ray photoelectron spectroscopy (XPS) and high-resolution transmission electron microscopy. Evidenced by Ga–O and Al–O chemical bonds by XPS, parasitic oxidation during deposition is largely enhanced on BOE treated AlGaN surface. Due to the high reactivity of Al atoms, more prominent oxidation of Al atoms is observed, which leads to thicker interfacial layer formed on BOE treated surface. The results suggest that native oxide on AlGaN surface may serve as a protecting layer to inhibit the surface from further parasitic oxidation during ALD. The findings provide important process guidelines for the use of ALD ZrO 2 and its pre-ALD surface treatments for high-k AlGaN/GaN metal–insulator–semiconductor high electron mobility transistors and other related device applications

  16. Spatial atomic layer deposition for coating flexible porous Li-ion battery electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Yersak, Alexander S.; Sharma, Kashish; Wallas, Jasmine M.; Dameron, Arrelaine A.; Li, Xuemin; Yang, Yongan; Hurst, Katherine E.; Ban, Chunmei; Tenent, Robert C.; George, Steven M. [Department of Chemistry and Biochemistry, University of Colorado, Boulder, Colorado 80309 and Department of Mechanical Engineering, University of Colorado, Boulder, Colorado 80309

    2018-01-01

    Ultrathin atomic layer deposition (ALD) coatings on the electrodes of Li-ion batteries can enhance the capacity stability of the Li-ion batteries. To commercialize ALD for Li-ion battery production, spatial ALD is needed to decrease coating times and provide a coating process compatible with continuous roll-to-roll (R2R) processing. The porous electrodes of Li-ion batteries provide a special challenge because higher reactant exposures are needed for spatial ALD in porous substrates. This work utilized a modular rotating cylinder spatial ALD reactor operating at rotation speeds up to 200 revolutions/min (RPM) and substrate speeds up to 200 m/min. The conditions for spatial ALD were adjusted to coat flexible porous substrates. The reactor was initially used to characterize spatial Al2O3 and ZnO ALD on flat, flexible metalized polyethylene terephthalate foils. These studies showed that slower rotation speeds and spacers between the precursor module and the two adjacent pumping modules could significantly increase the reactant exposure. The modular rotating cylinder reactor was then used to coat flexible, model porous anodic aluminum oxide (AAO) membranes. The uniformity of the ZnO ALD coatings on the porous AAO membranes was dependent on the aspect ratio of the pores and the reactant exposures. Larger reactant exposures led to better uniformity in the pores with higher aspect ratios. The reactant exposures were increased by adding spacers between the precursor module and the two adjacent pumping modules. The modular rotating cylinder reactor was also employed for Al2O3 ALD on porous LiCoO2 (LCO) battery electrodes. Uniform Al coverages were obtained using spacers between the precursor module and the two adjacent pumping modules at rotation speeds of 25 and 50 RPM. The LCO electrodes had a thickness of ~49 um and pores with aspect ratios of ~12-25. Coin cells were then constructed using the ALD-coated LCO electrodes and were tested to determine their battery

  17. Pt–Al2O3 dual layer atomic layer deposition coating in high aspect ratio nanopores

    International Nuclear Information System (INIS)

    Pardon, Gaspard; Gatty, Hithesh K; Stemme, Göran; Wijngaart, Wouter van der; Roxhed, Niclas

    2013-01-01

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al 2 O 3 ) on Pt in nanopores to form a metal–insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al 2 O 3 layer on such a Pt film forms a metal–insulator–electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 μm thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al 2 O 3 using ALD. (paper)

  18. Pt-Al2O3 dual layer atomic layer deposition coating in high aspect ratio nanopores

    Science.gov (United States)

    Pardon, Gaspard; Gatty, Hithesh K.; Stemme, Göran; van der Wijngaart, Wouter; Roxhed, Niclas

    2013-01-01

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al2O3) on Pt in nanopores to form a metal-insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al2O3 layer on such a Pt film forms a metal-insulator-electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 μm thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al2O3 using ALD.

  19. A low-temperature synthesis of electrochemical active Pt nanoparticles and thin films by atomic layer deposition on Si(111) and glassy carbon surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Rui [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Han, Lihao [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Photovoltaic Materials and Devices (PVMD) Laboratory, Delft University of Technology, P.O. Box 5031, GA Delft 2600 (Netherlands); Huang, Zhuangqun; Ferrer, Ivonne M. [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Division of Chemistry and Chemical Engineering, California Institute of Technology, 210 Noyes Laboratory 127-72, Pasadena, CA 91125 (United States); Smets, Arno H.M.; Zeman, Miro [Photovoltaic Materials and Devices (PVMD) Laboratory, Delft University of Technology, P.O. Box 5031, GA Delft 2600 (Netherlands); Brunschwig, Bruce S., E-mail: bsb@caltech.edu [Beckman Institute, California Institute of Technology, Pasadena, CA 91125 (United States); Lewis, Nathan S., E-mail: nslewis@caltech.edu [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Beckman Institute, California Institute of Technology, Pasadena, CA 91125 (United States); Division of Chemistry and Chemical Engineering, California Institute of Technology, 210 Noyes Laboratory 127-72, Pasadena, CA 91125 (United States); Kavli Nanoscience Institute, California Institute of Technology, Pasadena, CA 91125 (United States)

    2015-07-01

    Atomic layer deposition (ALD) was used to deposit nanoparticles and thin films of Pt onto etched p-type Si(111) wafers and glassy carbon discs. Using precursors of MeCpPtMe{sub 3} and ozone and a temperature window of 200–300 °C, the growth rate was 80–110 pm/cycle. X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and scanning electron microscopy (SEM) were used to analyze the composition, structure, morphology, and thickness of the ALD-grown Pt nanoparticle films. The catalytic activity of the ALD-grown Pt for the hydrogen evolution reaction was shown to be equivalent to that of e-beam evaporated Pt on glassy carbon electrode. - Highlights: • Pure Pt films were grown by atomic layer deposition (ALD) using MeCpPtMe3 and ozone. • ALD-grown Pt thin films had high growth rates of 110 pm/cycle. • ALD-grown Pt films were electrocatalytic for hydrogen evolution from water. • Electrocatalytic activity of the ALD Pt films was equivalent to e-beam deposited Pt. • No carbon species were detected in the ALD-grown Pt films.

  20. A low-temperature synthesis of electrochemical active Pt nanoparticles and thin films by atomic layer deposition on Si(111) and glassy carbon surfaces

    International Nuclear Information System (INIS)

    Liu, Rui; Han, Lihao; Huang, Zhuangqun; Ferrer, Ivonne M.; Smets, Arno H.M.; Zeman, Miro; Brunschwig, Bruce S.; Lewis, Nathan S.

    2015-01-01

    Atomic layer deposition (ALD) was used to deposit nanoparticles and thin films of Pt onto etched p-type Si(111) wafers and glassy carbon discs. Using precursors of MeCpPtMe 3 and ozone and a temperature window of 200–300 °C, the growth rate was 80–110 pm/cycle. X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and scanning electron microscopy (SEM) were used to analyze the composition, structure, morphology, and thickness of the ALD-grown Pt nanoparticle films. The catalytic activity of the ALD-grown Pt for the hydrogen evolution reaction was shown to be equivalent to that of e-beam evaporated Pt on glassy carbon electrode. - Highlights: • Pure Pt films were grown by atomic layer deposition (ALD) using MeCpPtMe3 and ozone. • ALD-grown Pt thin films had high growth rates of 110 pm/cycle. • ALD-grown Pt films were electrocatalytic for hydrogen evolution from water. • Electrocatalytic activity of the ALD Pt films was equivalent to e-beam deposited Pt. • No carbon species were detected in the ALD-grown Pt films

  1. Effects of O2 plasma post-treatment on ZnO: Ga thin films grown by H2O-thermal ALD

    Science.gov (United States)

    Lee, Yueh-Lin; Chuang, Jia-Hao; Huang, Tzu-Hsuan; Ho, Chong-Long; Wu, Meng-Chyi

    2013-03-01

    Transparent conducting oxides have been widely employed in optoelectronic devices using the various deposition methods such as sputtering, thermal evaporator, and e-gun evaporator technologies.1-3 In this work, gallium doped zinc oxide (ZnO:Ga) thin films were grown on glass substrates via H2O-thermal atomic layer deposition (ALD) at different deposition temperatures. ALD-GZO thin films were constituted as a layer-by-layer structure by stacking zinc oxides and gallium oxides. Diethylzinc (DEZ), triethylgallium (TEG) and H2O were used as zinc, gallium precursors and oxygen source, respectively. Furthermore, we investigated the influences of O2 plasma post-treatment power on the surface morphology, electrical and optical property of ZnO:Ga films. As the result of O2 plasma post-treatment, the characteristics of ZnO:Ga films exhibit a smooth surface, low resistivity, high carrier concentration, and high optical transmittance in the visible spectrum. However, the transmittance decreases with O2 plasma power in the near- and mid-infrared regions.

  2. Sealing of hard CrN and DLC coatings with atomic layer deposition.

    Science.gov (United States)

    Härkönen, Emma; Kolev, Ivan; Díaz, Belén; Swiatowska, Jolanta; Maurice, Vincent; Seyeux, Antoine; Marcus, Philippe; Fenker, Martin; Toth, Lajos; Radnoczi, György; Vehkamäki, Marko; Ritala, Mikko

    2014-02-12

    Atomic layer deposition (ALD) is a thin film deposition technique that is based on alternating and saturating surface reactions of two or more gaseous precursors. The excellent conformality of ALD thin films can be exploited for sealing defects in coatings made by other techniques. Here the corrosion protection properties of hard CrN and diamond-like carbon (DLC) coatings on low alloy steel were improved by ALD sealing with 50 nm thick layers consisting of Al2O3 and Ta2O5 nanolaminates or mixtures. In cross sectional images the ALD layers were found to follow the surface morphology of the CrN coatings uniformly. Furthermore, ALD growth into the pinholes of the CrN coating was verified. In electrochemical measurements the ALD sealing was found to decrease the current density of the CrN coated steel by over 2 orders of magnitude. The neutral salt spray (NSS) durability was also improved: on the best samples the appearance of corrosion spots was delayed from 2 to 168 h. On DLC coatings the adhesion of the ALD sealing layers was weaker, but still clear improvement in NSS durability was achieved indicating sealing of the pinholes.

  3. Atomic layer deposition: an enabling technology for the growth of functional nanoscale semiconductors

    Science.gov (United States)

    Biyikli, Necmi; Haider, Ali

    2017-09-01

    In this paper, we present the progress in the growth of nanoscale semiconductors grown via atomic layer deposition (ALD). After the adoption by semiconductor chip industry, ALD became a widespread tool to grow functional films and conformal ultra-thin coatings for various applications. Based on self-limiting and ligand-exchange-based surface reactions, ALD enabled the low-temperature growth of nanoscale dielectric, metal, and semiconductor materials. Being able to deposit wafer-scale uniform semiconductor films at relatively low-temperatures, with sub-monolayer thickness control and ultimate conformality, makes ALD attractive for semiconductor device applications. Towards this end, precursors and low-temperature growth recipes are developed to deposit crystalline thin films for compound and elemental semiconductors. Conventional thermal ALD as well as plasma-assisted and radical-enhanced techniques have been exploited to achieve device-compatible film quality. Metal-oxides, III-nitrides, sulfides, and selenides are among the most popular semiconductor material families studied via ALD technology. Besides thin films, ALD can grow nanostructured semiconductors as well using either template-assisted growth methods or bottom-up controlled nucleation mechanisms. Among the demonstrated semiconductor nanostructures are nanoparticles, nano/quantum-dots, nanowires, nanotubes, nanofibers, nanopillars, hollow and core-shell versions of the afore-mentioned nanostructures, and 2D materials including transition metal dichalcogenides and graphene. ALD-grown nanoscale semiconductor materials find applications in a vast amount of applications including functional coatings, catalysis and photocatalysis, renewable energy conversion and storage, chemical sensing, opto-electronics, and flexible electronics. In this review, we give an overview of the current state-of-the-art in ALD-based nanoscale semiconductor research including the already demonstrated and future applications.

  4. Effects of annealing on the properties of atomic layer deposited Ru thin films deposited by NH{sub 3} and H{sub 2} as reactants

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Seung-Joon; Kim, Soo-Hyun, E-mail: soohyun@ynu.ac.kr

    2016-08-01

    Atomic layer deposition (ALD) of Ru using a non-oxidizing reactant is indispensable considering its application as a seed layer for Cu electroplating and a bottom electrode for dynamic random access memory capacitors. In this study, ALD-Ru films were deposited using a sequential supply of dicarbonyl-bis(5-methyl-2,4-hexanediketonato) Ru(II) (C{sub 16}H{sub 22}O{sub 6}Ru) and potential non-oxidizing reducing agents, NH{sub 3} or H{sub 2}, as the reactants at a substrate temperature of 250 °C, and the effects of post-annealing in a H{sub 2} ambient on the film properties were investigated. The highly conformal deposition of Ru films was possible using the present reaction scheme but its resistivity was as high as ~ 750 μΩ-cm due to carbon incorporation into the film and the formation of an amorphous structure. Low temperature annealing at 300 °C at H{sub 2} ambient after deposition was found to improve the properties significantly in terms of the resistivity, impurities contents and crystallinity. For example, the film resistivity was decreased drastically to ~ 40 μΩ-cm with both the release of C in the film and crystallization after annealing based on secondary ion mass spectrometry and transmission electron microscopy, whereas perfect step coverage at a very small-sized dual trench (aspect ratio: ~ 3, the top opening size of 45 nm and bottom size of 20 nm) was maintained after annealing. - Highlights: • Ru thin films were deposited by atomic layer deposition (ALD) using NH{sub 3} and H{sub 2} molecules. • Effects of low temperature (300 °C) post-annealing on the film properties were investigated. • Post annealing improved the properties of ALD-Ru films. • Perfect step coverage of ALD-Ru was confirmed at trench structure (top opening width: 45 nm).

  5. Engineering the mechanical properties of ultrabarrier films grown by atomic layer deposition for the encapsulation of printed electronics

    International Nuclear Information System (INIS)

    Bulusu, A.; Singh, A.; Kim, H.; Wang, C. Y.; Dindar, A.; Fuentes-Hernandez, C.; Kippelen, B.; Cullen, D.; Graham, S.

    2015-01-01

    Direct deposition of barrier films by atomic layer deposition (ALD) onto printed electronics presents a promising method for packaging devices. Films made by ALD have been shown to possess desired ultrabarrier properties, but face challenges when directly grown onto surfaces with varying composition and topography. Challenges include differing nucleation and growth rates across the surface, stress concentrations from topography and coefficient of thermal expansion mismatch, elastic constant mismatch, and particle contamination that may impact the performance of the ALD barrier. In such cases, a polymer smoothing layer may be needed to coat the surface prior to ALD barrier film deposition. We present the impact of architecture on the performance of aluminum oxide (Al 2 O 3 )/hafnium oxide (HfO 2 ) ALD nanolaminate barrier films deposited on fluorinated polymer layer using an optical calcium (Ca) test under damp heat. It is found that with increasing polymer thickness, the barrier films with residual tensile stress are prone to cracking resulting in rapid failure of the Ca sensor at 50 °C/85% relative humidity. Inserting a SiN x layer with residual compressive stress between the polymer and ALD layers is found to prevent cracking over a range of polymer thicknesses with more than 95% of the Ca sensor remaining after 500 h of testing. These results suggest that controlling mechanical properties and film architecture play an important role in the performance of direct deposited ALD barriers

  6. Engineering the mechanical properties of ultrabarrier films grown by atomic layer deposition for the encapsulation of printed electronics

    Energy Technology Data Exchange (ETDEWEB)

    Bulusu, A.; Singh, A.; Kim, H. [Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Wang, C. Y.; Dindar, A.; Fuentes-Hernandez, C.; Kippelen, B. [School of Electrical and Computer Engineering, Georgia Institute of Technology, and Center for Organic Photonics and Electronics, Atlanta, Georgia 30332 (United States); Cullen, D. [Oak Ridge National Laboratory, P.O. Box 2008 MS-6064, Oak Ridge, Tennessee 37831 (United States); Graham, S., E-mail: sgraham@gatech.edu [Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Oak Ridge National Laboratory, P.O. Box 2008 MS-6064, Oak Ridge, Tennessee 37831 (United States)

    2015-08-28

    Direct deposition of barrier films by atomic layer deposition (ALD) onto printed electronics presents a promising method for packaging devices. Films made by ALD have been shown to possess desired ultrabarrier properties, but face challenges when directly grown onto surfaces with varying composition and topography. Challenges include differing nucleation and growth rates across the surface, stress concentrations from topography and coefficient of thermal expansion mismatch, elastic constant mismatch, and particle contamination that may impact the performance of the ALD barrier. In such cases, a polymer smoothing layer may be needed to coat the surface prior to ALD barrier film deposition. We present the impact of architecture on the performance of aluminum oxide (Al{sub 2}O{sub 3})/hafnium oxide (HfO{sub 2}) ALD nanolaminate barrier films deposited on fluorinated polymer layer using an optical calcium (Ca) test under damp heat. It is found that with increasing polymer thickness, the barrier films with residual tensile stress are prone to cracking resulting in rapid failure of the Ca sensor at 50 °C/85% relative humidity. Inserting a SiN{sub x} layer with residual compressive stress between the polymer and ALD layers is found to prevent cracking over a range of polymer thicknesses with more than 95% of the Ca sensor remaining after 500 h of testing. These results suggest that controlling mechanical properties and film architecture play an important role in the performance of direct deposited ALD barriers.

  7. Low-temperature atomic layer deposition of MoO{sub x} for silicon heterojunction solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Macco, B.; Vos, M.F.J.; Thissen, N.F.W.; Bol, A.A. [Department of Applied Physics, Eindhoven University of Technology, Eindhoven (Netherlands); Kessels, W.M.M. [Department of Applied Physics, Eindhoven University of Technology, Eindhoven (Netherlands); Solliance Solar Research, Eindhoven (Netherlands)

    2015-07-15

    The preparation of high-quality molybdenum oxide (MoO{sub x}) is demonstrated by plasma-enhanced atomic layer deposition (ALD) at substrate temperatures down to 50 C. The films are amorphous, slightly substoichiometric with respect to MoO{sub 3}, and free of other elements apart from hydrogen (<11 at%). The films have a high transparency in the visible region and their compatibility with a-Si:H passivation schemes is demonstrated. It is discussed that these aspects, in conjunction with the low processing temperature and the ability to deposit very thin conformal films, make this ALD process promising for the future application of MoO{sub x} in hole-selective contacts for silicon heterojunction solar cells. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  8. In situ metrology to characterize water vapor delivery during atomic layer deposition

    International Nuclear Information System (INIS)

    Ahmido, Tariq; Kimes, William A.; Sperling, Brent A.; Hodges, Joseph T.; Maslar, James E.

    2016-01-01

    Water is often employed as the oxygen source in metal oxide atomic layer deposition (ALD) processes. It has been reported that variations in the amount of water delivered during metal oxide ALD can impact the oxide film properties. Hence, one contribution to optimizing metal oxide ALD processes would be to identify methods to better control water dose. The development of rapid, quantitative techniques for in situ water vapor measurements during ALD processes would be beneficial to achieve this goal. In this report, the performance of an in situ tunable diode laser absorption spectroscopy (TDLAS) scheme for performing rapid, quantitative water partial pressure measurements in a representative quarter-inch ALD delivery line is described. This implementation of TDLAS, which utilizes a near-infrared distributed-feedback diode laser and wavelength modulation spectroscopy, provides measurements of water partial pressure on a timescale comparable to or shorter than the timescale of the gas dynamics in typical ALD systems. Depending on the degree of signal averaging, this TDLAS system was capable of measuring the water partial pressure with a detection limit in the range of ∼0.80 to ∼0.08 Pa. The utility of this TDLAS scheme was demonstrated by using it to identify characteristics of a representative water delivery system that otherwise would have been difficult to predict. Those characteristics include (1) the magnitude and time dependence of the pressure transient that can occur during water injection, and (2) the dependence of the steady-state water partial pressure on the carrier gas flow rate and the setting of the water ampoule flow restriction.

  9. Environmental sensing with optical fiber sensors processed with focused ion beam and atomic layer deposition

    Science.gov (United States)

    Flores, Raquel; Janeiro, Ricardo; Dahlem, Marcus; Viegas, Jaime

    2015-03-01

    We report an optical fiber chemical sensor based on a focused ion beam processed optical fiber. The demonstrated sensor is based on a cavity formed onto a standard 1550 nm single-mode fiber by either chemical etching, focused ion beam milling (FIB) or femtosecond laser ablation, on which side channels are drilled by either ion beam milling or femtosecond laser irradiation. The encapsulation of the cavity is achieved by optimized fusion splicing onto a standard single or multimode fiber. The empty cavity can be used as semi-curved Fabry-Pérot resonator for gas or liquid sensing. Increased reflectivity of the formed cavity mirrors can be achieved with atomic layer deposition (ALD) of alternating metal oxides. For chemical selective optical sensors, we demonstrate the same FIB-formed cavity concept, but filled with different materials, such as polydimethylsiloxane (PDMS), poly(methyl methacrylate) (PMMA) which show selective swelling when immersed in different solvents. Finally, a reducing agent sensor based on a FIB formed cavity partially sealed by fusion splicing and coated with a thin ZnO layer by ALD is presented and the results discussed. Sensor interrogation is achieved with spectral or multi-channel intensity measurements.

  10. Low temperature (100 °C) atomic layer deposited-ZrO2 for recessed gate GaN HEMTs on Si

    Science.gov (United States)

    Byun, Young-Chul; Lee, Jae-Gil; Meng, Xin; Lee, Joy S.; Lucero, Antonio T.; Kim, Si Joon; Young, Chadwin D.; Kim, Moon J.; Kim, Jiyoung

    2017-08-01

    In this paper, the effect of atomic layer deposited ZrO2 gate dielectrics, deposited at low temperature (100 °C), on the characteristics of recessed-gate High Electron Mobility Transistors (HEMTs) on Al0.25Ga0.75N/GaN/Si is investigated and compared with the characteristics of those with ZrO2 films deposited at typical atomic layer deposited (ALD) process temperatures (250 °C). Negligible hysteresis (ΔVth 4 V), and low interfacial state density (Dit = 3.69 × 1011 eV-1 cm-2) were observed on recessed gate HEMTs with ˜5 nm ALD-ZrO2 films grown at 100 °C. The excellent properties of recessed gate HEMTs are due to the absence of an interfacial layer and an amorphous phase of the film. An interfacial layer between 250 °C-ZrO2 and GaN is observed via high-resolution transmission electron microscopy and X-ray photoelectron spectroscopy. However, 100 °C-ZrO2 and GaN shows no significant interfacial layer formation. Moreover, while 100 °C-ZrO2 films maintain an amorphous phase on either substrate (GaN and Si), 250 °C-ZrO2 films exhibit a polycrystalline-phase when deposited on GaN and an amorphous phase when deposited on Si. Contrary to popular belief, the low-temperature ALD process for ZrO2 results in excellent HEMT performance.

  11. Atomic layer deposition of alternative glass microchannel plates

    Energy Technology Data Exchange (ETDEWEB)

    O' Mahony, Aileen, E-mail: aom@incomusa.com; Craven, Christopher A.; Minot, Michael J.; Popecki, Mark A.; Renaud, Joseph M.; Bennis, Daniel C.; Bond, Justin L.; Stochaj, Michael E.; Foley, Michael R.; Adams, Bernhard W. [Incom, Inc., 294 Southbridge Road, Charlton, Massachusetts 01507 (United States); Mane, Anil U.; Elam, Jeffrey W. [Argonne National Laboratory, 9700 S. Cass Ave., Argonne, Illinois 60439 (United States); Ertley, Camden; Siegmund, Oswald H. W. [Space Sciences Laboratory, University of California, 7 Gauss Way, Berkeley, California 94720 (United States)

    2016-01-15

    The technique of atomic layer deposition (ALD) has enabled the development of alternative glass microchannel plates (MCPs) with independently tunable resistive and emissive layers, resulting in excellent thickness uniformity across the large area (20 × 20 cm), high aspect ratio (60:1 L/d) glass substrates. Furthermore, the use of ALD to deposit functional layers allows the optimal substrate material to be selected, such as borosilicate glass, which has many benefits compared to the lead-oxide glass used in conventional MCPs, including increased stability and lifetime, low background noise, mechanical robustness, and larger area (at present up to 400 cm{sup 2}). Resistively stable, high gain MCPs are demonstrated due to the deposition of uniform ALD resistive and emissive layers on alternative glass microcapillary substrates. The MCP performance characteristics reported include increased stability and lifetime, low background noise (0.04 events cm{sup −2} s{sup −1}), and low gain variation (±5%)

  12. Atomic layer deposition of lithium phosphates as solid-state electrolytes for all-solid-state microbatteries

    International Nuclear Information System (INIS)

    Wang, Biqiong; Liu, Jian; Sun, Qian; Li, Ruying; Sun, Xueliang; Sham, Tsun-Kong

    2014-01-01

    Atomic layer deposition (ALD) has been shown as a powerful technique to build three-dimensional (3D) all-solid-state microbattery, because of its unique advantages in fabricating uniform and pinhole-free thin films in 3D structures. The development of solid-state electrolyte by ALD is a crucial step to achieve the fabrication of 3D all-solid-state microbattery by ALD. In this work, lithium phosphate solid-state electrolytes were grown by ALD at four different temperatures (250, 275, 300, and 325 °C) using two precursors (lithium tert-butoxide and trimethylphosphate). A linear dependence of film thickness on ALD cycle number was observed and uniform growth was achieved at all four temperatures. The growth rate was 0.57, 0.66, 0.69, and 0.72 Å/cycle at deposition temperatures of 250, 275, 300, and 325 °C, respectively. Furthermore, x-ray photoelectron spectroscopy confirmed the compositions and chemical structures of lithium phosphates deposited by ALD. Moreover, the lithium phosphate thin films deposited at 300 °C presented the highest ionic conductivity of 1.73 × 10 −8 S cm −1 at 323 K with ∼0.51 eV activation energy based on the electrochemical impedance spectroscopy. The ionic conductivity was calculated to be 3.3 × 10 −8 S cm −1 at 26 °C (299 K). (paper)

  13. Interface charge trapping induced flatband voltage shift during plasma-enhanced atomic layer deposition in through silicon via

    Science.gov (United States)

    Li, Yunlong; Suhard, Samuel; Van Huylenbroeck, Stefaan; Meersschaut, Johan; Van Besien, Els; Stucchi, Michele; Croes, Kristof; Beyer, Gerald; Beyne, Eric

    2017-12-01

    A Through Silicon Via (TSV) is a key component for 3D integrated circuit stacking technology, and the diameter of a TSV keeps scaling down to reduce the footprint in silicon. The TSV aspect ratio, defined as the TSV depth/diameter, tends to increase consequently. Starting from the aspect ratio of 10, to improve the TSV sidewall coverage and reduce the process thermal budget, the TSV dielectric liner deposition process has evolved from sub-atmospheric chemical vapour deposition to plasma-enhanced atomic layer deposition (PE-ALD). However, with this change, a strong negative shift in the flatband voltage is observed in the capacitance-voltage characteristic of the vertical metal-oxide-semiconductor (MOS) parasitic capacitor formed between the TSV copper metal and the p-Si substrate. And, no shift is present in planar MOS capacitors manufactured with the same PE-ALD oxide. By comparing the integration process of these two MOS capacitor structures, and by using Elastic Recoil Detection to study the elemental composition of our films, it is found that the origin of the negative flatband voltage shift is the positive charge trapping at the Si/SiO2 interface, due to the positive PE-ALD reactants confined to the narrow cavity of high aspect ratio TSVs. This interface charge trapping effect can be effectively mitigated by high temperature annealing. However, this is limited in the real process due to the high thermal budget. Further investigation on liner oxide process optimization is needed.

  14. History of atomic layer deposition and its relationship with the American Vacuum Society

    NARCIS (Netherlands)

    Parsons, G.N.; Elam, J.W.; George, S.M.; Haukka, S.; Jeon, H.; Kessels, W.M.M.; Leskelä, M.; Poodt, P.; Ritala, M.; Rossnagel, S.M.

    2013-01-01

    This article explores the history of atomic layer deposition (ALD) and its relationship with the American Vacuum Society (AVS). The authors describe the origin and history of ALD science in the 1960s and 1970s. They also report on how the science and technology of ALD progressed through the 1990s

  15. Hybrid inorganic–organic superlattice structures with atomic layer deposition/molecular layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tynell, Tommi; Yamauchi, Hisao; Karppinen, Maarit, E-mail: maarit.karppinen@aalto.fi [Department of Chemistry, Aalto University, FI-00076 Aalto (Finland)

    2014-01-15

    A combination of the atomic layer deposition (ALD) and molecular layer deposition (MLD) techniques is successfully employed to fabricate thin films incorporating superlattice structures that consist of single layers of organic molecules between thicker layers of ZnO. Diethyl zinc and water are used as precursors for the deposition of ZnO by ALD, while three different organic precursors are investigated for the MLD part: hydroquinone, 4-aminophenol and 4,4′-oxydianiline. The successful superlattice formation with all the organic precursors is verified through x-ray reflectivity studies. The effects of the interspersed organic layers/superlattice structure on the electrical and thermoelectric properties of ZnO are investigated through resistivity and Seebeck coefficient measurements at room temperature. The results suggest an increase in carrier concentration for small concentrations of organic layers, while higher concentrations seem to lead to rather large reductions in carrier concentration.

  16. Interface Energy Alignment of Atomic-Layer-Deposited VOx on Pentacene: an in Situ Photoelectron Spectroscopy Investigation.

    Science.gov (United States)

    Zhao, Ran; Gao, Yuanhong; Guo, Zheng; Su, Yantao; Wang, Xinwei

    2017-01-18

    Ultrathin atomic-layer-deposited (ALD) vanadium oxide (VO x ) interlayer has recently been demonstrated for remarkably reducing the contact resistance in organic electronic devices (Adv. Funct. Mater. 2016, 26, 4456). Herein, we present an in situ photoelectron spectroscopy investigation (including X-ray and ultraviolet photoelectron spectroscopies) of ALD VO x grown on pentacene to understand the role of the ALD VO x interlayer for the improved contact resistance. The in situ photoelectron spectroscopy characterizations allow us to monitor the ALD growth process of VO x and trace the evolutions of the work function, pentacene HOMO level, and VO x defect states during the growth. The initial VO x growth is found to be partially delayed on pentacene in the first ∼20 ALD cycles. The underneath pentacene layer is largely intact after ALD. The ALD VO x is found to contain a high density of defect states starting from 0.67 eV below the Fermi level, and the energy level of these defect states is in excellent alignment with the HOMO level of pentacene, which therefore allows these VO x defect states to provide an efficient hole-injection pathway at the contact interface.

  17. First principles study of the atomic layer deposition of alumina by TMA-H2O-process.

    Science.gov (United States)

    Weckman, Timo; Laasonen, Kari

    2015-07-14

    Atomic layer deposition (ALD) is a coating technology used to produce highly uniform thin films. Aluminiumoxide, Al2O3, is mainly deposited using trimethylaluminium (TMA) and water as precursors and is the most studied ALD-process to date. However, only few theoretical studies have been reported in the literature. The surface reaction mechanisms and energetics previously reported focus on a gibbsite-like surface model but a more realistic description of the surface can be achieved when the hydroxylation of the surface is taken into account using dissociatively adsorbed water molecules. The adsorbed water changes the structure of the surface and reaction energetics change considerably when compared to previously studied surface model. Here we have studied the TMA-H2O process using density functional theory on a hydroxylated alumina surface and reproduced the previous results for comparison. Mechanisms and energetics during both the TMA and the subsequent water pulse are presented. TMA is found to adsorb exothermically onto the surface. The reaction barriers for the ligand-exchange reactions between the TMA and the surface hydroxyl groups were found to be much lower compared to previously presented results. TMA dissociation on the surface is predicted to saturate at monomethylaluminium. Barriers for proton diffusion between surface sites are observed to be low. TMA adsorption was also found to be cooperative with the formation of methyl bridges between the adsorbants. The water pulse was studied using single water molecules reacting with the DMA and MMA surface species. Barriers for these reactions were found to reasonable in the process conditions. However, stabilizing interactions amongst water molecules were found to lower the reaction barriers and the dynamical nature of water is predicted to be of importance. It is expected that these calculations can only set an upper limit for the barriers during the water pulse.

  18. Atomic layer deposition precursor step repetition and surface plasma pretreatment influence on semiconductor–insulator–semiconductor heterojunction solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Talkenberg, Florian, E-mail: florian.talkenberg@ipht-jena.de; Illhardt, Stefan; Schmidl, Gabriele; Schleusener, Alexander; Sivakov, Vladimir [Leibniz Institute of Photonic Technology, Albert-Einstein-Str. 9, D-07745 Jena (Germany); Radnóczi, György Zoltán; Pécz, Béla [Centre for Energy Research, Institute of Technical Physics and Materials Science, Konkoly-Thege Miklós u. 29-33, H-1121 Budapest (Hungary); Dikhanbayev, Kadyrjan; Mussabek, Gauhar [Department of Physics and Engineering, al-Farabi Kazakh National University, 71 al-Farabi Ave., 050040 Almaty (Kazakhstan); Gudovskikh, Alexander [Nanotechnology Research and Education Centre, St. Petersburg Academic University, Russian Academy of Sciences, Hlopina Str. 8/3, 194021 St. Petersburg (Russian Federation)

    2015-07-15

    Semiconductor–insulator–semiconductor heterojunction solar cells were prepared using atomic layer deposition (ALD) technique. The silicon surface was treated with oxygen and hydrogen plasma in different orders before dielectric layer deposition. A plasma-enhanced ALD process was applied to deposit dielectric Al{sub 2}O{sub 3} on the plasma pretreated n-type Si(100) substrate. Aluminum doped zinc oxide (Al:ZnO or AZO) was deposited by thermal ALD and serves as transparent conductive oxide. Based on transmission electron microscopy studies the presence of thin silicon oxide (SiO{sub x}) layer was detected at the Si/Al{sub 2}O{sub 3} interface. The SiO{sub x} formation depends on the initial growth behavior of Al{sub 2}O{sub 3} and has significant influence on solar cell parameters. The authors demonstrate that a hydrogen plasma pretreatment and a precursor dose step repetition of a single precursor improve the initial growth behavior of Al{sub 2}O{sub 3} and avoid the SiO{sub x} generation. Furthermore, it improves the solar cell performance, which indicates a change of the Si/Al{sub 2}O{sub 3} interface states.

  19. Aligned carbon nanotube array functionalization for enhanced atomic layer deposition of platinum electrocatalysts

    Energy Technology Data Exchange (ETDEWEB)

    Dameron, Arrelaine A., E-mail: arrelaine.dameron@nrel.gov [National Renewable Energy Laboratory, 1617 Cole Blvd Golden, Golden, CO 80401 (United States); Pylypenko, Svitlana; Bult, Justin B.; Neyerlin, K.C.; Engtrakul, Chaiwat; Bochert, Christopher; Leong, G. Jeremy; Frisco, Sarah L.; Simpson, Lin; Dinh, Huyen N.; Pivovar, Bryan [National Renewable Energy Laboratory, 1617 Cole Blvd Golden, Golden, CO 80401 (United States)

    2012-04-15

    Uniform metal deposition onto high surface area supports is a key challenge of developing successful efficient catalyst materials. Atomic layer deposition (ALD) circumvents permeation difficulties, but relies on gas-surface reactions to initiate growth. Our work demonstrates that modified surfaces within vertically aligned carbon nanotube (CNT) arrays, from plasma and molecular precursor treatments, can lead to improved catalyst deposition. Gas phase functionalization influences the number of ALD nucleation sites and the onset of ALD growth and, in turn, affects the uniformity of the coating along the length of the CNTs within the aligned arrays. The induced chemical changes for each functionalization route are identified by X-ray photoelectron and Raman spectroscopies. The most effective functionalization routes increase the prevalence of oxygen moieties at defect sites on the carbon surfaces. The striking effects of the functionalization are demonstrated with ALD Pt growth as a function of surface treatment and ALD cycles examined by electron microscopy of the arrays and the individual CNTs. Finally, we demonstrate applicability of these materials as fuel cell electrocatalysts and show that surface functionalization affects their performance towards oxygen reduction reaction.

  20. Tailoring properties of lossy-mode resonance optical fiber sensors with atomic layer deposition technique

    Science.gov (United States)

    Kosiel, Kamil; Koba, Marcin; Masiewicz, Marcin; Śmietana, Mateusz

    2018-06-01

    The paper shows application of atomic layer deposition (ALD) technique as a tool for tailoring sensorial properties of lossy-mode-resonance (LMR)-based optical fiber sensors. Hafnium dioxide (HfO2), zirconium dioxide (ZrO2), and tantalum oxide (TaxOy), as high-refractive-index dielectrics that are particularly convenient for LMR-sensor fabrication, were deposited by low-temperature (100 °C) ALD ensuring safe conditions for thermally vulnerable fibers. Applicability of HfO2 and ZrO2 overlays, deposited with ALD-related atomic level thickness accuracy for fabrication of LMR-sensors with controlled sensorial properties was presented. Additionally, for the first time according to our best knowledge, the double-layer overlay composed of two different materials - silicon nitride (SixNy) and TaxOy - is presented for the LMR fiber sensors. The thin films of such overlay were deposited by two different techniques - PECVD (the SixNy) and ALD (the TaxOy). Such approach ensures fast overlay fabrication and at the same time facility for resonant wavelength tuning, yielding devices with satisfactory sensorial properties.

  1. Processing of n{sup +}/p{sup −}/p{sup +} strip detectors with atomic layer deposition (ALD) grown Al{sub 2}O{sub 3} field insulator on magnetic Czochralski silicon (MCz-si) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Härkönen, J., E-mail: jaakko.harkonen@helsinki.fi [Helsinki Institute of Physics (Finland); Tuovinen, E. [Helsinki Institute of Physics (Finland); VTT Technical Research Centre of Finland, Microsystems and Nanoelectronics (Finland); Luukka, P.; Gädda, A.; Mäenpää, T.; Tuominen, E.; Arsenovich, T. [Helsinki Institute of Physics (Finland); Junkes, A. [Institute for Experimental Physics, University of Hamburg (Germany); Wu, X. [VTT Technical Research Centre of Finland, Microsystems and Nanoelectronics (Finland); Picosun Oy, Tietotie 3, FI-02150 Espoo Finland (Finland); Li, Z. [School of Materials Science and Engineering, Xiangtan University, Xiangtan, Hunan 411105 (China)

    2016-08-21

    Detectors manufactured on p-type silicon material are known to have significant advantages in very harsh radiation environment over n-type detectors, traditionally used in High Energy Physics experiments for particle tracking. In p-type (n{sup +} segmentation on p substrate) position-sensitive strip detectors, however, the fixed oxide charge in the silicon dioxide is positive and, thus, causes electron accumulation at the Si/SiO{sub 2} interface. As a result, unless appropriate interstrip isolation is applied, the n-type strips are short-circuited. Widely adopted methods to terminate surface electron accumulation are segmented p-stop or p-spray field implantations. A different approach to overcome the near-surface electron accumulation at the interface of silicon dioxide and p-type silicon is to deposit a thin film field insulator with negative oxide charge. We have processed silicon strip detectors on p-type Magnetic Czochralski silicon (MCz-Si) substrates with aluminum oxide (Al{sub 2}O{sub 3}) thin film insulator, grown with Atomic Layer Deposition (ALD) method. The electrical characterization by current–voltage and capacitance−voltage measurement shows reliable performance of the aluminum oxide. The final proof of concept was obtained at the test beam with 200 GeV/c muons. For the non-irradiated detector the charge collection efficiency (CCE) was nearly 100% with a signal-to-noise ratio (S/N) of about 40, whereas for the 2×10{sup 15} n{sub eq}/cm{sup 2} proton irradiated detector the CCE was 35%, when the sensor was biased at 500 V. These results are comparable with the results from p-type detectors with the p-spray and p-stop interstrip isolation techniques. In addition, interestingly, when the aluminum oxide was irradiated with Co-60 gamma-rays, an accumulation of negative fixed oxide charge in the oxide was observed.

  2. Characterization of hafnium oxide resistive memory layers deposited on copper by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Briggs, B.D.; Bishop, S.M. [SUNY College of Nanoscale Science and Engineering, 255 Fuller Road, Albany, NY 12203 (United States); Leedy, K.D. [Air Force Research Laboratory, 2241 Avionics Circle, Wright Patterson Air Force Base, Dayton, OH 45433 (United States); Cady, N.C., E-mail: ncady@albany.edu [SUNY College of Nanoscale Science and Engineering, 255 Fuller Road, Albany, NY 12203 (United States)

    2014-07-01

    Hafnium oxide-based resistive memory devices have been fabricated on copper bottom electrodes. The HfO{sub x} active layers in these devices were deposited by atomic layer deposition (ALD) at 250 °C with tetrakis(dimethylamido)hafnium(IV) as the metal precursor and an O{sub 2} plasma as the reactant. Depth profiles of the HfO{sub x} by X-ray photoelectron spectroscopy and secondary ion mass spectroscopy revealed a copper concentration on the order of five atomic percent throughout the HfO{sub x} film. In addition to the Cu doped HfO{sub x}, a thin layer (20 nm) of Cu{sub x}O is present at the surface. This surface layer is believed to have formed during the ALD process, and greatly complicates the analysis of the switching mechanism. The resistive memory structures fabricated from the ALD HfO{sub x} exhibited non-polar resistive switching, independent of the top metal electrode (Ni, Pt, Al, Au). Resistive switching current voltage (I–V) curves were analyzed using Schottky emission and ionic hopping models to gain insight into the physical mechanisms underpinning the device behavior. During the forming process it was determined that, at voltages in excess of 2.5 V, an ionic hopping model is in good agreement with the I–V data. The extracted ion hopping distance ∼ 4 Å was within the range of interatomic spacing of HfO{sub 2} during the forming process consistent with ionic motion of Cu{sup 2+} ions. Lastly the on state I–V data was dominated at larger voltages by Schottky emission with an estimated barrier height of ∼ 0.5 eV and a refractive index of 2.59. The consequence of the Schottky emission analysis indicates the on state resistance to be a product of a Pt/Cu{sub 2}O/Cu filament(s)/Cu{sub 2}O/Cu structure. - Highlights: • HfO{sub 2} was grown via atomic layer deposition at 250 and 100 °C on Cu substrates. • A Cu{sub 2}O surface layer and Cu doping were observed in post-deposition of HfO{sub 2}. • Resistive memory devices were fabricated and

  3. Atomic layer deposition assisted pattern transfer technology for ultra-thin block copolymer films

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Wenhui; Luo, Jun; Meng, Lingkuan; Li, Junjie; Xiang, Jinjuan; Li, Junfeng; Wang, Wenwu; Chen, Dapeng; Ye, Tianchun; Zhao, Chao

    2016-08-31

    As an emerging developing technique for next-generation lithography, directed self-assembly (DSA) of block copolymer (BCP) has attracted numerous attention and has been a potential alternative to supplement the intrinsic limitations of conventional photolithography. In this work, the self-assembling properties of a lamellar diblock copolymer poly(styrene-b-methylmethacrylate) (PS-b-PMMA, 22k-b-22k, L{sub 0} = 25 nm) on Si substrate and an atomic layer deposition (ALD)-assisted pattern transfer technology for the application of DSA beyond 16/14 nm complementary metal oxide semiconductor (CMOS) technology nodes, were investigated. Firstly, two key processing parameters of DSA, i.e. annealing temperatures and durations of BCP films, were optimized to achieve low defect density and high productivity. After phase separation of BCP films, self-assembling patterns of low defect density should be transferred to the substrate. However, due to the nano-scale thickness and the weak resistance of BCP films to dry etching, it is nearly impossible to transfer the BCP patterns directly to the substrate. Therefore, an ALD-based technology was explored in this work, in which deposited Al{sub 2}O{sub 3} selectively reacts with PMMA blocks thus hardening the PMMA patterns. After removing PS blocks by plasma etching, hardened PMMA patterns were left and transferred to underneath SiO{sub 2} hard mask layer. Using this patterned hard mask, nanowire array of 25 nm pitch were realized on Si substrate. From this work, a high-throughput DSA baseline flow and related ALD-assisted pattern transfer technique were developed and proved to have good capability with the mainstream CMOS technology. - Highlights: • Optimization on self-assembly process for high productivity and low defectivity • Enhancement of etching ratio and resistance by atomic layer deposition (ALD) • A hard mask was used for pattern quality improvement and contamination control.

  4. Gas diffusion ultrabarriers on polymer substrates using Al2O3 atomic layer deposition and SiN plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Carcia, P. F.; McLean, R. S.; Groner, M. D.; Dameron, A. A.; George, S. M.

    2009-01-01

    Thin films grown by Al 2 O 3 atomic layer deposition (ALD) and SiN plasma-enhanced chemical vapor deposition (PECVD) have been tested as gas diffusion barriers either individually or as bilayers on polymer substrates. Single films of Al 2 O 3 ALD with thicknesses of ≥10 nm had a water vapor transmission rate (WVTR) of ≤5x10 -5 g/m 2 day at 38 deg. C/85% relative humidity (RH), as measured by the Ca test. This WVTR value was limited by H 2 O permeability through the epoxy seal, as determined by the Ca test for the glass lid control. In comparison, SiN PECVD films with a thickness of 100 nm had a WVTR of ∼7x10 -3 g/m 2 day at 38 deg. C/85% RH. Significant improvements resulted when the SiN PECVD film was coated with an Al 2 O 3 ALD film. An Al 2 O 3 ALD film with a thickness of only 5 nm on a SiN PECVD film with a thickness of 100 nm reduced the WVTR from ∼7x10 -3 to ≤5x10 -5 g/m 2 day at 38 deg. C/85% RH. The reduction in the permeability for Al 2 O 3 ALD on the SiN PECVD films was attributed to either Al 2 O 3 ALD sealing defects in the SiN PECVD film or improved nucleation of Al 2 O 3 ALD on SiN.

  5. Surface Modification of Ceramic Membranes with Thin-film Deposition Methods for Wastewater Treatment

    KAUST Repository

    Jahangir, Daniyal

    2017-12-01

    Membrane fouling, which is caused by deposition/adsorption of foulants on the surface or within membrane pores, still remains a bottleneck that hampers the widespread application of membrane bioreactor (MBR) technology for wastewater treatment. Recently membrane surface modification has proved to be a useful method in water/wastewater treatment to improve the surface hydrophilicity of membranes to obtain higher water fluxes and to reduce fouling. In this study, membrane modification was investigated by depositing a thin film of same thickness of TiO2 on the surface of an ultrafiltration alumina membrane. Various thin-film deposition (TFD) methods were employed, i.e. electron-beam evaporation, sputter and atomic layer deposition (ALD), and a comparative study of the methods was conducted to assess fouling inhibition performance in a lab-scale anaerobic MBR (AnMBR) fed with synthetic municipal wastewater. Thorough surface characterization of all modified membranes was carried out along with clean water permeability (CWP) tests and fouling behavior by bovine serum albumin (BSA) adsorption tests. The study showed better fouling inhibition performance of all modified membranes; however the effect varied due to different surface characteristics obtained by different deposition methods. As a result, ALD-modified membrane showed a superior status in terms of surface characteristics and fouling inhibition performance in AnMBR filtration tests. Hence ALD was determined to be the best TFD method for alumina membrane surface modification for this study. ALD-modified membranes were further characterized to determine an optimum thickness of TiO2-film by applying different ALD cycles. ALD treatment significantly improved the surface hydrophilicity of the unmodified membrane. Also ALD-TiO2 modification was observed to reduce the surface roughness of original alumina membrane, which in turn enhanced the anti-fouling properties of modified membranes. Finally, a same thickness of ALD

  6. Atomic layer deposition synthesis and evaluation of core–shell Pt-WC electrocatalysts

    International Nuclear Information System (INIS)

    Hsu, Irene J.; Chen, Jingguang G.; Jiang, Xiaoqiang; Willis, Brian G.

    2015-01-01

    Pt-WC core shell particles were produced using atomic layer deposition (ALD) to deposit Pt layers onto WC particle substrates. A range of Pt depositions were used to determine the growth mechanism for the Pt-WC powder system. TEM imaging and Cu stripping voltammetry found that Pt ALD growth on WC powder substrates was similar to that on WC thin films. However, excess free carbon was found to affect Pt ALD by blocking adsorption sites on WC. The Pt-WC samples were evaluated for the oxygen reduction reaction using a rotating disk electrode to obtain quantitative activity information. The mass and specific activities for the 30 and 50 ALD cycle samples were found to be comparable to a 10 wt. % Pt/C catalyst. However, higher overpotentials and lower limiting currents were observed with ALD Pt-WC compared to Pt/C catalysts, indicating that the oxygen reduction mechanism is not as efficient on Pt-WC as on bulk Pt. Additionally, these Pt-WC catalysts were used to demonstrate hydrogen evolution reaction activity and were found to perform as well as bulk Pt catalyst but with a fraction of the Pt loading, in agreement with the previous work on Pt-WC thin film catalysts

  7. Area-selective atomic layer deposition of platinum using photosensitive polyimide

    NARCIS (Netherlands)

    Vervuurt, R.H.J.; Sharma, A.; Jiao, Y.; Kessels, W.M.M.; Bol, A.A.

    2016-01-01

    Area-selective atomic layer deposition (AS-ALD) of platinum (Pt) was studied using photosensitive polyimide as a masking layer. The polyimide films were prepared by spin-coating and patterned using photolithography. AS-ALD of Pt using poly(methyl-methacrylate) (PMMA) masking layers was used as a

  8. A new concept for spatially divided Deep Reactive Ion Etching with ALD-based passivation

    International Nuclear Information System (INIS)

    Roozeboom, F; Kniknie, B; Lankhorst, A M; Winands, G; Knaapen, R; Smets, M; Poodt, P; Dingemans, G; Keuning, W; Kessels, W M M

    2012-01-01

    Conventional Deep Reactive Ion Etching (DRIE) is a plasma etch process with alternating half-cycles of 1) Si-etching with SF 6 to form gaseous SiF x etch products, and 2) passivation with C 4 F 8 that polymerizes as a protecting fluorocarbon deposit on the sidewalls and bottom of the etched features. In this work we report on a novel alternative and disruptive technology concept of Spatially-divided Deep Reactive Ion Etching, S-DRIE, where the process is converted from the time-divided into the spatially divided regime. The spatial division can be accomplished by inert gas bearing 'curtains' of heights down to ∼20 μm. These curtains confine the reactive gases to individual (often linear) injection slots constructed in a gas injector head. By horizontally moving the substrate back and forth under the head one can realize the alternate exposures to the overall cycle. A second improvement in the spatially divided approach is the replacement of the CVD-based C 4 F 8 passivation steps by ALD-based oxide (e.g. SiO 2 ) deposition cycles. The method can have industrial potential in cost-effective creation of advanced 3D interconnects (TSVs), MEMS manufacturing and advanced patterning, e.g., in nanoscale transistor line edge roughness using Atomic Layer Etching.

  9. Impacts of Thermal Atomic Layer-Deposited AlN Passivation Layer on GaN-on-Si High Electron Mobility Transistors.

    Science.gov (United States)

    Zhao, Sheng-Xun; Liu, Xiao-Yong; Zhang, Lin-Qing; Huang, Hong-Fan; Shi, Jin-Shan; Wang, Peng-Fei

    2016-12-01

    Thermal atomic layer deposition (ALD)-grown AlN passivation layer is applied on AlGaN/GaN-on-Si HEMT, and the impacts on drive current and leakage current are investigated. The thermal ALD-grown 30-nm amorphous AlN results in a suppressed off-state leakage; however, its drive current is unchanged. It was also observed by nano-beam diffraction method that thermal ALD-amorphous AlN layer barely enhanced the polarization. On the other hand, the plasma-enhanced chemical vapor deposition (PECVD)-deposited SiN layer enhanced the polarization and resulted in an improved drive current. The capacitance-voltage (C-V) measurement also indicates that thermal ALD passivation results in a better interface quality compared with the SiN passivation.

  10. Al2 O3 Underlayer Prepared by Atomic Layer Deposition for Efficient Perovskite Solar Cells.

    Science.gov (United States)

    Zhang, Jinbao; Hultqvist, Adam; Zhang, Tian; Jiang, Liangcong; Ruan, Changqing; Yang, Li; Cheng, Yibing; Edoff, Marika; Johansson, Erik M J

    2017-10-09

    Perovskite solar cells, as an emergent technology for solar energy conversion, have attracted much attention in the solar cell community by demonstrating impressive enhancement in power conversion efficiencies. However, the high temperature and manually processed TiO 2 underlayer prepared by spray pyrolysis significantly limit the large-scale application and device reproducibility of perovskite solar cells. In this study, lowtemperature atomic layer deposition (ALD) is used to prepare a compact Al 2 O 3 underlayer for perovskite solar cells. The thickness of the Al 2 O 3 layer can be controlled well by adjusting the deposition cycles during the ALD process. An optimal Al 2 O 3 layer effectively blocks electron recombination at the perovskite/fluorine-doped tin oxide interface and sufficiently transports electrons through tunneling. Perovskite solar cells fabricated with an Al 2 O 3 layer demonstrated a highest efficiency of 16.2 % for the sample with 50 ALD cycles (ca. 5 nm), which is a significant improvement over underlayer-free PSCs, which have a maximum efficiency of 11.0 %. Detailed characterization confirms that the thickness of the Al 2 O 3 underlayer significantly influences the charge transfer resistance and electron recombination processes in the devices. Furthermore, this work shows the feasibility of using a high band-gap semiconductor such as Al 2 O 3 as the underlayer in perovskite solar cells and opens up pathways to use ALD Al 2 O 3 underlayers for flexible solar cells. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Industrially relevant Al2O3 deposition techniques for the surface passivation of Si solar cells

    NARCIS (Netherlands)

    Schmidt, J.; Werner, F.; Veith, B.; Zielke, D.; Bock, R.; Tiba, M.V.; Poodt, P.; Roozeboom, F.; Li, A.; Cuevas, A.; Brendel, R.

    2010-01-01

    We present independently confirmed efficiencies of 21.4% for PERC cells with plasma-assisted atom-ic-layer-deposited (plasma ALD) Al2O3 rear passivation and 20.7% for cells with thermal ALD-Al2O3. Additionally, we evaluate three different industrially relevant techniques for the deposition of

  12. Engineering Particle Surface Chemistry and Electrochemistry with Atomic Layer Deposition

    Science.gov (United States)

    Jackson, David Hyman Kentaro

    Atomic layer deposition (ALD) is a vapor phase thin film coating technique that relies on sequential pulsing of precursors that undergo self-limited surface reactions. The self- limiting reactions and gas phase diffusion of the precursors together enable the conformal coating of microstructured particles with a high degree of thickness and compositional control. ALD may be used to deposit thin films that introduce new functionalities to a particle surface. Examples of new functionalities include: chemical reactivity, a mechanically strong protective coating, and an electrically resistive layer. The coatings properties are often dependent on the bulk properties and microstructure of the particle substrate, though they usually do not affect its bulk properties or microstructure. Particle ALD finds utility in the ability to synthesize well controlled, model systems, though it is expensive due to the need for costly metal precursors that are dangerous and require special handling. Enhanced properties due to ALD coating of particles in various applications are frequently described empirically, while the details of their enhancement mechanisms often remain the focus of ongoing research in the field. This study covers the various types of particle ALD and attempts to describe them from the unifying perspective of surface science.

  13. Crystal Structure of Mycobacterium tuberculosis H37Rv AldR (Rv2779c), a Regulator of the ald Gene: DNA BINDING AND IDENTIFICATION OF SMALL MOLECULE INHIBITORS.

    Science.gov (United States)

    Dey, Abhishek; Shree, Sonal; Pandey, Sarvesh Kumar; Tripathi, Rama Pati; Ramachandran, Ravishankar

    2016-06-03

    Here we report the crystal structure of M. tuberculosis AldR (Rv2779c) showing that the N-terminal DNA-binding domains are swapped, forming a dimer, and four dimers are assembled into an octamer through crystal symmetry. The C-terminal domain is involved in oligomeric interactions that stabilize the oligomer, and it contains the effector-binding sites. The latter sites are 30-60% larger compared with homologs like MtbFFRP (Rv3291c) and can consequently accommodate larger molecules. MtbAldR binds to the region upstream to the ald gene that is highly up-regulated in nutrient-starved tuberculosis models and codes for l-alanine dehydrogenase (MtbAld; Rv2780). Further, the MtbAldR-DNA complex is inhibited upon binding of Ala, Tyr, Trp and Asp to the protein. Studies involving a ligand-binding site G131T mutant show that the mutant forms a DNA complex that cannot be inhibited by adding the amino acids. Comparative studies suggest that binding of the amino acids changes the relative spatial disposition of the DNA-binding domains and thereby disrupt the protein-DNA complex. Finally, we identified small molecules, including a tetrahydroquinoline carbonitrile derivative (S010-0261), that inhibit the MtbAldR-DNA complex. The latter molecules represent the very first inhibitors of a feast/famine regulatory protein from any source and set the stage for exploring MtbAldR as a potential anti-tuberculosis target. © 2016 by The American Society for Biochemistry and Molecular Biology, Inc.

  14. Atomic layer deposition of absorbing thin films on nanostructured electrodes for short-wavelength infrared photosensing

    International Nuclear Information System (INIS)

    Xu, Jixian; Sutherland, Brandon R.; Hoogland, Sjoerd; Fan, Fengjia; Sargent, Edward H.; Kinge, Sachin

    2015-01-01

    Atomic layer deposition (ALD), prized for its high-quality thin-film formation in the absence of high temperature or high vacuum, has become an industry standard for the large-area deposition of a wide array of oxide materials. Recently, it has shown promise in the formation of nanocrystalline sulfide films. Here, we demonstrate the viability of ALD lead sulfide for photodetection. Leveraging the conformal capabilities of ALD, we enhance the absorption without compromising the extraction efficiency in the absorbing layer by utilizing a ZnO nanowire electrode. The nanowires are first coated with a thin shunt-preventing TiO 2 layer, followed by an infrared-active ALD PbS layer for photosensing. The ALD PbS photodetector exhibits a peak responsivity of 10 −2  A W −1 and a shot-derived specific detectivity of 3 × 10 9  Jones at 1530 nm wavelength

  15. Breakthrough to Non-Vacuum Deposition of Single-Crystal, Ultra-Thin, Homogeneous Nanoparticle Layers: A Better Alternative to Chemical Bath Deposition and Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Yu-Kuang Liao

    2017-04-01

    Full Text Available Most thin-film techniques require a multiple vacuum process, and cannot produce high-coverage continuous thin films with the thickness of a few nanometers on rough surfaces. We present a new ”paradigm shift” non-vacuum process to deposit high-quality, ultra-thin, single-crystal layers of coalesced sulfide nanoparticles (NPs with controllable thickness down to a few nanometers, based on thermal decomposition. This provides high-coverage, homogeneous thickness, and large-area deposition over a rough surface, with little material loss or liquid chemical waste, and deposition rates of 10 nm/min. This technique can potentially replace conventional thin-film deposition methods, such as atomic layer deposition (ALD and chemical bath deposition (CBD as used by the Cu(In,GaSe2 (CIGS thin-film solar cell industry for decades. We demonstrate 32% improvement of CIGS thin-film solar cell efficiency in comparison to reference devices prepared by conventional CBD deposition method by depositing the ZnS NPs buffer layer using the new process. The new ZnS NPs layer allows reduction of an intrinsic ZnO layer, which can lead to severe shunt leakage in case of a CBD buffer layer. This leads to a 65% relative efficiency increase.

  16. Atomic layer deposition on polymer fibers and fabrics for multifunctional and electronic textiles

    Energy Technology Data Exchange (ETDEWEB)

    Brozena, Alexandra H.; Oldham, Christopher J.; Parsons, Gregory N., E-mail: gnp@ncsu.edu [Department of Chemical and Biomolecular Engineering, North Carolina State University, Raleigh, North Carolina 27695-7905 (United States)

    2016-01-15

    Textile materials, including woven cotton, polymer knit fabrics, and synthetic nonwoven fiber mats, are being explored as low-cost, flexible, and light-weight platforms for wearable electronic sensing, communication, energy generation, and storage. The natural porosity and high surface area in textiles is also useful for new applications in environmental protection, chemical decontamination, pharmaceutical and chemical manufacturing, catalytic support, tissue regeneration, and others. These applications raise opportunities for new chemistries, chemical processes, biological coupling, and nanodevice systems that can readily combine with textile manufacturing to create new “multifunctional” fabrics. Atomic layer deposition (ALD) has a unique ability to form highly uniform and conformal thin films at low processing temperature on nonuniform high aspect ratio surfaces. Recent research shows how ALD can coat, modify, and otherwise improve polymer fibers and textiles by incorporating new materials for viable electronic and other multifunctional capabilities. This article provides a current overview of the understanding of ALD coating and modification of textiles, including current capabilities and outstanding problems, with the goal of providing a starting point for further research and advances in this field. After a brief introduction to textile materials and current textile treatment methods, the authors discuss unique properties of ALD-coated textiles, followed by a review of recent electronic and multifunctional textiles that use ALD coatings either as direct functional components or as critical nucleation layers for active materials integration. The article concludes with possible future directions for ALD on textiles, including the challenges in materials, manufacturing, and manufacturing integration that must be overcome for ALD to reach its full potential in electronic and other emerging multifunctional textile systems.

  17. Pt-Al{sub 2}O{sub 3} dual layer atomic layer deposition coating in high aspect ratio nanopores

    Energy Technology Data Exchange (ETDEWEB)

    Pardon, Gaspard; Gatty, Hithesh K; Stemme, Goeran; Wijngaart, Wouter van der; Roxhed, Niclas [KTH Royal Institute of Technology, School of Electrical Engineering, Micro and Nanosystems, Osquldas Vaeg 10, SE-10044 Stockholm (Sweden)

    2013-01-11

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al{sub 2}O{sub 3}) on Pt in nanopores to form a metal-insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al{sub 2}O{sub 3} layer on such a Pt film forms a metal-insulator-electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 {mu}m thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al{sub 2}O{sub 3} using ALD. (paper)

  18. Impact of Atomic Layer Deposition to NanoPhotonic Structures and Devices: A Review

    Directory of Open Access Journals (Sweden)

    Muhammad Rizwan eSaleem

    2014-10-01

    Full Text Available We review the significance of optical thin films by Atomic Layer Deposition (ALD method to fabricate nanophotonic devices and structures. ALD is a versatile technique to deposit functional coatings on reactive surfaces with conformal growth of compound materials, precise thickness control capable of angstrom resolution and coverage of high aspect ratio nanostructures using wide range of materials. ALD has explored great potential in the emerging fields of photonics, plasmonics, nano-biotechnology, and microelectronics. ALD technique uses sequential reactive chemical reactions to saturate a surface with a monolayer by pulsing of a first precursor (metal alkoxides or covalent halides, followed by reaction with second precursor molecules such as water to form the desired compound coatings. The targeted thickness of the desired compound material is controlled by the number of ALD cycles of precursor molecules that ensures the self limiting nature of reactions. The conformal growth and filling of TiO2 and Al2O3 optical material on nanostructures and their resulting optical properties have been described. The low temperature ALD-growth on various replicated sub-wavelength polymeric gratings is discussed.

  19. ald of Mycobacterium tuberculosis Encodes both the Alanine Dehydrogenase and the Putative Glycine Dehydrogenase

    Science.gov (United States)

    Giffin, Michelle M.; Modesti, Lucia; Raab, Ronald W.; Wayne, Lawrence G.

    2012-01-01

    The putative glycine dehydrogenase of Mycobacterium tuberculosis catalyzes the reductive amination of glyoxylate to glycine but not the reverse reaction. The enzyme was purified and identified as the previously characterized alanine dehydrogenase. The Ald enzyme was expressed in Escherichia coli and had both pyruvate and glyoxylate aminating activities. The gene, ald, was inactivated in M. tuberculosis, which resulted in the loss of all activities. Both enzyme activities were found associated with the cell and were not detected in the extracellular filtrate. By using an anti-Ald antibody, the protein was localized to the cell membrane, with a smaller fraction in the cytosol. None was detected in the extracellular medium. The ald knockout strain grew without alanine or glycine and was able to utilize glycine but not alanine as a nitrogen source. Transcription of ald was induced when alanine was the sole nitrogen source, and higher levels of Ald enzyme were measured. Ald is proposed to have several functions, including ammonium incorporation and alanine breakdown. PMID:22210765

  20. Photoluminescence enhancement in porous SiC passivated by atomic layer deposited Al2O3 films

    DEFF Research Database (Denmark)

    Lu, Weifang; Iwasa, Yoshimi; Ou, Yiyu

    2016-01-01

    Porous SiC co-doped with B and N was passivated by atomic layer deposited (ALD) Al2O3 films to enhance the photoluminescence. After optimizing the deposition conditions, as high as 14.9 times photoluminescence enhancement has been achieved.......Porous SiC co-doped with B and N was passivated by atomic layer deposited (ALD) Al2O3 films to enhance the photoluminescence. After optimizing the deposition conditions, as high as 14.9 times photoluminescence enhancement has been achieved....

  1. Diode behavior in ultra-thin low temperature ALD grown zinc-oxide on silicon

    Directory of Open Access Journals (Sweden)

    Nazek El-Atab

    2013-10-01

    Full Text Available A thin-film ZnO(n/Si(p+ heterojunction diode is demonstrated. The thin film ZnO layer is deposited by Atomic Layer Deposition (ALD at different temperatures on a p-type silicon substrate. Atomic force microscopy (AFM AC-in-Air method in addition to conductive AFM (CAFM were used for the characterization of ZnO layer and to measure the current-voltage characteristics. Forward and reverse bias n-p diode behavior with good rectification properties is achieved. The diode with ZnO grown at 80°C exhibited the highest on/off ratio with a turn-on voltage (VON ∼3.5 V. The measured breakdown voltage (VBR and electric field (EBR for this diode are 5.4 V and 3.86 MV/cm, respectively.

  2. Atomic layer deposition of high-mobility hydrogen-doped zinc oxide

    NARCIS (Netherlands)

    Macco, B.; Knoops, H.C.M.; Verheijen, M.A.; Beyer, W.; Creatore, M.; Kessels, W.M.M.

    2017-01-01

    In this work, atomic layer deposition (ALD) has been employed to prepare high-mobility H-doped zinc oxide (ZnO:H) films. Hydrogen doping was achieved by interleaving the ZnO ALD cycles with H2 plasma treatments. It has been shown that doping with H2 plasma offers key advantages over traditional

  3. Chemical resistance of thin film materials based on metal oxides grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Sammelselg, Väino; Netšipailo, Ivan; Aidla, Aleks; Tarre, Aivar; Aarik, Lauri; Asari, Jelena; Ritslaid, Peeter; Aarik, Jaan

    2013-01-01

    Etching rate of technologically important metal oxide thin films in hot sulphuric acid was investigated. The films of Al-, Ti-, Cr-, and Ta-oxides studied were grown by atomic layer deposition (ALD) method on silicon substrates from different precursors in large ranges of growth temperatures (80–900 °C) in order to reveal process parameters that allow deposition of coatings with higher chemical resistance. The results obtained demonstrate that application of processes that yield films with lower concentration of residual impurities as well as crystallization of films in thermal ALD processes leads to significant decrease of etching rate. Crystalline films of materials studied showed etching rates down to values of < 5 pm/s. - Highlights: • Etching of atomic layer deposited thin metal oxide films in hot H 2 SO 4 was studied. • Smallest etching rates of < 5 pm/s for TiO 2 , Al 2 O 3 , and Cr 2 O 3 were reached. • Highest etching rate of 2.8 nm/s for Al 2 O 3 was occurred. • Remarkable differences in etching of non- and crystalline films were observed

  4. Atomic layer deposition of absorbing thin films on nanostructured electrodes for short-wavelength infrared photosensing

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Jixian; Sutherland, Brandon R.; Hoogland, Sjoerd; Fan, Fengjia; Sargent, Edward H., E-mail: ted.sargent@utoronto.ca [Department of Electrical and Computer Engineering, University of Toronto, 10 King' s College Road, Toronto, Ontario M5S 3G4 (Canada); Kinge, Sachin [Advanced Technology, Materials and Research, Research and Development, Hoge Wei 33- Toyota Technical Centre, B-1930 Zaventem (Belgium)

    2015-10-12

    Atomic layer deposition (ALD), prized for its high-quality thin-film formation in the absence of high temperature or high vacuum, has become an industry standard for the large-area deposition of a wide array of oxide materials. Recently, it has shown promise in the formation of nanocrystalline sulfide films. Here, we demonstrate the viability of ALD lead sulfide for photodetection. Leveraging the conformal capabilities of ALD, we enhance the absorption without compromising the extraction efficiency in the absorbing layer by utilizing a ZnO nanowire electrode. The nanowires are first coated with a thin shunt-preventing TiO{sub 2} layer, followed by an infrared-active ALD PbS layer for photosensing. The ALD PbS photodetector exhibits a peak responsivity of 10{sup −2} A W{sup −1} and a shot-derived specific detectivity of 3 × 10{sup 9} Jones at 1530 nm wavelength.

  5. Spatial atmospheric ALD of functional layers for CIGS Solar Cells

    NARCIS (Netherlands)

    Illiberi, A.; Frijters, C.; Balder, J.E.; Poodt, P.W.G.; Roozeboom, F.

    2015-01-01

    Spatial Atmosperic Atomic Layer Depositon combines the advantages of temporal ALD, i.e. excellent control of film composition and uniformity over large area substrates, with high growth rages (up tot nm/s). In this paper we present a short overview of our research acctivity carried out on S-ALD of

  6. Atomic Layer Deposition of CdS Quantum Dots for Solid-State Quantum Dot Sensitized Solar Cells

    KAUST Repository

    Brennan, Thomas P.; Ardalan, Pendar; Lee, Han-Bo-Ram; Bakke, Jonathan R.; Ding, I-Kang; McGehee, Michael D.; Bent, Stacey F.

    2011-01-01

    Functioning quantum dot (QD) sensitized solar cells have been fabricated using the vacuum deposition technique atomic layer deposition (ALD). Utilizing the incubation period of CdS growth by ALD on TiO 2, we are able to grow QDs of adjustable size which act as sensitizers for solid-state QDsensitized solar cells (ssQDSSC). The size of QDs, studied with transmission electron microscopy (TEM), varied with the number of ALD cycles from 1-10 nm. Photovoltaic devices with the QDs were fabricated and characterized using a ssQDSSC device architecture with 2,2',7,7'-tetrakis-(N,N-di-p methoxyphenylamine) 9,9'-spirobifluorene (spiro-OMeTAD) as the solid-state hole conductor. The ALD approach described here can be applied to fabrication of quantum-confined structures for a variety of applications, including solar electricity and solar fuels. Because ALD provides the ability to deposit many materials in very high aspect ratio substrates, this work introduces a strategy by which material and optical properties of QD sensitizers may be adjusted not only by the size of the particles but also in the future by the composition. © 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Atomic Layer Deposition of CdS Quantum Dots for Solid-State Quantum Dot Sensitized Solar Cells

    KAUST Repository

    Brennan, Thomas P.

    2011-10-04

    Functioning quantum dot (QD) sensitized solar cells have been fabricated using the vacuum deposition technique atomic layer deposition (ALD). Utilizing the incubation period of CdS growth by ALD on TiO 2, we are able to grow QDs of adjustable size which act as sensitizers for solid-state QDsensitized solar cells (ssQDSSC). The size of QDs, studied with transmission electron microscopy (TEM), varied with the number of ALD cycles from 1-10 nm. Photovoltaic devices with the QDs were fabricated and characterized using a ssQDSSC device architecture with 2,2\\',7,7\\'-tetrakis-(N,N-di-p methoxyphenylamine) 9,9\\'-spirobifluorene (spiro-OMeTAD) as the solid-state hole conductor. The ALD approach described here can be applied to fabrication of quantum-confined structures for a variety of applications, including solar electricity and solar fuels. Because ALD provides the ability to deposit many materials in very high aspect ratio substrates, this work introduces a strategy by which material and optical properties of QD sensitizers may be adjusted not only by the size of the particles but also in the future by the composition. © 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Impact of the atomic layer deposition precursors diffusion on solid-state carbon nanotube based supercapacitors performances

    International Nuclear Information System (INIS)

    Fiorentino, Giuseppe; Vollebregt, Sten; Ishihara, Ryoichi; Sarro, Pasqualina M; Tichelaar, F D

    2015-01-01

    A study on the impact of atomic layer deposition (ALD) precursors diffusion on the performance of solid-state miniaturized nanostructure capacitor array is presented. Three-dimensional nanostructured capacitor array based on double conformal coating of multiwalled carbon nanotubes (MWCNTs) bundles is realized using ALD to deposit Al 2 O 3 as dielectric layer and TiN as high aspect-ratio conformal counter-electrode on 2 μm long MWCNT bundles. The devices have a small footprint (from 100 μm 2 to 2500 μm 2 ) and are realized using an IC wafer-scale manufacturing process with high reproducibility (≤0.3E-12F deviation). To evaluate the enhancement of the electrode surface, the measured capacitance values are compared to a lumped circuital model. The observed discrepancies are explained with a partial coating of the CNT, that determine a limited use of the available electrode surface area. To analyze the CNT coating effectiveness, the ALD precursors diffusions inside the CNT bundle is studied using a Knudsen diffusion mechanism. (paper)

  9. Impact of the atomic layer deposition precursors diffusion on solid-state carbon nanotube based supercapacitors performances

    Science.gov (United States)

    Fiorentino, Giuseppe; Vollebregt, Sten; Tichelaar, F. D.; Ishihara, Ryoichi; Sarro, Pasqualina M.

    2015-02-01

    A study on the impact of atomic layer deposition (ALD) precursors diffusion on the performance of solid-state miniaturized nanostructure capacitor array is presented. Three-dimensional nanostructured capacitor array based on double conformal coating of multiwalled carbon nanotubes (MWCNTs) bundles is realized using ALD to deposit Al2O3 as dielectric layer and TiN as high aspect-ratio conformal counter-electrode on 2 μm long MWCNT bundles. The devices have a small footprint (from 100 μm2 to 2500 μm2) and are realized using an IC wafer-scale manufacturing process with high reproducibility (≤0.3E-12F deviation). To evaluate the enhancement of the electrode surface, the measured capacitance values are compared to a lumped circuital model. The observed discrepancies are explained with a partial coating of the CNT, that determine a limited use of the available electrode surface area. To analyze the CNT coating effectiveness, the ALD precursors diffusions inside the CNT bundle is studied using a Knudsen diffusion mechanism.

  10. The influence of surface preparation on low temperature HfO2 ALD on InGaAs (001) and (110) surfaces

    International Nuclear Information System (INIS)

    Kent, Tyler; Edmonds, Mary; Kummel, Andrew C.; Tang, Kechao; Negara, Muhammad Adi; McIntyre, Paul; Chobpattana, Varistha; Mitchell, William; Sahu, Bhagawan; Galatage, Rohit; Droopad, Ravi

    2015-01-01

    Current logic devices rely on 3D architectures, such as the tri-gate field effect transistor (finFET), which utilize the (001) and (110) crystal faces simultaneously thus requiring passivation methods for the (110) face in order to ensure a pristine 3D surface prior to further processing. Scanning tunneling microscopy (STM), x-ray photoelectron spectroscopy (XPS), and correlated electrical measurement on MOSCAPs were utilized to compare the effects of a previously developed in situ pre-atomic layer deposition (ALD) surface clean on the InGaAs (001) and (110) surfaces. Ex situ wet cleans are very effective on the (001) surface but not the (110) surface. Capacitance voltage indicated the (001) surface with no buffered oxide etch had a higher C max hypothesized to be a result of poor nucleation of HfO 2 on the native oxide. An in situ pre-ALD surface clean employing both atomic H and trimethylaluminum (TMA) pre-pulsing, developed by Chobpattana et al. and Carter et al. for the (001) surface, was demonstrated to be effective on the (110) surface for producing low D it high C ox MOSCAPs. Including TMA in the pre-ALD surface clean resulted in reduction of the magnitude of the interface state capacitance. The XPS studies show the role of atomic H pre-pulsing is to remove both carbon and oxygen while STM shows the role of TMA pre-pulsing is to eliminate H induced etching. Devices fabricated at 120 °C and 300 °C were compared

  11. Conformal atomic layer deposition of alumina on millimeter tall, vertically-aligned carbon nanotube arrays.

    Science.gov (United States)

    Stano, Kelly L; Carroll, Murphy; Padbury, Richard; McCord, Marian; Jur, Jesse S; Bradford, Philip D

    2014-11-12

    Atomic layer deposition (ALD) can be used to coat high aspect ratio and high surface area substrates with conformal and precisely controlled thin films. Vertically aligned arrays of multiwalled carbon nanotubes (MWCNTs) with lengths up to 1.5 mm were conformally coated with alumina from base to tip. The nucleation and growth behaviors of Al2O3 ALD precursors on the MWCNTs were studied as a function of CNT surface chemistry. CNT surfaces were modified through a series of post-treatments including pyrolytic carbon deposition, high temperature thermal annealing, and oxygen plasma functionalization. Conformal coatings were achieved where post-treatments resulted in increased defect density as well as the extent of functionalization, as characterized by X-ray photoelectron spectroscopy and Raman spectroscopy. Using thermogravimetric analysis, it was determined that MWCNTs treated with pyrolytic carbon and plasma functionalization prior to ALD coating were more stable to thermal oxidation than pristine ALD coated samples. Functionalized and ALD coated arrays had a compressive modulus more than two times higher than a pristine array coated for the same number of cycles. Cross-sectional energy dispersive X-ray spectroscopy confirmed that Al2O3 could be uniformly deposited through the entire thickness of the vertically aligned MWCNT array by manipulating sample orientation and mounting techniques. Following the ALD coating, the MWCNT arrays demonstrated hydrophilic wetting behavior and also exhibited foam-like recovery following compressive strain.

  12. Purity and resistivity improvements for electron-beam-induced deposition of Pt

    Energy Technology Data Exchange (ETDEWEB)

    Mulders, J.J.L. [FEI Company, Eindhoven (Netherlands)

    2014-12-15

    Electron-beam-induced deposition (EBID) of platinum is used by many researchers. Its main application is the formation of a protective layer and the ''welding material'' for making a TEM lamella with a focused ion beam thinning process. For this application, the actual composition of the deposition is less relevant, and in practice, both the mechanical strength and the conductivity are sufficient. Another important application is the creation of an electrical connection to nanoscale structures such as nano-wires and graphene. To serve as an electrical contact, the resistivity of the Pt deposited structure has to be sufficiently low. Using the commonly used precursor MeCpPtMe{sub 3} for deposition, the resistivity as created by the basic process is 10{sup +5}-10{sup +6} higher than the value for bulk Pt, which is 10.6 μΩ cm. The reason for this is the high abundance of carbon in the deposition. To improve the deposition process, much attention has been given by the research community to parameter optimization, to ex situ or in situ removal of carbon by anneal steps, to prevention of carbon deposition by use of a carbon-free precursor, to electron beam irradiation under a high flux of oxygen and to the combination with other techniques such as atomic layer deposition (ALD). In the latter technique, the EBID structures are used as a 1-nm-thick seed layer only, while the ALD is used to selectively add pure Pt. These techniques have resulted in a low resistivity, today approaching the 10-150 μΩ cm, while the size and shape of the structure are preserved. Therefore, now, the technique is ready for application in the field of contacting nano-wires. (orig.)

  13. Atomic and molecular layer deposition for surface modification

    Energy Technology Data Exchange (ETDEWEB)

    Vähä-Nissi, Mika, E-mail: mika.vaha-nissi@vtt.fi [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland); Sievänen, Jenni; Salo, Erkki; Heikkilä, Pirjo; Kenttä, Eija [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland); Johansson, Leena-Sisko, E-mail: leena-sisko.johansson@aalto.fi [Aalto University, School of Chemical Technology, Department of Forest Products Technology, PO Box 16100, FI‐00076 AALTO (Finland); Koskinen, Jorma T.; Harlin, Ali [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland)

    2014-06-01

    Atomic and molecular layer deposition (ALD and MLD, respectively) techniques are based on repeated cycles of gas–solid surface reactions. A partial monolayer of atoms or molecules is deposited to the surface during a single deposition cycle, enabling tailored film composition in principle down to molecular resolution on ideal surfaces. Typically ALD/MLD has been used for applications where uniform and pinhole free thin film is a necessity even on 3D surfaces. However, thin – even non-uniform – atomic and molecular deposited layers can also be used to tailor the surface characteristics of different non-ideal substrates. For example, print quality of inkjet printing on polymer films and penetration of water into porous nonwovens can be adjusted with low-temperature deposited metal oxide. In addition, adhesion of extrusion coated biopolymer to inorganic oxides can be improved with a hybrid layer based on lactic acid. - Graphical abstract: Print quality of a polylactide film surface modified with atomic layer deposition prior to inkjet printing (360 dpi) with an aqueous ink. Number of printed dots illustrated as a function of 0, 5, 15 and 25 deposition cycles of trimethylaluminum and water. - Highlights: • ALD/MLD can be used to adjust surface characteristics of films and fiber materials. • Hydrophobicity after few deposition cycles of Al{sub 2}O{sub 3} due to e.g. complex formation. • Same effect on cellulosic fabrics observed with low temperature deposited TiO{sub 2}. • Different film growth and oxidation potential with different precursors. • Hybrid layer on inorganic layer can be used to improve adhesion of polymer melt.

  14. Atomic layer deposition for graphene device integration

    NARCIS (Netherlands)

    Vervuurt, R.H.J.; Kessels, W.M.M.; Bol, A.A.

    2017-01-01

    Graphene is a two dimensional material with extraordinary properties, which make it an interesting material for many optical and electronic devices. The integration of graphene in these devices often requires the deposition of thin dielectric layers on top of graphene. Atomic layer deposition (ALD)

  15. Synthesis of Pt@TiO2@CNTs Hierarchical Structure Catalyst by Atomic Layer Deposition and Their Photocatalytic and Photoelectrochemical Activity.

    Science.gov (United States)

    Liao, Shih-Yun; Yang, Ya-Chu; Huang, Sheng-Hsin; Gan, Jon-Yiew

    2017-04-29

    Pt@TiO2@CNTs hierarchical structures were prepared by first functionalizing carbon nanotubes (CNTs) with nitric acid at 140 °C. Coating of TiO2 particles on the CNTs at 300 °C was then conducted by atomic layer deposition (ALD). After the TiO2@CNTs structure was fabricated, Pt particles were deposited on the TiO2 surface as co-catalyst by plasma-enhanced ALD. The saturated deposition rates of TiO2 on a-CNTs were 1.5 Å/cycle and 0.4 Å/cycle for substrate-enhanced process and linear process, respectively. The saturated deposition rate of Pt on TiO2 was 0.39 Å/cycle. The photocatalytic activities of Pt@TiO2@CNTs hierarchical structures were higher than those without Pt co-catalyst. The particle size of Pt on TiO2@CNTs was a key factor to determine the efficiency of methylene blue (MB) degradation. The Pt@TiO2@CNTs of 2.41 ± 0.27 nm exhibited the best efficiency of MB degradation.

  16. New patterning paradigm? : selective deposition may be the way forward to the far reaches of device scaling after 7nm.

    NARCIS (Netherlands)

    Lapedus, M.; Kessels, W.M.M.

    2015-01-01

    The Eindhoven University of Technology, for one, is working on another approach—direct-write ALD. This is based on an area-selective ALD by an area-activation technique. This makes use of electron-beam induced deposition (EBID) or ion-beam induced deposition (IBID). "We combine the advantages of

  17. Oxidation precursor dependence of atomic layer deposited Al2O3 films in a-Si:H(i)/Al2O3 surface passivation stacks.

    Science.gov (United States)

    Xiang, Yuren; Zhou, Chunlan; Jia, Endong; Wang, Wenjing

    2015-01-01

    In order to obtain a good passivation of a silicon surface, more and more stack passivation schemes have been used in high-efficiency silicon solar cell fabrication. In this work, we prepared a-Si:H(i)/Al2O3 stacks on KOH solution-polished n-type solar grade mono-silicon(100) wafers. For the Al2O3 film deposition, both thermal atomic layer deposition (T-ALD) and plasma enhanced atomic layer deposition (PE-ALD) were used. Interface trap density spectra were obtained for Si passivation with a-Si films and a-Si:H(i)/Al2O3 stacks by a non-contact corona C-V technique. After the fabrication of a-Si:H(i)/Al2O3 stacks, the minimum interface trap density was reduced from original 3 × 10(12) to 1 × 10(12) cm(-2) eV(-1), the surface total charge density increased by nearly one order of magnitude for PE-ALD samples and about 0.4 × 10(12) cm(-2) for a T-ALD sample, and the carrier lifetimes increased by a factor of three (from about 10 μs to about 30 μs). Combining these results with an X-ray photoelectron spectroscopy analysis, we discussed the influence of an oxidation precursor for ALD Al2O3 deposition on Al2O3 single layers and a-Si:H(i)/Al2O3 stack surface passivation from field-effect passivation and chemical passivation perspectives. In addition, the influence of the stack fabrication process on the a-Si film structure was also discussed in this study.

  18. Multi-Directional Growth of Aligned Carbon Nanotubes Over Catalyst Film Prepared by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Zhou Kai

    2010-01-01

    Full Text Available Abstract The structure of vertically aligned carbon nanotubes (CNTs severely depends on the properties of pre-prepared catalyst films. Aiming for the preparation of precisely controlled catalyst film, atomic layer deposition (ALD was employed to deposit uniform Fe2O3 film for the growth of CNT arrays on planar substrate surfaces as well as the curved ones. Iron acetylacetonate and ozone were introduced into the reactor alternately as precursors to realize the formation of catalyst films. By varying the deposition cycles, uniform and smooth Fe2O3 catalyst films with different thicknesses were obtained on Si/SiO2 substrate, which supported the growth of highly oriented few-walled CNT arrays. Utilizing the advantage of ALD process in coating non-planar surfaces, uniform catalyst films can also be successfully deposited onto quartz fibers. Aligned few-walled CNTs can be grafted on the quartz fibers, and they self-organized into a leaf-shaped structure due to the curved surface morphology. The growth of aligned CNTs on non-planar surfaces holds promise in constructing hierarchical CNT architectures in future.

  19. A Three-Step Atomic Layer Deposition Process for SiN x Using Si2Cl6, CH3NH2, and N2 Plasma.

    Science.gov (United States)

    Ovanesyan, Rafaiel A; Hausmann, Dennis M; Agarwal, Sumit

    2018-06-06

    We report a novel three-step SiN x atomic layer deposition (ALD) process using Si 2 Cl 6 , CH 3 NH 2 , and N 2 plasma. In a two-step process, nonhydrogenated chlorosilanes such as Si 2 Cl 6 with N 2 plasmas lead to poor-quality SiN x films that oxidize rapidly. The intermediate CH 3 NH 2 step was therefore introduced in the ALD cycle to replace the NH 3 plasma step with a N 2 plasma, while using Si 2 Cl 6 as the Si precursor. This three-step process lowers the atomic H content and improves the film conformality on high-aspect-ratio nanostructures as Si-N-Si bonds are formed during a thermal CH 3 NH 2 step in addition to the N 2 plasma step. During ALD, the reactive surface sites were monitored using in situ surface infrared spectroscopy. Our infrared spectra show that, on the post-N 2 plasma-treated SiN x surface, Si 2 Cl 6 reacts primarily with the surface -NH 2 species to form surface -SiCl x ( x = 1, 2, or 3) bonds, which are the reactive sites during the CH 3 NH 2 cycle. In the N 2 plasma step, reactive -NH 2 surface species are created because of the surface H available from the -CH 3 groups. At 400 °C, the SiN x films have a growth per cycle of ∼0.9 Å with ∼12 atomic percent H. The films grown on high-aspect-ratio nanostructures have a conformality of ∼90%.

  20. Compositional characterization of atomic layer deposited alumina

    International Nuclear Information System (INIS)

    Philip, Anu; Thomas, Subin; Kumar, K. Rajeev

    2014-01-01

    As the microelectronic industry demands feature size in the order of few and sub nanometer regime, the film composition and other film properties become critical issues and ALD has emerged as the choice of industry. Aluminum oxide is a material with wide applications in electronic and optoelectronic devices and protective and ion barrier layers. Al 2 O 3 is an excellent dielectric because of its large band gap (8.7eV), large band offsets with silicon. We have deposited thin layers of alumina on silicon wafer (p-type) for gate dielectric applications by ALD technique and compositional characterizations of the deposited thin films were done using EDS, XPS and FTIR spectra

  1. Compositional characterization of atomic layer deposited alumina

    Energy Technology Data Exchange (ETDEWEB)

    Philip, Anu; Thomas, Subin; Kumar, K. Rajeev [Department of Instrumentation, Cochin University of Science and Technology, Cochin-22, Kerala (India)

    2014-01-28

    As the microelectronic industry demands feature size in the order of few and sub nanometer regime, the film composition and other film properties become critical issues and ALD has emerged as the choice of industry. Aluminum oxide is a material with wide applications in electronic and optoelectronic devices and protective and ion barrier layers. Al{sub 2}O{sub 3} is an excellent dielectric because of its large band gap (8.7eV), large band offsets with silicon. We have deposited thin layers of alumina on silicon wafer (p-type) for gate dielectric applications by ALD technique and compositional characterizations of the deposited thin films were done using EDS, XPS and FTIR spectra.

  2. Atomic layer deposition of dielectrics for carbon-based electronics

    Energy Technology Data Exchange (ETDEWEB)

    Kim, J., E-mail: jiyoung.kim@utdallas.edu; Jandhyala, S.

    2013-11-01

    Carbon based nanomaterials like nanotubes and graphene have emerged as future generation electronic materials for device applications because of their interesting properties such as high-mobility and ability to carry high-current densities compared to conventional semiconductor materials like silicon. Therefore, there is a need to develop techniques to integrate robust gate dielectrics with high-quality interfaces for these materials in order to attain maximum performance. To date, a variety of methods including physical vapor deposition, atomic layer deposition (ALD), physical assembly among others have been employed in order to integrate dielectrics for carbon nanotube and graphene based field-effect transistors. Owing to the difficulty in wetting pristine surfaces of nanotubes and graphene, most of the ALD methods require a seeding technique involving non-covalent functionalization of their surfaces in order to nucleate dielectric growth while maintaining their intrinsic properties. A comprehensive review regarding the various dielectric integration schemes for emerging devices and their limitations with respect to ALD based methods along with a future outlook is provided. - Highlights: • We introduce various dielectric integration schemes for carbon-based devices. • Physical vapor deposition methods tend to degrade device performance. • Atomic layer deposition on pristine surfaces of graphene and nanotube is difficult. • We review different seeding techniques for atomic layer deposition of dielectrics. • Compare the performance of graphene top-gate devices with different dielectrics.

  3. Atomic layer deposition of dielectrics for carbon-based electronics

    International Nuclear Information System (INIS)

    Kim, J.; Jandhyala, S.

    2013-01-01

    Carbon based nanomaterials like nanotubes and graphene have emerged as future generation electronic materials for device applications because of their interesting properties such as high-mobility and ability to carry high-current densities compared to conventional semiconductor materials like silicon. Therefore, there is a need to develop techniques to integrate robust gate dielectrics with high-quality interfaces for these materials in order to attain maximum performance. To date, a variety of methods including physical vapor deposition, atomic layer deposition (ALD), physical assembly among others have been employed in order to integrate dielectrics for carbon nanotube and graphene based field-effect transistors. Owing to the difficulty in wetting pristine surfaces of nanotubes and graphene, most of the ALD methods require a seeding technique involving non-covalent functionalization of their surfaces in order to nucleate dielectric growth while maintaining their intrinsic properties. A comprehensive review regarding the various dielectric integration schemes for emerging devices and their limitations with respect to ALD based methods along with a future outlook is provided. - Highlights: • We introduce various dielectric integration schemes for carbon-based devices. • Physical vapor deposition methods tend to degrade device performance. • Atomic layer deposition on pristine surfaces of graphene and nanotube is difficult. • We review different seeding techniques for atomic layer deposition of dielectrics. • Compare the performance of graphene top-gate devices with different dielectrics

  4. Ru-decorated Pt nanoparticles on N-doped multi-walled carbon nanotubes by atomic layer deposition for direct methanol fuel cells

    DEFF Research Database (Denmark)

    Johansson, Anne-Charlotte Elisabeth Birgitta; Yang, R.B.; Haugshøj, K.B.

    2013-01-01

    We present atomic layer deposition (ALD) as a new method for the preparation of highly dispersed Ru-decorated Pt nanoparticles for use as catalyst in direct methanol fuel cells (DMFCs). The nanoparticles were deposited onto N-doped multi-walled carbon nanotubes (MWCNTs) at 250 °C using trimethyl......(methylcyclopentadienyl)platinum MeCpPtMe3, bis(ethylcyclopentadienyl)ruthenium Ru(EtCp)2 and O2 as the precursors. Catalysts with 5, 10 and 20 ALD Ru cycles grown onto the CNT-supported ALD Pt nanoparticles (150 cycles) were prepared and tested towards the electro-oxidation of CO and methanol, using cyclic voltammetry...... and chronoamperometry in a three-electrode electrochemical set-up. The catalyst decorated with 5 ALD Ru cycles was of highest activity in both reactions, followed by the ones with 10 and 20 ALD Ru cycles. It is demonstrated that ALD is a promising technique in the field of catalysis as highly dispersed nanoparticles...

  5. Atomic layer deposition-A novel method for the ultrathin coating of minitablets.

    Science.gov (United States)

    Hautala, Jaana; Kääriäinen, Tommi; Hoppu, Pekka; Kemell, Marianna; Heinämäki, Jyrki; Cameron, David; George, Steven; Juppo, Anne Mari

    2017-10-05

    We introduce atomic layer deposition (ALD) as a novel method for the ultrathin coating (nanolayering) of minitablets. The effects of ALD coating on the tablet characteristics and taste masking were investigated and compared with the established coating method. Minitablets containing bitter tasting denatonium benzoate were coated by ALD using three different TiO 2 nanolayer thicknesses (number of deposition cycles). The established coating of minitablets was performed in a laboratory-scale fluidized-bed apparatus using four concentration levels of aqueous Eudragit ® E coating polymer. The coated minitablets were studied with respect to the surface morphology, taste masking capacity, in vitro disintegration and dissolution, mechanical properties, and uniformity of content. The ALD thin coating resulted in minimal increase in the dimensions and weight of minitablets in comparison to original tablet cores. Surprisingly, ALD coating with TiO 2 nanolayers decreased the mechanical strength, and accelerated the in vitro disintegration of minitablets. Unlike previous studies, the studied levels of TiO 2 nanolayers on tablets were also inadequate for effective taste masking. In summary, ALD permits a simple and rapid method for the ultrathin coating (nanolayering) of minitablets, and provides nanoscale-range TiO 2 coatings on porous minitablets. More research, however, is needed to clarify its potential in tablet taste masking applications. Copyright © 2017 Elsevier B.V. All rights reserved.

  6. TiO2 nanofiber solid-state dye sensitized solar cells with thin TiO2 hole blocking layer prepared by atomic layer deposition

    International Nuclear Information System (INIS)

    Li, Jinwei; Chen, Xi; Xu, Weihe; Nam, Chang-Yong; Shi, Yong

    2013-01-01

    We incorporated a thin but structurally dense TiO 2 layer prepared by atomic layer deposition (ALD) as an efficient hole blocking layer in the TiO 2 nanofiber based solid-state dye sensitized solar cell (ss-DSSC). The nanofiber ss-DSSCs having ALD TiO 2 layers displayed increased open circuit voltage, short circuit current density, and power conversion efficiency compared to control devices with blocking layers prepared by spin-coating liquid TiO 2 precursor. We attribute the improved photovoltaic device performance to the structural integrity of ALD-coated TiO 2 layer and consequently enhanced hole blocking effect that results in reduced dark leakage current and increased charge carrier lifetime. - Highlights: • TiO 2 blocking locking layer prepared by atomic layer deposition (ALD) method. • ALD-coated TiO 2 layer enhanced hole blocking effect. • ALD blocking layer improved the voltage, current and efficiency. • ALD blocking layer reduced dark leakage current and increased electron lifetime

  7. [Zr(NEtMe)2(guan-NEtMe)2] as a novel ALD precursor: ZrO2 film growth and mechanistic studies

    NARCIS (Netherlands)

    Blanquart, T.; Niinistö, J.; Aslam, N.; Banerjee, M.; Tomczak, Y.; Gavagnin, M.; Longo, V.; Puukilainen, E.; Wanzenboeck, H.D.; Kessels, W.M.M.; Devi, A.; Hoffmann-Eifert, S.; Ritala, M.; Leskelä, M.

    2013-01-01

    [Zr(NEtMe)2(guan-NEtMe2)2], a recently developed compound, was investigated as a novel precursor for the atomic layer deposition (ALD) of ZrO2. With water as the oxygen source, the growth rate remained constant over a wide temperature range, whereas with ozone the growth rate increased steadily with

  8. Atomic layer deposited highly dispersed platinum nanoparticles supported on non-functionalized multiwalled carbon nanotubes for the hydrogenation of xylose to xylitol

    Science.gov (United States)

    Liang, Xinhua; Jiang, Chengjun

    2013-09-01

    Highly dispersed platinum nanoparticles were deposited on gram quantities of non-functionalized multiwalled carbon nanotubes (MWCNTs) by atomic layer deposition (ALD) in a fluidized bed reactor at 300 °C. (Methylcyclopentadienyl) trimethylplatinum and oxygen were used as precursors. The results of TEM analysis showed that 1.3 nm Pt nanoparticles were highly dispersed on non-functionalized MWCNTs. The porous structures of MWCNTs did not change with the deposition of Pt nanoparticles. For comparison, the commercial 3 wt% Pt/C catalyst was also characterized. The ALD-prepared Pt/MWCNT was used for the hydrogenation of xylose to xylitol. The ALD-prepared Pt/MWCNT showed the best catalytic performance with 100 % conversion of xylose and 99.3 % selectivity to xylitol, compared to commercially available Pt/C, Ru/C, and Raney Ni catalysts. The stability of ALD produced Pt/MWCNT catalyst was higher than that of the commercial Pt/C, due to the presence of surface defects on the MWCNTs and the strong metal-support interaction for the ALD-prepared Pt/MWCNT catalyst.

  9. Atomic layer deposited highly dispersed platinum nanoparticles supported on non-functionalized multiwalled carbon nanotubes for the hydrogenation of xylose to xylitol

    Energy Technology Data Exchange (ETDEWEB)

    Liang, Xinhua, E-mail: liangxin@mst.edu [Missouri University of Science and Technology, Department of Chemical and Biochemical Engineering (United States); Jiang, Chengjun [Zhejiang University of Science and Technology, Department of Chemical and Biological Engineering (China)

    2013-09-15

    Highly dispersed platinum nanoparticles were deposited on gram quantities of non-functionalized multiwalled carbon nanotubes (MWCNTs) by atomic layer deposition (ALD) in a fluidized bed reactor at 300 Degree-Sign C. (Methylcyclopentadienyl) trimethylplatinum and oxygen were used as precursors. The results of TEM analysis showed that {approx}1.3 nm Pt nanoparticles were highly dispersed on non-functionalized MWCNTs. The porous structures of MWCNTs did not change with the deposition of Pt nanoparticles. For comparison, the commercial 3 wt% Pt/C catalyst was also characterized. The ALD-prepared Pt/MWCNT was used for the hydrogenation of xylose to xylitol. The ALD-prepared Pt/MWCNT showed the best catalytic performance with 100 % conversion of xylose and 99.3 % selectivity to xylitol, compared to commercially available Pt/C, Ru/C, and Raney Ni catalysts. The stability of ALD produced Pt/MWCNT catalyst was higher than that of the commercial Pt/C, due to the presence of surface defects on the MWCNTs and the strong metal-support interaction for the ALD-prepared Pt/MWCNT catalyst.

  10. Scalable synthesis of palladium nanoparticle catalysts by atomic layer deposition

    International Nuclear Information System (INIS)

    Liang Xinhua; Lyon, Lauren B.; Jiang Yingbing; Weimer, Alan W.

    2012-01-01

    Atomic layer deposition (ALD) was used to produce Pd/Al 2 O 3 catalysts using sequential exposures of Pd(II) hexafluoroacetylacetonate and formalin at 200 °C in a fluidized bed reactor. The ALD-prepared Pd/alumina catalysts were characterized by various methods including hydrogen chemisorption, XPS, and TEM, and compared with a commercially available 1 wt% Pd/alumina catalyst, which was also characterized. The content of Pd on alumina support and the size of Pd nanoparticles can be controlled by the number of ALD-coating cycles and the dose time of the Pd precursor. One layer of organic component from the Pd precursor remained on the Pd particle surface. The ALD 0.9 wt% Pd/alumina had greater active metal surface area and percent metal dispersion than the commercial 1 wt% Pd/alumina catalyst. The ALD and commercial catalysts were subjected to catalytic testing to determine their relative activities for glucose oxidation to gluconic acid in aqueous solution. The ALD 0.9 wt% Pd/alumina catalyst had comparable activity as compared to the commercial 1 wt% Pd catalyst. No noticeable amount of Pd leaching was observed for the ALD-prepared catalysts during the vigorously stirred reaction.

  11. Atomic-layer deposited Nb2O5 as transparent passivating electron contact for c-Si solar cells

    NARCIS (Netherlands)

    Macco, Bart; Black, Lachlan E.; Melskens, Jimmy; van de Loo, Bas W.H.; Berghuis, Willem Jan H.; Verheijen, Marcel A.; Kessels, Wilhelmus M.M.

    2018-01-01

    Passivating contacts based on metal oxides have proven to enable high energy conversion efficiencies for crystalline silicon (c-Si) solar cells at low processing complexity. In this work, the potential of atomic-layer deposited (ALD) Nb2O5 as novel electron-selective passivating contact is explored

  12. Atomic layer deposition of cobalt carbide films and their magnetic properties using propanol as a reducing agent

    Energy Technology Data Exchange (ETDEWEB)

    Sarr, Mouhamadou, E-mail: sarrtapha44@yahoo.fr [Luxembourg Instituteof Science and Technology, 41, rue du Brill, L-4422 Belvaux (Luxembourg); Bahlawane, Naoufal; Arl, Didier [Luxembourg Instituteof Science and Technology, 41, rue du Brill, L-4422 Belvaux (Luxembourg); Dossot, Manuel [Laboratory of Physical Chemistry and Microbiology for the Environment, UMR 7564 CNRS-Université de Lorraine, 405 rue de Vandoeuvre, 54601 Villers-lès-Nancy (France); McRae, Edward [Institut Jean Lamour, UMR 7198CNRS-Université de Lorraine, FST, BP 70239, 54506 Vandoeuvre-lès-Nancy (France); Lenoble, Damien, E-mail: damien.lenoble@list.lu [Luxembourg Instituteof Science and Technology, 41, rue du Brill, L-4422 Belvaux (Luxembourg)

    2016-08-30

    Highlights: • Conformal carbon-Co-carbide thin films. • Chemically growth carbone-Co-carbide composite. • Tuneable magnetic properties. - Abstract: The investigation of highly conformal thin films using Atomic Layer Deposition (ALD) is driven by a variety of applications in modern technologies. In particular, the emergence of 3D memory device architectures requires conformal materials with tuneable magnetic properties. Here, nanocomposites of carbon, cobalt and cobalt carbide are deposited by ALD using cobalt acetylacetonate with propanol as a reducing agent. Films were grown by varying the ALD deposition parameters including deposition temperature and propanol exposure time. The morphology, the chemical composition and the crystalline structure of the cobalt carbide film were investigated. Vibrating Sample Magnetometer (VSM) measurements revealed magnetic hysteresis loops with a coercivity reaching 500 Oe and a maximal saturation magnetization of 0.9 T with a grain size less than 15 nm. Magnetic properties are shown to be tuneable by adjusting the deposition parameters that significantly affect the microstructure and the composition of the deposited films.

  13. Atomistic kinetic Monte Carlo study of atomic layer deposition derived from density functional theory.

    Science.gov (United States)

    Shirazi, Mahdi; Elliott, Simon D

    2014-01-30

    To describe the atomic layer deposition (ALD) reactions of HfO2 from Hf(N(CH3)2)4 and H2O, a three-dimensional on-lattice kinetic Monte-Carlo model is developed. In this model, all atomistic reaction pathways in density functional theory (DFT) are implemented as reaction events on the lattice. This contains all steps, from the early stage of adsorption of each ALD precursor, kinetics of the surface protons, interaction between the remaining precursors (steric effect), influence of remaining fragments on adsorption sites (blocking), densification of each ALD precursor, migration of each ALD precursors, and cooperation between the remaining precursors to adsorb H2O (cooperative effect). The essential chemistry of the ALD reactions depends on the local environment at the surface. The coordination number and a neighbor list are used to implement the dependencies. The validity and necessity of the proposed reaction pathways are statistically established at the mesoscale. The formation of one monolayer of precursor fragments is shown at the end of the metal pulse. Adsorption and dissociation of the H2O precursor onto that layer is described, leading to the delivery of oxygen and protons to the surface during the H2O pulse. Through these processes, the remaining precursor fragments desorb from the surface, leaving the surface with bulk-like and OH-terminated HfO2, ready for the next cycle. The migration of the low coordinated remaining precursor fragments is also proposed. This process introduces a slow reordering motion (crawling) at the mesoscale, leading to the smooth and conformal thin film that is characteristic of ALD. Copyright © 2013 Wiley Periodicals, Inc.

  14. The effects of nitrogen incorporation on the properties of atomic layer deposited Ru thin films as a direct-plateable diffusion barrier for Cu interconnect

    Energy Technology Data Exchange (ETDEWEB)

    Mun, Ki-Yeung [School of Materials Science and Engineering, Yeungnam University, 214-1 Dae-dong, Gyeongsan-si, Gyeongsangbuk-do 712-749 (Korea, Republic of); Hong, Tae Eun [Busan Center, Korea Basic Science Institute, 1275 Jisadong, Gangseogu, Busan 618-230 (Korea, Republic of); Cheon, Taehoon [School of Materials Science and Engineering, Yeungnam University, 214-1 Dae-dong, Gyeongsan-si, Gyeongsangbuk-do 712-749 (Korea, Republic of); Center for Core Research Facilities, Daegu Gyeongbuk Institute of Science and Technology, Sang-ri, Hyeonpung-myeon, Dalseong-gun, Daegu (Korea, Republic of); Jang, Yujin [School of Materials Science and Engineering, Yeungnam University, 214-1 Dae-dong, Gyeongsan-si, Gyeongsangbuk-do 712-749 (Korea, Republic of); Lim, Byoung-Yong; Kim, Sunjung [School of Materials Science and Engineering, University of Ulsan, Mugeo-dong, Nam-go, Ulsan 680-749 (Korea, Republic of); Kim, Soo-Hyun, E-mail: soohyun@ynu.ac.kr [School of Materials Science and Engineering, Yeungnam University, 214-1 Dae-dong, Gyeongsan-si, Gyeongsangbuk-do 712-749 (Korea, Republic of)

    2014-07-01

    N-incorporated Ru films were deposited by atomic layer deposition (ALD) at a deposition temperature of 270 °C using 1-isopropyl-4-methylbenzene-cyclohexa-1,3-dienyl ruthenium and N{sub 2}/H{sub 2} mixture plasma as the precursor and reactant, respectively. The N content in the ALD-Ru films was controlled by changing the gas ratio [N{sub 2} versus the total gas (N{sub 2} + H{sub 2}) flow rates] in the reactant from 0.82 to 1. Secondary ion mass spectrometry depth profiling revealed an increase in N content in the film with increasing gas ratio. The amount of N in the ALD-Ru films had a considerable effect on the film properties, such as resistivity, crystallinity and microstructure. Although the resistivity of the pure ALD-Ru film was ∼ 19 μΩ cm, the N-incorporated ALD-Ru films deposited with a gas ratio of 0.86 (N/Ru: ∼ 0.38) showed a resistivity of ∼ 340 μΩ cm, which increased continuously with increasing gas ratio. X-ray and electron diffraction revealed degradation in film crystallinity and decrease in grain size with increasing N incorporation into ALD-Ru films. Transmission electron microscopy showed that N-incorporated ALD-Ru films formed nanocrystalline and non-columnar grain structures. This is in contrast to that observed in the pure ALD-Ru film, which had a polycrystalline columnar grain structure. The growth rate of a representative N-incorporated Ru film deposited with a gas ratio of 0.86 showed a linear dependency on the number of ALD cycles; growth rate of 0.051 nm/cycle at short incubation cycles of ∼ 3. The step coverage was approximately 98% over the trench structure (aspect ratio: 4.5) with a top opening width of 25 nm. The direct plating of Cu on an optimized N-incorporated ALD-Ru film (5 nm in thickness) was possible. The structure of Cu (80 nm)/N-incorporated ALD-Ru (8 nm)/Si was found to be stable without the formation of copper silicide after annealing at 600 °C for 30 min. - Highlights: • Controlled growth of N

  15. Radio frequency plasma power dependence of the moisture permeation barrier characteristics of Al2O3 films deposited by remote plasma atomic layer deposition

    International Nuclear Information System (INIS)

    Jung, Hyunsoo; Choi, Hagyoung; Lee, Sanghun; Jeon, Heeyoung; Jeon, Hyeongtag

    2013-01-01

    In the present study, we investigated the gas and moisture permeation barrier properties of Al 2 O 3 films deposited on polyethersulfone films (PES) by capacitively coupled plasma (CCP) type Remote Plasma Atomic Layer Deposition (RPALD) at Radio Frequency (RF) plasma powers ranging from 100 W to 400 W in 100 W increments using Trimethylaluminum [TMA, Al(CH 3 ) 3 ] as the Al source and O 2 plasma as the reactant. To study the gas and moisture permeation barrier properties of 100-nm-thick Al 2 O 3 at various plasma powers, the Water Vapor Transmission Rate (WVTR) was measured using an electrical Ca degradation test. WVTR decreased as plasma power increased with WVTR values for 400 W and 100 W of 2.6 × 10 −4 gm −2 day −1 and 1.2 × 10 −3 gm −2 day −1 , respectively. The trends for life time, Al-O and O-H bond, density, and stoichiometry were similar to that of WVTR with improvement associated with increasing plasma power. Further, among plasma power ranging from 100 W to 400 W, the highest power of 400 W resulted in the best moisture permeation barrier properties. This result was attributed to differences in volume and amount of ion and radical fluxes, to join the ALD process, generated by O 2 plasma as the plasma power changed during ALD process, which was determined using a plasma diagnosis technique called the Floating Harmonic Method (FHM). Plasma diagnosis by FHM revealed an increase in ion flux with increasing plasma power. With respect to the ALD process, our results indicated that higher plasma power generated increased ion and radical flux compared with lower plasma power. Thus, a higher plasma power provides the best gas and moisture permeation barrier properties

  16. Use of B{sub 2}O{sub 3} films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Kalkofen, Bodo, E-mail: bodo.kalkofen@ovgu.de; Amusan, Akinwumi A.; Bukhari, Muhammad S. K.; Burte, Edmund P. [Institute of Micro and Sensor Systems, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Garke, Bernd [Institute for Experimental Physics, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Lisker, Marco [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Gargouri, Hassan [SENTECH Instruments GmbH, Schwarzschildstraße 2, 12489 Berlin (Germany)

    2015-05-15

    Plasma-assisted atomic layer deposition (PALD) was carried for growing thin boron oxide films onto silicon aiming at the formation of dopant sources for shallow boron doping of silicon by rapid thermal annealing (RTA). A remote capacitively coupled plasma source powered by GaN microwave oscillators was used for generating oxygen plasma in the PALD process with tris(dimethylamido)borane as boron containing precursor. ALD type growth was obtained; growth per cycle was highest with 0.13 nm at room temperature and decreased with higher temperature. The as-deposited films were highly unstable in ambient air and could be protected by capping with in-situ PALD grown antimony oxide films. After 16 weeks of storage in air, degradation of the film stack was observed in an electron microscope. The instability of the boron oxide, caused by moisture uptake, suggests the application of this film for testing moisture barrier properties of capping materials particularly for those grown by ALD. Boron doping of silicon was demonstrated using the uncapped PALD B{sub 2}O{sub 3} films for RTA processes without exposing them to air. The boron concentration in the silicon could be varied depending on the source layer thickness for very thin films, which favors the application of ALD for semiconductor doping processes.

  17. Low-Temperature Crystalline Titanium Dioxide by Atomic Layer Deposition for Dye-Sensitized Solar Cells

    KAUST Repository

    Chandiran, Aravind Kumar

    2013-04-24

    Low-temperature processing of dye-sensitized solar cells (DSCs) is crucial to enable commercialization with low-cost, plastic substrates. Prior studies have focused on mechanical compression of premade particles on plastic or glass substrates; however, this did not yield sufficient interconnections for good carrier transport. Furthermore, such compression can lead to more heterogeneous porosity. To circumvent these problems, we have developed a low-temperature processing route for photoanodes where crystalline TiO2 is deposited onto well-defined, mesoporous templates. The TiO2 is grown by atomic layer deposition (ALD), and the crystalline films are achieved at a growth temperature of 200 C. The ALD TiO2 thickness was systematically studied in terms of charge transport and performance to lead to optimized photovoltaic performance. We found that a 15 nm TiO2 overlayer on an 8 μm thick SiO2 film leads to a high power conversion efficiency of 7.1% with the state-of-the-art zinc porphyrin sensitizer and cobalt bipyridine redox mediator. © 2013 American Chemical Society.

  18. Catalyst synthesis and evaluation using an integrated atomic layer deposition synthesis–catalysis testing tool

    International Nuclear Information System (INIS)

    Camacho-Bunquin, Jeffrey; Shou, Heng; Marshall, Christopher L.; Aich, Payoli; Beaulieu, David R.; Klotzsch, Helmut; Bachman, Stephen; Hock, Adam; Stair, Peter

    2015-01-01

    An integrated atomic layer deposition synthesis-catalysis (I-ALD-CAT) tool was developed. It combines an ALD manifold in-line with a plug-flow reactor system for the synthesis of supported catalytic materials by ALD and immediate evaluation of catalyst reactivity using gas-phase probe reactions. The I-ALD-CAT delivery system consists of 12 different metal ALD precursor channels, 4 oxidizing or reducing agents, and 4 catalytic reaction feeds to either of the two plug-flow reactors. The system can employ reactor pressures and temperatures in the range of 10 −3 to 1 bar and 300–1000 K, respectively. The instrument is also equipped with a gas chromatograph and a mass spectrometer unit for the detection and quantification of volatile species from ALD and catalytic reactions. In this report, we demonstrate the use of the I-ALD-CAT tool for the synthesis of platinum active sites and Al 2 O 3 overcoats, and evaluation of catalyst propylene hydrogenation activity

  19. Catalyst synthesis and evaluation using an integrated atomic layer deposition synthesis–catalysis testing tool

    Energy Technology Data Exchange (ETDEWEB)

    Camacho-Bunquin, Jeffrey; Shou, Heng; Marshall, Christopher L. [Chemical Sciences and Engineering Division, Argonne National Laboratory, Lemont, Illinois 60439 (United States); Aich, Payoli [Chemical Sciences and Engineering Division, Argonne National Laboratory, Lemont, Illinois 60439 (United States); Department of Chemical Engineering, University of Illinois at Chicago, Chicago, Illinois 60607 (United States); Beaulieu, David R.; Klotzsch, Helmut; Bachman, Stephen [Arradiance Inc., Sudbury, Massachusetts 01776 (United States); Hock, Adam [Chemical Sciences and Engineering Division, Argonne National Laboratory, Lemont, Illinois 60439 (United States); Department of Chemistry, Illinois Institute of Technology, Chicago, Illinois 60616 (United States); Stair, Peter [Chemical Sciences and Engineering Division, Argonne National Laboratory, Lemont, Illinois 60439 (United States); Department of Chemistry, Northwestern University, Evanston, Illinois 60208 (United States)

    2015-08-15

    An integrated atomic layer deposition synthesis-catalysis (I-ALD-CAT) tool was developed. It combines an ALD manifold in-line with a plug-flow reactor system for the synthesis of supported catalytic materials by ALD and immediate evaluation of catalyst reactivity using gas-phase probe reactions. The I-ALD-CAT delivery system consists of 12 different metal ALD precursor channels, 4 oxidizing or reducing agents, and 4 catalytic reaction feeds to either of the two plug-flow reactors. The system can employ reactor pressures and temperatures in the range of 10{sup −3} to 1 bar and 300–1000 K, respectively. The instrument is also equipped with a gas chromatograph and a mass spectrometer unit for the detection and quantification of volatile species from ALD and catalytic reactions. In this report, we demonstrate the use of the I-ALD-CAT tool for the synthesis of platinum active sites and Al{sub 2}O{sub 3} overcoats, and evaluation of catalyst propylene hydrogenation activity.

  20. Capacitance and conductance versus voltage characterization of Al2O3 layers prepared by plasma enhanced atomic layer deposition at 25 °C≤ T ≤ 200 °C

    International Nuclear Information System (INIS)

    Henkel, Karsten; Tallarida, Massimo; Schmeißer, Dieter; Gargouri, Hassan; Gruska, Bernd; Arens, Michael

    2014-01-01

    In this work, plasma enhanced atomic layer deposited (PE-ALD) samples were prepared at substrate temperatures in the range between room temperature (RT) and 200 °C and investigated by capacitance–voltage and conductance–voltage recordings. The measurements are compared to standard thermal atomic layer deposition (T-ALD) at 200 °C. Very low interface state density (D it ) ∼10 11  eV −1  cm −2 could be achieved for the PE-ALD process at 200 °C substrate temperature after postdeposition anneal (PDA) in forming gas at 450 °C. The PDA works very effectively for both the PE-ALD and T-ALD at 200 °C substrate temperature delivering also similar values of negative fixed charge density (N fix ) around −2.5 × 10 12  cm −2 . At the substrate temperature of 150 °C, highest N fix (−2.9 × 10 12  cm −2 ) and moderate D it (2.7 × 10 11  eV −1  cm −2 ) values were observed. The as deposited PE-ALD layer at RT shows both low D it in the range of (1 to 3) × 10 11  eV −1 cm −2 and low N fix (−4.4 × 10 11  cm −2 ) at the same time. The dependencies of N fix , D it , and relative permittivity on the substrate temperatures and its adjustability are discussed

  1. In-situ atomic layer deposition growth of Hf-oxide

    International Nuclear Information System (INIS)

    Karavaev, Konstantin

    2010-01-01

    We have grown HfO 2 on Si(001) by atomic layer deposition (ALD) using HfCl 4 , TEMAHf, TDMAHf and H 2 O as precursors. The early stages of the ALD were investigated with high-resolution photoelectron spectroscopy and X-ray absorption spectroscopy. We observed the changes occurring in the Si 2p, O 1s, Hf 4f, Hf 4d, and Cl 2p (for HfCl 4 experiment) core level lines after each ALD cycle up to the complete formation of two layers of HfO 2 . The investigation was carried out in situ giving the possibility to determine the properties of the grown film after every ALD cycle or even after a half cycle. This work focused on the advantages in-situ approach in comparison with ex-situ experiments. The study provides to follow the evolution of the important properties of HfO 2 : contamination level, density and stoichiometry, and influence of the experimental parameters to the interface layer formation during ALD. Our investigation shows that in-situ XPS approach for ALD gives much more information than ex-situ experiments. (orig.)

  2. In-situ atomic layer deposition growth of Hf-oxide

    Energy Technology Data Exchange (ETDEWEB)

    Karavaev, Konstantin

    2010-06-17

    We have grown HfO{sub 2} on Si(001) by atomic layer deposition (ALD) using HfCl{sub 4}, TEMAHf, TDMAHf and H{sub 2}O as precursors. The early stages of the ALD were investigated with high-resolution photoelectron spectroscopy and X-ray absorption spectroscopy. We observed the changes occurring in the Si 2p, O 1s, Hf 4f, Hf 4d, and Cl 2p (for HfCl{sub 4} experiment) core level lines after each ALD cycle up to the complete formation of two layers of HfO{sub 2}. The investigation was carried out in situ giving the possibility to determine the properties of the grown film after every ALD cycle or even after a half cycle. This work focused on the advantages in-situ approach in comparison with ex-situ experiments. The study provides to follow the evolution of the important properties of HfO{sub 2}: contamination level, density and stoichiometry, and influence of the experimental parameters to the interface layer formation during ALD. Our investigation shows that in-situ XPS approach for ALD gives much more information than ex-situ experiments. (orig.)

  3. Application of Thin ZnO ALD Layers in Fiber-Optic Fabry-Pérot Sensing Interferometers

    Directory of Open Access Journals (Sweden)

    Daria Majchrowicz

    2016-03-01

    Full Text Available In this paper we investigated the response of a fiber-optic Fabry-Pérot sensing interferometer with thin ZnO layers deposited on the end faces of the optical fibers forming the cavity. Standard telecommunication single-mode optical fiber (SMF-28 segments were used with the thin ZnO layers deposited by Atomic Layer Deposition (ALD. Measurements were performed with the interferometer illuminated by two broadband sources operating at 1300 nm and 1550 nm. Reflected interference signal was acquired by an optical spectrum analyzer while the length of the air cavity was varied. Thickness of the ZnO layers used in the experiments was 50 nm, 100 nm, and 200 nm. Uncoated SMF-28 fiber was also used as a reference. Based on the results of measurements, the thickness of the ZnO layers and the length of the cavity were selected in order to achieve good visibility. Following, the interferometer was used to determine the refractive index of selected liquids.

  4. Thermal conductivity of amorphous Al2O3/TiO2 nanolaminates deposited by atomic layer deposition.

    Science.gov (United States)

    Ali, Saima; Juntunen, Taneli; Sintonen, Sakari; Ylivaara, Oili M E; Puurunen, Riikka L; Lipsanen, Harri; Tittonen, Ilkka; Hannula, Simo-Pekka

    2016-11-04

    The thermophysical properties of Al2O3/TiO2 nanolaminates deposited by atomic layer deposition (ALD) are studied as a function of bilayer thickness and relative TiO2 content (0%-100%) while the total nominal thickness of the nanolaminates was kept at 100 nm. Cross-plane thermal conductivity of the nanolaminates is measured at room temperature using the nanosecond transient thermoreflectance method. Based on the measurements, the nanolaminates have reduced thermal conductivity as compared to the pure amorphous thin films, suggesting that interfaces have a non-negligible effect on thermal transport in amorphous nanolaminates. For a fixed number of interfaces, we find that approximately equal material content of Al2O3 and TiO2 produces the lowest value of thermal conductivity. The thermal conductivity reduces with increasing interface density up to 0.4 nm(-1), above which the thermal conductivity is found to be constant. The value of thermal interface resistance approximated by the use of diffuse mismatch model was found to be 0.45 m(2) K GW(-1), and a comparative study employing this value supports the interpretation of non-negligible interface resistance affecting the overall thermal conductivity also in the amorphous limit. Finally, no clear trend in thermal conductivity values was found for nanolaminates grown at different deposition temperatures, suggesting that the temperature in the ALD process has a non-trivial while modest effect on the overall thermal conductivity in amorphous nanolaminates.

  5. Tribological Properties of Nanometric Atomic Layer Depositions Applied on AISI 420 Stainless Steel

    Directory of Open Access Journals (Sweden)

    E. Marin

    2013-09-01

    Full Text Available Atomic Layer Deposition ( ALD is a modern technique that Allows to deposit nanometric, conformal coatings on almost any kind of substrates, from plastics to ceramic, metals or even composites. ALD coatings are not dependent on the morphology of the substrate and are only regulated by the composition of the precursors, the chamber temperature and the number of cycles. In this work, mono- and bi -layer nanometric, protective low-temperature ALD Coatings, based on Al2O3 and TiO2 were applied on AISI 420 Stainless Steel in orderto enhance its relatively low corrosion resistance in chloride containing environments. Tribological testing were also performed on the ALD coated AISI 420 in order to evaluate the wear and scratch resistance of these nanometric layers and thus evaluate their durability. Scratch tests were performed using a standard Rockwell C indenter, under a variable load condition, in order to evaluate the critical loading condition for each coating. Wear testing were performed using a stainless steel counterpart, in ball-on-discconfiguration, in order to measure the friction coefficient and wear to confront the resistance. All scratch tests scars and wear tracks were then observed by means of Scanning Electron Microscopy (SEM in order to understand the wear mechanisms that occurred on the sample surfaces. Corrosion testing, performed under immersion in 0.2 M NaCl solutions, clearly showed that the ALD coatings have a strong effect in protecting the Stainless Steel Substrate against corrosion, reducing the corrosion current density by two orders of magnitude.Tribological The preliminary results showed that ALD depositions obtained at low Temperatures have a brittle behavior caused by the amorphous nature of their structure, and thus undergo delamination phenomena during Scratch Testing at relatively low applied loads. During ball-on-disc testing, the coatings were removed from the substrate, in particular for monolayer ALD configurations

  6. Two-step deposition of Al-doped ZnO on p-GaN to form ohmic contacts

    Science.gov (United States)

    Su, Xi; Zhang, Guozhen; Wang, Xiao; Chen, Chao; Wu, Hao; Liu, Chang

    2017-07-01

    Al-doped ZnO (AZO) thin films were deposited directly on p-GaN substrates by using a two-step deposition consisting of polymer assisted deposition (PAD) and atomic layer deposition (ALD) methods. Ohmic contacts of the AZO on p-GaN have been formed. The lowest sheet resistance of the two-step prepared AZO films reached to 145 Ω/sq, and the specific contact resistance reduced to 1.47 × 10-2 Ω·cm2. Transmittance of the AZO films remained above 80% in the visible region. The combination of PAD and ALD technique can be used to prepare p-type ohmic contacts for optoelectronics.

  7. Atomic layer deposition of HfO{sub 2} for integration into three-dimensional metal-insulator-metal devices

    Energy Technology Data Exchange (ETDEWEB)

    Assaud, Loic [Aix Marseille Univ, CNRS, CINAM, Marseille (France); ICMMO-ERIEE, Universite Paris-Sud / Universite Paris-Saclay, CNRS, Orsay (France); Pitzschel, Kristina; Barr, Maissa K.S.; Petit, Matthieu; Hanbuecken, Margrit; Santinacci, Lionel [Aix Marseille Univ, CNRS, CINAM, Marseille (France); Monier, Guillaume [Universite Clermont Auvergne, Universite Blaise Pascal, CNRS, Institut Pascal, Clermont-Ferrand (France)

    2017-12-15

    HfO{sub 2} nanotubes have been fabricated via a template-assisted deposition process for further use in three-dimensional metal-insulator-metal (MIM) devices. HfO{sub 2} thin layers were grown by Atomic Layer Deposition (ALD) in anodic alumina membranes (AAM). The ALD was carried out using tetrakis(ethylmethylamino)hafnium and water as Hf and O sources, respectively. Long exposure durations to the precursors have been used to maximize the penetration depth of the HfO{sub 2} layer within the AAM and the effect of the process temperature was investigated. The morphology, the chemical composition, and the crystal structure were studied as a function of the deposition parameters using transmission and scanning electron microscopies, X-ray photoelectron spectroscopy, and X-ray diffraction, respectively. As expected, the HfO{sub 2} layers grown at low-temperature (T = 150 C) were amorphous, while for a higher temperature (T = 250 C), polycrystalline films were observed. The electrical characterizations have shown better insulating properties for the layers grown at low temperature. Finally, TiN/HfO{sub 2}/TiN multilayers were grown in an AAM as proof-of-concept for three-dimensional MIM nanostructures. (orig.)

  8. Continuous production of nanostructured particles using spatial atomic layer deposition

    International Nuclear Information System (INIS)

    Ommen, J. Ruud van; Kooijman, Dirkjan; Niet, Mark de; Talebi, Mojgan; Goulas, Aristeidis

    2015-01-01

    In this paper, the authors demonstrate a novel spatial atomic layer deposition (ALD) process based on pneumatic transport of nanoparticle agglomerates. Nanoclusters of platinum (Pt) of ∼1 nm diameter are deposited onto titania (TiO 2 ) P25 nanoparticles resulting to a continuous production of an active photocatalyst (0.12–0.31 wt. % of Pt) at a rate of about 1 g min −1 . Tuning the precursor injection velocity (10–40 m s −1 ) enhances the contact between the precursor and the pneumatically transported support flows. Decreasing the chemisorption temperature (from 250 to 100 °C) results in more uniform distribution of the Pt nanoclusters as it decreases the reaction rate as compared to the rate of diffusion into the nanoparticle agglomerates. Utilizing this photocatalyst in the oxidation reaction of Acid Blue 9 showed a factor of five increase of the photocatalytic activity compared to the native P25 nanoparticles. The use of spatial particle ALD can be further expanded to deposition of nanoclusters on porous, micron-sized particles and to the production of core–shell nanoparticles enabling the robust and scalable manufacturing of nanostructured powders for catalysis and other applications

  9. Synthesis, characterization, and thermal properties of homoleptic rare-earth guanidinates: promising precursors for MOCVD and ALD of rare-earth oxide thin films.

    Science.gov (United States)

    Milanov, Andrian P; Fischer, Roland A; Devi, Anjana

    2008-12-01

    Eight novel homoleptic tris-guanidinato complexes M[(N(i)Pr)(2)CNR(2)](3) [M = Y (a), Gd (b), Dy (c) and R = Me (1), Et (2), (i)Pr (3)] have been synthesized and characterized by NMR, CHN-analysis, mass spectrometry and infrared spectroscopy. Single crystal structure analysis revealed that all the compounds are monomers with the rare-earth metal center coordinated to six nitrogen atoms of the three chelating guanidinato ligands in a distorted trigonal prism geometry. With the use of TGA/DTA and isothermal TGA analysis, the thermal characteristics of all the complexes were studied in detail to evaluate their suitability as precursors for thin film deposition by MOCVD and ALD. The (i)Pr-Me(2)N-guanidinates of Y, Gd and Dy (1a-c) showed excellent thermal characteristics in terms of thermal stability and volatility. Additionally, the thermal stability of the (i)Pr-Me(2)N-guanidinates of Y and Dy (1a, c) in solution was investigated by carrying out NMR decomposition experiments and both the compounds were found to be remarkably stable. All these studies indicate that (i)Pr-Me(2)N-guanidinates of Y, Gd and Dy (1a-c) have the prerequisites for MOCVD and ALD applications which were confirmed by the successful deposition of Gd(2)O(3) and Dy(2)O(3) thin films on Si(100) substrates. The MOCVD grown films of Gd(2)O(3) and Dy(2)O(3) were highly oriented in the cubic phase, while the ALD grown films were amorphous.

  10. Electrode surface engineering by atomic layer deposition: A promising pathway toward better energy storage

    KAUST Repository

    Ahmed, Bilal

    2016-04-29

    Research on electrochemical energy storage devices including Li ion batteries (LIBs), Na ion batteries (NIBs) and supercapacitors (SCs) has accelerated in recent years, in part because developments in nanomaterials are making it possible to achieve high capacities and energy and power densities. These developments can extend battery life in portable devices, and open new markets such as electric vehicles and large-scale grid energy storage. It is well known that surface reactions largely determine the performance and stability of electrochemical energy storage devices. Despite showing impressive capacities and high energy and power densities, many of the new nanostructured electrode materials suffer from limited lifetime due to severe electrode interaction with electrolytes or due to large volume changes. Hence control of the surface of the electrode material is essential for both increasing capacity and improving cyclic stability of the energy storage devices.Atomic layer deposition (ALD) which has become a pervasive synthesis method in the microelectronics industry, has recently emerged as a promising process for electrochemical energy storage. ALD boasts excellent conformality, atomic scale thickness control, and uniformity over large areas. Since ALD is based on self-limiting surface reactions, complex shapes and nanostructures can be coated with excellent uniformity, and most processes can be done below 200. °C. In this article, we review recent studies on the use of ALD coatings to improve the performance of electrochemical energy storage devices, with particular emphasis on the studies that have provided mechanistic insight into the role of ALD in improving device performance. © 2016 Elsevier Ltd.

  11. Atomic layer deposition of boron-containing films using B{sub 2}F{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Mane, Anil U., E-mail: amane@anl.gov; Elam, Jeffrey W. [Argonne National Laboratory, Argonne, Illinois 60126 (United States); Goldberg, Alexander; Halls, Mathew D. [Schrödinger, Inc., San Diego, California 92122 (United States); Seidel, Thomas E. [Seitek50, Palm Coast, Florida 32135 (United States); Current, Michael I. [Current Scientific, San Jose, California 95124 (United States); Despres, Joseph; Byl, Oleg; Tang, Ying; Sweeney, Joseph [Entegris, Danbury, Connecticut 06810 (United States)

    2016-01-15

    Ultrathin and conformal boron-containing atomic layer deposition (ALD) films could be used as a shallow dopant source for advanced transistor structures in microelectronics manufacturing. With this application in mind, diboron tetrafluoride (B{sub 2}F{sub 4}) was explored as an ALD precursor for the deposition of boron containing films. Density functional theory simulations for nucleation on silicon (100) surfaces indicated better reactivity of B{sub 2}F{sub 4} in comparison to BF{sub 3}. Quartz crystal microbalance experiments exhibited growth using either B{sub 2}F{sub 4}-H{sub 2}O for B{sub 2}O{sub 3} ALD, or B{sub 2}F{sub 4}-disilane (Si{sub 2}H{sub 6}) for B ALD, but in both cases, the initial growth per cycle was quite low (≤0.2 Å/cycle) and decreased to near zero growth after 8–30 ALD cycles. However, alternating between B{sub 2}F{sub 4}-H{sub 2}O and trimethyl aluminum (TMA)-H{sub 2}O ALD cycles resulted in sustained growth at ∼0.65 Å/cycle, suggesting that the dense –OH surface termination produced by the TMA-H{sub 2}O combination enhances the uptake of B{sub 2}F{sub 4} precursor. The resultant boron containing films were analyzed for composition by x-ray photoelectron spectroscopy, and capacitance measurements indicated an insulating characteristic. Finally, diffused boron profiles less than 100 Å were obtained after rapid thermal anneal of the boron containing ALD film.

  12. Electrical and physical characteristics for crystalline atomic layer deposited beryllium oxide thin film on Si and GaAs substrates

    International Nuclear Information System (INIS)

    Yum, J.H.; Akyol, T.; Lei, M.; Ferrer, D.A.; Hudnall, Todd W.; Downer, M.; Bielawski, C.W.; Bersuker, G.; Lee, J.C.; Banerjee, S.K.

    2012-01-01

    In a previous study, atomic layer deposited (ALD) BeO exhibited less interface defect density and hysteresis, as well as less frequency dispersion and leakage current density, at the same equivalent oxide thickness than Al 2 O 3 . Furthermore, its self-cleaning effect was better. In this study, the physical and electrical characteristics of ALD BeO grown on Si and GaAs substrates are further evaluated as a gate dielectric layer in III–V metal-oxide-semiconductor devices using transmission electron microscopy, selective area electron diffraction, second harmonic generation, and electrical analysis. An as-grown ALD BeO thin film was revealed as a layered single crystal structure, unlike the well-known ALD dielectrics that exhibit either poly-crystalline or amorphous structures. Low defect density in highly ordered ALD BeO film, less variability in electrical characteristics, and great stability under electrical stress were demonstrated. - Highlights: ► BeO is an excellent electrical insulator, but good thermal conductor. ► Highly crystalline film of BeO has been grown using atomic layer deposition. ► An ALD BeO precursor, which is not commercially available, has been synthesized. ► Physical and electrical characteristics have been investigated.

  13. TiO{sub 2} nanofiber solid-state dye sensitized solar cells with thin TiO{sub 2} hole blocking layer prepared by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Li, Jinwei; Chen, Xi; Xu, Weihe [Department of Mechanical Engineering, Stevens Institute of Technology, Hoboken, NJ 07030 (United States); Nam, Chang-Yong, E-mail: cynam@bnl.gov [Center for Functional Nanomaterials, Brookhaven National Laboratory, Upton, NY 11973 (United States); Shi, Yong, E-mail: Yong.Shi@stevens.edu [Department of Mechanical Engineering, Stevens Institute of Technology, Hoboken, NJ 07030 (United States)

    2013-06-01

    We incorporated a thin but structurally dense TiO{sub 2} layer prepared by atomic layer deposition (ALD) as an efficient hole blocking layer in the TiO{sub 2} nanofiber based solid-state dye sensitized solar cell (ss-DSSC). The nanofiber ss-DSSCs having ALD TiO{sub 2} layers displayed increased open circuit voltage, short circuit current density, and power conversion efficiency compared to control devices with blocking layers prepared by spin-coating liquid TiO{sub 2} precursor. We attribute the improved photovoltaic device performance to the structural integrity of ALD-coated TiO{sub 2} layer and consequently enhanced hole blocking effect that results in reduced dark leakage current and increased charge carrier lifetime. - Highlights: • TiO{sub 2} blocking locking layer prepared by atomic layer deposition (ALD) method. • ALD-coated TiO{sub 2} layer enhanced hole blocking effect. • ALD blocking layer improved the voltage, current and efficiency. • ALD blocking layer reduced dark leakage current and increased electron lifetime.

  14. Ru nanostructure fabrication using an anodic aluminum oxide nanotemplate and highly conformal Ru atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Woo-Hee; Park, Sang-Joon; Son, Jong-Yeog; Kim, Hyungjun [Department of Material Science and Engineering, POSTECH Pohang University of Science and Technology, San 31, Hyoja-Dong, Nam-Gu, Pohang 790-784 (Korea, Republic of)

    2008-01-30

    We fabricated metallic nanostructures directly on Si substrates through a hybrid nanoprocess combining atomic layer deposition (ALD) and a self-assembled anodic aluminum oxide (AAO) nanotemplate. ALD Ru films with Ru(DMPD)(EtCp) as a precursor and O{sub 2} as a reactant exhibited high purity and low resistivity with negligible nucleation delay and low roughness. These good growth characteristics resulted in the excellent conformality for nanometer-scale vias and trenches. Additionally, AAO nanotemplates were fabricated directly on Si and Ti/Si substrates through a multiple anodization process. AAO nanotemplates with various hole sizes (30-100 nm) and aspect ratios (2:1-20:1) were fabricated by controlling the anodizing process parameters. The barrier layers between AAO nanotemplates and Si substrates were completely removed by reactive ion etching (RIE) using BCl{sub 3} plasma. By combining the ALD Ru and the AAO nanotemplate, Ru nanostructures with controllable sizes and shapes were prepared on Si and Ti/Si substrates. The Ru nanowire array devices as a platform for sensor devices exhibited befitting properties of good ohmic contact and high surface/volume ratio.

  15. Self-forming Al oxide barrier for nanoscale Cu interconnects created by hybrid atomic layer deposition of Cu–Al alloy

    Energy Technology Data Exchange (ETDEWEB)

    Park, Jae-Hyung; Han, Dong-Suk; Kang, You-Jin [Division of Nanoscale Semiconductor Engineering, Hanyang University, Seoul 133-791 (Korea, Republic of); Shin, So-Ra; Park, Jong-Wan, E-mail: jwpark@hanyang.ac.kr [Division of Materials Science and Engineering, Hanyang University, Seoul 133-791 (Korea, Republic of)

    2014-01-15

    The authors synthesized a Cu–Al alloy by employing alternating atomic layer deposition (ALD) surface reactions using Cu and Al precursors, respectively. By alternating between these two ALD surface chemistries, the authors fabricated ALD Cu–Al alloy. Cu was deposited using bis(1-dimethylamino-2-methyl-2-butoxy) copper as a precursor and H{sub 2} plasma, while Al was deposited using trimethylaluminum as the precursor and H{sub 2} plasma. The Al atomic percent in the Cu–Al alloy films varied from 0 to 15.6 at. %. Transmission electron microscopy revealed that a uniform Al-based interlayer self-formed at the interface after annealing. To evaluate the barrier properties of the Al-based interlayer and adhesion between the Cu–Al alloy film and SiO{sub 2} dielectric, thermal stability and peel-off adhesion tests were performed, respectively. The Al-based interlayer showed similar thermal stability and adhesion to the reference Mn-based interlayer. Our results indicate that Cu–Al alloys formed by alternating ALD are suitable seed layer materials for Cu interconnects.

  16. Self-forming Al oxide barrier for nanoscale Cu interconnects created by hybrid atomic layer deposition of Cu–Al alloy

    International Nuclear Information System (INIS)

    Park, Jae-Hyung; Han, Dong-Suk; Kang, You-Jin; Shin, So-Ra; Park, Jong-Wan

    2014-01-01

    The authors synthesized a Cu–Al alloy by employing alternating atomic layer deposition (ALD) surface reactions using Cu and Al precursors, respectively. By alternating between these two ALD surface chemistries, the authors fabricated ALD Cu–Al alloy. Cu was deposited using bis(1-dimethylamino-2-methyl-2-butoxy) copper as a precursor and H 2 plasma, while Al was deposited using trimethylaluminum as the precursor and H 2 plasma. The Al atomic percent in the Cu–Al alloy films varied from 0 to 15.6 at. %. Transmission electron microscopy revealed that a uniform Al-based interlayer self-formed at the interface after annealing. To evaluate the barrier properties of the Al-based interlayer and adhesion between the Cu–Al alloy film and SiO 2 dielectric, thermal stability and peel-off adhesion tests were performed, respectively. The Al-based interlayer showed similar thermal stability and adhesion to the reference Mn-based interlayer. Our results indicate that Cu–Al alloys formed by alternating ALD are suitable seed layer materials for Cu interconnects

  17. Coking- and sintering-resistant palladium catalysts achieved through atomic layer deposition.

    Science.gov (United States)

    Lu, Junling; Fu, Baosong; Kung, Mayfair C; Xiao, Guomin; Elam, Jeffrey W; Kung, Harold H; Stair, Peter C

    2012-03-09

    We showed that alumina (Al(2)O(3)) overcoating of supported metal nanoparticles (NPs) effectively reduced deactivation by coking and sintering in high-temperature applications of heterogeneous catalysts. We overcoated palladium NPs with 45 layers of alumina through an atomic layer deposition (ALD) process that alternated exposures of the catalysts to trimethylaluminum and water at 200°C. When these catalysts were used for 1 hour in oxidative dehydrogenation of ethane to ethylene at 650°C, they were found by thermogravimetric analysis to contain less than 6% of the coke formed on the uncoated catalysts. Scanning transmission electron microscopy showed no visible morphology changes after reaction at 675°C for 28 hours. The yield of ethylene was improved on all ALD Al(2)O(3) overcoated Pd catalysts.

  18. Atomic layer deposition of ruthenium on plasma-treated vertically aligned carbon nanotubes for high-performance ultracapacitors.

    Science.gov (United States)

    Kim, Jun Woo; Kim, Byungwoo; Park, Suk Won; Kim, Woong; Shim, Joon Hyung

    2014-10-31

    It is challenging to realize a conformal metal coating by atomic layer deposition (ALD) because of the high surface energy of metals. In this study, ALD of ruthenium (Ru) on vertically aligned carbon nanotubes (CNTs) was carried out. To activate the surface of CNTs that lack surface functional groups essential for ALD, oxygen plasma was applied ex situ before ALD. X-ray photoelectron spectroscopy and Raman spectroscopy confirmed surface activation of CNTs by the plasma pretreatment. Transmission electron microscopy analysis with energy-dispersive x-ray spectroscopy composition mapping showed that ALD Ru grew conformally along CNTs walls. ALD Ru/CNTs were electrochemically oxidized to ruthenium oxide (RuOx) that can be a potentially useful candidate for use in the electrodes of ultracapacitors. Electrode performance of RuOx/CNTs was evaluated using cyclic voltammetry and galvanostatic charge-discharge measurements.

  19. Achieving atomistic control in materials processing by plasma–surface interactions

    International Nuclear Information System (INIS)

    Chang, Jeffrey; Chang, Jane P

    2017-01-01

    The continuous down-scaling of electronic devices and the introduction of functionally improved novel materials require a greater atomic level controllability in the synthesis and patterning of thin film materials, especially with regards to deposition uniformity and conformality as well as etching selectivity and anisotropy. The richness of plasma chemistry and the corresponding plasma–surface interactions provide the much needed processing flexibility and efficacy. To achieve the integration of the novel materials into devices, plasma-enhanced atomic layer processing techniques are emerging as the enabling factors to obtain atomic scale control of complex materials and nanostructures. This review focuses on an overview of the role of respective plasma species involved in plasma–surface interactions, addressing their respective and synergistic effects, which is followed by two distinct applications: plasma-enhanced atomic layer deposition (ALD) and atomic layer etching (ALE). For plasma-enhanced ALD, this review emphasizes the use of plasma chemistry to enable alternative pathways to synthesize complex materials at low temperatures and the challenges associated with deposition conformality. For plasma enabled ALE processes, the review focuses on the surface-specific chemical reactions needed to achieve desirable selectivity and anisotropy. (topical review)

  20. Modeling growth kinetics of thin films made by atomic layer deposition in lateral high-aspect-ratio structures

    Science.gov (United States)

    Ylilammi, Markku; Ylivaara, Oili M. E.; Puurunen, Riikka L.

    2018-05-01

    The conformality of thin films grown by atomic layer deposition (ALD) is studied using all-silicon test structures with long narrow lateral channels. A diffusion model, developed in this work, is used for studying the propagation of ALD growth in narrow channels. The diffusion model takes into account the gas transportation at low pressures, the dynamic Langmuir adsorption model for the film growth and the effect of channel narrowing due to film growth. The film growth is calculated by solving the diffusion equation with surface reactions. An efficient analytic approximate solution of the diffusion equation is developed for fitting the model to the measured thickness profile. The fitting gives the equilibrium constant of adsorption and the sticking coefficient. This model and Gordon's plug flow model are compared. The simulations predict the experimental measurement results quite well for Al2O3 and TiO2 ALD processes.

  1. Effects of pre-sputtered Al interlayer on the atomic layer deposition of Al{sub 2}O{sub 3} films on Mg–10Li–0.5Zn alloy

    Energy Technology Data Exchange (ETDEWEB)

    Wang, P.C.; Cheng, T.C. [Department of Materials Science and Engineering, National Taiwan University, Taipei, Taiwan (China); Lin, H.C., E-mail: hclinntu@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, Taipei, Taiwan (China); Chen, M.J., E-mail: mjchen@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, Taipei, Taiwan (China); Lin, K.M. [Department of Materials Science and Engineering, Feng Chia University, Taichung, Taiwan (China); Yeh, M.T. [Amli Materials Technology Co. Ltd., New Taipei, Taiwan (China)

    2013-04-01

    In this study, a dual-layer of Al/Al{sub 2}O{sub 3} films was deposited on the Mg–10Li–0.5Zn substrate using both techniques of magnetron sputtering and atomic layer deposition (ALD). The pre-sputtered Al interlayer has a crystalline structure and the ALD-Al{sub 2}O{sub 3} film is amorphous. The Al interlayer could effectively obstruct the diffusion out of Li atoms from the Mg–10Li–0.5Zn substrate during the deposition of ALD-Al{sub 2}O{sub 3} film. The Mg–10Li–0.5Zn specimen with a dual-layer of Al/Al{sub 2}O{sub 3} films exhibits a much better corrosion resistance than those specimens with a single layer of sputtered Al or ALD-Al{sub 2}O{sub 3}.

  2. Comparison of HfCl4, HfI4, TEMA-Hf, and TDMA-Hf as precursors in early growing stages of HfO2 films deposited by ALD: A DFT study

    International Nuclear Information System (INIS)

    Cortez-Valadez, M.; Fierro, C.; Farias-Mancilla, J.R.; Vargas-Ortiz, A.; Flores-Acosta, M.; Ramírez-Bon, R.; Enriquez-Carrejo, J.L.

    2016-01-01

    Highlights: • Hafnium oxide growth on Si(100) by atomic layer deposition was simulated. • The interface structure was considered as silicate and silicide. • The interface was studied employing DFT. • TDMA-Hf precursor show better interface stability. - Abstract: The final structure of HfO 2 films grown by atomic layer deposition (ALD) after reaction with OH − ions has been analyzed by DFT (density functional theory). The interaction of the precursors: HfCl 4 (hafnium tetrachloride), HfI 4 (hafnium tetraiodide), TEMA-Hf (tetrakis-ethylmethylamino hafnium), and TDMA-Hf (tetrakis-dimethylamino hafnium) with HO–H was studied employing the B3LYP (Becke 3-parameter, Lee–Yang–Parr) hybrid functional and the PBE (Perdew–Burke–Ernzerhof) generalized gradient functional. The structural evolution at the Si(100) surface has been analyzed by LDA (local density approximation). The structural parameters: bond length and bond angle, and the vibrational parameters for the optimized structures are also reported. The presence of hafnium silicate at the interface was detected. The infrared spectra and structural parameters obtained in this work agree with previously reported experimental results.

  3. Electrical properties of GaAs metal–oxide–semiconductor structure comprising Al2O3 gate oxide and AlN passivation layer fabricated in situ using a metal–organic vapor deposition/atomic layer deposition hybrid system

    Directory of Open Access Journals (Sweden)

    Takeshi Aoki

    2015-08-01

    Full Text Available This paper presents a compressive study on the fabrication and optimization of GaAs metal–oxide–semiconductor (MOS structures comprising a Al2O3 gate oxide, deposited via atomic layer deposition (ALD, with an AlN interfacial passivation layer prepared in situ via metal–organic chemical vapor deposition (MOCVD. The established protocol afforded self-limiting growth of Al2O3 in the atmospheric MOCVD reactor. Consequently, this enabled successive growth of MOCVD-formed AlN and ALD-formed Al2O3 layers on the GaAs substrate. The effects of AlN thickness, post-deposition anneal (PDA conditions, and crystal orientation of the GaAs substrate on the electrical properties of the resulting MOS capacitors were investigated. Thin AlN passivation layers afforded incorporation of optimum amounts of nitrogen, leading to good capacitance–voltage (C–V characteristics with reduced frequency dispersion. In contrast, excessively thick AlN passivation layers degraded the interface, thereby increasing the interfacial density of states (Dit near the midgap and reducing the conduction band offset. To further improve the interface with the thin AlN passivation layers, the PDA conditions were optimized. Using wet nitrogen at 600 °C was effective to reduce Dit to below 2 × 1012 cm−2 eV−1. Using a (111A substrate was also effective in reducing the frequency dispersion of accumulation capacitance, thus suggesting the suppression of traps in GaAs located near the dielectric/GaAs interface. The current findings suggest that using an atmosphere ALD process with in situ AlN passivation using the current MOCVD system could be an efficient solution to improving GaAs MOS interfaces.

  4. Depositing Materials on the Micro- and Nanoscale

    DEFF Research Database (Denmark)

    Mar, Mikkel Dysseholm; Herstrøm, Berit; Shkondin, Evgeniy

    2014-01-01

    on sequential introduction of precursor pulses with intermediate purging steps. The process proceeds by specific surface ligand-exchange reactions and this leads to layer-by-layer growth control. No other thin film deposition technique can approach the conformity achieved by ALD on high aspect ratio structures....... In these systems thin films of different kind are important parts of giving the system the properties needed. This can be properties like light absorbing layers, antireflection coatings or conductive layers in solar cells. It can be low stress layers in membranes, chemicals resistant layers in chemical sensors......, layers with specific optical properties in optical sensors, piezoelectric thin films or insulating layers in many other applications. These different materials and properties impose a demand for different kind of deposition techniques. At DTU Danchip we have a large variety of these deposition techniques...

  5. Atomic-layer-deposited WNxCy thin films as diffusion barrier for copper metallization

    Science.gov (United States)

    Kim, Soo-Hyun; Oh, Su Suk; Kim, Ki-Bum; Kang, Dae-Hwan; Li, Wei-Min; Haukka, Suvi; Tuominen, Marko

    2003-06-01

    The properties of WNxCy films deposited by atomic layer deposition (ALD) using WF6, NH3, and triethyl boron as source gases were characterized as a diffusion barrier for copper metallization. It is noted that the as-deposited film shows an extremely low resistivity of about 350 μΩ cm with a film density of 15.37 g/cm3. The film composition measured from Rutherford backscattering spectrometry shows W, C, and N of ˜48, 32, and 20 at. %, respectively. Transmission electron microscopy analyses show that the as-deposited film is composed of face-centered-cubic phase with a lattice parameter similar to both β-WC1-x and β-W2N with an equiaxed microstructure. The barrier property of this ALD-WNxCy film at a nominal thickness of 12 nm deposited between Cu and Si fails only after annealing at 700 °C for 30 min.

  6. Studies on transient characteristics of unipolar resistive switching processes in TiO2 thin film grown by atomic layer deposition

    Science.gov (United States)

    Sahu, Vikas Kumar; Das, Amit K.; Ajimsha, R. S.; Misra, P.

    2018-05-01

    The transient characteristics of resistive switching processes have been investigated in TiO2 thin films grown by atomic layer deposition (ALD) to study the temporal evolution of the switching processes and measure the switching times. The reset and set switching times of unipolar Au/TiO2/Pt devices were found to be ~250 µs and 180 ns, respectively in the voltage windows of 0.5–0.9 V for reset and 1.9–4.8 V for set switching processes, obtained from quasi-static measurements. The reset switching time decreased exponentially with increasing amplitude of applied reset voltage pulse, while the set switching time remained insensitive to the amplitude of the set voltage pulse. A fast reset process with a switching time of ~400 ns was achieved by applying a reset voltage of ~1.8 V, higher than that of the quasi-static reset voltage window but below the set voltage window. The sluggish reset process in TiO2 thin film and the dependence of the reset switching time on the amplitude of the applied voltage pulse was understood on the basis of a self-accelerated thermal dissolution model of conducting filaments (CFs), where a higher temperature of the CFs owing to enhanced Joule heating at a higher applied voltage imposes faster diffusion of oxygen vacancies, resulting in a shorter reset switching time. Our results clearly indicate that fast resistive switching with switching times in hundreds of nanoseconds can be achieved in ALD-grown TiO2 thin films. This may find applications in fast non-volatile unipolar resistive switching memories.

  7. Atomic Layer-Deposited TiO2 Coatings on NiTi Surface

    Science.gov (United States)

    Vokoun, D.; Racek, J.; Kadeřávek, L.; Kei, C. C.; Yu, Y. S.; Klimša, L.; Šittner, P.

    2018-02-01

    NiTi shape-memory alloys may release poisonous Ni ions at the alloys' surface. In an attempt to prepare a well-performing surface layer on an NiTi sample, the thermally grown TiO2 layer, which formed during the heat treatment of NiTi, was removed and replaced with a new TiO2 layer prepared using the atomic layer deposition (ALD) method. Using x-ray photoelectron spectroscopy, it was found that the ALD layer prepared at as low a temperature as 100 °C contained Ti in oxidation states + 4 and + 3. As for static corrosion properties of the ALD-coated NiTi samples, they further improved compared to those covered by thermally grown oxide. The corrosion rate of samples with thermally grown oxide was 1.05 × 10-5 mm/year, whereas the corrosion rate of the ALD-coated samples turned out to be about five times lower. However, cracking of the ALD coating occurred at about 1.5% strain during the superelastic mechanical loading in tension taking place via the propagation of a localized martensite band.

  8. Evaluation of atomic layer deposited alumina as a protective layer for domestic silver articles: Anti-corrosion test in artificial sweat

    Science.gov (United States)

    Park, Suk Won; Han, Gwon Deok; Choi, Hyung Jong; Prinz, Fritz B.; Shim, Joon Hyung

    2018-05-01

    This study evaluated the effectiveness of alumina fabricated by atomic layer deposition (ALD) as a protective coating for silver articles against the corrosion caused by body contact. An artificial sweat solution was used to simulate body contact. ALD alumina layers of varying thicknesses ranging from 20 to 80 nm were deposited on sputtered silver samples. The stability of the protective layer was evaluated by immersing the coated samples in the artificial sweat solution at 25 and 35 °C for 24 h. We confirmed that a sufficiently thick layer of ALD alumina is effective in protecting the shape and light reflectance of the underlying silver, whereas the uncoated bare silver is severely degraded by the artificial sweat solution. Inductively coupled plasma mass spectrometry and X-ray photoelectron spectroscopy were used for in-depth analyses of the chemical stability of the ALD-coated silver samples after immersion in the sweat solution.

  9. Atomic layer deposition of nanostructured materials

    CERN Document Server

    Pinna, Nicola

    2012-01-01

    Atomic layer deposition, formerly called atomic layer epitaxy, was developed in the 1970s to meet the needs of producing high-quality, large-area fl at displays with perfect structure and process controllability. Nowadays, creating nanomaterials and producing nanostructures with structural perfection is an important goal for many applications in nanotechnology. As ALD is one of the important techniques which offers good control over the surface structures created, it is more and more in the focus of scientists. The book is structured in such a way to fi t both the need of the expert reader (du

  10. Atomic layer deposition on polymer based flexible packaging materials: Growth characteristics and diffusion barrier properties

    International Nuclear Information System (INIS)

    Kaeaeriaeinen, Tommi O.; Maydannik, Philipp; Cameron, David C.; Lahtinen, Kimmo; Johansson, Petri; Kuusipalo, Jurkka

    2011-01-01

    One of the most promising areas for the industrial application of atomic layer deposition (ALD) is for gas barrier layers on polymers. In this work, a packaging material system with improved diffusion barrier properties has been developed and studied by applying ALD on flexible polymer based packaging materials. Nanometer scale metal oxide films have been applied to polymer-coated papers and their diffusion barrier properties have been studied by means of water vapor and oxygen transmission rates. The materials for the study were constructed in two stages: the paper was firstly extrusion coated with polymer film, which was then followed by the ALD deposition of oxide layer. The polymers used as extrusion coatings were polypropylene, low and high density polyethylene, polylactide and polyethylene terephthalate. Water vapor transmission rates (WVTRs) were measured according to method SCAN-P 22:68 and oxygen transmission rates (O 2 TRs) according to a standard ASTM D 3985. According to the results a 10 nm oxide layer already decreased the oxygen transmission by a factor of 10 compared to uncoated material. WVTR with 40 nm ALD layer was better than the level currently required for most common dry flexible packaging applications. When the oxide layer thickness was increased to 100 nm and above, the measured WVTRs were limited by the measurement set up. Using an ALD layer allowed the polymer thickness on flexible packaging materials to be reduced. Once the ALD layer was 40 nm thick, WVTRs and O 2 TRs were no longer dependent on polymer layer thickness. Thus, nanometer scale ALD oxide layers have shown their feasibility as high quality diffusion barriers on flexible packaging materials.

  11. Atomic layer deposition on polymer based flexible packaging materials: Growth characteristics and diffusion barrier properties

    Energy Technology Data Exchange (ETDEWEB)

    Kaeaeriaeinen, Tommi O., E-mail: tommi.kaariainen@lut.f [ASTRaL, Lappeenranta University of Technology, Prikaatinkatu 3 E, 50100 Mikkeli (Finland); Maydannik, Philipp, E-mail: philipp.maydannik@lut.f [ASTRaL, Lappeenranta University of Technology, Prikaatinkatu 3 E, 50100 Mikkeli (Finland); Cameron, David C., E-mail: david.cameron@lut.f [ASTRaL, Lappeenranta University of Technology, Prikaatinkatu 3 E, 50100 Mikkeli (Finland); Lahtinen, Kimmo, E-mail: kimmo.lahtinen@tut.f [Tampere University of Technology, Paper Converting and Packaging Technology, P.O. Box 541, 33101 Tampere (Finland); Johansson, Petri, E-mail: petri.johansson@tut.f [Tampere University of Technology, Paper Converting and Packaging Technology, P.O. Box 541, 33101 Tampere (Finland); Kuusipalo, Jurkka, E-mail: jurkka.kuusipalo@tut.f [Tampere University of Technology, Paper Converting and Packaging Technology, P.O. Box 541, 33101 Tampere (Finland)

    2011-03-01

    One of the most promising areas for the industrial application of atomic layer deposition (ALD) is for gas barrier layers on polymers. In this work, a packaging material system with improved diffusion barrier properties has been developed and studied by applying ALD on flexible polymer based packaging materials. Nanometer scale metal oxide films have been applied to polymer-coated papers and their diffusion barrier properties have been studied by means of water vapor and oxygen transmission rates. The materials for the study were constructed in two stages: the paper was firstly extrusion coated with polymer film, which was then followed by the ALD deposition of oxide layer. The polymers used as extrusion coatings were polypropylene, low and high density polyethylene, polylactide and polyethylene terephthalate. Water vapor transmission rates (WVTRs) were measured according to method SCAN-P 22:68 and oxygen transmission rates (O{sub 2}TRs) according to a standard ASTM D 3985. According to the results a 10 nm oxide layer already decreased the oxygen transmission by a factor of 10 compared to uncoated material. WVTR with 40 nm ALD layer was better than the level currently required for most common dry flexible packaging applications. When the oxide layer thickness was increased to 100 nm and above, the measured WVTRs were limited by the measurement set up. Using an ALD layer allowed the polymer thickness on flexible packaging materials to be reduced. Once the ALD layer was 40 nm thick, WVTRs and O{sub 2}TRs were no longer dependent on polymer layer thickness. Thus, nanometer scale ALD oxide layers have shown their feasibility as high quality diffusion barriers on flexible packaging materials.

  12. Unusual stoichiometry control in the atomic layer deposition of manganese borate films from manganese bis(tris(pyrazolyl)borate) and ozone

    International Nuclear Information System (INIS)

    Klesko, Joseph P.; Bellow, James A.; Saly, Mark J.; Winter, Charles H.; Julin, Jaakko; Sajavaara, Timo

    2016-01-01

    The atomic layer deposition (ALD) of films with the approximate compositions Mn 3 (BO 3 ) 2 and CoB 2 O 4 is described using MnTp 2 or CoTp 2 [Tp = tris(pyrazolyl)borate] with ozone. The solid state decomposition temperatures of MnTp 2 and CoTp 2 are ∼370 and ∼340 °C, respectively. Preparative-scale sublimations of MnTp 2 and CoTp 2 at 210 °C/0.05 Torr afforded >99% recoveries with <0.1% nonvolatile residues. Self-limited ALD growth was demonstrated at 325 °C for MnTp 2 or CoTp 2 with ozone as the coreactant. The growth rate for the manganese borate process was 0.19 Å/cycle within the ALD window of 300–350 °C. The growth rate for the cobalt borate process was 0.39–0.42 Å/cycle at 325 °C. X-ray diffraction of the as-deposited films indicated that they were amorphous. Atomic force microscopy of 35–36 nm thick manganese borate films grown within the 300–350 °C ALD window showed root mean square surface roughnesses of 0.4–0.6 nm. Film stoichiometries were assessed by x-ray photoelectron spectroscopy and time of flight-elastic recoil detection analysis. The differing film stoichiometries obtained from the very similar precursors MnTp 2 and CoTp 2 are proposed to arise from the oxidizing ability of the intermediate high valent manganese oxide layers and lack thereof for cobalt.

  13. Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition

    International Nuclear Information System (INIS)

    Shih, Huan-Yu; Chen, Miin-Jang; Lin, Ming-Chih; Chen, Liang-Yih

    2015-01-01

    The growth of uniform gallium nitride (GaN) thin films was reported on (100) Si substrate by remote plasma atomic layer deposition (RP-ALD) using triethylgallium (TEG) and NH 3 as the precursors. The self-limiting growth of GaN was manifested by the saturation of the deposition rate with the doses of TEG and NH 3 . The increase in the growth temperature leads to the rise of nitrogen content and improved crystallinity of GaN thin films, from amorphous at a low deposition temperature of 200 °C to polycrystalline hexagonal structures at a high growth temperature of 500 °C. No melting-back etching was observed at the GaN/Si interface. The excellent uniformity and almost atomic flat surface of the GaN thin films also infer the surface control mode of the GaN thin films grown by the RP-ALD technique. The GaN thin films grown by RP-ALD will be further applied in the light-emitting diodes and high electron mobility transistors on (100) Si substrate. (paper)

  14. Atomic layer deposition on nanoparticles in a rotary reactor

    Science.gov (United States)

    McCormick, Jarod Alan

    Challenges are encountered during atomic layer deposition (ALD) on large quantities of nanoparticles. The particles must be agitated or vigorously mixed to perform the ALD surface reactions in reasonable times and to prevent the particles from being agglomerated by the ALD film. The high surface area of nanoparticles also demands efficient reactant usage because large quantities of reactant are required for the surface reactions to reach completion. To address these challenges, a novel rotary reactor was developed to achieve constant particle agitation during static ALD reactant exposures. In the design of this new reactor, a cylindrical drum with porous metal walls was positioned inside a vacuum chamber. The porous cylindrical drum was rotated by a magnetically coupled rotary feedthrough. By rotating the cylindrical drum to obtain a centrifugal force of less than one gravitational force, the particles were agitated by a continuous "avalanche" of particles. The effectiveness of this rotary reactor was demonstrated by Al 2O3 ALD on ZrO2 particles. A number of techniques including transmission electron microscopy, Fourier transform infrared spectroscopy, scanning Auger spectroscopy and x-ray photoelectron spectroscopy confirmed that the Al2O3 ALD film conformally coats the ZrO 2 particles. Combining static reactant exposures with a very high surface area sample in the rotary reactor also provides unique opportunities for studying the surface chemistry during ALD. Sequential, subsaturating doses can be used to examine the self-limiting behavior of the ALD reactions in the rotary reactor. This dosing method is the first demonstration of self-limiting ALD on bulk quantities of nanoparticles. By combining these sequential, subsaturating doses with quadrupole mass spectrometry, ALD reactions can be analyzed from the gas phase using full mass spectrum analysis. The reaction products are present in a high enough concentration to discern a gas phase mechanism for reactions

  15. Atomic-layer-deposited WNxCy thin films as diffusion barrier for copper metallization

    International Nuclear Information System (INIS)

    Kim, Soo-Hyun; Oh, Su Suk; Kim, Ki-Bum; Kang, Dae-Hwan; Li, Wei-Min; Haukka, Suvi; Tuominen, Marko

    2003-01-01

    The properties of WN x C y films deposited by atomic layer deposition (ALD) using WF 6 , NH 3 , and triethyl boron as source gases were characterized as a diffusion barrier for copper metallization. It is noted that the as-deposited film shows an extremely low resistivity of about 350 μΩ cm with a film density of 15.37 g/cm 3 . The film composition measured from Rutherford backscattering spectrometry shows W, C, and N of ∼48, 32, and 20 at. %, respectively. Transmission electron microscopy analyses show that the as-deposited film is composed of face-centered-cubic phase with a lattice parameter similar to both β-WC 1-x and β-W 2 N with an equiaxed microstructure. The barrier property of this ALD-WN x C y film at a nominal thickness of 12 nm deposited between Cu and Si fails only after annealing at 700 deg. C for 30 min

  16. Dimethylaluminum hydride for atomic layer deposition of Al2O3 passivation for amorphous InGaZnO thin-film transistors

    Science.gov (United States)

    Corsino, Dianne C.; Bermundo, Juan Paolo S.; Fujii, Mami N.; Takahashi, Kiyoshi; Ishikawa, Yasuaki; Uraoka, Yukiharu

    2018-06-01

    Atomic layer deposition (ALD) of Al2O3 using dimethylaluminum hydride (DMAH) was demonstrated as an effective passivation for amorphous InGaZnO thin-film transistors (TFTs). Compared with the most commonly used precursor, trimethylaluminum, TFTs fabricated with DMAH showed improved stability, resulting from the lower amount of oxygen vacancies, and hence fewer trap sites, as shown by X-ray photoelectron spectroscopy (XPS) depth profiling analysis. We found that prolonged plasma exposure during ALD can eliminate the hump phenomenon, which is only present for DMAH. The higher Al2O3 deposition rate when using DMAH is in line with the requirements of emerging techniques, such as spatial ALD, for improving fabrication throughput.

  17. Simulation of atomic layer deposition on nanoparticle agglomerates

    NARCIS (Netherlands)

    Jin, W.; van Ommen, J.R.; Kleijn, C.R.

    2016-01-01

    Coated nanoparticles have many potential applications; production of large quantities is feasible by atomic layer deposition (ALD) on nanoparticles in a fluidized bed reactor. However, due to the cohesive interparticle forces, nanoparticles form large agglomerates, which influences the coating

  18. Numerical modeling of carrier gas flow in atomic layer deposition vacuum reactor: A comparative study of lattice Boltzmann models

    International Nuclear Information System (INIS)

    Pan, Dongqing; Chien Jen, Tien; Li, Tao; Yuan, Chris

    2014-01-01

    This paper characterizes the carrier gas flow in the atomic layer deposition (ALD) vacuum reactor by introducing Lattice Boltzmann Method (LBM) to the ALD simulation through a comparative study of two LBM models. Numerical models of gas flow are constructed and implemented in two-dimensional geometry based on lattice Bhatnagar–Gross–Krook (LBGK)-D2Q9 model and two-relaxation-time (TRT) model. Both incompressible and compressible scenarios are simulated and the two models are compared in the aspects of flow features, stability, and efficiency. Our simulation outcome reveals that, for our specific ALD vacuum reactor, TRT model generates better steady laminar flow features all over the domain with better stability and reliability than LBGK-D2Q9 model especially when considering the compressible effects of the gas flow. The LBM-TRT is verified indirectly by comparing the numerical result with conventional continuum-based computational fluid dynamics solvers, and it shows very good agreement with these conventional methods. The velocity field of carrier gas flow through ALD vacuum reactor was characterized by LBM-TRT model finally. The flow in ALD is in a laminar steady state with velocity concentrated at the corners and around the wafer. The effects of flow fields on precursor distributions, surface absorptions, and surface reactions are discussed in detail. Steady and evenly distributed velocity field contribute to higher precursor concentration near the wafer and relatively lower particle velocities help to achieve better surface adsorption and deposition. The ALD reactor geometry needs to be considered carefully if a steady and laminar flow field around the wafer and better surface deposition are desired

  19. Numerical modeling of carrier gas flow in atomic layer deposition vacuum reactor: A comparative study of lattice Boltzmann models

    Energy Technology Data Exchange (ETDEWEB)

    Pan, Dongqing; Chien Jen, Tien [Department of Mechanical Engineering, University of Wisconsin-Milwaukee, Milwaukee, Wisconsin 53201 (United States); Li, Tao [School of Mechanical Engineering, Dalian University of Technology, Dalian 116024 (China); Yuan, Chris, E-mail: cyuan@uwm.edu [Department of Mechanical Engineering, University of Wisconsin-Milwaukee, 3200 North Cramer Street, Milwaukee, Wisconsin 53211 (United States)

    2014-01-15

    This paper characterizes the carrier gas flow in the atomic layer deposition (ALD) vacuum reactor by introducing Lattice Boltzmann Method (LBM) to the ALD simulation through a comparative study of two LBM models. Numerical models of gas flow are constructed and implemented in two-dimensional geometry based on lattice Bhatnagar–Gross–Krook (LBGK)-D2Q9 model and two-relaxation-time (TRT) model. Both incompressible and compressible scenarios are simulated and the two models are compared in the aspects of flow features, stability, and efficiency. Our simulation outcome reveals that, for our specific ALD vacuum reactor, TRT model generates better steady laminar flow features all over the domain with better stability and reliability than LBGK-D2Q9 model especially when considering the compressible effects of the gas flow. The LBM-TRT is verified indirectly by comparing the numerical result with conventional continuum-based computational fluid dynamics solvers, and it shows very good agreement with these conventional methods. The velocity field of carrier gas flow through ALD vacuum reactor was characterized by LBM-TRT model finally. The flow in ALD is in a laminar steady state with velocity concentrated at the corners and around the wafer. The effects of flow fields on precursor distributions, surface absorptions, and surface reactions are discussed in detail. Steady and evenly distributed velocity field contribute to higher precursor concentration near the wafer and relatively lower particle velocities help to achieve better surface adsorption and deposition. The ALD reactor geometry needs to be considered carefully if a steady and laminar flow field around the wafer and better surface deposition are desired.

  20. Atomic Layer Deposition of Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma.

    Science.gov (United States)

    Knoops, Harm C M; Braeken, Eline M J; de Peuter, Koen; Potts, Stephen E; Haukka, Suvi; Pore, Viljami; Kessels, Wilhelmus M M

    2015-09-09

    Atomic layer deposition (ALD) of silicon nitride (SiNx) is deemed essential for a variety of applications in nanoelectronics, such as gate spacer layers in transistors. In this work an ALD process using bis(tert-butylamino)silane (BTBAS) and N2 plasma was developed and studied. The process exhibited a wide temperature window starting from room temperature up to 500 °C. The material properties and wet-etch rates were investigated as a function of plasma exposure time, plasma pressure, and substrate table temperature. Table temperatures of 300-500 °C yielded a high material quality and a composition close to Si3N4 was obtained at 500 °C (N/Si=1.4±0.1, mass density=2.9±0.1 g/cm3, refractive index=1.96±0.03). Low wet-etch rates of ∼1 nm/min were obtained for films deposited at table temperatures of 400 °C and higher, similar to that achieved in the literature using low-pressure chemical vapor deposition of SiNx at >700 °C. For novel applications requiring significantly lower temperatures, the temperature window from room temperature to 200 °C can be a solution, where relatively high material quality was obtained when operating at low plasma pressures or long plasma exposure times.

  1. Recent Progresses and Development of Advanced Atomic Layer Deposition towards High-Performance Li-Ion Batteries

    Science.gov (United States)

    Lu, Wei; Liang, Longwei; Sun, Xuan; Sun, Xiaofei; Wu, Chen; Hou, Linrui; Sun, Jinfeng

    2017-01-01

    Electrode materials and electrolytes play a vital role in device-level performance of rechargeable Li-ion batteries (LIBs). However, electrode structure/component degeneration and electrode-electrolyte sur-/interface evolution are identified as the most crucial obstacles in practical applications. Thanks to its congenital advantages, atomic layer deposition (ALD) methodology has attracted enormous attention in advanced LIBs. This review mainly focuses upon the up-to-date progress and development of the ALD in high-performance LIBs. The significant roles of the ALD in rational design and fabrication of multi-dimensional nanostructured electrode materials, and finely tailoring electrode-electrolyte sur-/interfaces are comprehensively highlighted. Furthermore, we clearly envision that this contribution will motivate more extensive and insightful studies in the ALD to considerably improve Li-storage behaviors. Future trends and prospects to further develop advanced ALD nanotechnology in next-generation LIBs were also presented. PMID:29036916

  2. Self-limiting growth of ZnO films on (0 0 0 1) sapphire substrates by atomic layer deposition at low temperatures using diethyl-zinc and nitrous oxide

    International Nuclear Information System (INIS)

    Lin, Yen-Ting; Chung, Ping-Han; Lai, Hung-Wei; Su, Hsin-Lun; Lyu, Dong-Yuan; Yen, Kuo-Yi; Lin, Tai-Yuan; Kung, Chung-Yuan; Gong, Jyh-Rong

    2009-01-01

    Atomic layer deposition (ALD) of zinc oxide (ZnO) films on (0 0 0 1) sapphire substrates was conducted at low temperatures by using diethyl-zinc (DEZn) and nitrous oxide (N 2 O) as precursors. It was found that a monolayer-by-monolayer growth regime occurred at 300 deg. C in a range of DEZn flow rates from 5.7 to 8.7 μmol/min. Furthermore, the temperature self-limiting process window for the ALD-grown ZnO films was also observed ranging from 290 to 310 deg. C. A deposition mechanism is proposed to explain how saturated growth of ZnO is achieved by using DEZn and N 2 O. Transmission spectroscopic studies of the ZnO films prepared in the self-limiting regime show that the transmittances of ZnO films are as high as 80% in visible and near infrared spectra. Experimental results indicate that ZnO films with high optical quality can be achieved by ALD at low temperatures using DEZn and N 2 O precursors.

  3. ALD-Developed Plasmonic Two-Dimensional Au-WO3-TiO2 Heterojunction Architectonics for Design of Photovoltaic Devices.

    Science.gov (United States)

    Karbalaei Akbari, Mohammad; Hai, Zhenyin; Wei, Zihan; Detavernier, Christophe; Solano, Eduardo; Verpoort, Francis; Zhuiykov, Serge

    2018-03-28

    Electrically responsive plasmonic devices, which benefit from the privilege of surface plasmon excited hot carries, have supported fascinating applications in the visible-light-assisted technologies. The properties of plasmonic devices can be tuned by controlling charge transfer. It can be attained by intentional architecturing of the metal-semiconductor (MS) interfaces. In this study, the wafer-scaled fabrication of two-dimensional (2D) TiO 2 semiconductors on the granular Au metal substrate is achieved using the atomic layer deposition (ALD) technique. The ALD-developed 2D MS heterojunctions exhibited substantial enhancement of the photoresponsivity and demonstrated the improvement of response time for 2D Au-TiO 2 -based plasmonic devices under visible light illumination. To circumvent the undesired dark current in the plasmonic devices, a 2D WO 3 nanofilm (∼0.7 nm) was employed as the intermediate layer on the MS interface to develop the metal-insulator-semiconductor (MIS) 2D heterostructure. As a result, 13.4% improvement of the external quantum efficiency was obtained for fabricated 2D Au-WO 3 -TiO 2 heterojunctions. The impedancometry measurements confirmed the modulation of charge transfer at the 2D MS interface using MIS architectonics. Broadband photoresponsivity from the UV to the visible light region was observed for Au-TiO 2 and Au-WO 3 -TiO 2 heterostructures, whereas near-infrared responsivity was not observed. Consequently, considering the versatile nature of the ALD technique, this approach can facilitate the architecturing and design of novel 2D MS and MIS heterojunctions for efficient plasmonic devices.

  4. Passivation Effect of Atomic Layer Deposition of Al2O3 Film on HgCdTe Infrared Detectors

    Science.gov (United States)

    Zhang, Peng; Ye, Zhen-Hua; Sun, Chang-Hong; Chen, Yi-Yu; Zhang, Tian-Ning; Chen, Xin; Lin, Chun; Ding, Ring-Jun; He, Li

    2016-09-01

    The passivation effect of atomic layer deposition of (ALD) Al2O3 film on a HgCdTe infrared detector was investigated in this work. The passivation effect of Al2O3 film was evaluated by measuring the minority carrier lifetime, capacitance versus voltage ( C- V) characteristics of metal-insulator-semiconductor devices, and resistance versus voltage ( R- V) characteristics of variable-area photodiodes. The minority carrier lifetime, C- V characteristics, and R- V characteristics of HgCdTe devices passivated by ALD Al2O3 film was comparable to those of HgCdTe devices passivated by e-beam evaporation of ZnS/CdTe film. However, the baking stability of devices passivated by Al2O3 film is inferior to that of devices passivated by ZnS/CdTe film. In future work, by optimizing the ALD Al2O3 film growing process and annealing conditions, it may be feasible to achieve both excellent electrical properties and good baking stability.

  5. Low temperature hydrogen plasma-assisted atomic layer deposition of copper studied using in situ infrared reflection absorption spectroscopy

    International Nuclear Information System (INIS)

    Chaukulkar, Rohan P.; Rai, Vikrant R.; Agarwal, Sumit; Thissen, Nick F. W.

    2014-01-01

    Atomic layer deposition (ALD) is an ideal technique to deposit ultrathin, conformal, and continuous metal thin films. However, compared to the ALD of binary materials such as metal oxides and metal nitrides, the surface reaction mechanisms during metal ALD are not well understood. In this study, the authors have designed and implemented an in situ reflection-absorption infrared spectroscopy (IRAS) setup to study the surface reactions during the ALD of Cu on Al 2 O 3 using Cu hexafluoroacetylacetonate [Cu(hfac) 2 ] and a remote H 2 plasma. Our infrared data show that complete ligand-exchange reactions occur at a substrate temperature of 80 °C in the absence of surface hydroxyl groups. Based on infrared data and previous studies, the authors propose that Cu(hfac) 2 dissociatively chemisorbs on the Al 2 O 3 surface, where the Al-O-Al bridge acts as the surface reactive site, leading to surface O-Cu-hfac and O-Al-hfac species. Surface saturation during the Cu(hfac) 2 half-cycle occurs through blocking of the available chemisorption sites. In the next half-reaction cycle, H radicals from an H 2 plasma completely remove these surface hfac ligands. Through this study, the authors have demonstrated the capability of in situ IRAS as a tool to study surface reactions during ALD of metals. While transmission and internal reflection infrared spectroscopy are limited to the first few ALD cycles, IRAS can be used to probe all stages of metal ALD starting from initial nucleation to the formation of a continuous film

  6. Passivation of pigment-grade TiO2 particles by nanothick atomic layer deposited SiO2 films

    International Nuclear Information System (INIS)

    King, David M; Liang Xinhua; Weimer, Alan W; Burton, Beau B; Akhtar, M Kamal

    2008-01-01

    Pigment-grade TiO 2 particles were passivated using nanothick insulating films fabricated by atomic layer deposition (ALD). Conformal SiO 2 and Al 2 O 3 layers were coated onto anatase and rutile powders in a fluidized bed reactor. SiO 2 films were deposited using tris-dimethylaminosilane (TDMAS) and H 2 O 2 at 500 deg. C. Trimethylaluminum and water were used as precursors for Al 2 O 3 ALD at 177 deg. C. The photocatalytic activity of anatase pigment-grade TiO 2 was decreased by 98% after the deposition of 2 nm SiO 2 films. H 2 SO 4 digest tests were performed to exhibit the pinhole-free nature of the coatings and the TiO 2 digest rate was 40 times faster for uncoated TiO 2 than SiO 2 coated over a 24 h period. Mass spectrometry was used to monitor reaction progress and allowed for dosing time optimization. These results demonstrate that the TDMAS-H 2 O 2 chemistry can deposit high quality, fully dense SiO 2 films on high radius of curvature substrates. Particle ALD is a viable passivation method for pigment-grade TiO 2 particles

  7. Electrical response of electron selective atomic layer deposited TiO2‑x heterocontacts on crystalline silicon substrates

    Science.gov (United States)

    Ahiboz, Doğuşcan; Nasser, Hisham; Aygün, Ezgi; Bek, Alpan; Turan, Raşit

    2018-04-01

    Integration of oxygen deficient sub-stoichiometric titanium dioxide (TiO2‑x) thin films as the electron transporting-hole blocking layer in solar cell designs are expected to reduce fabrication costs by eliminating high temperature processes while maintaining high conversion efficiencies. In this paper, we conducted a study to reveal the electrical properties of TiO2‑x thin films grown on crystalline silicon (c-Si) substrates by atomic layer deposition (ALD) technique. Effect of ALD substrate temperature, post deposition annealing, and doping type of the c-Si substrate on the interface states and TiO2‑x bulk properties were extracted by performing admittance (C-V, G-V) and current-voltage (J-V) measurements. Moreover, the asymmetry in C-V and J-V measurements between the p-n type and n-n TiO2‑x-c-Si heterojunction types were examined and the electron transport selectivity of TiO2‑x was revealed.

  8. Spatial atomic layer deposition on flexible porous substrates: ZnO on anodic aluminum oxide films and Al2O3 on Li ion battery electrodes

    International Nuclear Information System (INIS)

    Sharma, Kashish; Routkevitch, Dmitri; Varaksa, Natalia; George, Steven M.

    2016-01-01

    Spatial atomic layer deposition (S-ALD) was examined on flexible porous substrates utilizing a rotating cylinder reactor to perform the S-ALD. S-ALD was first explored on flexible polyethylene terephthalate polymer substrates to obtain S-ALD growth rates on flat surfaces. ZnO ALD with diethylzinc and ozone as the reactants at 50 °C was the model S-ALD system. ZnO S-ALD was then performed on nanoporous flexible anodic aluminum oxide (AAO) films. ZnO S-ALD in porous substrates depends on the pore diameter, pore aspect ratio, and reactant exposure time that define the gas transport. To evaluate these parameters, the Zn coverage profiles in the pores of the AAO films were measured using energy dispersive spectroscopy (EDS). EDS measurements were conducted for different reaction conditions and AAO pore geometries. Substrate speeds and reactant pulse durations were defined by rotating cylinder rates of 10, 100, and 200 revolutions per minute (RPM). AAO pore diameters of 10, 25, 50, and 100 nm were utilized with a pore length of 25 μm. Uniform Zn coverage profiles were obtained at 10 RPM and pore diameters of 100 nm. The Zn coverage was less uniform at higher RPM values and smaller pore diameters. These results indicate that S-ALD into porous substrates is feasible under certain reaction conditions. S-ALD was then performed on porous Li ion battery electrodes to test S-ALD on a technologically important porous substrate. Li 0.20 Mn 0.54 Ni 0.13 Co 0.13 O 2 electrodes on flexible metal foil were coated with Al 2 O 3 using 2–5 Al 2 O 3 ALD cycles. The Al 2 O 3 ALD was performed in the S-ALD reactor at a rotating cylinder rate of 10 RPM using trimethylaluminum and ozone as the reactants at 50 °C. The capacity of the electrodes was then tested versus number of charge–discharge cycles. These measurements revealed that the Al 2 O 3 S-ALD coating on the electrodes enhanced the capacity stability. This S-ALD process could be extended to roll-to-roll operation for

  9. Atomic Layer Deposited Thin Films for Dielectrics, Semiconductor Passivation, and Solid Oxide Fuel Cells

    Science.gov (United States)

    Xu, Runshen

    , ultra-thin layer of encapsulating ZnS is coated on the surface of GaSb and GaSb/InAs substrates. The 2 nm-thick ZnS film is found to provide a long-term protection against reoxidation for one order and a half longer times than prior reported passivation likely due to its amorphous structure without pinholes. Finally, a combination of binary ALD processes is developed and demonstrated for the growth of yttria-stabilized zirconia films using alkylamido-cyclopentadiengyls zirconium and tris(isopropyl-cyclopentadienyl)yttrium, as zirconium and yttrium precursors, respectively, with ozone being the oxidant. The desired cubic structure of YSZ films is apparently achieved after post-deposition annealing. Further, platinum is atomic layer deposited as electrode on YSZ (8 mol% of Yttria) within the same system. In order to control the morphology of as-deposited Pt thin structure, the nucleation behavior of Pt on amorphous and cubic YSZ is investigated. Three different morphologies of Pt are observed, including nanoparticle, porous and dense films, which are found to depend on the ALD cycle number and the structure and morphology of they underlying ALD YSZ films.

  10. Effects of Deposition Temperature on the Device Characteristics of Oxide Thin-Film Transistors Using In-Ga-Zn-O Active Channels Prepared by Atomic-Layer Deposition.

    Science.gov (United States)

    Yoon, Sung-Min; Seong, Nak-Jin; Choi, Kyujeong; Seo, Gi-Ho; Shin, Woong-Chul

    2017-07-12

    We demonstrated the physical and electrical properties of the In-Ga-Zn-O (IGZO) thin films prepared by atomic-layer deposition (ALD) method and investigated the effects of the ALD temperature. The film composition (atomic ratio of In:Ga:Zn) and film density were examined to be 1:1:3 and 5.9 g/cm 3 , respectively, for all the temperature conditions. The optical band gaps decreased from 3.81 to 3.21 eV when the ALD temperature increased from 130 to 170 °C. The amounts of oxygen-related defects such as oxygen vacancies increased with increasing the ALD temperature. It was found from the in situ temperature-dependent electrical conductivity measurements that the electronic natures including the defect structures and conduction mechanism of the IGZO thin films prepared at different temperatures showed marked variations. The carrier mobilities in the saturation regions (μ sat 's) for the fabricated thin film transistors (TFTs) using the IGZO channel layers were estimated to be 6.1 to 14.8 cm 2 V -1 s -1 with increasing the ALD temperature from 130 to 170 °C. Among the devices, when the ALD temperature was controlled to be 150 °C, the IGZO TFTs showed the best performance, which resulted from the fact that the amounts of oxygen vacancies and interstitial defects could be appropriately modulated at this condition. Consequently, the μ sat , subthreshold swing, and on/off ratio for the TFT using the IGZO channel prepared at 150 °C showed 10.4 cm 2 V -1 s -1 , 90 mV/dec, and 2 × 10 9 , respectively. The threshold voltage shifts of this device could also be effectively reduced to be 0.6 and -3.2 V under the positive-bias and negative-bias-illumination stress conditions. These obtained characteristics can be comparable to those for the sputter-deposited IGZO TFTs.

  11. Comparison of HfCl4, HfI4, TEMA-Hf, and TDMA-Hf as precursors in early growing stages of HfO2 films deposited by ALD: A DFT study

    Science.gov (United States)

    Cortez-Valadez, M.; Fierro, C.; Farias-Mancilla, J. R.; Vargas-Ortiz, A.; Flores-Acosta, M.; Ramírez-Bon, R.; Enriquez-Carrejo, J. L.; Soubervielle-Montalvo, C.; Mani-Gonzalez, P. G.

    2016-06-01

    The final structure of HfO2 films grown by atomic layer deposition (ALD) after reaction with OH- ions has been analyzed by DFT (density functional theory). The interaction of the precursors: HfCl4 (hafnium tetrachloride), HfI4 (hafnium tetraiodide), TEMA-Hf (tetrakis-ethylmethylamino hafnium), and TDMA-Hf (tetrakis-dimethylamino hafnium) with HO-H was studied employing the B3LYP (Becke 3-parameter, Lee-Yang-Parr) hybrid functional and the PBE (Perdew-Burke-Ernzerhof) generalized gradient functional. The structural evolution at the Si(100) surface has been analyzed by LDA (local density approximation). The structural parameters: bond length and bond angle, and the vibrational parameters for the optimized structures are also reported. The presence of hafnium silicate at the interface was detected. The infrared spectra and structural parameters obtained in this work agree with previously reported experimental results.

  12. Native oxide transport and removal during the atomic layer deposition of Ta{sub 2}O{sub 5} on InAs(100) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Henegar, Alex J.; Gougousi, Theodosia, E-mail: gougousi@umbc.edu [Department of Physics, UMBC, Baltimore, Maryland 21250 (United States)

    2016-05-15

    Atomic layer deposition (ALD) was used to deposit Ta{sub 2}O{sub 5} on etched and native oxide-covered InAs(100) using pentakis dimethyl amino tantalum and H{sub 2}O at 200–300 °C. The transport and removal of the native oxides during the ALD process was investigated using x-ray photoelectron spectroscopy (XPS). Depositions above 200 °C on etched surfaces protected the interface from reoxidation. On native oxide-covered surfaces, depositions resulted in enhanced native oxide removal at higher temperatures. The arsenic oxides were completely removed above 250 °C after 3 nm of film growth, but some of the As{sub 2}O{sub 3} remained in the film at lower temperatures. Angle-resolved and sputter depth profiling XPS confirmed indium and arsenic oxide migration into the Ta{sub 2}O{sub 5} film at deposition temperatures as low as 200 °C. Continuous removal of both arsenic and indium oxides was confirmed even after the deposition of several monolayers of a coalesced Ta{sub 2}O{sub 5} film, and it was demonstrated that native oxide transport is a prevalent component of the interface “clean-up” mechanism.

  13. Atomic layer deposition for nanostructured Li-ion batteries

    NARCIS (Netherlands)

    Knoops, H.C.M.; Donders, M.E.; Sanden, van de M.C.M.; Notten, P.H.L.; Kessels, W.M.M.

    2012-01-01

    Nanostructuring is targeted as a solution to achieve the improvements required for implementing Li-ion batteries in a wide range of applications. These applications range in size from electrical vehicles down to microsystems. Atomic layer deposition (ALD) could be an enabling technology for

  14. Enhanced photochemical catalysis of TiO2 inverse opals by modification with ZnO or Fe2O3 using ALD and the hydrothermal method

    Science.gov (United States)

    Liu, Jiatong; Sun, Cuifeng; Fu, Ming; Long, Jie; He, Dawei; Wang, Yongsheng

    2018-02-01

    The development of porous materials exhibiting photon regulation abilities for improved photoelectrochemical catalysis performance is always one of the important goals of solar energy harvesting. In this study, methods to improve the photocatalytic activity of TiO2 inverse opals were discussed. TiO2 inverse opals were prepared by atomic layer deposition (ALD) using colloidal crystal templates. In addition, TiO2 inverse opal heterostructures were fabricated using colloidal heterocrystals by repeated vertical deposition using different colloidal spheres. The hydrothermal method and ALD were used to prepare ZnO- or Fe2O3-modified TiO2 inverse opals on the internal surfaces of the TiO2 porous structures. Although the photonic reflection band was not significantly varied by oxide modification, the presence of Fe2O3 in the TiO2 inverse opals enhanced their visible absorption. The conformally modified oxides on the TiO2 inverse opals could also form energy barriers and avoid the recombination of electrons and holes. The fabrication of the TiO2 photonic crystal heterostructures and modification with ZnO or Fe2O3 can enhance the photocatalytic activity of TiO2 inverse opals.

  15. Room-Temperature Atomic Layer Deposition of Al2 O3 : Impact on Efficiency, Stability and Surface Properties in Perovskite Solar Cells.

    Science.gov (United States)

    Kot, Malgorzata; Das, Chittaranjan; Wang, Zhiping; Henkel, Karsten; Rouissi, Zied; Wojciechowski, Konrad; Snaith, Henry J; Schmeisser, Dieter

    2016-12-20

    In this work, solar cells with a freshly made CH 3 NH 3 PbI 3 perovskite film showed a power conversion efficiency (PCE) of 15.4 % whereas the one with 50 days aged perovskite film only 6.1 %. However, when the aged perovskite was covered with a layer of Al 2 O 3 deposited by atomic layer deposition (ALD) at room temperature (RT), the PCE value was clearly enhanced. X-ray photoelectron spectroscopy study showed that the ALD precursors are chemically active only at the perovskite surface and passivate it. Moreover, the RT-ALD-Al 2 O 3 -covered perovskite films showed enhanced ambient air stability. © 2016 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Atomic layer deposition in nanostructured photovoltaics: tuning optical, electronic and surface properties

    Science.gov (United States)

    Palmstrom, Axel F.; Santra, Pralay K.; Bent, Stacey F.

    2015-07-01

    Nanostructured materials offer key advantages for third-generation photovoltaics, such as the ability to achieve high optical absorption together with enhanced charge carrier collection using low cost components. However, the extensive interfacial areas in nanostructured photovoltaic devices can cause high recombination rates and a high density of surface electronic states. In this feature article, we provide a brief review of some nanostructured photovoltaic technologies including dye-sensitized, quantum dot sensitized and colloidal quantum dot solar cells. We then introduce the technique of atomic layer deposition (ALD), which is a vapor phase deposition method using a sequence of self-limiting surface reaction steps to grow thin, uniform and conformal films. We discuss how ALD has established itself as a promising tool for addressing different aspects of nanostructured photovoltaics. Examples include the use of ALD to synthesize absorber materials for both quantum dot and plasmonic solar cells, to grow barrier layers for dye and quantum dot sensitized solar cells, and to infiltrate coatings into colloidal quantum dot solar cell to improve charge carrier mobilities as well as stability. We also provide an example of monolayer surface modification in which adsorbed ligand molecules on quantum dots are used to tune the band structure of colloidal quantum dot solar cells for improved charge collection. Finally, we comment on the present challenges and future outlook of the use of ALD for nanostructured photovoltaics.

  17. Unusual stoichiometry control in the atomic layer deposition of manganese borate films from manganese bis(tris(pyrazolyl)borate) and ozone

    Energy Technology Data Exchange (ETDEWEB)

    Klesko, Joseph P.; Bellow, James A.; Saly, Mark J.; Winter, Charles H., E-mail: chw@chem.wayne.edu [Department of Chemistry, Wayne State University, Detroit, Michigan 48202 (United States); Julin, Jaakko; Sajavaara, Timo [Department of Physics, University of Jyväskylä, 40014 Jyväskylä (Finland)

    2016-09-15

    The atomic layer deposition (ALD) of films with the approximate compositions Mn{sub 3}(BO{sub 3}){sub 2} and CoB{sub 2}O{sub 4} is described using MnTp{sub 2} or CoTp{sub 2} [Tp = tris(pyrazolyl)borate] with ozone. The solid state decomposition temperatures of MnTp{sub 2} and CoTp{sub 2} are ∼370 and ∼340 °C, respectively. Preparative-scale sublimations of MnTp{sub 2} and CoTp{sub 2} at 210 °C/0.05 Torr afforded >99% recoveries with <0.1% nonvolatile residues. Self-limited ALD growth was demonstrated at 325 °C for MnTp{sub 2} or CoTp{sub 2} with ozone as the coreactant. The growth rate for the manganese borate process was 0.19 Å/cycle within the ALD window of 300–350 °C. The growth rate for the cobalt borate process was 0.39–0.42 Å/cycle at 325 °C. X-ray diffraction of the as-deposited films indicated that they were amorphous. Atomic force microscopy of 35–36 nm thick manganese borate films grown within the 300–350 °C ALD window showed root mean square surface roughnesses of 0.4–0.6 nm. Film stoichiometries were assessed by x-ray photoelectron spectroscopy and time of flight-elastic recoil detection analysis. The differing film stoichiometries obtained from the very similar precursors MnTp{sub 2} and CoTp{sub 2} are proposed to arise from the oxidizing ability of the intermediate high valent manganese oxide layers and lack thereof for cobalt.

  18. Atomic Layer Deposited MoS2 as a Carbon and Binder Free Anode in Li-ion Battery

    International Nuclear Information System (INIS)

    Nandi, Dip K; Sen, Uttam K; Choudhury, Devika; Mitra, Sagar; Sarkar, Shaibal K

    2014-01-01

    Molybdenum sulfide is deposited by atomic layer deposition (ALD) using molybdenum hexacarbonyl and hydrogen sulfide. Film growth is studied using in-situ quartz crystal microbalance, ex-situ X-ray reflectivity and ellipsometry. Deposition chemistry is further investigated with in-situ Fourier transform infrared spectroscopy. Self-limiting nature of the reaction is observed, typical of ALD. Saturated growth rate of 2.5 Å per cycle at 170 °C is obtained. As-deposited films are found amorphous in nature. As-grown films are tested as lithium-ion battery anode under half cell configuration. Electrochemical charge-discharge measurements demonstrate a stable cyclic performance with good capacity retention. Discharge capacity of 851 mAh g −1 is obtained after 50 cycles which corresponds to 77% of capacity retention of the initial capacity

  19. Atomic Layer Deposition of SnO2 on MXene for Li-Ion Battery Anodes

    KAUST Repository

    Ahmed, Bilal

    2017-02-24

    In this report, we show that oxide battery anodes can be grown on two-dimensional titanium carbide sheets (MXenes) by atomic layer deposition. Using this approach, we have fabricated a composite SnO2/MXene anode for Li-ion battery applications. The SnO2/MXene anode exploits the high Li-ion capacity offered by SnO2, while maintaining the structural and mechanical integrity by the conductive MXene platform. The atomic layer deposition (ALD) conditions used to deposit SnO2 on MXene terminated with oxygen, fluorine, and hydroxyl-groups were found to be critical for preventing MXene degradation during ALD. We demonstrate that SnO2/MXene electrodes exhibit excellent electrochemical performance as Li-ion battery anodes, where conductive MXene sheets act to buffer the volume changes associated with lithiation and delithiation of SnO2. The cyclic performance of the anodes is further improved by depositing a very thin passivation layer of HfO2, in the same ALD reactor, on the SnO2/MXene anode. This is shown by high-resolution transmission electron microscopy to also improve the structural integrity of SnO2 anode during cycling. The HfO2 coated SnO2/MXene electrodes demonstrate a stable specific capacity of 843 mAh/g when used as Li-ion battery anodes.

  20. Coating and functionalization of high density ion track structures by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mättö, Laura [Department of Physics, University of Jyväskylä, P.O. Box 35 (YFL), FI-40014 (Finland); Szilágyi, Imre M., E-mail: imre.szilagyi@mail.bme.hu [Department of Inorganic and Analytical Chemistry, Budapest University of Technology and Economics, Szent Gellért tér 4, Budapest H-1111 (Hungary); MTA-BME Technical Analytical Research Group, Szent Gellért tér 4, Budapest H-1111 (Hungary); Department of Chemistry, University of Helsinki, P.O. Box 55, Helsinki FI-00014 (Finland); Laitinen, Mikko [Department of Physics, University of Jyväskylä, P.O. Box 35 (YFL), FI-40014 (Finland); Ritala, Mikko; Leskelä, Markku [Department of Chemistry, University of Helsinki, P.O. Box 55, Helsinki FI-00014 (Finland); Sajavaara, Timo [Department of Physics, University of Jyväskylä, P.O. Box 35 (YFL), FI-40014 (Finland)

    2016-10-01

    In this study flexible TiO{sub 2} coated porous Kapton membranes are presented having electron multiplication properties. 800 nm crossing pores were fabricated into 50 μm thick Kapton membranes using ion track technology and chemical etching. Consecutively, 50 nm TiO{sub 2} films were deposited into the pores of the Kapton membranes by atomic layer deposition using Ti({sup i}OPr){sub 4} and water as precursors at 250 °C. The TiO{sub 2} films and coated membranes were studied by scanning electron microscopy (SEM), X-ray diffraction (XRD) and X-ray reflectometry (XRR). Au metal electrode fabrication onto both sides of the coated foils was achieved by electron beam evaporation. The electron multipliers were obtained by joining two coated membranes separated by a conductive spacer. The results show that electron multiplication can be achieved using ALD-coated flexible ion track polymer foils. - Highlights: • Porous Kapton membranes were obtained by ion track technology and chemical etching. • TiO{sub 2} films were deposited by ALD into the pores of the Kapton membranes. • TiO{sub 2} nanotube array was prepared by removing the polymer core. • MCP structures were obtained from the coated membranes. • Electron multiplication was achieved using the ALD-coated Kapton foils.

  1. Enhanced Light Stability of InGaZnO Thin-Film Transistors by Atomic-Layer-Deposited Y2O3 with Ozone.

    Science.gov (United States)

    Jung, Hanearl; Kim, Woo-Hee; Park, Bo-Eun; Woo, Whang Je; Oh, Il-Kwon; Lee, Su Jeong; Kim, Yun Cheol; Myoung, Jae-Min; Gatineau, Satoko; Dussarrat, Christian; Kim, Hyungjun

    2018-01-17

    We report the effect of Y 2 O 3 passivation by atomic layer deposition (ALD) using various oxidants, such as H 2 O, O 2 plasma, and O 3 , on In-Ga-Zn-O thin-film transistors (IGZO TFTs). A large negative shift in the threshold voltage (V th ) was observed in the case of the TFT subjected to the H 2 O-ALD Y 2 O 3 process; this shift was caused by a donor effect of negatively charged chemisorbed H 2 O molecules. In addition, degradation of the IGZO TFT device performance after the O 2 plasma-ALD Y 2 O 3 process (field-effect mobility (μ) = 8.7 cm 2 /(V·s), subthreshold swing (SS) = 0.77 V/dec, and V th = 3.7 V) was observed, which was attributed to plasma damage on the IGZO surface adversely affecting the stability of the TFT under light illumination. In contrast, the O 3 -ALD Y 2 O 3 process led to enhanced device stability under light illumination (ΔV th = -1 V after 3 h of illumination) by passivating the subgap defect states in the IGZO surface region. In addition, TFTs with a thicker IGZO film (55 nm, which was the optimum thickness under the current investigation) showed more stable device performance than TFTs with a thinner IGZO film (30 nm) (ΔV th = -0.4 V after 3 h of light illumination) by triggering the recombination of holes diffusing from the IGZO surface to the insulator-channel interface. Therefore, we envisioned that the O 3 -ALD Y 2 O 3 passivation layer suggested in this paper can improve the photostability of TFTs under light illumination.

  2. Ge/IIIV fin field-effect transistor common gate process and numerical simulations

    Science.gov (United States)

    Chen, Bo-Yuan; Chen, Jiann-Lin; Chu, Chun-Lin; Luo, Guang-Li; Lee, Shyong; Chang, Edward Yi

    2017-04-01

    This study investigates the manufacturing process of thermal atomic layer deposition (ALD) and analyzes its thermal and physical mechanisms. Moreover, experimental observations and computational fluid dynamics (CFD) are both used to investigate the formation and deposition rate of a film for precisely controlling the thickness and structure of the deposited material. First, the design of the TALD system model is analyzed, and then CFD is used to simulate the optimal parameters, such as gas flow and the thermal, pressure, and concentration fields, in the manufacturing process to assist the fabrication of oxide-semiconductors and devices based on them, and to improve their characteristics. In addition, the experiment applies ALD to grow films on Ge and GaAs substrates with three-dimensional (3-D) transistors having high electric performance. The electrical analysis of dielectric properties, leakage current density, and trapped charges for the transistors is conducted by high- and low-frequency measurement instruments to determine the optimal conditions for 3-D device fabrication. It is anticipated that the competitive strength of such devices in the semiconductor industry will be enhanced by the reduction of cost and improvement of device performance through these optimizations.

  3. Biofunctionalization of carbon nanotubes/chitosan hybrids on Ti implants by atom layer deposited ZnO nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, Yizhou; Liu, Xiangmei [Hubei Collaborative Innovation Center for Advanced Organic Chemical Materials, Ministry-of-Education Key Laboratory for the Green Preparation and Application of Functional Materials, Hubei Key Laboratory of Polymer Materials, School of Materials Science & Engineering, Hubei University, Wuhan 430062 (China); Yeung, Kelvin W.K. [Division of Spine Surgery, Department of Orthopaedics & Traumatology, Li Ka Shing Faculty of Medicine, The University of Hong Kong, Pokfulam, Hong Kong (China); Chu, Paul K. [Department of Physics & Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China); Wu, Shuilin, E-mail: shuilin.wu@gmail.com [Hubei Collaborative Innovation Center for Advanced Organic Chemical Materials, Ministry-of-Education Key Laboratory for the Green Preparation and Application of Functional Materials, Hubei Key Laboratory of Polymer Materials, School of Materials Science & Engineering, Hubei University, Wuhan 430062 (China)

    2017-04-01

    Highlights: • Carbon naonotubes/chitosan/ZnO coating was first constructed on Ti implants. • This system endowed Ti implants with excellent self-antibacterial activity. • The amount of Zn could be precisely controlled by atom layer deposition. • This system could regulate cell behaviors on metallic implants. - Abstract: One-dimensional (1D) nanostructures of ZnO using atomic layer deposition (ALD) on chitosan (CS) modified carbon nanotubes (CNTs) were first introduced onto the surfaces of biomedical implants. When the content of ZnO is not sufficient, CNTs can strengthen the antibacterial activity against E. coli and S. aureus by 8% and 39%, respectively. CS can improve the cytocompatibility of CNTs and ZnO. The amount of Zn content can be controlled by changing the cycling numbers of ALD processes. This hybrid coating can not only endow medical implants with high self-antibacterial efficacy against Escherichia coli (E. coli) and Staphylococcus aureus (S. aureus) of over 73% and 98%, respectively, but also regulate the proliferation and osteogenic differentiation of osteoblasts by controlling the amount of ZnO.

  4. Biofunctionalization of carbon nanotubes/chitosan hybrids on Ti implants by atom layer deposited ZnO nanostructures

    International Nuclear Information System (INIS)

    Zhu, Yizhou; Liu, Xiangmei; Yeung, Kelvin W.K.; Chu, Paul K.; Wu, Shuilin

    2017-01-01

    Highlights: • Carbon naonotubes/chitosan/ZnO coating was first constructed on Ti implants. • This system endowed Ti implants with excellent self-antibacterial activity. • The amount of Zn could be precisely controlled by atom layer deposition. • This system could regulate cell behaviors on metallic implants. - Abstract: One-dimensional (1D) nanostructures of ZnO using atomic layer deposition (ALD) on chitosan (CS) modified carbon nanotubes (CNTs) were first introduced onto the surfaces of biomedical implants. When the content of ZnO is not sufficient, CNTs can strengthen the antibacterial activity against E. coli and S. aureus by 8% and 39%, respectively. CS can improve the cytocompatibility of CNTs and ZnO. The amount of Zn content can be controlled by changing the cycling numbers of ALD processes. This hybrid coating can not only endow medical implants with high self-antibacterial efficacy against Escherichia coli (E. coli) and Staphylococcus aureus (S. aureus) of over 73% and 98%, respectively, but also regulate the proliferation and osteogenic differentiation of osteoblasts by controlling the amount of ZnO.

  5. Performance of inverted polymer solar cells with randomly oriented ZnO nanorods coupled with atomic layer deposited ZnO

    Energy Technology Data Exchange (ETDEWEB)

    Zafar, Muhammad [School of Chemical Engineering, Chonnam National University, 300 Youngbong-dong, Gwangju 500-757 (Korea, Republic of); Yun, Ju-Young [Center for Vacuum, Korea Research Institute of Standards and Science, 267 Gajeong-ro, Daejeon 305-600 (Korea, Republic of); Kim, Do-Heyoung, E-mail: kdhh@chonnam.ac.kr [School of Chemical Engineering, Chonnam National University, 300 Youngbong-dong, Gwangju 500-757 (Korea, Republic of)

    2017-03-15

    Highlights: • Hydrothermally grown, randomly oriented, and low areal density ZnO nanorods have been successfully adopted as the electron transport layer in inverted organic solar cells. • The addition of atomic layer deposited ZnO on the ZnO nanorods effectively enhance the photovoltaic performances of inverted organic solar cells. • The inverted organic solar cells with 5 nm thick-ALD ZnO showed the highest power conversion efficiency of 3.08%, which is an enhancement of approximately 80% compared to the cells without the ALD ZnO layer (PCE = 1.67%). - Abstract: Nanostructuring of the electron transport layer (ETL) in organic photovoltaic cells (OPV) is of great interest because it increases the surface area of the cell and electron transport. In this work, hydrothermally grown, randomly oriented, and low areal density ZnO nanorods (NRs) have been adopted as the ETL, and the effect of adding atomic layer deposited (ALD) ZnO on the ZnO NRs on the inverted organic solar cell performance has been investigated. The fabricated inverted organic solar cell with 5-nm-thick ALD-ZnO grown on the ZnO NRs showed the highest power conversion efficiency (PCE) of 3.08%, which is an enhancement of 85% from that of the cell without ALD-ZnO (PCE = 1.67%). The ultrathin ALD-ZnO was found to act as a curing layer of the surface defects on the hydrothermally grown ZnO NRs, resulting in an improvement in photovoltaic performance.

  6. Performance of inverted polymer solar cells with randomly oriented ZnO nanorods coupled with atomic layer deposited ZnO

    International Nuclear Information System (INIS)

    Zafar, Muhammad; Yun, Ju-Young; Kim, Do-Heyoung

    2017-01-01

    Highlights: • Hydrothermally grown, randomly oriented, and low areal density ZnO nanorods have been successfully adopted as the electron transport layer in inverted organic solar cells. • The addition of atomic layer deposited ZnO on the ZnO nanorods effectively enhance the photovoltaic performances of inverted organic solar cells. • The inverted organic solar cells with 5 nm thick-ALD ZnO showed the highest power conversion efficiency of 3.08%, which is an enhancement of approximately 80% compared to the cells without the ALD ZnO layer (PCE = 1.67%). - Abstract: Nanostructuring of the electron transport layer (ETL) in organic photovoltaic cells (OPV) is of great interest because it increases the surface area of the cell and electron transport. In this work, hydrothermally grown, randomly oriented, and low areal density ZnO nanorods (NRs) have been adopted as the ETL, and the effect of adding atomic layer deposited (ALD) ZnO on the ZnO NRs on the inverted organic solar cell performance has been investigated. The fabricated inverted organic solar cell with 5-nm-thick ALD-ZnO grown on the ZnO NRs showed the highest power conversion efficiency (PCE) of 3.08%, which is an enhancement of 85% from that of the cell without ALD-ZnO (PCE = 1.67%). The ultrathin ALD-ZnO was found to act as a curing layer of the surface defects on the hydrothermally grown ZnO NRs, resulting in an improvement in photovoltaic performance.

  7. Atomic Layer Deposition of Pt Nanoparticles within the Cages of MIL-101: A Mild and Recyclable Hydrogenation Catalyst

    Directory of Open Access Journals (Sweden)

    Karen Leus

    2016-03-01

    Full Text Available We present the in situ synthesis of Pt nanoparticles within MIL-101-Cr (MIL = Materials Institute Lavoisier by means of atomic layer deposition (ALD. The obtained Pt@MIL-101 materials were characterized by means of N2 adsorption and X-ray powder diffraction (XRPD measurements, showing that the structure of the metal organic framework was well preserved during the ALD deposition. X-ray fluorescence (XRF and transmission electron microscopy (TEM analysis confirmed the deposition of highly dispersed Pt nanoparticles with sizes determined by the MIL-101-Cr pore sizes and with an increased Pt loading for an increasing number of ALD cycles. The Pt@MIL-101 material was examined as catalyst in the hydrogenation of different linear and cyclic olefins at room temperature, showing full conversion for each substrate. Moreover, even under solvent free conditions, full conversion of the substrate was observed. A high concentration test has been performed showing that the Pt@MIL-101 is stable for a long reaction time without loss of activity, crystallinity and with very low Pt leaching.

  8. Atomic layer deposition of VO{sub 2} films with Tetrakis-dimethyl-amino vanadium (IV) as vanadium precursor

    Energy Technology Data Exchange (ETDEWEB)

    Lv, Xinrui [Key Laboratory of Inorganic Coating Materials CAS, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 201800 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Cao, Yunzhen, E-mail: yzhcao@mail.sic.ac.cn [Key Laboratory of Inorganic Coating Materials CAS, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 201800 (China); Yan, Lu; Li, Ying; Song, Lixin [Key Laboratory of Inorganic Coating Materials CAS, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 201800 (China)

    2017-02-28

    Highlights: • VO{sub 2} film was easily deposited by ALD using novel vanadium precursor V(NMe{sub 2}){sub 4}. • Deposition and annealing condition were systematically investigated. • Comparable transition properties of VO{sub 2} film on resistance and spectral transmittance were studied. - Abstract: VO{sub 2} thin films have been grown on Si(100) (VO{sub 2}/Si) and fused silica substrates (VO{sub 2}/SiO{sub 2}) by atomic layer deposition (ALD) using tetrakis-dimethyl-amino vanadium (IV) (TDMAV) as a novel vanadium precursor and water as reactant gas. The quartz crystal microbalance (QCM) measurement was performed to study the ALD process of VO{sub 2} thin film deposition, and a constant growth rate of about 0.95 Å/cycle was obtained at the temperature range of 150–200 °C. XRD measurement was performed to study the influence of deposition temperature and post-annealing condition on the crystallization of VO{sub 2} films, which indicated that the films deposited between 150 and 200 °C showed well crystallinity after annealing at 475 °C for 100 min in Ar atmosphere. XPS measurement verified that the vanadium oxidation state was 4+ for both as-deposited film and post-annealed VO{sub 2}/Si film. AFM was applied to study the surface morphology of VO{sub 2}/Si films, which showed a dense polycrystalline film with roughness of about 1 nm. The resistance of VO{sub 2}/Si films deposited between 150 °C and 200 °C as a function of temperature showed similar semiconductor-to-metal transition (SMT) characters with the transition temperature for heating branch (T{sub c,h}) of about 72 °C, a hysteresis width of about 10 °C and the resistance change of two orders of magnitude. The increase of T{sub c,h} compared with the bulk VO{sub 2} (68 °C) may be attributed to the tensile stress along the c-axis in the film. Transmittance measurement of VO{sub 2}/SiO{sub 2} films showed typical thermochromic property with a NIR switching efficiency of above 50% at 2 μm across

  9. Low-temperature atomic layer deposition of MoS{sub 2} films

    Energy Technology Data Exchange (ETDEWEB)

    Jurca, Titel; Wang, Binghao; Tan, Jeffrey M.; Lohr, Tracy L.; Marks, Tobin J. [Department of Chemistry and the Materials Research Center, Northwestern University, Evanston, IL (United States); Moody, Michael J.; Henning, Alex; Emery, Jonathan D.; Lauhon, Lincoln J. [Department of Materials Science and Engineering, and the Materials Research Center, Northwestern University, Evanston, IL (United States)

    2017-04-24

    Wet chemical screening reveals the very high reactivity of Mo(NMe{sub 2}){sub 4} with H{sub 2}S for the low-temperature synthesis of MoS{sub 2}. This observation motivated an investigation of Mo(NMe{sub 2}){sub 4} as a volatile precursor for the atomic layer deposition (ALD) of MoS{sub 2} thin films. Herein we report that Mo(NMe{sub 2}){sub 4} enables MoS{sub 2} film growth at record low temperatures - as low as 60 C. The as-deposited films are amorphous but can be readily crystallized by annealing. Importantly, the low ALD growth temperature is compatible with photolithographic and lift-off patterning for the straightforward fabrication of diverse device structures. (copyright 2017 Wiley-VCH Verlag GmbH and Co. KGaA, Weinheim)

  10. Effect of atomic layer deposition coatings on the surface structure of anodic aluminum oxide membranes.

    Science.gov (United States)

    Xiong, Guang; Elam, Jeffrey W; Feng, Hao; Han, Catherine Y; Wang, Hsien-Hau; Iton, Lennox E; Curtiss, Larry A; Pellin, Michael J; Kung, Mayfair; Kung, Harold; Stair, Peter C

    2005-07-28

    Anodic aluminum oxide (AAO) membranes were characterized by UV Raman and FT-IR spectroscopies before and after coating the entire surface (including the interior pore walls) of the AAO membranes by atomic layer deposition (ALD). UV Raman reveals the presence of aluminum oxalate in bulk AAO, both before and after ALD coating with Al2O3, because of acid anion incorporation during the anodization process used to produce AAO membranes. The aluminum oxalate in AAO exhibits remarkable thermal stability, not totally decomposing in air until exposed to a temperature >900 degrees C. ALD was used to cover the surface of AAO with either Al2O3 or TiO2. Uncoated AAO have FT-IR spectra with two separate types of OH stretches that can be assigned to isolated OH groups and hydrogen-bonded surface OH groups, respectively. In contrast, AAO surfaces coated by ALD with Al2O3 display a single, broad band of hydrogen-bonded OH groups. AAO substrates coated with TiO2 show a more complicated behavior. UV Raman results show that very thin TiO2 coatings (1 nm) are not stable upon annealing to 500 degrees C. In contrast, thicker coatings can totally cover the contaminated alumina surface and are stable at temperatures in excess of 500 degrees C.

  11. Atomic Layer Deposition on Porous Materials: Problems with Conventional Approaches to Catalyst and Fuel Cell Electrode Preparation

    Directory of Open Access Journals (Sweden)

    Tzia Ming Onn

    2018-03-01

    Full Text Available Atomic layer deposition (ALD offers exciting possibilities for controlling the structure and composition of surfaces on the atomic scale in heterogeneous catalysts and solid oxide fuel cell (SOFC electrodes. However, while ALD procedures and equipment are well developed for applications involving flat surfaces, the conditions required for ALD in porous materials with a large surface area need to be very different. The materials (e.g., rare earths and other functional oxides that are of interest for catalytic applications will also be different. For flat surfaces, rapid cycling, enabled by high carrier-gas flow rates, is necessary in order to rapidly grow thicker films. By contrast, ALD films in porous materials rarely need to be more than 1 nm thick. The elimination of diffusion gradients, efficient use of precursors, and ligand removal with less reactive precursors are the major factors that need to be controlled. In this review, criteria will be outlined for the successful use of ALD in porous materials. Examples of opportunities for using ALD to modify heterogeneous catalysts and SOFC electrodes will be given.

  12. Atomic Layer Deposition to Enable the Production, Optimization and Protection of Spaceflight Hardware

    Data.gov (United States)

    National Aeronautics and Space Administration — Atomic Layer Deposition (ALD) a cost effective nano-manufacturing technique allows for the conformal coating of substrates with atomic control in a benign...

  13. Electrocatalytic activity of atomic layer deposited Pt-Ru catalysts onto N-doped carbon nanotubes

    NARCIS (Netherlands)

    Johansson, A.-C.; Larsen, J.V.; Verheijen, M.A.; Haugshøj, K.B.; Clausen, H.; Kessels, W.M.M.; Christensen, L.H.; Thomsen, E.V.

    2014-01-01

    Pt-Ru catalysts of various compositions, between 0 and 100 at.% of Ru, were deposited onto N-doped multi-walled carbon nanotubes (N-CNTs) by atomic layer deposition (ALD) at 250 C. The Pt and Ru precursors were trimethyl(methylcyclopentadienyl)platinum (MeCpPtMe3) and

  14. Atomic layer deposition of TiO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Tallarida, Massimo; Dessmann, Nils; Staedter, Matthias; Friedrich, Daniel; Michling, Marcel; Schmeisser, Dieter [BTU-Cottbus, Konrad-Wachsmann-Allee 17, 03046 Cottbus (Germany)

    2011-07-01

    We present a study of the initial growth of TiO{sub 2} on Si(111) by atomic layer deposition (ALD). The Si substrate was etched with NH{sub 4}F before ALD to remove the native oxide film and to produce a Si-H termination. In-situ experiments by means of photoemission and X-ray absorption spectroscopy were conducted with synchrotron radiation on Ti-oxide films produced using Ti-tetra-iso-propoxide (TTIP) and water as precursors. O 1s, Ti 2p, C 1s, and S i2p core level, and O 1s and Ti 2p absorption edges show the transition of the Ti-oxide properties during the first layers. The growth starts with a very small growth rate (0.03 nm/cycle) due to the growth inhibition of the Si-H termination and proceeds with higher growth rate (0.1 nm/cycle) after 1.5 nm Ti-oxide has been deposited.

  15. Optical, Electrical, and Crystal Properties of TiO2 Thin Films Grown by Atomic Layer Deposition on Silicon and Glass Substrates

    Science.gov (United States)

    Kupa, I.; Unal, Y.; Cetin, S. S.; Durna, L.; Topalli, K.; Okyay, A. K.; Ates, H.

    2018-05-01

    TiO2 thin films have been deposited on glass and Si(100) by atomic layer deposition (ALD) technique using tetrakis(diethylamido)titanium(IV) and water vapor as reactants. Thorough investigation of the properties of the TiO2/glass and TiO2/Si thin films was carried out, varying the deposition temperature in the range from 100°C to 250°C while keeping the number of reaction cycles fixed at 1000. Physical and material property analyses were performed to investigate optical and electrical properties, composition, structure, and morphology. TiO2 films grown by ALD may represent promising materials for future applications in optoelectronic devices.

  16. Excellent c-Si surface passivation by thermal atomic layer deposited aluminum oxide after industrial firing activation

    International Nuclear Information System (INIS)

    Liao, B; Stangl, R; Ma, F; Mueller, T; Lin, F; Aberle, A G; Bhatia, C S; Hoex, B

    2013-01-01

    We demonstrate that by using a water (H 2 O)-based thermal atomic layer deposited (ALD) aluminum oxide (Al 2 O 3 ) film, excellent surface passivation can be attained on planar low-resistivity silicon wafers. Effective carrier lifetime values of up to 12 ms and surface recombination velocities as low as 0.33 cm s −1 are achieved on float-zone wafers after a post-deposition thermal activation of the Al 2 O 3 passivation layer. This post-deposition activation is achieved using an industrial high-temperature firing process which is commonly used for contact formation of standard screen-printed silicon solar cells. Neither a low-temperature post-deposition anneal nor a silicon nitride capping layer is required in this case. Deposition temperatures in the 100–400 °C range and peak firing temperatures of about 800 °C (set temperature) are investigated. Photoluminescence imaging shows that the surface passivation is laterally uniform. Corona charging and capacitance–voltage measurements reveal that the negative fixed charge density near the AlO x /c-Si interface increases from 1.4 × 10 12 to 3.3 × 10 12 cm −2 due to firing, while the midgap interface defect density reduces from 3.3 × 10 11 to 0.8 × 10 11 cm −2 eV −1 . This work demonstrates that direct firing activation of thermal ALD Al 2 O 3 is feasible, which could be beneficial for solar cell manufacturing. (paper)

  17. Tuning the mechanical properties of vertical graphene sheets through atomic layer deposition

    International Nuclear Information System (INIS)

    Davami, Keivan; Jiang, Yijie; Cortes, John; Lin, Chen; Turner, Kevin T; Bargatin, Igor; Shaygan, Mehrdad

    2016-01-01

    We report the fabrication and characterization of graphene nanostructures with mechanical properties that are tuned by conformal deposition of alumina. Vertical graphene (VG) sheets, also called carbon nanowalls (CNWs), were grown on copper foil substrates using a radio-frequency plasma-enhanced chemical vapor deposition (RF-PECVD) technique and conformally coated with different thicknesses of alumina (Al_2O_3) using atomic layer deposition (ALD). Nanoindentation was used to characterize the mechanical properties of pristine and alumina-coated VG sheets. Results show a significant increase in the effective Young’s modulus of the VG sheets with increasing thickness of deposited alumina. Deposition of only a 5 nm thick alumina layer on the VG sheets nearly triples the effective Young’s modulus of the VG structures. Both energy absorption and strain recovery were lower in VG sheets coated with alumina than in pure VG sheets (for the same peak force). This may be attributed to the increase in bending stiffness of the VG sheets and the creation of connections between the sheets after ALD deposition. These results demonstrate that the mechanical properties of VG sheets can be tuned over a wide range through conformal atomic layer deposition, facilitating the use of VG sheets in applications where specific mechanical properties are needed. (paper)

  18. High Sensitivity Refractometer Based on TiO2-Coated Adiabatic Tapered Optical Fiber via ALD Technology

    Science.gov (United States)

    Zhu, Shan; Pang, Fufei; Huang, Sujuan; Zou, Fang; Guo, Qiang; Wen, Jianxiang; Wang, Tingyun

    2016-01-01

    Atomic layer deposition (ALD) technology is introduced to fabricate a high sensitivity refractometer based on an adiabatic tapered optical fiber. Different thicknesses of titanium dioxide (TiO2) nanofilm were coated around the tapered fiber precisely and uniformly under different deposition cycles. Attributed to the higher refractive index of the TiO2 nanofilm compared to that of silica, an asymmetric Fabry–Perot (F-P) resonator could be constructed along the fiber taper. The central wavelength of the F-P resonator could be controlled by adjusting the thickness of the TiO2 nanofilm. Such a F-P resonator is sensitive to changes in the surrounding refractive index (SRI), which is utilized to realize a high sensitivity refractometer. The refractometer developed by depositing 50.9-nm-thickness TiO2 on the tapered fiber shows SRI sensitivity as high as 7096 nm/RIU in the SRI range of 1.3373–1.3500. Due to TiO2’s advantages of high refractive index, lack of toxicity, and good biocompatibility, this refractometer is expected to have wide applications in the biochemical sensing field. PMID:27537885

  19. ALD TiO x as a top-gate dielectric and passivation layer for InGaZnO115 ISFETs

    Science.gov (United States)

    Pavlidis, S.; Bayraktaroglu, B.; Leedy, K.; Henderson, W.; Vogel, E.; Brand, O.

    2017-11-01

    The suitability of atomic layer deposited (ALD) titanium oxide (TiO x ) as a top gate dielectric and passivation layer for indium gallium zinc oxide (InGaZnO115) ion sensitive field effect transistors (ISFETs) is investigated. TiO x is an attractive barrier material, but reports of its use for InGaZnO thin film transistor (TFT) passivation have been conflicting thus far. In this work, it is found that the passivated TFT’s behavior depends on the TiO x deposition temperature, affecting critical device characteristics such as threshold voltage, field-effect mobility and sub-threshold swing. An O2 annealing step is required to recover TFT performance post passivation. It is also observed that the positive bias stress response of the passivated TFTs improves compared the original bare device. Secondary ion mass spectroscopy excludes the effects of hydrogen doping and inter-diffusion as sources of the temperature-dependent performance change, therefore indicating that oxygen gettering induced by TiO x passivation is the likely source of oxygen vacancies and, consequently, carriers in the InGaZnO film. It is also shown that potentiometric sensing using ALD TiO x exhibits a near Nernstian response to pH change, as well as minimizes V TH drift in TiO x passivated InGaZnO TFTs immersed in an acidic liquid. These results add to the understanding of InGaZnO passivation effects and underscore the potential for low-temperature fabricated InGaZnO ISFETs to be used as high-performance mobile chemical sensors.

  20. Rotary reactor for atomic layer deposition on large quantities of nanoparticles

    International Nuclear Information System (INIS)

    McCormick, J. A.; Cloutier, B. L.; Weimer, A. W.; George, S. M.

    2007-01-01

    Challenges are encountered during atomic layer deposition (ALD) on large quantities of nanoparticles. The particles must be agitated or fluidized to perform the ALD surface reactions in reasonable times and to prevent the particles from being agglomerated by the ALD film. The high surface area of nanoparticles also demands efficient reactant usage because large quantities of reactant are required for the surface reactions to reach completion. The residence time of the reactant in a fluidized particle bed reactor may be too short for high efficiency if the ALD surface reactions have low reactive sticking coefficients. To address these challenges, a novel rotary reactor was developed to achieve constant particle agitation during static ALD reactant exposures. In the design of this new reactor, a cylindrical drum with porous metal walls was positioned inside a vacuum chamber. The porous cylindrical drum was rotated by a magnetically coupled rotary feedthrough. By rotating the cylindrical drum to obtain a centrifugal force of less than one gravitational force, the particles were agitated by a continuous 'avalanche' of particles. In addition, an inert N 2 gas pulse helped to dislodge the particles from the porous walls and provided an efficient method to purge reactants and products from the particle bed. The effectiveness of this rotary reactor was demonstrated by Al 2 O 3 ALD on ZrO 2 particles. A number of techniques including transmission electron microscopy, Fourier transform infrared spectroscopy, and x-ray photoelectron spectroscopy confirmed that the Al 2 O 3 ALD film conformally coats the ZrO 2 particles. Combining static reactant exposures with a very high surface area sample in the rotary reactor also provides unique opportunities for studying the surface chemistry during ALD

  1. Optimizing AlF{sub 3} atomic layer deposition using trimethylaluminum and TaF{sub 5}: Application to high voltage Li-ion battery cathodes

    Energy Technology Data Exchange (ETDEWEB)

    Jackson, David H. K., E-mail: david.jackson@wisc.edu; Kuech, Thomas F. [Materials Science Program, University of Wisconsin–Madison, Madison, Wisconsin 53706 and Department of Chemical and Biological Engineering, University of Wisconsin–Madison, Madison, Wisconsin 53706 (United States); Laskar, Masihhur R.; Ellis, Ryan G. [Department of Chemical and Biological Engineering, University of Wisconsin–Madison, Madison, Wisconsin 53706 (United States); Fang, Shuyu; Hamers, Robert J. [Department of Chemistry, University of Wisconsin–Madison, Madison, Wisconsin 53706 (United States); Xu, Shenzhen; Li, Xiaoqing; Morgan, Dane [Department of Materials Science and Engineering, University of Wisconsin–Madison, Madison, Wisconsin 53706 (United States); Dreibelbis, Mark [Core R& D, Inorganic Materials and Heterogeneous Catalysis, The Dow Chemical Company, Midland, Michigan 48674 (United States); Babcock, Susan E. [Materials Science Program, University of Wisconsin–Madison, Madison, Wisconsin 53706 and Department of Materials Science and Engineering, University of Wisconsin–Madison, Madison, Wisconsin 53706 (United States); Mahanthappa, Mahesh K. [Materials Science Program, University of Wisconsin–Madison, Madison, Wisconsin 53706 and Department of Chemistry, University of Wisconsin–Madison, Madison, Wisconsin 53706 (United States)

    2016-05-15

    Atomic layer deposition (ALD) of conformal AlF{sub 3} coatings onto both flat silicon substrates and high-voltage LiNi{sub 0.5}Mn{sub 0.3}Co{sub 0.2}O{sub 2} (NMC) Li-ion battery cathode powders was investigated using a Al(CH{sub 3}){sub 3}/TaF{sub 5} precursor combination. This optimized approach employs easily handled ALD precursors, while also obviating the use of highly toxic HF(g). In studies conducted on planar Si wafers, the film's growth mode was dictated by a competition between the desorption and decomposition of Ta reaction byproducts. At T ≥ 200 °C, a rapid decomposition of the Ta reaction byproducts to TaC led to continuous deposition and high concentrations of TaC in the films. A self-limited ALD growth mode was found to occur when the deposition temperature was reduced to 125 °C, and the TaF{sub 5} exposures were followed by an extended purge. The lower temperature process suppressed conversion of TaF{sub x}(CH{sub 3}){sub 5−x} to nonvolatile TaC, and the long purges enabled nearly complete TaF{sub x}(CH{sub 3}){sub 5−x} desorption, leaving behind the AlF{sub 3} thin films. NMC cathode powders were coated using these optimized conditions, and coin cells employing these coated cathode particles exhibited significant improvements in charge capacity fade at high discharge rates.

  2. Evaluation of Alternative Atomistic Models for the Incipient Growth of ZnO by Atomic Layer Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chu, Manh-Hung; Tian, Liang; Chaker, Ahmad; Skopin, Evgenii; Cantelli, Valentina; Ouled, Toufik; Boichot, Raphaël; Crisci, Alexandre; Lay, Sabine; Richard, Marie-Ingrid; Thomas, Olivier; Deschanvres, Jean-Luc; Renevier, Hubert; Fong, Dillon; Ciatto, Gianluca

    2017-03-20

    ZnO thin films are interesting for applications in several technological fields, including optoelectronics and renewable energies. Nanodevice applications require controlled synthesis of ZnO structures at nanometer scale, which can be achieved via atomic layer deposition (ALD). However, the mechanisms governing the initial stages of ALD had not been addressed until very recently. Investigations into the initial nucleation and growth as well as the atomic structure of the heterointerface are crucial to optimize the ALD process and understand the structure-property relationships for ZnO. We have used a complementary suite of in situ synchrotron x-ray techniques to investigate both the structural and chemical evolution during ZnO growth by ALD on two different substrates, i.e., SiO2 and Al2O3, which led us to formulate an atomistic model of the incipient growth of ZnO. The model relies on the formation of nanoscale islands of different size and aspect ratio and consequent disorder induced in the Zn neighbors' distribution. However, endorsement of our model requires testing and discussion of possible alternative models which could account for the experimental results. In this work, we review, test, and rule out several alternative models; the results confirm our view of the atomistic mechanisms at play, which influence the overall microstructure and resulting properties of the final thin film.

  3. Examination and Mitigation of Electron Interception Processes in Dye-sensitized Solar Cells through Redox Shuttle and Photoelectrode Modification

    Science.gov (United States)

    Hoffeditz, William Lawrence

    With the dual challenges of meeting global energy demand and mitigating anthropogenic climate change, significant effort is being applied to generating power from renewable sources. The dye-sensitized solar cell (DSC) is a photovoltaic technology capable of generating electricity from sunlight, but suffers losses in efficiency due to deleterious electron transfer processes. Controlling these processes is essential if DSCs are to continue to advance, and this dissertation focuses on isolation, interrogation, and mitigation of these processes via controllable inorganic redox/coordination chemistry and atomic layer deposition (ALD). The redox shuttle is often the subject of innovation in DSCs, the goal being to increase obtainable photovoltage without sacrificing photocurrent. A copper redox shuttle with a favorable (II/I) redox potential for DSC use and intriguing inner-sphere reorganization energy was investigated. The shuttle completely replaces its tetradentate coordinating ligand upon oxidation with multiple pyridine molecules. This new species displays markedly slower electron interception, necessitating fabrication of a new counter electrode in order for the shuttle to function. Upon reduction, the tetradentate ligand re-coordinates, creating a dual-species shuttle that outperforms either species as a Cu(II/I) shuttle in isolation. Photoelectrode modification is also the subject of innovation in DSCs. ALD is ideally suited for this type of innovation as it can coat high aspect surfaces with metal-oxide films of uniform thickness. The ALD post-treatment technique is described and used to deposit Al2O3 around a TiO2 adsorbed zinc-porphyrin dye. This technique is shown to prevent dye degradation from ambient air and/or light. Additionally, the architecture allows the study of dye-influenced electron interception processes. It was found that the presence of dye increased interception, which was attributed to dye-mediated electron hopping and/or superexchange

  4. Role of atomic layer deposited aluminum oxide as oxidation barrier for silicon based materials

    Energy Technology Data Exchange (ETDEWEB)

    Fiorentino, Giuseppe, E-mail: g.fiorentino@tudelft.nl; Morana, Bruno [Department of Microelectronic, Delft University of Technology, Feldmannweg 17, 2628 CT Delft (Netherlands); Forte, Salvatore [Department of Electronic, University of Naples Federico II, Piazzale Tecchio, 80125 Napoli (Italy); Sarro, Pasqualina Maria [Department of Microelectronic, Delft University of Technology, Feldmannweg 17, 2628 CT, Delft (Netherlands)

    2015-01-15

    In this paper, the authors study the protective effect against oxidation of a thin layer of atomic layer deposited (ALD) aluminum oxide (Al{sub 2}O{sub 3}). Nitrogen doped silicon carbide (poly-SiC:N) based microheaters coated with ALD Al{sub 2}O{sub 3} are used as test structure to investigate the barrier effect of the alumina layers to oxygen and water vapor at very high temperature (up to 1000 °C). Different device sets have been fabricated changing the doping levels, to evaluate possible interaction between the dopants and the alumina layer. The as-deposited alumina layer morphology has been evaluated by means of AFM analysis and compared to an annealed sample (8 h at 1000 °C) to estimate the change in the grain structure and the film density. The coated microheaters are subjected to very long oxidation time in dry and wet environment (up to 8 h at 900 and 1000 °C). By evaluating the electrical resistance variation between uncoated reference devices and the ALD coated devices, the oxide growth on the SiC is estimated. The results show that the ALD alumina coating completely prevents the oxidation of the SiC up to 900 °C in wet environment, while an oxide thickness reduction of 50% is observed at 1000 °C compared to uncoated devices.

  5. Atomic Layer Deposition to Enable the Production, Optimization and Protection of Spaceflight Hardware Project

    Data.gov (United States)

    National Aeronautics and Space Administration — Atomic Layer Deposition (ALD) a cost effective nano-manufacturing technique allows for the conformal coating of substrates with atomic control in a benign...

  6. ALD Sapphire Coating for Large Area Soft X-ray Mirrors

    Data.gov (United States)

    National Aeronautics and Space Administration — This proposed work is to demonstrate that the already established ALD coating method can be applied to the X-ray mirror fabrication and is suitable to produce an...

  7. Growth and characterization of epitaxial anatase TiO2(001) on SrTiO3-buffered Si(001) using atomic layer deposition

    International Nuclear Information System (INIS)

    McDaniel, M.D.; Posadas, A.; Wang, T.; Demkov, A.A.; Ekerdt, J.G.

    2012-01-01

    Epitaxial anatase titanium dioxide (TiO 2 ) films have been grown by atomic layer deposition (ALD) on Si(001) substrates using a strontium titanate (STO) buffer layer grown by molecular beam epitaxy (MBE) to serve as a surface template. The growth of TiO 2 was achieved using titanium isopropoxide and water as the co-reactants at a substrate temperature of 225–250 °C. To preserve the quality of the MBE-grown STO, the samples were transferred in-situ from the MBE chamber to the ALD chamber. After ALD growth, the samples were annealed in-situ at 600 °C in vacuum (10 −7 Pa) for 1–2 h. Reflection high-energy electron diffraction was performed during the MBE growth of STO on Si(001), as well as after deposition of TiO 2 by ALD. The ALD films were shown to be highly ordered with the substrate. At least four unit cells of STO must be present to create a stable template on the Si(001) substrate for epitaxial anatase TiO 2 growth. X-ray diffraction revealed that the TiO 2 films were anatase with only the (004) reflection present at 2θ = 38.2°, indicating that the c-axis is slightly reduced from that of anatase powder (2θ = 37.9°). Anatase TiO 2 films up to 100 nm thick have been grown that remain highly ordered in the (001) direction on STO-buffered Si(001) substrates. - Highlights: ► Epitaxial anatase films are grown by atomic layer deposition (ALD) on Si(001). ► Four unit cells of SrTiO 3 on silicon create a stable template for ALD. ► TiO 2 thin films have a compressed c-axis and an expanded a-axis. ► Up to 100 nm thick TiO 2 films remain highly ordered in the (001) direction.

  8. ALD grown nanostructured ZnO thin films: Effect of substrate temperature on thickness and energy band gap

    Directory of Open Access Journals (Sweden)

    Javed Iqbal

    2016-10-01

    Full Text Available Nanostructured ZnO thin films with high transparency have been grown on glass substrate by atomic layer deposition at various temperatures ranging from 100 °C to 300 °C. Efforts have been made to observe the effect of substrate temperature on the thickness of the deposited thin films and its consequences on the energy band gap. A remarkably high growth rate of 0.56 nm per cycle at a substrate temperature of 200 °C for ZnO thin films have been achieved. This is the maximum growth rate for ALD deposited ZnO thin films ever reported so far to the best of our knowledge. The studies of field emission scanning electron microscopy and X-ray diffractometry patterns confirm the deposition of uniform and high quality nanosturtured ZnO thin films which have a polycrystalline nature with preferential orientation along (100 plane. The thickness of the films deposited at different substrate temperatures was measured by ellipsometry and surface profiling system while the UV–visible and photoluminescence spectroscopy studies have been used to evaluate the optical properties of the respective thin films. It has been observed that the thickness of the thin film depends on the substrate temperatures which ultimately affect the optical and structural parameters of the thin films.

  9. Monolithic Laser Scribed Graphene Scaffold with Atomic Layer Deposited Platinum for Hydrogen Evolution Reaction

    KAUST Repository

    Nayak, Pranati

    2017-09-01

    The use of three-dimensional (3D) electrode architectures as scaffolds for conformal deposition of catalysts is an emerging research area with significant potential for electrocatalytic applications. In this study, we report the fabrication of monolithic, self-standing, 3D graphitic carbon scaffold with conformally deposited Pt by atomic layer deposition (ALD) as a hydrogen evolution reaction catalyst. Laser scribing is employed to transform polyimide into 3D porous graphitic carbon, which possesses good electronic conductivity and numerous edge plane sites. This laser scribed graphene (LSG) architecture makes it possible to fabricate monolithic electrocatalyst support without any binders or conductive additives. The synergistic effect between ALD of Pt on 3D network of LSG provides an avenue for minimal yet effective Pt usage, leading to an enhanced HER activity. This strategy establish a general approach for inexpensive and large scale HER device fabrication with minimum catalyst cost.

  10. Monolithic Laser Scribed Graphene Scaffold with Atomic Layer Deposited Platinum for Hydrogen Evolution Reaction

    KAUST Repository

    Nayak, Pranati; Jiang, Qiu; Kurra, Narendra; Buttner, Ulrich; Wang, Xianbin; Alshareef, Husam N.

    2017-01-01

    The use of three-dimensional (3D) electrode architectures as scaffolds for conformal deposition of catalysts is an emerging research area with significant potential for electrocatalytic applications. In this study, we report the fabrication of monolithic, self-standing, 3D graphitic carbon scaffold with conformally deposited Pt by atomic layer deposition (ALD) as a hydrogen evolution reaction catalyst. Laser scribing is employed to transform polyimide into 3D porous graphitic carbon, which possesses good electronic conductivity and numerous edge plane sites. This laser scribed graphene (LSG) architecture makes it possible to fabricate monolithic electrocatalyst support without any binders or conductive additives. The synergistic effect between ALD of Pt on 3D network of LSG provides an avenue for minimal yet effective Pt usage, leading to an enhanced HER activity. This strategy establish a general approach for inexpensive and large scale HER device fabrication with minimum catalyst cost.

  11. Pt thermal atomic layer deposition for silicon x-ray micropore optics.

    Science.gov (United States)

    Takeuchi, Kazuma; Ezoe, Yuichiro; Ishikawa, Kumi; Numazawa, Masaki; Terada, Masaru; Ishi, Daiki; Fujitani, Maiko; Sowa, Mark J; Ohashi, Takaya; Mitsuda, Kazuhisa

    2018-04-20

    We fabricated a silicon micropore optic using deep reactive ion etching and coated by Pt with atomic layer deposition (ALD). We confirmed that a metal/metal oxide bilayer of Al 2 O 3 ∼10  nm and Pt ∼20  nm was successfully deposited on the micropores whose width and depth are 20 μm and 300 μm, respectively. An increase of surface roughness of sidewalls of the micropores was observed with a transmission electron microscope and an atomic force microscope. X-ray reflectivity with an Al Kα line at 1.49 keV before and after the deposition was measured and compared to ray-tracing simulations. The surface roughness of the sidewalls was estimated to increase from 1.6±0.2  nm rms to 2.2±0.2  nm rms. This result is consistent with the microscope measurements. Post annealing of the Pt-coated optic at 1000°C for 2 h showed a sign of reduced surface roughness and better angular resolution. To reduce the surface roughness, possible methods such as the annealing after deposition and a plasma-enhanced ALD are discussed.

  12. Properties of slow traps of ALD Al{sub 2}O{sub 3}/GeO{sub x}/Ge nMOSFETs with plasma post oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Ke, M., E-mail: kiramn@mosfet.t.u-tokyo.ac.jp; Yu, X.; Chang, C.; Takenaka, M.; Takagi, S. [Department of Electrical Engineering and Information Systems, The University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-8656, Japan and JST-CREST, K' s Gobancho 6F, 7 Gobancho, Chiyoda-ku, Tokyo 102-0076 (Japan)

    2016-07-18

    The realization of Ge gate stacks with a small amount of slow trap density as well as thin equivalent oxide thickness and low interface state density (D{sub it}) is a crucial issue for Ge CMOS. In this study, we examine the properties of slow traps, particularly the location of slow traps, of Al{sub 2}O{sub 3}/GeO{sub x}/n-Ge and HfO{sub 2}/Al{sub 2}O{sub 3}/GeO{sub x}/n-Ge MOS interfaces with changing the process and structural parameters, formed by atomic layer deposition (ALD) of Al{sub 2}O{sub 3} and HfO{sub 2}/Al{sub 2}O{sub 3} combined with plasma post oxidation. It is found that the slow traps can locate in the GeO{sub x} interfacial layer, not in the ALD Al{sub 2}O{sub 3} layer. Furthermore, we study the time dependence of channel currents in the Ge n-MOSFETs with 5-nm-thick Al{sub 2}O{sub 3}/GeO{sub x}/Ge gate stacks, with changing the thickness of GeO{sub x}, in order to further clarify the position of slow traps. The time dependence of the current drift and the effective time constant of slow traps do not change among the MOSFETs with the different thickness GeO{sub x}, demonstrating that the slow traps mainly exist near the interfaces between Ge and GeO{sub x}.

  13. Two-Dimensional Electron Gas at SrTiO3-Based Oxide Heterostructures via Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Sang Woon Lee

    2016-01-01

    Full Text Available Two-dimensional electron gas (2DEG at an oxide interface has been attracting considerable attention for physics research and nanoelectronic applications. Early studies reported the formation of 2DEG at semiconductor interfaces (e.g., AlGaAs/GaAs heterostructures with interesting electrical properties such as high electron mobility. Besides 2DEG formation at semiconductor junctions, 2DEG was realized at the interface of an oxide heterostructure such as the LaAlO3/SrTiO3 (LAO/STO heterojunction. The origin of 2DEG was attributed to the well-known “polar catastrophe” mechanism in oxide heterostructures, which consist of an epitaxial LAO layer on a single crystalline STO substrate among proposed mechanisms. Recently, it was reported that the creation of 2DEG was achieved using the atomic layer deposition (ALD technique, which opens new functionality of ALD in emerging nanoelectronics. This review is focused on the origin of 2DEG at oxide heterostructures using the ALD process. In particular, it addresses the origin of 2DEG at oxide interfaces based on an alternative mechanism (i.e., oxygen vacancies.

  14. aldB, an RpoS-dependent gene in Escherichia coli encoding an aldehyde dehydrogenase that is repressed by Fis and activated by Crp.

    Science.gov (United States)

    Xu, J; Johnson, R C

    1995-06-01

    Escherichia coli aldB was identified as a gene that is negatively regulated by Fis but positively regulated by RpoS. The complete DNA sequence determined in this study indicates that aldB encodes a 56.3-kDa protein which shares a high degree of homology with an acetaldehyde dehydrogenase encoded by acoD of Alcaligenes eutrophus and an aldehyde dehydrogenase encoded by aldA of Vibrio cholerae and significant homology with a group of other aldehyde dehydrogenases from prokaryotes and eukaryotes. Expression of aldB is maximally induced during the transition from exponential phase to stationary phase. Its message levels are elevated three- to fourfold by a fis mutation and abolished by an rpoS mutation. In addition, the expression of an aldB-lacZ fusion was decreased about 20-fold in the absence of crp. DNase I footprinting analysis showed that five Fis binding sites and one Crp binding site are located within the aldB promoter region, suggesting that Fis and Crp are acting directly to control aldB transcription. AldB expression is induced by ethanol, but in contrast to that of most of the RpoS-dependent genes, the expression of aldB is not altered by an increase in medium osmolarity.

  15. Comparison of HfCl{sub 4}, HfI{sub 4}, TEMA-Hf, and TDMA-Hf as precursors in early growing stages of HfO{sub 2} films deposited by ALD: A DFT study

    Energy Technology Data Exchange (ETDEWEB)

    Cortez-Valadez, M. [Departamento de Investigación en Física, Universidad de Sonora, Apdo. Postal 5-88, 83190 Hermosillo, Son. (Mexico); Fierro, C.; Farias-Mancilla, J.R. [Instituto de Ingeniería y Tecnología, Departamento de Física y Matemáticas, Universidad Autónoma de Ciudad Juárez, Av. del Charro 450, Cd. Juárez C.P. 32310, Chihuahua (Mexico); Vargas-Ortiz, A. [Universidad Autónoma de Sinaloa, Facultad de Ingeniería Mochis, Ciudad Universitaria, C.P. 81223 Los Mochis, Sinaloa (Mexico); Flores-Acosta, M. [Departamento de Investigación en Física, Universidad de Sonora, Apdo. Postal 5-88, 83190 Hermosillo, Son. (Mexico); Ramírez-Bon, R. [Centro de Investigación y Estudios Avanzados del IPN, Unidad Querétaro, Apdo. Postal 1-798, 76001 Querétaro, Qro. (Mexico); Enriquez-Carrejo, J.L. [Instituto de Ingeniería y Tecnología, Departamento de Física y Matemáticas, Universidad Autónoma de Ciudad Juárez, Av. del Charro 450, Cd. Juárez C.P. 32310, Chihuahua (Mexico); and others

    2016-06-15

    Highlights: • Hafnium oxide growth on Si(100) by atomic layer deposition was simulated. • The interface structure was considered as silicate and silicide. • The interface was studied employing DFT. • TDMA-Hf precursor show better interface stability. - Abstract: The final structure of HfO{sub 2} films grown by atomic layer deposition (ALD) after reaction with OH{sup −} ions has been analyzed by DFT (density functional theory). The interaction of the precursors: HfCl{sub 4} (hafnium tetrachloride), HfI{sub 4} (hafnium tetraiodide), TEMA-Hf (tetrakis-ethylmethylamino hafnium), and TDMA-Hf (tetrakis-dimethylamino hafnium) with HO–H was studied employing the B3LYP (Becke 3-parameter, Lee–Yang–Parr) hybrid functional and the PBE (Perdew–Burke–Ernzerhof) generalized gradient functional. The structural evolution at the Si(100) surface has been analyzed by LDA (local density approximation). The structural parameters: bond length and bond angle, and the vibrational parameters for the optimized structures are also reported. The presence of hafnium silicate at the interface was detected. The infrared spectra and structural parameters obtained in this work agree with previously reported experimental results.

  16. Increasing the stability of DNA nanostructure templates by atomic layer deposition of Al2O3 and its application in imprinting lithography

    Directory of Open Access Journals (Sweden)

    Hyojeong Kim

    2017-11-01

    Full Text Available We present a method to increase the stability of DNA nanostructure templates through conformal coating with a nanometer-thin protective inorganic oxide layer created using atomic layer deposition (ALD. DNA nanotubes and origami triangles were coated with ca. 2 nm to ca. 20 nm of Al2O3. Nanoscale features of the DNA nanostructures were preserved after the ALD coating and the patterns are resistive to UV/O3 oxidation. The ALD-coated DNA templates were used for a direct pattern transfer to poly(L-lactic acid films.

  17. Physiochemical properties of TiO2 nanoparticle thin films deposited on stainless steel

    Directory of Open Access Journals (Sweden)

    M. Basiaga

    2017-01-01

    Full Text Available The purpose of this study was to evaluate the usefulness of TiO2 layer to improve hemocompatibility of 316LVM stainless steel. The TiO2 layers studied in this work were deposited from TiCl4 and H2O in a low-pressure Atomic Layer Deposition (ALD reactor taking into account number of cycles and process temperature. As a part of the research electrochemical studies of the layer after 28 days exposure to artificial plasma were carried out. In particular, potentiostatic, potentiodynamic and impedance studies were conducted. The obtained results were the basis for selection of surface treatment method dedicated to blood-contacting stainless steel implants.

  18. Nanoporous silver cathode surface treated by atomic layer deposition of CeO_x for low-temperature solid oxide fuel cells

    International Nuclear Information System (INIS)

    Neoh, Ke Chean; Han, Gwon Deok; Kim, Manjin; Kim, Jun Woo; Choi, Hyung Jong; Park, Suk Won; Shim, Joon Hyung

    2016-01-01

    We evaluated the performance of solid oxide fuel cells (SOFCs) with a 50 nm thin silver (Ag) cathode surface treated with cerium oxide (CeO_x) by atomic layer deposition (ALD). The performances of bare and ALD-treated Ag cathodes were evaluated on gadolinia-doped ceria (GDC) electrolyte supporting cells with a platinum (Pt) anode over 300 °C–450 °C. Our work confirms that ALD CeO_x treatment enhances cathodic performance and thermal stability of the Ag cathode. The performance difference between cells using a Ag cathode optimally treated with an ALD CeO_x surface and a reference Pt cathode is about 50% at 450 °C in terms of fuel cell power output in our experiment. The bare Ag cathode completely agglomerated into islands during fuel cell operation at 450 °C, while the ALD CeO_x treatment effectively protects the porosity of the cathode. We also discuss the long-term stability of ALD CeO_x-treated Ag cathodes related to the microstructure of the layers. (paper)

  19. Method for Aluminum Oxide Thin Films Prepared through Low Temperature Atomic Layer Deposition for Encapsulating Organic Electroluminescent Devices

    Directory of Open Access Journals (Sweden)

    Hui-Ying Li

    2015-02-01

    Full Text Available Preparation of dense alumina (Al2O3 thin film through atomic layer deposition (ALD provides a pathway to achieve the encapsulation of organic light emitting devices (OLED. Unlike traditional ALD which is usually executed at higher reaction n temperatures that may affect the performance of OLED, this application discusses the development on preparation of ALD thin film at a low temperature. One concern of ALD is the suppressing effect of ambient temperature on uniformity of thin film. To mitigate this issue, the pumping time in each reaction cycle was increased during the preparation process, which removed reaction byproducts and inhibited the formation of vacancies. As a result, the obtained thin film had both high uniformity and density properties, which provided an excellent encapsulation performance. The results from microstructure morphology analysis, water vapor transmission rate, and lifetime test showed that the difference in uniformity between thin films prepared at low temperatures, with increased pumping time, and high temperatures was small and there was no obvious influence of increased pumping time on light emitting performance. Meanwhile, the permeability for water vapor of the thin film prepared at a low temperature was found to reach as low as 1.5 × 10−4 g/(m2·day under ambient conditions of 25 °C and 60% relative humidity, indicating a potential extension in the lifetime for the OLED.

  20. Atomic layer deposition overcoating: tuning catalyst selectivity for biomass conversion.

    Science.gov (United States)

    Zhang, Hongbo; Gu, Xiang-Kui; Canlas, Christian; Kropf, A Jeremy; Aich, Payoli; Greeley, Jeffrey P; Elam, Jeffrey W; Meyers, Randall J; Dumesic, James A; Stair, Peter C; Marshall, Christopher L

    2014-11-03

    The terraces, edges, and facets of nanoparticles are all active sites for heterogeneous catalysis. These different active sites may cause the formation of various products during the catalytic reaction. Here we report that the step sites of Pd nanoparticles (NPs) can be covered precisely by the atomic layer deposition (ALD) method, whereas the terrace sites remain as active component for the hydrogenation of furfural. Increasing the thickness of the ALD-generated overcoats restricts the adsorption of furfural onto the step sites of Pd NPs and increases the selectivity to furan. Furan selectivities and furfural conversions are linearly correlated for samples with or without an overcoating, though the slopes differ. The ALD technique can tune the selectivity of furfural hydrogenation over Pd NPs and has improved our understanding of the reaction mechanism. The above conclusions are further supported by density functional theory (DFT) calculations. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Comparison of gate dielectric plasma damage from plasma-enhanced atomic layer deposited and magnetron sputtered TiN metal gates

    Energy Technology Data Exchange (ETDEWEB)

    Brennan, Christopher J.; Neumann, Christopher M.; Vitale, Steven A., E-mail: steven.vitale@ll.mit.edu [Lincoln Laboratory, Massachusetts Institute of Technology, Lexington, Massachusetts 02420 (United States)

    2015-07-28

    Fully depleted silicon-on-insulator transistors were fabricated using two different metal gate deposition mechanisms to compare plasma damage effects on gate oxide quality. Devices fabricated with both plasma-enhanced atomic-layer-deposited (PE-ALD) TiN gates and magnetron plasma sputtered TiN gates showed very good electrostatics and short-channel characteristics. However, the gate oxide quality was markedly better for PE-ALD TiN. A significant reduction in interface state density was inferred from capacitance-voltage measurements as well as a 1200× reduction in gate leakage current. A high-power magnetron plasma source produces a much higher energetic ion and vacuum ultra-violet (VUV) photon flux to the wafer compared to a low-power inductively coupled PE-ALD source. The ion and VUV photons produce defect states in the bulk of the gate oxide as well as at the oxide-silicon interface, causing higher leakage and potential reliability degradation.

  2. Development of Nitride Coating Using Atomic Layer Deposition for Low-Enriched Uranium Fuel Powder

    Science.gov (United States)

    Bhattacharya, Sumit

    metal organic chemical precursors tetrakis dimethylamido zirconium (TDMAZr) and ammonia( NH3) for succesful deposition of ZrN coating. Nitrogen (N2) gas carried the chemicals to a hot wall reactor maintained at a temperature range of 235 to 245 °C. The ALD system design evolved over the course of this research as the process variables were steadily improved. The conditions found deemed for attaining best coating were at a temperature of 245 °C, with pulse time of 0.8 seconds for TDMAZr and 0.1 seconds for NH3 along with 15 seconds of purge time in-between each cycle. The ALD system was successful in making 1-micrometer (um) ZrN with low levels of chemical impurities over U-Mo powder batches. The deposited coatings were characterized using scanning electron microscopy (SEM), energy dispersive spectroscopy (EDS), electron energy loss spectroscopy (EELS) and Transmission electron microscope (TEM). This document describes the establishment of the Savannah 200 ALD system, precursor surface reaction procedures and finally the nature of the coating achieved, including characterization of the coating at the different stages of deposition. It was found that an interlayer of alumina in between ZrN and the U-Mo surface was required to reduce the residual stress generated during the ALD procedure. The alumina not only removed the risk of cracking and spallation of the ZrN coating but also provided adequate strength for the barrier layer to withstand the fuel plate rolling conditions. The ZrN coating was nano crystalline in nature, with grain size varying from 5-10 nm, the deposited layer was found to be dense consisting of a layered structure. The coating could retain its crystallinity and maintain its phase when irradiated with 1 MeV single charged ion Kr to produce a damage of 10 displacement per atom (DPA) at intermediate voltage electron microscopy (IVEM).

  3. Microscopic silicon-based lateral high-aspect-ratio structures for thin film conformality analysis

    International Nuclear Information System (INIS)

    Gao, Feng; Arpiainen, Sanna; Puurunen, Riikka L.

    2015-01-01

    Film conformality is one of the major drivers for the interest in atomic layer deposition (ALD) processes. This work presents new silicon-based microscopic lateral high-aspect-ratio (LHAR) test structures for the analysis of the conformality of thin films deposited by ALD and by other chemical vapor deposition means. The microscopic LHAR structures consist of a lateral cavity inside silicon with a roof supported by pillars. The cavity length (e.g., 20–5000 μm) and cavity height (e.g., 200–1000 nm) can be varied, giving aspect ratios of, e.g., 20:1 to 25 000:1. Film conformality can be analyzed with the microscopic LHAR by several means, as demonstrated for the ALD Al 2 O 3 and TiO 2 processes from Me 3 Al/H 2 O and TiCl 4 /H 2 O. The microscopic LHAR test structures introduced in this work expose a new parameter space for thin film conformality investigations expected to prove useful in the development, tuning and modeling of ALD and other chemical vapor deposition processes

  4. Influence of PEDOT:PSS on the effectiveness of barrier layers prepared by atomic layer deposition in organic light emitting diodes

    Energy Technology Data Exchange (ETDEWEB)

    Wegler, Barbara, E-mail: barbara.wegler@siemens.com [Siemens AG, Corporate Technology, Guenther-Scharowsky-Strasse 1, 91058 Erlangen, Germany and Center for Medical Physics and Engineering, University of Erlangen-Nuremberg, Henkestrasse 91, 91052 Erlangen (Germany); Schmidt, Oliver [Siemens AG, Corporate Technology, Guenther-Scharowsky-Strasse 1, 91058 Erlangen (Germany); Hensel, Bernhard [Center for Medical Physics and Engineering, University of Erlangen-Nuremberg, Henkestrasse 91, 91052 Erlangen (Germany)

    2015-01-15

    Organic light emitting diodes (OLEDs) are well suited for energy saving lighting applications, especially when thinking about highly flexible and large area devices. In order to avoid the degradation of the organic components by water and oxygen, OLEDs need to be encapsulated, e.g., by a thin sheet of glass. As the device is then no longer flexible, alternative coatings are required. Atomic layer deposition (ALD) is a very promising approach in this respect. The authors studied OLEDs that were encapsulated by 100 nm Al{sub 2}O{sub 3} deposited by ALD. The authors show that this coating effectively protects the active surface area of the OLEDs from humidity. However, secondary degradation processes still occur at sharp edges of the OLED stack where the extremely thin encapsulation layer does not provide perfect coverage. Particularly, the swelling of poly(3,4-ethylenedioxythiophene) mixed with poly(styrenesulfonate), which is a popular choice for the planarization of the bottom electrode and at the same time acts as a hole injection layer, affects the effectiveness of the encapsulation layer.

  5. NiO/nanoporous graphene composites with excellent supercapacitive performance produced by atomic layer deposition

    International Nuclear Information System (INIS)

    Chen, Caiying; Chen, Chaoqiu; Duan, Feifei; Zhao, Shichao; Qin, Yong; Huang, Peipei; Li, Ping; Fan, Jinchuan; Song, Weiguo

    2014-01-01

    Nickel oxide (NiO) is a promising electrode material for supercapacitors because of its low cost and high theoretical specific capacitance of 2573 F g −1 . However, the low electronic conductivity and poor cycling stability of NiO limit its practical applications. To overcome these limitations, an efficient atomic layer deposition (ALD) method is demonstrated here for the fabrication of NiO/nanoporous graphene (NG) composites as electrode materials for supercapacitors. ALD allows uniform deposition of NiO nanoparticles with controlled sizes on the surface of NG, thus offering a novel route to design NiO/NG composites for supercapacitor applications with high surface areas and greatly improved electrical conductivity and cycle stability. Electrochemical measurements reveal that the NiO/NG composites obtained by ALD exhibited excellent specific capacitance of up to ∼1005.8 F g −1 per mass of the composite electrode (the specific capacitance value is up to ∼1897.1 F g −1 based on the active mass of NiO), and stable performance after 1500 cycles. Furthermore, electrochemical performance of the NiO/NG composites is found to strongly depend on the size of NiO nanoparticles. (paper)

  6. Effect of ozone concentration on silicon surface passivation by atomic layer deposited Al2O3

    International Nuclear Information System (INIS)

    Gastrow, Guillaume von; Li, Shuo; Putkonen, Matti; Laitinen, Mikko; Sajavaara, Timo; Savin, Hele

    2015-01-01

    Highlights: • The ALD Al 2 O 3 passivation quality can be controlled by the ozone concentration. • Ozone concentration affects the Si/Al 2 O 3 interface charge and defect density. • A surface recombination velocity of 7 cm/s is reached combining ozone and water ALD. • Carbon and hydrogen concentrations correlate with the surface passivation quality. - Abstract: We study the impact of ozone-based Al 2 O 3 Atomic Layer Deposition (ALD) on the surface passivation quality of crystalline silicon. We show that the passivation quality strongly depends on the ozone concentration: the higher ozone concentration results in lower interface defect density and thereby improved passivation. In contrast to previous studies, our results reveal that too high interface hydrogen content can be detrimental to the passivation. The interface hydrogen concentration can be optimized by the ozone-based process; however, the use of pure ozone increases the harmful carbon concentration in the film. Here we demonstrate that low carbon and optimal hydrogen concentration can be achieved by a single process combining the water- and ozone-based reactions. This process results in an interface defect density of 2 × 10 11 eV −1 cm −2 , and maximum surface recombination velocities of 7.1 cm/s and 10 cm/s, after annealing and after an additional firing at 800 °C, respectively. In addition, our results suggest that the effective oxide charge density can be optimized in a simple way by varying the ozone concentration and by injecting water to the ozone process.

  7. Simulation and growing study of Cu–Al–S thin films deposited by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Duclaux, L., E-mail: loraine-externe.duclaux@edf.fr [Institute of Research and Development on Photovoltaic Energy (IRDEP), EDF R& D/CNRS/ChimieParistech, UMR 7174, 6 quai Watier, 78401 Chatou (France); Donsanti, F.; Vidal, J. [Institute of Research and Development on Photovoltaic Energy (IRDEP), EDF R& D/CNRS/ChimieParistech, UMR 7174, 6 quai Watier, 78401 Chatou (France); Bouttemy, M. [Lavoisier Institute of Versailles, UMR 8180, 45 avenue des Etats-Unis, 78035 Versailles cedex (France); Schneider, N.; Naghavi, N. [Institute of Research and Development on Photovoltaic Energy (IRDEP), EDF R& D/CNRS/ChimieParistech, UMR 7174, 6 quai Watier, 78401 Chatou (France)

    2015-11-02

    In this paper, we have explored the potential of Cu–Al–S compounds as p-type transparent conducting material by means of atomistic simulation using CuAlS{sub 2} as a reference ternary compound and atomic layer deposition (ALD) growth. We have identified key intrinsic point defects acting either as shallow acceptor or deep donor which define the conductivity of CuAlS{sub 2}. Higher p-type conductivity was found to be achievable under metal-poor and chalcogen-rich growth conditions. According to this precept, ALD growth of Cu{sub x}Al{sub y}S{sub z} was attempted using Cu(acac){sub 2} and Al(CH{sub 3}){sub 3} as precursors for Cu and Al respectively and under H{sub 2}S atmosphere. While as grown thin films present low content of Al, it influences the band gap values as well as the obtained structures. - Highlights: • Ab-initio investigation of CuAlS{sub 2} • Indentification of two opposite main-contributive intrinsic defects on the conductivity: V{sub Cu} and Al{sub Cu} • Synthesis of Cu-Al-S ternary compound using atomic layer deposition • Impact of aluminum insertion on the optical and structural properties of the films.

  8. Deposition of HgTe by electrochemical atomic layer epitaxy (EC-ALE)

    CSIR Research Space (South Africa)

    Venkatasamy, V

    2006-04-01

    Full Text Available This paper describes the first instance of HgTe growth by electrochemical atomic layer epitaxy (EC-ALE). EC-ALE is the electrochemical analog of atomic layer epitaxy (ALE) and atomic layer deposition (ALD), all of which are based on the growth...

  9. Fast spatial atomic layer deposition of Al{sub 2}O{sub 3} at low temperature (<100 °C) as a gas permeation barrier for flexible organic light-emitting diode displays

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Hagyoung; Shin, Seokyoon; Jeon, Hyeongtag, E-mail: hjeon@hanyang.ac.kr [Department of Materials Science and Engineering, Hanyang University, Seoul 133-791 (Korea, Republic of); Choi, Yeongtae; Kim, Junghun; Kim, Sanghun; Chung, Seog Chul; Oh, Kiyoung [LIG INVENIA Co., Ltd., Seongnam, Gyeonggi 462-807 (Korea, Republic of)

    2016-01-15

    The authors developed a high throughput (70 Å/min) and scalable space-divided atomic layer deposition (ALD) system for thin film encapsulation (TFE) of flexible organic light-emitting diode (OLED) displays at low temperatures (<100 °C). In this paper, the authors report the excellent moisture barrier properties of Al{sub 2}O{sub 3} films deposited on 2G glass substrates of an industrially relevant size (370 × 470 mm{sup 2}) using the newly developed ALD system. This new ALD system reduced the ALD cycle time to less than 1 s. A growth rate of 0.9 Å/cycle was achieved using trimethylaluminum as an Al source and O{sub 3} as an O reactant. The morphological features and step coverage of the Al{sub 2}O{sub 3} films were investigated using field emission scanning electron microscopy. The chemical composition was analyzed using Auger electron spectroscopy. These deposited Al{sub 2}O{sub 3} films demonstrated a good optical transmittance higher than 95% in the visible region based on the ultraviolet visible spectrometer measurements. Water vapor transmission rate lower than the detection limit of the MOCON test (less than 3.0 × 10{sup −3} g/m{sup 2} day) were obtained for the flexible substrates. Based on these results, Al{sub 2}O{sub 3} deposited using our new high-throughput and scalable spatial ALD is considered a good candidate for preparation of TFE films of flexible OLEDs.

  10. Standing and sitting adlayers in atomic layer deposition of ZnO

    Energy Technology Data Exchange (ETDEWEB)

    Gao, Zhengning; Banerjee, Parag, E-mail: parag.banerjee@wustl.edu [Department of Mechanical Engineering & Material Science, Washington University in St. Louis, Missouri 63130 and Institute of Materials Science & Engineering, Washington University in St. Louis, Missouri 63130 (United States); Wu, Fei; Myung, Yoon [Department of Mechanical Engineering & Material Science, Washington University in St. Louis, Missouri 63130 (United States); Fei, Ruixiang [Department of Physics, Washington University in St. Louis, Missouri 63130 (United States); Kanjolia, Ravindra [SAFC Hitech, 1429 Hilldale Ave., Haverhill, Massachusetts 01832 (United States); Yang, Li [Institute of Materials Science & Engineering, Washington University in St. Louis, Missouri 63130 and Department of Physics, Washington University in St. Louis, Missouri 63130 (United States)

    2016-01-15

    The extent of reactivity of diethyl zinc (DEZ) with a hydroxylated surface during atomic layer deposition (ALD) of ZnO using DEZ and water is measured. Two adlayer configurations of DEZ are possible. The “standing” adlayer releases one ethyl group from DEZ. The “sitting” adlayer releases both ethyl groups, thus forming a Zn bridge between two O anions. Density functional theory calculations suggest the sitting configuration is more stable than the standing configuration by 790 meV. In situ quadrupole mass spectroscopy of by-product ethane generated in ALD half cycles indicate that ∼1.56 OH sites react with a DEZ molecule resulting in 71.6% of sitting sites. A simple simulation of a “ball-and-stick” DEZ molecule randomly collapsing on a neighboring site remarkably captures this adlayer behavior. It is concluded that DEZ fraction sitting is a competitive process of a standing DEZ molecule collapsing onto an available neighboring hydroxyl site, as sites vie for occupancy via adsorption and surface diffusion.

  11. Protective coatings of hafnium dioxide by atomic layer deposition for microelectromechanical systems applications

    Energy Technology Data Exchange (ETDEWEB)

    Berdova, Maria, E-mail: maria.berdova@aalto.fi [Aalto University, Department of Materials Science and Engineering, 02150, Espoo (Finland); Wiemer, Claudia; Lamperti, Alessio; Tallarida, Grazia; Cianci, Elena [Laboratorio MDM, IMM CNR, Via C. Olivetti 2, 20864, Agrate Brianza, MB (Italy); Lamagna, Luca; Losa, Stefano; Rossini, Silvia; Somaschini, Roberto; Gioveni, Salvatore [STMicroelectronics, Via C. Olivetti 2, 20864, Agrate Brianza, MB (Italy); Fanciulli, Marco [Laboratorio MDM, IMM CNR, Via C. Olivetti 2, 20864, Agrate Brianza, MB (Italy); Università degli studi di Milano Bicocca, Dipartimento di Scienza dei Materiali, 20126, Milano (Italy); Franssila, Sami, E-mail: sami.franssila@aalto.fi [Aalto University, Department of Materials Science and Engineering, 02150, Espoo (Finland)

    2016-04-15

    Graphical abstract: - Highlights: • Atomic layer deposition of HfO{sub 2} from (CpMe){sub 2}Hf(OMe)Me or Hf(NMeEt){sub 4} and ozone for potential applications in microelectromechanical systems. • ALD HfO{sub 2} protects aluminum substrates from degradation in moist environment and at the same time retains good reflectance properties of the underlying material. • The resistance of hafnium dioxide to moist environment is independent of chosen precursors. - Abstract: This work presents the investigation of HfO{sub 2} deposited by atomic layer deposition (ALD) from either HfD-CO4 or TEMAHf and ozone for microelectromechanical systems (MEMS) applications, in particular, for environmental protection of aluminum micromirrors. This work shows that HfO{sub 2} films successfully protect aluminum in moist environment and at the same time retain good reflectance properties of underlying material. In our experimental work, the chemical composition, crystal structure, electronic density and roughness of HfO{sub 2} films remained the same after one week of humidity treatment (relative humidity of 85%, 85 °C). The reflectance properties underwent only minor changes. The observed shift in reflectance was only from 80–90% to 76–85% in 400–800 nm spectral range when coated with ALD HfO{sub 2} films grown with Hf(NMeEt){sub 4} and no shift (remained in the range of 68–83%) for films grown from (CpMe){sub 2}Hf(OMe)Me.

  12. Evaluation of niobium dimethylamino-ethoxide for chemical vapour deposition of niobium oxide thin films

    International Nuclear Information System (INIS)

    Dabirian, Ali; Kuzminykh, Yury; Wagner, Estelle; Benvenuti, Giacomo; Rushworth, Simon; Hoffmann, Patrik

    2014-01-01

    Chemical vapour deposition (CVD) processes depend on the availability of suitable precursors. Precursors that deliver a stable vapour pressure are favourable in classical CVD processes, as they ensure process reproducibility. In high vacuum CVD (HV-CVD) process vapour pressure stability of the precursor is of particular importance, since no carrier gas assisted transport can be used. The dimeric Nb 2 (OEt) 10 does not fulfil this requirement since it partially dissociates upon heating. Dimethylamino functionalization of an ethoxy ligand of Nb(OEt) 5 acts as an octahedral field completing entity and leads to Nb(OEt) 4 (dmae). We show that Nb(OEt) 4 (dmae) evaporates as monomeric molecule and ensures a stable vapour pressure and, consequently, stable flow. A set of HV-CVD experiments were conducted using this precursor by projecting a graded molecular beam of the precursor onto the substrate at deposition temperatures from 320 °C to 650 °C. Film growth rates ranging from 8 nm·h −1 to values larger than 400 nm·h −1 can be obtained in this system illustrating the high level of control available over the film growth process. Classical CVD limiting conditions along with the recently reported adsorption–reaction limited conditions are observed and the chemical composition, and microstructural and optical properties of the films are related to the corresponding growth regime. Nb(OEt) 4 (dmae) provides a large process window of deposition temperatures and precursor fluxes over which carbon-free and polycrystalline niobium oxide films with growth rates proportional to precursor flux are obtained. This feature makes Nb(OEt) 4 (dmae) an attractive precursor for combinatorial CVD of niobium containing complex oxide films that are finding an increasing interest in photonics and photoelectrochemical water splitting applications. The adsorption–reaction limited conditions provide extremely small growth rates comparable to an atomic layer deposition (ALD) process

  13. Structural Analyses of Phase Stability in Amorphous and Partially Crystallized Ge-Rich GeTe Films Prepared by Atomic Layer Deposition.

    Science.gov (United States)

    Gwon, Taehong; Mohamed, Ahmed Yousef; Yoo, Chanyoung; Park, Eui-Sang; Kim, Sanggyun; Yoo, Sijung; Lee, Han-Koo; Cho, Deok-Yong; Hwang, Cheol Seong

    2017-11-29

    The local bonding structures of Ge x Te 1-x (x = 0.5, 0.6, and 0.7) films prepared through atomic layer deposition (ALD) with Ge(N(Si(CH 3 ) 3 ) 2 ) 2 and ((CH 3 ) 3 Si) 2 Te precursors were investigated using Ge K-edge X-ray absorption spectroscopy (XAS). The results of the X-ray absorption fine structure analyses show that for all of the compositions, the as-grown films were amorphous with a tetrahedral Ge coordination of a mixture of Ge-Te and Ge-Ge bonds but without any signature of Ge-GeTe decomposition. The compositional evolution in the valence band electronic structures probed through X-ray photoelectron spectroscopy suggests a substantial chemical influence of additional Ge on the nonstoichiometric GeTe. This implies that the ALD process can stabilize Ge-abundant bonding networks like -Te-Ge-Ge-Te- in amorphous GeTe. Meanwhile, the XAS results on the Ge-rich films that had undergone post-deposition annealing at 350 °C show that the parts of the crystalline Ge-rich GeTe became separated into Ge crystallites and rhombohedral GeTe in accordance with the bulk phase diagram, whereas the disordered GeTe domains still remained, consistent with the observations of transmission electron microscopy and Raman spectroscopy. Therefore, amorphousness in GeTe may be essential for the nonsegregated Ge-rich phases and the low growth temperature of the ALD enables the achievement of the structurally metastable phases.

  14. Bimetallic Nickel/Ruthenium Catalysts Synthesized by Atomic Layer Deposition for Low-Temperature Direct Methanol Solid Oxide Fuel Cells.

    Science.gov (United States)

    Jeong, Heonjae; Kim, Jun Woo; Park, Joonsuk; An, Jihwan; Lee, Tonghun; Prinz, Fritz B; Shim, Joon Hyung

    2016-11-09

    Nickel and ruthenium bimetallic catalysts were heterogeneously synthesized via atomic layer deposition (ALD) for use as the anode of direct methanol solid oxide fuel cells (DMSOFCs) operating in a low-temperature range. The presence of highly dispersed ALD Ru islands over a porous Ni mesh was confirmed, and the Ni/ALD Ru anode microstructure was observed. Fuel cell tests were conducted using Ni-only and Ni/ALD Ru anodes with approximately 350 μm thick gadolinium-doped ceria electrolytes and platinum cathodes. The performance of fuel cells was assessed using pure methanol at operating temperatures of 300-400 °C. Micromorphological changes of the anode after cell operation were investigated, and the content of adsorbed carbon on the anode side of the operated samples was measured. The difference in the maximum power density between samples utilizing Ni/ALD Ru and Pt/ALD Ru, the latter being the best catalyst for direct methanol fuel cells, was observed to be less than 7% at 300 °C and 30% at 350 °C. The improved electrochemical activity of the Ni/ALD Ru anode compared to that of the Ni-only anode, along with the reduction of the number of catalytically active sites due to agglomeration of Ni and carbon formation on the Ni surface as compared to Pt, explains this decent performance.

  15. Stabilizing nanostructured solid oxide fuel cell cathode with atomic layer deposition.

    Science.gov (United States)

    Gong, Yunhui; Palacio, Diego; Song, Xueyan; Patel, Rajankumar L; Liang, Xinhua; Zhao, Xuan; Goodenough, John B; Huang, Kevin

    2013-09-11

    We demonstrate that the highly active but unstable nanostructured intermediate-temperature solid oxide fuel cell cathode, La0.6Sr0.4CoO3-δ (LSCo), can retain its high oxygen reduction reaction (ORR) activity with exceptional stability for 4000 h at 700 °C by overcoating its surfaces with a conformal layer of nanoscale ZrO2 films through atomic layer deposition (ALD). The benefits from the presence of the nanoscale ALD-ZrO2 overcoats are remarkable: a factor of 19 and 18 reduction in polarization area-specific resistance and degradation rate over the pristine sample, respectively. The unique multifunctionality of the ALD-derived nanoscaled ZrO2 overcoats, that is, possessing porosity for O2 access to LSCo, conducting both electrons and oxide-ions, confining thermal growth of LSCo nanoparticles, and suppressing surface Sr-segregation is deemed the key enabler for the observed stable and active nanostructured cathode.

  16. Hydrogen–argon plasma pre-treatment for improving the anti-corrosion properties of thin Al2O3 films deposited using atomic layer deposition on steel

    International Nuclear Information System (INIS)

    Härkönen, Emma; Potts, Stephen E.; Kessels, Wilhelmus M.M.; Díaz, Belén; Seyeux, Antoine; Światowska, Jolanta; Maurice, Vincent; Marcus, Philippe; Radnóczi, György; Tóth, Lajos; Kariniemi, Maarit; Niinistö, Jaakko; Ritala, Mikko

    2013-01-01

    The effect of H 2 –Ar plasma pre-treatment prior to thermal atomic layer deposition (ALD) and plasma-enhanced atomic layer deposition (PEALD) of Al 2 O 3 films on steel for corrosion protection was investigated. Time-of-flight secondary ion mass spectrometry and transmission electron microscopy were used to observe the changes in the interface. The electrochemical properties of the samples were studied with polarization measurements, and the coating porosities were calculated from the polarization results for easier comparison of the coatings. Prior to thermal ALD the plasma pre-treatment was observed to reduce the amount of impurities at the interface and coating porosity by 1–3 orders of magnitude. The anti-corrosion properties of the PEALD coatings could also be improved by the pre-treatment. However, exposure of the pre-treatment plasma activated steel surface to oxygen plasma species in PEALD led to facile oxide layer formation in the interface. The oxide layer formed this way was thicker than the native oxide layer and appeared to be detrimental to the protective properties of the coating. The best performance for PEALD Al 2 O 3 coatings was achieved when, after the plasma pre-treatment, the surface was given time to regrow a thin protective interfacial oxide prior to exposure to the oxygen plasma. The different effects that thermal and plasma-enhanced ALD have on the substrate-coating interface were compared. The reactivity of the oxygen precursor was shown to have a significant influence on substrate surface in the early stages of film growth and thereafter also on the overall quality of the protective film. - Highlights: • Influence of H 2 –Ar plasma pre-treatment to ALD coatings on steel was studied. • The pre-treatment modified the coating–substrate interface composition and thickness. • The pre-treatment improved the barrier properties of the coatings

  17. Silicon surface passivation using thin HfO2 films by atomic layer deposition

    International Nuclear Information System (INIS)

    Gope, Jhuma; Vandana; Batra, Neha; Panigrahi, Jagannath; Singh, Rajbir; Maurya, K.K.; Srivastava, Ritu; Singh, P.K.

    2015-01-01

    Graphical abstract: - Highlights: • HfO 2 films using thermal ALD are studied for silicon surface passivation. • As-deposited thin film (∼8 nm) shows better passivation with surface recombination velocity (SRV) <100 cm/s. • Annealing improves passivation quality with SRV ∼20 cm/s for ∼8 nm film. - Abstract: Hafnium oxide (HfO 2 ) is a potential material for equivalent oxide thickness (EOT) scaling in microelectronics; however, its surface passivation properties particularly on silicon are not well explored. This paper reports investigation on passivation properties of thermally deposited thin HfO 2 films by atomic layer deposition system (ALD) on silicon surface. As-deposited pristine film (∼8 nm) shows better passivation with <100 cm/s surface recombination velocity (SRV) vis-à-vis thicker films. Further improvement in passivation quality is achieved with annealing at 400 °C for 10 min where the SRV reduces to ∼20 cm/s. Conductance measurements show that the interface defect density (D it ) increases with film thickness whereas its value decreases after annealing. XRR data corroborate with the observations made by FTIR and SRV data.

  18. Highly Uniform Atomic Layer-Deposited MoS2@3D-Ni-Foam: A Novel Approach To Prepare an Electrode for Supercapacitors.

    Science.gov (United States)

    Nandi, Dip K; Sahoo, Sumanta; Sinha, Soumyadeep; Yeo, Seungmin; Kim, Hyungjun; Bulakhe, Ravindra N; Heo, Jaeyeong; Shim, Jae-Jin; Kim, Soo-Hyun

    2017-11-22

    This article takes an effort to establish the potential of atomic layer deposition (ALD) technique toward the field of supercapacitors by preparing molybdenum disulfide (MoS 2 ) as its electrode. While molybdenum hexacarbonyl [Mo(CO) 6 ] serves as a novel precursor toward the low-temperature synthesis of ALD-grown MoS 2 , H 2 S plasma helps to deposit its polycrystalline phase at 200 °C. Several ex situ characterizations such as X-ray diffractometry (XRD), Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), and so forth are performed in detail to study the as-grown MoS 2 film on a Si/SiO 2 substrate. While stoichiometric MoS 2 with very negligible amount of C and O impurities was evident from XPS, the XRD and high-resolution transmission electron microscopy analyses confirmed the (002)-oriented polycrystalline h-MoS 2 phase of the as-grown film. A comparative study of ALD-grown MoS 2 as a supercapacitor electrode on 2-dimensional stainless steel and on 3-dimensional (3D) Ni-foam substrates clearly reflects the advantage and the potential of ALD for growing a uniform and conformal electrode material on a 3D-scaffold layer. Cyclic voltammetry measurements showed both double-layer capacitance and capacitance contributed by the faradic reaction at the MoS 2 electrode surface. The optimum number of ALD cycles was also found out for achieving maximum capacitance for such a MoS 2 @3D-Ni-foam electrode. A record high areal capacitance of 3400 mF/cm 2 was achieved for MoS 2 @3D-Ni-foam grown by 400 ALD cycles at a current density of 3 mA/cm 2 . Moreover, the ALD-grown MoS 2 @3D-Ni-foam composite also retains high areal capacitance, even up to a high current density of 50 mA/cm 2 . Finally, this directly grown MoS 2 electrode on 3D-Ni-foam by ALD shows high cyclic stability (>80%) over 4500 charge-discharge cycles which must invoke the research community to further explore the potential of ALD for such applications.

  19. Subnanometer Ga2O3 tunnelling layer by atomic layer deposition to achieve 1.1 V open-circuit potential in dye-sensitized solar cells.

    Science.gov (United States)

    Chandiran, Aravind Kumar; Tetreault, Nicolas; Humphry-Baker, Robin; Kessler, Florian; Baranoff, Etienne; Yi, Chenyi; Nazeeruddin, Mohammad Khaja; Grätzel, Michael

    2012-08-08

    Herein, we present the first use of a gallium oxide tunnelling layer to significantly reduce electron recombination in dye-sensitized solar cells (DSC). The subnanometer coating is achieved using atomic layer deposition (ALD) and leading to a new DSC record open-circuit potential of 1.1 V with state-of-the-art organic D-π-A sensitizer and cobalt redox mediator. After ALD of only a few angstroms of Ga(2)O(3), the electron back reaction is reduced by more than an order of magnitude, while charge collection efficiency and fill factor are increased by 30% and 15%, respectively. The photogenerated exciton separation processes of electron injection into the TiO(2) conduction band and the hole injection into the electrolyte are characterized in detail.

  20. Epitaxial TiO 2/SnO 2 core-shell heterostructure by atomic layer deposition

    KAUST Repository

    Nie, Anmin; Liu, Jiabin; Li, Qianqian; Cheng, Yingchun; Dong, Cezhou; Zhou, Wu; Wang, Pengfei; Wang, Qingxiao; Yang, Yang; Zhu, Yihan; Zeng, Yuewu; Wang, Hongtao

    2012-01-01

    Taking TiO 2/SnO 2 core-shell nanowires (NWs) as a model system, we systematically investigate the structure and the morphological evolution of this heterostructure synthesized by atomic layer deposition/epitaxy (ALD/ALE). All characterizations

  1. Atomic layer deposition for high-efficiency crystalline silicon solar cells

    NARCIS (Netherlands)

    Macco, B.; van de Loo, B.W.H.; Kessels, W.M.M.; Bachmann, J.

    2017-01-01

    This chapter illustrates that Atomic Layer Deposition (ALD) is in fact an enabler of novel high-efficiency Si solar cells, owing to its merits such as a high material quality, precise thickness control, and the ability to prepare film stacks in a well-controlled way. It gives an overview of the

  2. Atmospheric spatial atomic layer deposition of in-doped ZnO

    NARCIS (Netherlands)

    Illiberi, A.; Scherpenborg, R.; Roozeboom, F.; Poodt, P.

    2014-01-01

    Indium-doped zinc oxide (ZnO:In) has been grown by spatial atomic layer deposition at atmospheric pressure (spatial-ALD). Trimethyl indium (TMIn), diethyl zinc (DEZ) and deionized water have been used as In, Zn and O precursor, respectively. The metal content of the films is controlled in the range

  3. Epitaxial c-axis oriented BaTiO3 thin films on SrTiO3-buffered Si(001) by atomic layer deposition

    International Nuclear Information System (INIS)

    Ngo, Thong Q.; McDaniel, Martin D.; Ekerdt, John G.; Posadas, Agham B.; Demkov, Alexander A.; Hu, Chengqing; Yu, Edward T.; Bruley, John

    2014-01-01

    Atomic layer deposition (ALD) of epitaxial c-axis oriented BaTiO 3 (BTO) on Si(001) using a thin (1.6 nm) buffer layer of SrTiO 3 (STO) grown by molecular beam epitaxy is reported. The ALD growth of crystalline BTO films at 225  °C used barium bis(triisopropylcyclopentadienyl), titanium tetraisopropoxide, and water as co-reactants. X-ray diffraction (XRD) reveals a high degree of crystallinity and c-axis orientation of as-deposited BTO films. Crystallinity is improved after vacuum annealing at 600  °C. Two-dimensional XRD confirms the tetragonal structure and orientation of 7–20-nm thick films. The effect of the annealing process on the BTO structure is discussed. A clean STO/Si interface is found using in-situ X-ray photoelectron spectroscopy and confirmed by cross-sectional scanning transmission electron microscopy. The capacitance-voltage characteristics of 7–20 nm-thick BTO films are examined and show an effective dielectric constant of ∼660 for the heterostructure

  4. Thin-barrier enhancement-mode AlGaN/GaN MIS-HEMT using ALD Al2O3 as gate insulator

    International Nuclear Information System (INIS)

    Wang Zheli; Zhou Jianjun; Kong Yuechan; Kong Cen; Dong Xun; Yang Yang; Chen Tangsheng

    2015-01-01

    A high-performance enhancement-mode (E-mode) gallium nitride (GaN)-based metal–insulator–semiconductor high electron mobility transistor (MIS-HEMT) that employs a 5-nm-thick aluminum gallium nitride (Al 0.3 Ga 0.7 N) as a barrier layer and relies on silicon nitride (SiN) passivation to control the 2DEG density is presented. Unlike the SiN passivation, aluminum oxide (Al 2 O 3 ) by atomic layer deposition (ALD) on AlGaN surface would not increase the 2DEG density in the heterointerface. ALD Al 2 O 3 was used as gate insulator after the depletion by etching of the SiN in the gate region. The E-mode MIS-HEMT with gate length (L G ) of 1 μm showed a maximum drain current density (I DS ) of 657 mA/mm, a maximum extrinsic transconductance (g m ) of 187 mS/mm and a threshold voltage (V th ) of 1 V. Comparing with the corresponding E-mode HEMT, the device performances had been greatly improved due to the insertion of Al 2 O 3 gate insulator. This provided an excellent way to realize E-mode AlGaN/GaN MIS-HEMTs with both high V th and I DS . (paper)

  5. Resistivity of atomic layer deposition grown ZnO: The influence of deposition temperature and post-annealing

    Energy Technology Data Exchange (ETDEWEB)

    Laube, J., E-mail: laube@imtek.de; Nübling, D.; Beh, H.; Gutsch, S.; Hiller, D.; Zacharias, M.

    2016-03-31

    Conductive zinc oxide (ZnO) films deposited by atomic layer deposition were studied as function of post-annealing treatments. Effusion experiments were conducted on ZnO films deposited at different temperatures. The influence of different annealing atmospheres on the resistivity of the films was investigated and compared to reference samples. It was found that the influence of the deposition temperature on the resistivity is much higher than that of subsequent annealings. This leads to the conclusion that reduction of the resistivity by diffusion of different gases, such as oxygen and hydrogen, into annealed ZnO films is unlikely. - Highlights: • Conformal growth of ZnO-ALD over a temperature range of 25 °C up to 300 °C. • Post-annealing in different atmospheres (H{sub 2}, O{sub 2}, vacuum) and temperatures. • Analysis of film-conductivity and effusion characteristic.

  6. Coloration of metallic and/or ceramic surfaces obtained by atomic layer deposited nano-coatings

    Energy Technology Data Exchange (ETDEWEB)

    Guzman, L., E-mail: luisg47@gmail.com [Fondazione Bruno Kessler (FBK), Centro Materiali e Microsistemi, Functional Materials & Photonic Structures Unit, via Sommarive 18, 38123 Trento (Italy); Vettoruzzo, F. [Ronda High Tech, via Vegri 83, 36010 Zane’, Vicenza (Italy); Laidani, N. [Fondazione Bruno Kessler (FBK), Centro Materiali e Microsistemi, Functional Materials & Photonic Structures Unit, via Sommarive 18, 38123 Trento (Italy)

    2016-02-29

    By depositing single layer coatings by means of physical vapor techniques, tailoring of their coloration is generally complex because a given color can be obtained only by very high composition control. Physical vapor deposition (PVD) processes are expensive and cannot be easily used for obtaining conformal coating on three-dimensional objects. Moreover PVD coatings exhibit intrinsic defects (columnar structures, pores) that affect their functional properties and applications such as barrier layers. Atomic layer deposition (ALD) technology delivers conformal coatings on different materials with very low defectiveness. A straightforward coloration can be obtained by a combination of two types of layers with different refraction index, deposited to high thickness precision. Computer simulation studies were performed to design the thickness and architecture of multilayer structures, to a total thickness of approximately 100 nm, suitable to modify the typical coloration of some materials, without altering their other physical and chemical properties. The most promising nano-layered structures were then deposited by ALD and tested with regard to their optical properties. Their total thicknesses were specified in such a way to be technically feasible and compatible with future industrial production. The materials employed in this study to build the optical coatings, are two oxides (Al{sub 2}O{sub 3}, TiO{sub 2}) deposited at 120 °C and two nitrides (AlN, TiN), which need a deposition temperature of 400 °C. The possibility of using such modern deposition technology for esthetic and decorative purposes, while maintaining the functional properties, opens perspectives of industrial applications. - Highlights: • Computer simulation is done to design multilayers made of Al{sub 2}O{sub 3}, TiO{sub 2}, AlN, and TiN. • Total thickness (< 120 nm) is specified to be compatible with industrial production. • The most promising nano-layered structures are then produced and

  7. Coloration of metallic and/or ceramic surfaces obtained by atomic layer deposited nano-coatings

    International Nuclear Information System (INIS)

    Guzman, L.; Vettoruzzo, F.; Laidani, N.

    2016-01-01

    By depositing single layer coatings by means of physical vapor techniques, tailoring of their coloration is generally complex because a given color can be obtained only by very high composition control. Physical vapor deposition (PVD) processes are expensive and cannot be easily used for obtaining conformal coating on three-dimensional objects. Moreover PVD coatings exhibit intrinsic defects (columnar structures, pores) that affect their functional properties and applications such as barrier layers. Atomic layer deposition (ALD) technology delivers conformal coatings on different materials with very low defectiveness. A straightforward coloration can be obtained by a combination of two types of layers with different refraction index, deposited to high thickness precision. Computer simulation studies were performed to design the thickness and architecture of multilayer structures, to a total thickness of approximately 100 nm, suitable to modify the typical coloration of some materials, without altering their other physical and chemical properties. The most promising nano-layered structures were then deposited by ALD and tested with regard to their optical properties. Their total thicknesses were specified in such a way to be technically feasible and compatible with future industrial production. The materials employed in this study to build the optical coatings, are two oxides (Al_2O_3, TiO_2) deposited at 120 °C and two nitrides (AlN, TiN), which need a deposition temperature of 400 °C. The possibility of using such modern deposition technology for esthetic and decorative purposes, while maintaining the functional properties, opens perspectives of industrial applications. - Highlights: • Computer simulation is done to design multilayers made of Al_2O_3, TiO_2, AlN, and TiN. • Total thickness (< 120 nm) is specified to be compatible with industrial production. • The most promising nano-layered structures are then produced and optically tested. • An

  8. Time-dependent dielectric breakdown of atomic-layer-deposited Al2O3 films on GaN

    Science.gov (United States)

    Hiraiwa, Atsushi; Sasaki, Toshio; Okubo, Satoshi; Horikawa, Kiyotaka; Kawarada, Hiroshi

    2018-04-01

    Atomic-layer-deposited (ALD) Al2O3 films are the most promising surface passivation and gate insulation layers in non-Si semiconductor devices. Here, we carried out an extensive study on the time-dependent dielectric breakdown characteristics of ALD-Al2O3 films formed on homo-epitaxial GaN substrates using two different oxidants at two different ALD temperatures. The breakdown times were approximated by Weibull distributions with average shape parameters of 8 or larger. These values are reasonably consistent with percolation theory predictions and are sufficiently large to neglect the wear-out lifetime distribution in assessing the long-term reliability of the Al2O3 films. The 63% lifetime of the Al2O3 films increases exponentially with a decreasing field, as observed in thermally grown SiO2 films at low fields. This exponential relationship disproves the correlation between the lifetime and the leakage current. Additionally, the lifetime decreases with measurement temperature with the most remarkable reduction observed in high-temperature (450 °C) O3-grown films. This result agrees with that from a previous study, thereby ruling out high-temperature O3 ALD as a gate insulation process. When compared at 200 °C under an equivalent SiO2 field of 4 MV/cm, which is a design guideline for thermal SiO2 on Si, high-temperature H2O-grown Al2O3 films have the longest lifetimes, uniquely achieving the reliability target of 20 years. However, this target is accomplished by a relatively narrow margin and, therefore, improvements in the lifetime are expected to be made, along with efforts to decrease the density of extrinsic Al2O3 defects, if any, to promote the practical use of ALD Al2O3 films.

  9. Microcrystalline silicon deposition: Process stability and process control

    International Nuclear Information System (INIS)

    Donker, M.N. van den; Kilper, T.; Grunsky, D.; Rech, B.; Houben, L.; Kessels, W.M.M.; Sanden, M.C.M. van de

    2007-01-01

    Applying in situ process diagnostics, we identified several process drifts occurring in the parallel plate plasma deposition of microcrystalline silicon (μc-Si:H). These process drifts are powder formation (visible from diminishing dc-bias and changing spatial emission profile on a time scale of 10 0 s), transient SiH 4 depletion (visible from a decreasing SiH emission intensity on a time scale of 10 2 s), plasma heating (visible from an increasing substrate temperature on a time scale of 10 3 s) and a still puzzling long-term drift (visible from a decreasing SiH emission intensity on a time scale of 10 4 s). The effect of these drifts on the crystalline volume fraction in the deposited films is investigated by selected area electron diffraction and depth-profiled Raman spectroscopy. An example shows how the transient depletion and long-term drift can be prevented by suitable process control. Solar cells deposited using this process control show enhanced performance. Options for process control of plasma heating and powder formation are discussed

  10. The aldehyde dehydrogenase, AldA, is essential for L-1,2-propanediol utilization in laboratory-evolved Escherichia coli

    DEFF Research Database (Denmark)

    Aziz, Ramy K.; Monk, Jonathan M.; Andrews, Kathleen A.

    2017-01-01

    is highly conserved among members of the family Enterobacteriacea. To test this hypothesis, we first performed computational model simulation, which confirmed the essentiality of the aldA gene for 1,2-PDO utilization by the evolved PDO-degrading E. coli. Next, we deleted the aldA gene from the evolved...

  11. Atomic layer deposition of ruthenium surface-coating on porous platinum catalysts for high-performance direct ethanol solid oxide fuel cells

    Science.gov (United States)

    Jeong, Heon Jae; Kim, Jun Woo; Jang, Dong Young; Shim, Joon Hyung

    2015-09-01

    Pt-Ru bi-metallic catalysts are synthesized by atomic layer deposition (ALD) of Ru surface-coating on sputtered Pt mesh. The catalysts are evaluated in direct ethanol solid oxide fuel cells (DESOFCs) in the temperature range of 300-500 °C. Island-growth of the ALD Ru coating is confirmed by transmission electron microscopy and X-ray photoelectron spectroscopy (XPS) analyses. The performance of the DESOFCs is evaluated based on the current-voltage output and electrochemical impedance spectroscopy. Genuine reduction of the polarization impedance, and enhanced power output with improved surface kinetics are achieved with the optimized ALD Ru surface-coating compared to bare Pt. The chemical composition of the Pt/ALD Ru electrode surface after fuel cell operation is analyzed via XPS. Enhanced cell performance is clearly achieved, attributed to the effective Pt/ALD Ru bi-metallic catalysis, including oxidation of Cdbnd O by Ru, and de-protonation of ethanol and cleavage of C-C bonds by Pt, as supported by surface morphology analysis which confirms formation of a large amount of carbon on bare Pt after the ethanol-fuel-cell test.

  12. Robust, functional nanocrystal solids by infilling with atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yao; Gibbs, Markelle; Perkins, Craig L.; Tolentino, Jason; Zarghami, Mohammad H.; Bustamante, Jr., J.; Law, Matt

    2011-12-14

    Thin films of colloidal semiconductor nanocrystals (NCs) are inherently metatstable materials prone to oxidative and photothermal degradation driven by their large surface-to-volume ratios and high surface energies. The fabrication of practical electronic devices based on NC solids hinges on preventing oxidation, surface diffusion, ripening, sintering, and other unwanted physicochemical changes that can plague these materials. Here we use low-temperature atomic layer deposition (ALD) to infill conductive PbSe NC solids with metal oxides to produce inorganic nanocomposites in which the NCs are locked in place and protected against oxidative and photothermal damage. Infilling NC field-effect transistors and solar cells with amorphous alumina yields devices that operate with enhanced and stable performance for at least months in air. Furthermore, ALD infilling with ZnO lowers the height of the inter-NC tunnel barrier for electron transport, yielding PbSe NC films with electron mobilities of 1 cm² V-1 s-1. Our ALD technique is a versatile means to fabricate robust NC solids for optoelectronic devices.

  13. Alkali-resistant low-temperature atomic-layer-deposited oxides for optical fiber sensor overlays

    Science.gov (United States)

    Kosiel, K.; Dominik, M.; Ściślewska, I.; Kalisz, M.; Guziewicz, M.; Gołaszewska, K.; Niedziółka-Jonsson, J.; Bock, W. J.; Śmietana, M.

    2018-04-01

    This paper presents an investigation of properties of selected metallic oxides deposited at a low temperature (100 °C) by atomic layer deposition (ALD) technique, relating to their applicability as thin overlays for optical fiber sensors resistant in alkaline environments. Hafnium oxide (Hf x O y with y/x approx. 2.70), tantalum oxide (Ta x O y with y/x approx. 2.75) and zirconium oxide (Zr x O y with y/x approx. 2.07), which deposition was based, respectively, on tetrakis(ethylmethyl)hafnium, tantalum pentachloride and tetrakis(ethylmethyl)zirconium with deionized water, were tested as thin layers on planar Si (100) and glass substrates. Growth per cycle (GPC) in the ALD processes was 0.133-0.150 nm/cycle. Run-to-run GPC reproducibility of the ALD processes was best for Hf x O y (0.145 ± 0.001 nm/cycle) and the poorest for Ta x O y (0.133 ± 0.003 nm/cycle). Refractive indices n of the layers were 2.00-2.10 (at the wavelength λ = 632 nm), with negligible k value (at λ for 240-930 nm). The oxides examined by x-ray diffractometry proved to be amorphous, with only small addition of crystalline phases for the Zr x O y . The surfaces of the oxides had grainy but smooth topographies with root-mean square roughness ˜0.5 nm (at 10 × 10 μm2 area) according to atomic force microscopy. Ellipsometric measurements, by contrast, suggest rougher surfaces for the Zr x O y layers. The surfaces were also slightly rougher on the glass-based samples than on the Si-based ones. Nanohardness and Young modules were 4.90-8.64 GPa and 83.7-104.4 GPa, respectively. The tests of scratch resistance revealed better tribological properties for the Hf x O y and the Ta x O y than for the Zr x O y . The surfaces were hydrophilic, with wetting angles of 52.5°-62.9°. The planar oxides on Si, being resistive even to concentrated alkali (pH 14), proved to be significantly more alkali-resistive than Al2O3. The Ta x O y overlay was deposited on long-period grating sensor induced in optical

  14. Atomic-Layer-Deposited AZO Outperforms ITO in High-Efficiency Polymer Solar Cells

    KAUST Repository

    Kan, Zhipeng

    2018-05-11

    Tin-doped indium oxide (ITO) transparent conducting electrodes are widely used across the display industry, and are currently the cornerstone of photovoltaic device developments, taking a substantial share in the manufacturing cost of large-area modules. However, cost and supply considerations are set to limit the extensive use of indium for optoelectronic device applications and, in turn, alternative transparent conducting oxide (TCO) materials are required. In this report, we show that aluminum-doped zinc oxide (AZO) thin films grown by atomic layer deposition (ALD) are sufficiently conductive and transparent to outperform ITO as the cathode in inverted polymer solar cells. Reference polymer solar cells made with atomic-layer-deposited AZO cathodes, PCE10 as the polymer donor and PC71BM as the fullerene acceptor (model systems), reach power conversion efficiencies of ca. 10% (compared to ca. 9% with ITO-coated glass), without compromising other figures of merit. These ALD-grown AZO electrodes are promising for a wide range of optoelectronic device applications relying on TCOs.

  15. Atomic-Layer-Deposited AZO Outperforms ITO in High-Efficiency Polymer Solar Cells

    KAUST Repository

    Kan, Zhipeng; Wang, Zhenwei; Firdaus, Yuliar; Babics, Maxime; Alshareef, Husam N.; Beaujuge, Pierre

    2018-01-01

    Tin-doped indium oxide (ITO) transparent conducting electrodes are widely used across the display industry, and are currently the cornerstone of photovoltaic device developments, taking a substantial share in the manufacturing cost of large-area modules. However, cost and supply considerations are set to limit the extensive use of indium for optoelectronic device applications and, in turn, alternative transparent conducting oxide (TCO) materials are required. In this report, we show that aluminum-doped zinc oxide (AZO) thin films grown by atomic layer deposition (ALD) are sufficiently conductive and transparent to outperform ITO as the cathode in inverted polymer solar cells. Reference polymer solar cells made with atomic-layer-deposited AZO cathodes, PCE10 as the polymer donor and PC71BM as the fullerene acceptor (model systems), reach power conversion efficiencies of ca. 10% (compared to ca. 9% with ITO-coated glass), without compromising other figures of merit. These ALD-grown AZO electrodes are promising for a wide range of optoelectronic device applications relying on TCOs.

  16. TiO2 nanosheets synthesized by atomic layer deposition for photocatalysis

    Directory of Open Access Journals (Sweden)

    Riyanto Edy

    2016-10-01

    Full Text Available Two-dimensional TiO2 nanosheets were synthesized by atomic layer deposition (ALD on dissolvable sacrificial polymer layer. The photocatalytic performance of free-standing TiO2 nanosheets prepared with different numbers of ALD cycles (100, 300, 500, and 1000 were investigated by evaluating the degradation rates of methyl orange solutions. It is shown that the photocatalytic activity increases due to Ti3+ defect and the locally ordered structures in amorphous TiO2 nanosheets. The difference in the surface areas of nanosheets may also play a crucial role in the photocatalytic activity. The results obtained in this work can have potential applications in fields like water splitting and dye-sensitized solar cells.

  17. Atomic-layer deposited passivation schemes for c-Si solar cells

    NARCIS (Netherlands)

    van de Loo, B.W.H.; Macco, B.; Melskens, J.; Verheijen, M.A.; Kessels, W.M.M.E.

    2016-01-01

    A review of recent developments in the field of passivation of c-Si surfaces is presented, with a particular focus on materials that can be prepared by atomic layer deposition (ALD). Besides Al2O3, various other novel passivation schemes have recently been developed, such as Ga2O3, Ta2O5,

  18. Epitaxial c-axis oriented BaTiO{sub 3} thin films on SrTiO{sub 3}-buffered Si(001) by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ngo, Thong Q.; McDaniel, Martin D.; Ekerdt, John G., E-mail: ekerdt@che.utexas.edu [Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Posadas, Agham B.; Demkov, Alexander A. [Department of Physics, The University of Texas at Austin, Austin, Texas 78712 (United States); Hu, Chengqing; Yu, Edward T. [Department of Electrical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Bruley, John [IBM Research Division, Yorktown Heights, New York 10593 (United States)

    2014-02-24

    Atomic layer deposition (ALD) of epitaxial c-axis oriented BaTiO{sub 3} (BTO) on Si(001) using a thin (1.6 nm) buffer layer of SrTiO{sub 3} (STO) grown by molecular beam epitaxy is reported. The ALD growth of crystalline BTO films at 225  °C used barium bis(triisopropylcyclopentadienyl), titanium tetraisopropoxide, and water as co-reactants. X-ray diffraction (XRD) reveals a high degree of crystallinity and c-axis orientation of as-deposited BTO films. Crystallinity is improved after vacuum annealing at 600  °C. Two-dimensional XRD confirms the tetragonal structure and orientation of 7–20-nm thick films. The effect of the annealing process on the BTO structure is discussed. A clean STO/Si interface is found using in-situ X-ray photoelectron spectroscopy and confirmed by cross-sectional scanning transmission electron microscopy. The capacitance-voltage characteristics of 7–20 nm-thick BTO films are examined and show an effective dielectric constant of ∼660 for the heterostructure.

  19. The Effect of Film Thickness on the Gas Sensing Properties of Ultra-Thin TiO₂ Films Deposited by Atomic Layer Deposition.

    Science.gov (United States)

    Wilson, Rachel L; Simion, Cristian Eugen; Blackman, Christopher S; Carmalt, Claire J; Stanoiu, Adelina; Di Maggio, Francesco; Covington, James A

    2018-03-01

    Analyte sensitivity for gas sensors based on semiconducting metal oxides should be highly dependent on the film thickness, particularly when that thickness is on the order of the Debye length. This thickness dependence has previously been demonstrated for SnO₂ and inferred for TiO₂. In this paper, TiO₂ thin films have been prepared by Atomic Layer Deposition (ALD) using titanium isopropoxide and water as precursors. The deposition process was performed on standard alumina gas sensor platforms and microscope slides (for analysis purposes), at a temperature of 200 °C. The TiO₂ films were exposed to different concentrations of CO, CH₄, NO₂, NH₃ and SO₂ to evaluate their gas sensitivities. These experiments showed that the TiO₂ film thickness played a dominant role within the conduction mechanism and the pattern of response for the electrical resistance towards CH₄ and NH₃ exposure indicated typical n -type semiconducting behavior. The effect of relative humidity on the gas sensitivity has also been demonstrated.

  20. Textured strontium titanate layers on platinum by atomic layer deposition

    International Nuclear Information System (INIS)

    Blomberg, T.; Anttila, J.; Haukka, S.; Tuominen, M.; Lukosius, M.; Wenger, Ch.; Saukkonen, T.

    2012-01-01

    Formation of textured strontium titanate (STO) layers with large lateral grain size (0.2–1 μm) and low X-ray reflectivity roughness (∼ 1.36 nm) on Pt electrodes by industry proven atomic layer deposition (ALD) method is demonstrated. Sr(t-Bu 3 Cp) 2 , Ti(OMe) 4 and O 3 precursors at 250 °C were used to deposit Sr rich STO on Pt/Ti/SiO 2 /Si ∅200 mm substrates. After crystallization post deposition annealing at 600 °C in air, most of the STO grains showed a preferential orientation of the {001} plane parallel to the substrate surface, although other orientations were also present. Cross sectional and plan view transmission electron microscopy and electron diffraction analysis revealed more than an order of magnitude larger lateral grain sizes for the STO compared to the underlying multicrystalline {111} oriented platinum electrode. The combination of platinum bottom electrodes with ALD STO(O 3 ) shows a promising path towards the formation of single oriented STO film. - Highlights: ► Amorphous strontium titanate (STO) on platinum formed a textured film after annealing. ► Single crystal domains in 60 nm STO film were 0.2–1 μm wide. ► Most STO grains were {001} oriented.

  1. Subnanometer Ga 2 O 3 Tunnelling Layer by Atomic Layer Deposition to Achieve 1.1 V Open-Circuit Potential in Dye-Sensitized Solar Cells

    KAUST Repository

    Chandiran, Aravind Kumar

    2012-08-08

    Herein, we present the first use of a gallium oxide tunnelling layer to significantly reduce electron recombination in dye-sensitized solar cells (DSC). The subnanometer coating is achieved using atomic layer deposition (ALD) and leading to a new DSC record open-circuit potential of 1.1 V with state-of-the-art organic D-π-A sensitizer and cobalt redox mediator. After ALD of only a few angstroms of Ga 2O 3, the electron back reaction is reduced by more than an order of magnitude, while charge collection efficiency and fill factor are increased by 30% and 15%, respectively. The photogenerated exciton separation processes of electron injection into the TiO 2 conduction band and the hole injection into the electrolyte are characterized in detail. © 2012 American Chemical Society.

  2. Influence of substrate temperature and Zn-precursors on atomic layer deposition of polycrystalline ZnO films on glass

    International Nuclear Information System (INIS)

    Makino, Hisao; Miyake, Aki; Yamada, Takahiro; Yamamoto, Naoki; Yamamoto, Tetsuya

    2009-01-01

    Influence of substrate temperature and Zn-precursors on growth rate, crystal structure, and electrical property of undoped ZnO thin films grown by atomic layer deposition (ALD) have been studied. Differences between dimethylzinc (DMeZn) and diethylzinc (DEtZn) used as Zn-precursors were examined. The ZnO films grown using DMeZn showed higher electrical resistivity compared to that grown using DEtZn. However, the higher resistivity in the case of DMeZn was owing to much amount of residual impurities incorporated during the ALD growth

  3. Formation of III–V-on-insulator structures on Si by direct wafer bonding

    International Nuclear Information System (INIS)

    Yokoyama, Masafumi; Iida, Ryo; Ikku, Yuki; Kim, Sanghyeon; Takenaka, Mitsuru; Takagi, Shinichi; Takagi, Hideki; Yasuda, Tetsuji; Yamada, Hisashi; Ichikawa, Osamu; Fukuhara, Noboru; Hata, Masahiko

    2013-01-01

    We have studied the formation of III–V-compound-semiconductors-on-insulator (III–V-OI) structures with thin buried oxide (BOX) layers on Si wafers by using developed direct wafer bonding (DWB). In order to realize III–V-OI MOSFETs with ultrathin body and extremely thin body (ETB) InGaAs-OI channel layers and ultrathin BOX layers, we have developed an electron-cyclotron resonance (ECR) O 2 plasma-assisted DWB process with ECR sputtered SiO 2 BOX layers and a DWB process based on atomic-layer-deposition Al 2 O 3 (ALD-Al 2 O 3 ) BOX layers. It is essential to suppress micro-void generation during wafer bonding process to achieve excellent wafer bonding. We have found that major causes of micro-void generation in DWB processes with ECR-SiO 2 and ALD-Al 2 O 3 BOX layers are desorption of Ar and H 2 O gas, respectively. In order to suppress micro-void generation in the ECR-SiO 2 BOX layers, it is effective to introduce the outgas process before bonding wafers. On the other hand, it is a possible solution for suppressing micro-void generation in the ALD-Al 2 O 3 BOX layers to increase the deposition temperature of the ALD-Al 2 O 3 BOX layers. It is also another possible solution to deposit ALD-Al 2 O 3 BOX layers on thermally oxidized SiO 2 layers, which can absorb the desorption gas from ALD-Al 2 O 3 BOX layers. (invited paper)

  4. Ultrafast triggered transient energy storage by atomic layer deposition into porous silicon for integrated transient electronics

    Science.gov (United States)

    Douglas, Anna; Muralidharan, Nitin; Carter, Rachel; Share, Keith; Pint, Cary L.

    2016-03-01

    Here we demonstrate the first on-chip silicon-integrated rechargeable transient power source based on atomic layer deposition (ALD) coating of vanadium oxide (VOx) into porous silicon. A stable specific capacitance above 20 F g-1 is achieved until the device is triggered with alkaline solutions. Due to the rational design of the active VOx coating enabled by ALD, transience occurs through a rapid disabling step that occurs within seconds, followed by full dissolution of all active materials within 30 minutes of the initial trigger. This work demonstrates how engineered materials for energy storage can provide a basis for next-generation transient systems and highlights porous silicon as a versatile scaffold to integrate transient energy storage into transient electronics.Here we demonstrate the first on-chip silicon-integrated rechargeable transient power source based on atomic layer deposition (ALD) coating of vanadium oxide (VOx) into porous silicon. A stable specific capacitance above 20 F g-1 is achieved until the device is triggered with alkaline solutions. Due to the rational design of the active VOx coating enabled by ALD, transience occurs through a rapid disabling step that occurs within seconds, followed by full dissolution of all active materials within 30 minutes of the initial trigger. This work demonstrates how engineered materials for energy storage can provide a basis for next-generation transient systems and highlights porous silicon as a versatile scaffold to integrate transient energy storage into transient electronics. Electronic supplementary information (ESI) available: (i) Experimental details for ALD and material fabrication, ellipsometry film thickness, preparation of gel electrolyte and separator, details for electrochemical measurements, HRTEM image of VOx coated porous silicon, Raman spectroscopy for VOx as-deposited as well as annealed in air for 1 hour at 450 °C, SEM and transient behavior dissolution tests of uniformly coated VOx on

  5. Uranium ore deposits: geology and processing implications

    International Nuclear Information System (INIS)

    Belyk, C.L.

    2010-01-01

    There are fifteen accepted types of uranium ore deposits and at least forty subtypes readily identified around the world. Each deposit type has a unique set of geological characteristics which may also result in unique processing implications. Primary uranium production in the past decade has predominantly come from only a few of these deposit types including: unconformity, sandstone, calcrete, intrusive, breccia complex and volcanic ones. Processing implications can vary widely between and within the different geological models. Some key characteristics of uranium deposits that may have processing implications include: ore grade, uranium and gangue mineralogy, ore hardness, porosity, uranium mineral morphology and carbon content. Processing difficulties may occur as a result of one or more of these characteristics. In order to meet future uranium demand, it is imperative that innovative processing approaches and new technological advances be developed in order that many of the marginally economic traditional and uneconomic non-traditional uranium ore deposits can be exploited. (author)

  6. Laser damage properties of TiO2/Al2O3 thin films grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Wei Yaowei; Liu Hao; Sheng Ouyang; Liu Zhichao; Chen Songlin; Yang Liming

    2011-01-01

    Research on thin film deposited by atomic layer deposition (ALD) for laser damage resistance is rare. In this paper, it has been used to deposit TiO 2 /Al 2 O 3 films at 110 deg. C and 280 deg. C on fused silica and BK7 substrates. Microstructure of the thin films was investigated by x-ray diffraction. The laser-induced damage threshold (LIDT) of samples was measured by a damage test system. Damage morphology was studied under a Nomarski differential interference contrast microscope and further checked under an atomic force microscope. Multilayers deposited at different temperatures were compared. The results show that the films deposited by ALD had better uniformity and transmission; in this paper, the uniformity is better than 99% over 100 mm Φ samples, and the transmission is more than 99.8% at 1064 nm. Deposition temperature affects the deposition rate and the thin film microstructure and further influences the LIDT of the thin films. As to the TiO 2 /Al 2 O 3 films, the LIDTs were 6.73±0.47 J/cm 2 and 6.5±0.46 J/cm 2 at 110 deg. C on fused silica and BK7 substrates, respectively. The LIDTs at 110 deg. C are notably better than 280 deg. C.

  7. Bio-mimicked atomic-layer-deposited iron oxide-based memristor with synaptic potentiation and depression functions

    Science.gov (United States)

    Wan, Xiang; Gao, Fei; Lian, Xiaojuan; Ji, Xincun; Hu, Ertao; He, Lin; Tong, Yi; Guo, Yufeng

    2018-06-01

    In this study, an iron oxide (FeO x )-based memristor was investigated for the realization of artificial synapses. An FeO x resistive switching layer was prepared by self-limiting atomic layer deposition (ALD). The movement of oxygen vacancies enabled the device to have history-dependent synaptic functions, which was further demonstrated by device modeling and simulation. Analog synaptic potentiation/depression in conductance was emulated by applying consecutive voltage pulses in the simulation. Our results suggest that the ALD FeO x -based memristor can be used as the basic building block for neural networks, neuromorphic systems, and brain-inspired computers.

  8. Advanced Materials Enabled by Atomic Layer Deposition for High Energy Density Rechargeable Batteries

    Science.gov (United States)

    Chen, Lin

    In order to meet the ever increasing energy needs of society and realize the US Department of Energy (DOE)'s target for energy storage, acquiring a fundamental understanding of the chemical mechanisms in batteries for direct guidance and searching novel advanced materials with high energy density are critical. To realize rechargeable batteries with superior energy density, great cathodes and excellent anodes are required. LiMn2O4 (LMO) has been considered as a simpler surrogate for high energy cathode materials like NMC. Previous studies demonstrated that Al2O3 coatings prepared by atomic layer deposition (ALD) improved the capacity of LMO cathodes. This improvement was attributed to a reduction in surface area and diminished Mn dissolution. However, here we propose a different mechanism for ALD Al 2O3 on LMO based on in-situ and ex-situ investigations coupled with density functional theory calculations. We discovered that Al2O 3 not only coats the LMO, but also dopes the LMO surface with Al leading to changes in the Mn oxidation state. Different thicknesses of Al2O 3 were deposited on nonstoichiometric LiMn2O4 for electrochemical measurements. The LMO treated with one cycle of ALD Al2O3 (1xAl 2O3 LMO) to produce a sub-monolayer coating yielded a remarkable initial capacity, 16.4% higher than its uncoated LMO counterpart in full cells. The stability of 1xAl2O3 LMO is also much better as a result of stabilized defects with Al species. Furthermore, 4xAl 2O3 LMO demonstrates remarkable capacity retention. Stoichiometric LiMn2O4 was also evaluated with similar improved performance achieved. All superior results, accomplished by great stability and reduced Mn dissolution, is thanks to the synergetic effects of Al-doping and ALD Al2O 3 coating. Turning our attention to the anode, we again utilized aluminum oxide ALD to form conformal films on lithium. We elaborately designed and studied, for the first time, the growth mechanism during Al2O3 ALD on lithium metal in

  9. The importance of dye chemistry and TiCl4 surface treatment in the behavior of Al2O3 recombination barrier layers deposited by atomic layer deposition in solid-state dye-sensitized solar cells

    KAUST Repository

    Brennan, Thomas P.; Bakke, Jonathan R.; Ding, I-Kang; Hardin, Brian E.; Nguyen, William H.; Mondal, Rajib; Bailie, Colin D.; Margulis, George Y.; Hoke, Eric T.; Sellinger, Alan; McGehee, Michael D.; Bent, Stacey F.

    2012-01-01

    Atomic layer deposition (ALD) was used to fabricate Al 2O 3 recombination barriers in solid-state dye-sensitized solar cells (ss-DSSCs) employing an organic hole transport material (HTM) for the first time. Al 2O 3 recombination barriers of varying

  10. Core-shell structured ceramic nonwoven separators by atomic layer deposition for safe lithium-ion batteries

    Science.gov (United States)

    Shen, Xiu; Li, Chao; Shi, Chuan; Yang, Chaochao; Deng, Lei; Zhang, Wei; Peng, Longqing; Dai, Jianhui; Wu, Dezhi; Zhang, Peng; Zhao, Jinbao

    2018-05-01

    Safety is one of the most factors for lithium-ion batteries (LIBs). In this work, a novel kind of ceramic separator with high safety insurance is proposed. We fabricated the core-shell nanofiber separators for LIBs by atomic layer deposition (ALD) of 30 nm Al2O3 on the electrospinning nonwoven fiber of polyvinylidene fluoride-hexafluoropropylene (PVDF-HFP). The separators show a pretty high heat resistance up to 200 °C without any shrinkage, an excellent fire-resistant property and a wide electrochemical window. Besides, with higher uptake and ionic conductivity, cells assembled with the novel separator shows better electrochemical performance. The ALD produced separators exhibit great potential in elaborate products like 3C communications and in energy field with harsh requirements for safety such as electric vehicles. The application of ALD on polymer fiber membranes brings a new strategy and opportunity for improving the safety of the advanced LIBs.

  11. Effect of ozone concentration on silicon surface passivation by atomic layer deposited Al{sub 2}O{sub 3}

    Energy Technology Data Exchange (ETDEWEB)

    Gastrow, Guillaume von, E-mail: guillaume.von.gastrow@aalto.fi [Aalto University, Department of Micro- and Nanosciences, Tietotie 3, 02150 Espoo (Finland); Li, Shuo [Aalto University, Department of Micro- and Nanosciences, Tietotie 3, 02150 Espoo (Finland); Putkonen, Matti [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044 VTT, Espoo (Finland); Aalto University School of Chemical Technology, Laboratory of Inorganic Chemistry, FI-00076 Aalto, Espoo (Finland); Laitinen, Mikko; Sajavaara, Timo [University of Jyvaskyla, Department of Physics, FIN-40014 University of Jyvaskyla (Finland); Savin, Hele [Aalto University, Department of Micro- and Nanosciences, Tietotie 3, 02150 Espoo (Finland)

    2015-12-01

    Highlights: • The ALD Al{sub 2}O{sub 3} passivation quality can be controlled by the ozone concentration. • Ozone concentration affects the Si/Al{sub 2}O{sub 3} interface charge and defect density. • A surface recombination velocity of 7 cm/s is reached combining ozone and water ALD. • Carbon and hydrogen concentrations correlate with the surface passivation quality. - Abstract: We study the impact of ozone-based Al{sub 2}O{sub 3} Atomic Layer Deposition (ALD) on the surface passivation quality of crystalline silicon. We show that the passivation quality strongly depends on the ozone concentration: the higher ozone concentration results in lower interface defect density and thereby improved passivation. In contrast to previous studies, our results reveal that too high interface hydrogen content can be detrimental to the passivation. The interface hydrogen concentration can be optimized by the ozone-based process; however, the use of pure ozone increases the harmful carbon concentration in the film. Here we demonstrate that low carbon and optimal hydrogen concentration can be achieved by a single process combining the water- and ozone-based reactions. This process results in an interface defect density of 2 × 10{sup 11} eV{sup −1} cm{sup −2}, and maximum surface recombination velocities of 7.1 cm/s and 10 cm/s, after annealing and after an additional firing at 800 °C, respectively. In addition, our results suggest that the effective oxide charge density can be optimized in a simple way by varying the ozone concentration and by injecting water to the ozone process.

  12. Earth Surface Processes, Landforms and Sediment Deposits

    Science.gov (United States)

    Bridge, John; Demicco, Robert

    Earth surface processes, landforms and sediment deposits are intimately related - involving erosion of rocks, generation of sediment, and transport and deposition of sediment through various Earth surface environments. These processes, and the landforms and deposits that they generate, have a fundamental bearing on engineering, environmental and public safety issues; on recovery of economic resources; and on our understanding of Earth history. This unique textbook brings together the traditional disciplines of sedimentology and geomorphology to explain Earth surface processes, landforms and sediment deposits in a comprehensive and integrated way. It is the ideal resource for a two-semester course in sedimentology, stratigraphy, geomorphology, and Earth surface processes from the intermediate undergraduate to beginning graduate level. The book is also accompanied by a website hosting illustrations and material on field and laboratory methods for measuring, describing and analyzing Earth surface processes, landforms and sediments.

  13. Low-temperature atomic layer deposition of MoOx for silicon heterojunction solar cells

    NARCIS (Netherlands)

    Macco, B.; Vos, M.; Thissen, N.F.W.; Bol, A.A.; Kessels, W.M.M.

    2015-01-01

    The preparation of high-quality molybdenum oxide (MoOx) is demonstrated by plasma-enhanced atomic layer deposition (ALD) at substrate temperatures down to 50 °C. The films are amorphous, slightly substoichiometric with respect to MoO3, and free of other elements apart from hydrogen (&11 at%). The

  14. Impact of post-deposition annealing on interfacial chemical bonding states between AlGaN and ZrO2 grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Ye, Gang; Arulkumaran, Subramaniam; Ng, Geok Ing; Li, Yang; Ang, Kian Siong; Wang, Hong; Ng, Serene Lay Geok; Ji, Rong; Liu, Zhi Hong

    2015-01-01

    The effect of post-deposition annealing on chemical bonding states at interface between Al 0.5 Ga 0.5 N and ZrO 2 grown by atomic layer deposition (ALD) is studied by angle-resolved x-ray photoelectron spectroscopy and high-resolution transmission electron microscopy. It has been found that both of Al-O/Al 2p and Ga-O/Ga 3d area ratio decrease at annealing temperatures lower than 500 °C, which could be attributed to “clean up” effect of ALD-ZrO 2 on AlGaN. Compared to Ga spectra, a much larger decrease in Al-O/Al 2p ratio at a smaller take-off angle θ is observed, which indicates higher effectiveness of the passivation of Al-O bond than Ga-O bond through “clean up” effect near the interface. However, degradation of ZrO 2 /AlGaN interface quality due to re-oxidation at higher annealing temperature (>500 °C) is also found. The XPS spectra clearly reveal that Al atoms at ZrO 2 /AlGaN interface are easier to get oxidized as compared with Ga atoms

  15. UV protective zinc oxide coating for biaxially oriented polypropylene packaging film by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lahtinen, Kimmo, E-mail: kimmo.lahtinen@lut.fi [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Kääriäinen, Tommi, E-mail: tommi.kaariainen@colorado.edu [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Johansson, Petri, E-mail: petri.johansson@tut.fi [Paper Converting and Packaging Technology, Tampere University of Technology, P.O.Box 589, FI-33101 Tampere (Finland); Kotkamo, Sami, E-mail: sami.kotkamo@tut.fi [Paper Converting and Packaging Technology, Tampere University of Technology, P.O.Box 589, FI-33101 Tampere (Finland); Maydannik, Philipp, E-mail: philipp.maydannik@lut.fi [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Seppänen, Tarja, E-mail: tarja.seppanen@lut.fi [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Kuusipalo, Jurkka, E-mail: jurkka.kuusipalo@tut.fi [Paper Converting and Packaging Technology, Tampere University of Technology, P.O.Box 589, FI-33101 Tampere (Finland); Cameron, David C., E-mail: david.cameron@miktech.fi [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland)

    2014-11-03

    Biaxially oriented polypropylene (BOPP) packaging film was coated with zinc oxide (ZnO) coatings by atomic layer deposition (ALD) in order to protect the film from UV degradation. The coatings were made at a process temperature of 100 °C using diethylzinc and water as zinc and oxygen precursors, respectively. The UV protective properties of the coatings were tested by using UV–VIS and infrared spectrometry, differential scanning calorimetry (DSC) and a mechanical strength tester, which characterised the tensile and elastic properties of the film. The results obtained with 36 and 67 nm ZnO coatings showed that the ZnO UV protective layer is able to provide a significant decrease in photodegradation of the BOPP film under UV exposure. While the uncoated BOPP film suffered a complete degradation after a 4-week UV exposure, the 67 nm ZnO coated BOPP film was able to preserve half of its original tensile strength and 1/3 of its elongation at break after a 6-week exposure period. The infrared analysis and DSC measurements further proved the UV protection of the ZnO coatings. The results show that a nanometre scale ZnO coating deposited by ALD is a promising option when a transparent UV protection layer is sought for polymer substrates. - Highlights: • Atomic layer deposited zinc oxide coatings were used as UV protection layers. • Biaxially oriented polypropylene (BOPP) film was well protected against UV light. • Formation of UV degradation products in BOPP was significantly reduced. • Mechanical properties of the UV exposed BOPP film were significantly improved.

  16. Fabrication of ultrathin multilayered superomniphobic nanocoatings by liquid flame spray, atomic layer deposition, and silanization

    Science.gov (United States)

    Sorvali, Miika; Vuori, Leena; Pudas, Marko; Haapanen, Janne; Mahlberg, Riitta; Ronkainen, Helena; Honkanen, Mari; Valden, Mika; Mäkelä, Jyrki M.

    2018-05-01

    Superomniphobic, i.e. liquid-repellent, surfaces have been an interesting area of research during recent years due to their various potential applications. However, producing such surfaces, especially on hard and resilient substrates like stainless steel, still remains challenging. We present a stepwise fabrication process of a multilayered nanocoating on a stainless steel substrate, consisting of a nanoparticle layer, a nanofilm, and a layer of silane molecules. Liquid flame spray was used to deposit a TiO2 nanoparticle layer as the bottom layer for producing a suitable surface structure. The interstitial Al2O3 nanofilm, fabricated by atomic layer deposition (ALD), stabilized the nanoparticle layer, and the topmost fluorosilane layer lowered the surface energy of the coating for enhanced omniphobicity. The coating was characterized with field emission scanning electron microscopy, focused ion beam scanning electron microscopy, x-ray photoelectron spectroscopy, contact angle (CA) and sliding angle (SA) measurements, and microscratch testing. The widely recognized requirements for superrepellency, i.e. CA > 150° and SA < 10°, were achieved for deioinized water, diiodomethane, and ethylene glycol. The mechanical stability of the coating could be varied by tuning the thickness of the ALD layer at the expense of repellency. To our knowledge, this is the thinnest superomniphobic coating reported so far, with the average thickness of about 70 nm.

  17. Atomic layer deposition of B-doped ZnO using triisopropyl borate as the boron precursor and comparison with Al-doped ZnO

    NARCIS (Netherlands)

    Garcia - Alonso, D.; Potts, S.E.; Helvoirt, van C.A.A.; Verheijen, M.A.; Kessels, W.M.M.

    2015-01-01

    Doped ZnO films are an important class of transparent conductive oxides, with many applications demanding increased growth control and low deposition temperatures. Therefore, the preparation of B-doped ZnO films by atomic layer deposition (ALD) at 150 °C was studied. The B source was triisopropyl

  18. Complementary and bipolar regimes of resistive switching in TiN/HfO{sub 2}/TiN stacks grown by atomic-layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Egorov, K.V.; Kirtaev, R.V.; Markeev, A.M.; Zablotskiy, A.V. [Moscow Institute of Physics and Technology, Institutskii per. 9, 141700, Dolgoprudny (Russian Federation); Lebedinskii, Yu.Yu.; Matveyev, Yu.A.; Zenkevich, A.V. [Moscow Institute of Physics and Technology, Institutskii per. 9, 141700, Dolgoprudny (Russian Federation); National Research Nuclear University MEPhI (Moscow Engineering Physics Institute), Kashirskoye shosse 31, 115409, Moscow (Russian Federation); Orlov, O.M. [Scientific Research Institute of Molecular Electronics and Plant ' ' Micron' ' , 124462, Zelenograd (Russian Federation)

    2015-04-01

    Atomic-layer deposition (ALD) technique in combination with in vacuo X-ray photoelectron spectroscopy (XPS) analysis has been successfully employed to obtain fully ALD-grown planar TiN/HfO{sub 2}/TiN metal-insulator-metal structures for resistive random access memory (ReRAM) memory elements. In vacuo XPS analysis of ALD-grown TiN/HfO{sub 2}/TiN stacks reveals the presence of the ultrathin oxidized layers consisting of TiON (∝0.5 nm) and TiO{sub 2} (∝0.6 nm) at the bottom TiN/HfO{sub 2} interface (i); the nonoxidized TiN at the top HfO{sub 2}/TiN interface (ii); the oxygen deficiency in the HfO{sub 2} layer does not exceed the XPS detection limit (iii). Electroformed ALD TiN/HfO{sub 2}/TiN stacks reveal both conventional bipolar and complementary types of resistive switching. In the complementary resistive switching regime, each programming sequence is terminated by a reset operation, leaving the TiN/HfO{sub 2}/TiN stack in a high-resistance state. The observed feature can avoid detrimental leaky paths during successive reading operation, which is useful in the passive ReRAM arrays without a selector element. The bipolar regime of resistive switching is found to reveal the gradual character of the SET and RESET switching processes. Long-term potentiation and depression tests performed on ALD-grown TiN/HfO{sub 2}/TiN stacks indicate that they can be used as electronic synapse devices for the implementation of emerging neuromorphic computation systems. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  19. Chemical vapor deposited monolayer MoS2 top-gate MOSFET with atomic-layer-deposited ZrO2 as gate dielectric

    Science.gov (United States)

    Hu, Yaoqiao; Jiang, Huaxing; Lau, Kei May; Li, Qiang

    2018-04-01

    For the first time, ZrO2 dielectric deposition on pristine monolayer MoS2 by atomic layer deposition (ALD) is demonstrated and ZrO2/MoS2 top-gate MOSFETs have been fabricated. ALD ZrO2 overcoat, like other high-k oxides such as HfO2 and Al2O3, was shown to enhance the MoS2 channel mobility. As a result, an on/off current ratio of over 107, a subthreshold slope of 276 mV dec-1, and a field-effect electron mobility of 12.1 cm2 V-1 s-1 have been achieved. The maximum drain current of the MOSFET with a top-gate length of 4 μm and a source/drain spacing of 9 μm is measured to be 1.4 μA μm-1 at V DS = 5 V. The gate leakage current is below 10-2 A cm-2 under a gate bias of 10 V. A high dielectric breakdown field of 4.9 MV cm-1 is obtained. Gate hysteresis and frequency-dependent capacitance-voltage measurements were also performed to characterize the ZrO2/MoS2 interface quality, which yielded an interface state density of ˜3 × 1012 cm-2 eV-1.

  20. Spatial Atmospheric Pressure Atomic Layer Deposition of Tin Oxide as an Impermeable Electron Extraction Layer for Perovskite Solar Cells with Enhanced Thermal Stability.

    Science.gov (United States)

    Hoffmann, Lukas; Brinkmann, Kai O; Malerczyk, Jessica; Rogalla, Detlef; Becker, Tim; Theirich, Detlef; Shutsko, Ivan; Görrn, Patrick; Riedl, Thomas

    2018-02-14

    Despite the notable success of hybrid halide perovskite-based solar cells, their long-term stability is still a key-issue. Aside from optimizing the photoactive perovskite, the cell design states a powerful lever to improve stability under various stress conditions. Dedicated electrically conductive diffusion barriers inside the cell stack, that counteract the ingress of moisture and prevent the migration of corrosive halogen species, can substantially improve ambient and thermal stability. Although atomic layer deposition (ALD) is excellently suited to prepare such functional layers, ALD suffers from the requirement of vacuum and only allows for a very limited throughput. Here, we demonstrate for the first time spatial ALD-grown SnO x at atmospheric pressure as impermeable electron extraction layers for perovskite solar cells. We achieve optical transmittance and electrical conductivity similar to those in SnO x grown by conventional vacuum-based ALD. A low deposition temperature of 80 °C and a high substrate speed of 2.4 m min -1 yield SnO x layers with a low water vapor transmission rate of ∼10 -4 gm -2 day -1 (at 60 °C/60% RH). Thereby, in perovskite solar cells, dense hybrid Al:ZnO/SnO x electron extraction layers are created that are the key for stable cell characteristics beyond 1000 h in ambient air and over 3000 h at 60 °C. Most notably, our work of introducing spatial ALD at atmospheric pressure paves the way to the future roll-to-roll manufacturing of stable perovskite solar cells.

  1. Band Alignment for Rectification and Tunneling Effects in Al2O3 Atomic-Layer-Deposited on Back Contact for CdTe Solar Cell.

    Science.gov (United States)

    Su, Yantao; Xin, Chao; Feng, Yancong; Lin, Qinxian; Wang, Xinwei; Liang, Jun; Zheng, Jiaxin; Lin, Yuan; Pan, Feng

    2016-10-11

    The present work intends to explain why ultrathin Al 2 O 3 atomic-layer-deposited (ALD) on the back contact with rectification and tunneling effects can significantly improve the performance of CdTe solar cells in our previous work [ Liang , J. ; et al. Appl. Phys. Lett. 2015 , 107 , 013907 ]. Herein, we further study the mechanism through establishing the interfacial energy band diagram configuration of the ALD Al 2 O 3 /Cu x Te by experiment of X-ray photoelectron spectroscopy and first-principles calculations and conclude to find the band alignment with optimized layer thickness (about 1 nm ALD Al 2 O 3 ) as the key factor for rectification and tunneling effects.

  2. Material insights of HfO2-based integrated 1-transistor-1-resistor resistive random access memory devices processed by batch atomic layer deposition.

    Science.gov (United States)

    Niu, Gang; Kim, Hee-Dong; Roelofs, Robin; Perez, Eduardo; Schubert, Markus Andreas; Zaumseil, Peter; Costina, Ioan; Wenger, Christian

    2016-06-17

    With the continuous scaling of resistive random access memory (RRAM) devices, in-depth understanding of the physical mechanism and the material issues, particularly by directly studying integrated cells, become more and more important to further improve the device performances. In this work, HfO2-based integrated 1-transistor-1-resistor (1T1R) RRAM devices were processed in a standard 0.25 μm complementary-metal-oxide-semiconductor (CMOS) process line, using a batch atomic layer deposition (ALD) tool, which is particularly designed for mass production. We demonstrate a systematic study on TiN/Ti/HfO2/TiN/Si RRAM devices to correlate key material factors (nano-crystallites and carbon impurities) with the filament type resistive switching (RS) behaviours. The augmentation of the nano-crystallites density in the film increases the forming voltage of devices and its variation. Carbon residues in HfO2 films turn out to be an even more significant factor strongly impacting the RS behaviour. A relatively higher deposition temperature of 300 °C dramatically reduces the residual carbon concentration, thus leading to enhanced RS performances of devices, including lower power consumption, better endurance and higher reliability. Such thorough understanding on physical mechanism of RS and the correlation between material and device performances will facilitate the realization of high density and reliable embedded RRAM devices with low power consumption.

  3. The effect of substrate temperature on atomic layer deposited zinc tin oxide

    Energy Technology Data Exchange (ETDEWEB)

    Lindahl, Johan, E-mail: johan.lindahl@angstrom.uu.se; Hägglund, Carl, E-mail: carl.hagglund@angstrom.uu.se; Wätjen, J. Timo, E-mail: timo.watjen@angstrom.uu.se; Edoff, Marika, E-mail: marika.edoff@angstrom.uu.se; Törndahl, Tobias, E-mail: tobias.torndahl@angstrom.uu.se

    2015-07-01

    Zinc tin oxide (ZTO) thin films were deposited on glass substrates by atomic layer deposition (ALD), and the film properties were investigated for varying deposition temperatures in the range of 90 to 180 °C. It was found that the [Sn]/([Sn] + [Zn]) composition is only slightly temperature dependent, while properties such as growth rate, film density, material structure and band gap are more strongly affected. The growth rate dependence on deposition temperature varies with the relative number of zinc or tin containing precursor pulses and it correlates with the growth rate behavior of pure ZnO and SnO{sub x} ALD. In contrast to the pure ZnO phase, the density of the mixed ZTO films is found to depend on the deposition temperature and it increases linearly with about 1 g/cm{sup 3} in total over the investigated range. Characterization by transmission electron microscopy suggests that zinc rich ZTO films contain small (~ 10 nm) ZnO or ZnO(Sn) crystallites embedded in an amorphous matrix, and that these crystallites increase in size with increasing zinc content and deposition temperature. These crystallites are small enough for quantum confinement effects to reduce the optical band gap of the ZTO films as they grow in size with increasing deposition temperature. - Highlights: • Zinc tin oxide thin films were deposited by atomic layer deposition. • The structure and optical properties were studied at different growth temperatures. • The growth temperature had only a small effect on the composition of the films. • Small ZnO or ZnO(Sn) crystallites were observed by TEM in zinc rich ZTO films. • The growth temperature affects the crystallite size, which influences the band gap.

  4. The effect of substrate temperature on atomic layer deposited zinc tin oxide

    International Nuclear Information System (INIS)

    Lindahl, Johan; Hägglund, Carl; Wätjen, J. Timo; Edoff, Marika; Törndahl, Tobias

    2015-01-01

    Zinc tin oxide (ZTO) thin films were deposited on glass substrates by atomic layer deposition (ALD), and the film properties were investigated for varying deposition temperatures in the range of 90 to 180 °C. It was found that the [Sn]/([Sn] + [Zn]) composition is only slightly temperature dependent, while properties such as growth rate, film density, material structure and band gap are more strongly affected. The growth rate dependence on deposition temperature varies with the relative number of zinc or tin containing precursor pulses and it correlates with the growth rate behavior of pure ZnO and SnO x ALD. In contrast to the pure ZnO phase, the density of the mixed ZTO films is found to depend on the deposition temperature and it increases linearly with about 1 g/cm 3 in total over the investigated range. Characterization by transmission electron microscopy suggests that zinc rich ZTO films contain small (~ 10 nm) ZnO or ZnO(Sn) crystallites embedded in an amorphous matrix, and that these crystallites increase in size with increasing zinc content and deposition temperature. These crystallites are small enough for quantum confinement effects to reduce the optical band gap of the ZTO films as they grow in size with increasing deposition temperature. - Highlights: • Zinc tin oxide thin films were deposited by atomic layer deposition. • The structure and optical properties were studied at different growth temperatures. • The growth temperature had only a small effect on the composition of the films. • Small ZnO or ZnO(Sn) crystallites were observed by TEM in zinc rich ZTO films. • The growth temperature affects the crystallite size, which influences the band gap

  5. Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth

    NARCIS (Netherlands)

    Profijt, H. B.; M. C. M. van de Sanden,; Kessele, W. M. M.

    2013-01-01

    Two substrate-biasing techniques, i.e., substrate-tuned biasing and RF biasing, have been implemented in a remote plasma configuration, enabling control of the ion energy during plasma-assisted atomic layer deposition (ALD). With both techniques, substrate bias voltages up to -200 V have been

  6. Band alignment of atomic layer deposited SiO2 and HfSiO4 with (\\bar{2}01) β-Ga2O3

    Science.gov (United States)

    Carey, Patrick H., IV; Ren, Fan; Hays, David C.; Gila, Brent P.; Pearton, Stephen J.; Jang, Soohwan; Kuramata, Akito

    2017-07-01

    The valence band offset at both SiO2/β-Ga2O3 and HfSiO4/β-Ga2O3 heterointerfaces was measured using X-ray photoelectron spectroscopy. Both dielectrics were deposited by atomic layer deposition (ALD) onto single-crystal β-Ga2O3. The bandgaps of the materials were determined by reflection electron energy loss spectroscopy as 4.6 eV for Ga2O3, 8.7 eV for Al2O3 and 7.0 eV for HfSiO4. The valence band offset was determined to be 1.23 ± 0.20 eV (straddling gap, type I alignment) for ALD SiO2 on β-Ga2O3 and 0.02 ± 0.003 eV (also type I alignment) for HfSiO4. The respective conduction band offsets were 2.87 ± 0.70 eV for ALD SiO2 and 2.38 ± 0.50 eV for HfSiO4, respectively.

  7. Anoxic limestone drain (ALD) treatment of acid pit waters; Tratamiento de aguas acidas de mina mediante drenaje anoxico en caliza (ALD)

    Energy Technology Data Exchange (ETDEWEB)

    Ramirez Masferrer, J. A.

    2001-07-01

    As a consequence of the weathering, the pyrite is combined together with the oxygen and water, Mine Acid Drainage is produced, with rather low pH and dissolved metals. In order to treat this kind of polluted water it could be good to increase its alkalinity (capacity to neutralize the acid). There are several ways to increase the water alkalinity. One of them is the ALD. limestone beds buried through which the polluted water flows, in which carbonates are dissolved. (mostly calcium carbonate), in a media lacking of oxygen. (Author) 11 refs.

  8. Study of Cu2O\\ZnO nanowires heterojunction designed by combining electrodeposition and atomic layer deposition

    Science.gov (United States)

    Makhlouf, Houssin; Weber, Matthieu; Messaoudi, Olfa; Tingry, Sophie; Moret, Matthieu; Briot, Olivier; Chtoutou, Radhouane; Bechelany, Mikhael

    2017-12-01

    Cu2O/ZnO nanowires (NWs) heterojunctions were successfully prepared by combining Atomic layer Deposition (ALD) and Electrochemical Deposition (ECD) processes. The crystallinity, morphology and photoconductivity properties of the Cu2O/ZnO nanostructures have been investigated. The properties of the Cu2O absorber layer and the nanostructured heterojunction were studied in order to understand the mechanisms lying behind the low photoconductivity measured. It has been found that the interface state defects and the high resistivity of Cu2O film were limiting the photovoltaic properties of the prepared devices. The understanding presented in this work is expected to enable the optimization of solar cell devices based on Cu2O/ZnO nanomaterials and improve their overall performance.

  9. Isotope analysis of diamond-surface passivation effect of high-temperature H2O-grown atomic layer deposition-Al2O3 films

    International Nuclear Information System (INIS)

    Hiraiwa, Atsushi; Saito, Tatsuya; Matsumura, Daisuke; Kawarada, Hiroshi

    2015-01-01

    The Al 2 O 3 film formed using an atomic layer deposition (ALD) method with trimethylaluminum as Al precursor and H 2 O as oxidant at a high temperature (450 °C) effectively passivates the p-type surface conduction (SC) layer specific to a hydrogen-terminated diamond surface, leading to a successful operation of diamond SC field-effect transistors at 400 °C. In order to investigate this excellent passivation effect, we carried out an isotope analysis using D 2 O instead of H 2 O in the ALD and found that the Al 2 O 3 film formed at a conventional temperature (100 °C) incorporates 50 times more CH 3 groups than the high-temperature film. This CH 3 is supposed to dissociate from the film when heated afterwards at a higher temperature (550 °C) and causes peeling patterns on the H-terminated surface. The high-temperature film is free from this problem and has the largest mass density and dielectric constant among those investigated in this study. The isotope analysis also unveiled a relatively active H-exchange reaction between the diamond H-termination and H 2 O oxidant during the high-temperature ALD, the SC still being kept intact. This dynamic and yet steady H termination is realized by the suppressed oxidation due to the endothermic reaction with H 2 O. Additionally, we not only observed the kinetic isotope effect in the form of reduced growth rate of D 2 O-oxidant ALD but found that the mass density and dielectric constant of D 2 O-grown Al 2 O 3 films are smaller than those of H 2 O-grown films. This is a new type of isotope effect, which is not caused by the presence of isotopes in the films unlike the traditional isotope effects that originate from the presence of isotopes itself. Hence, the high-temperature ALD is very effective in forming Al 2 O 3 films as a passivation and/or gate-insulation layer of high-temperature-operation diamond SC devices, and the knowledge of the aforementioned new isotope effect will be a basis for further enhancing ALD

  10. Metalorganic atomic layer deposition of TiN thin films using TDMAT and NH3

    International Nuclear Information System (INIS)

    Kim, Hyo Kyeom; Kim, Ju Youn; Park, Jin Yong; Kim, Yang Do; Kim, Young Do; Jeon, Hyeong Tag; Kim, Won Mok

    2002-01-01

    TiN films were deposited by using the metalorganic atomic layer deposition (MOALD) method using tetrakis-dimethyl-amino-titanium (TDMAT) as the titanium precursor and ammonia (NH 3 ) as the reactant gas. Two saturated TiN film growth regions were observed in the temperature ranges from 175 and 190 .deg. C and from 200 and 210 .deg. C. TiN films deposited by the MOALD technique showed relatively lower carbon content than films deposited by metalorganic chemical vapor deposition (MOCVD) method. TiN films deposited at around 200 .deg. C under standard conditions showed the resistivity values as low as 500 μΩ-cm, which is about one order lower than the values for TiN films deposited by MOCVD using TDMAT or TDMAT with NH 3 . Also, the carbon incorporation and the resistivity were further decreased with increasing Ar purge time and flow rate. TiN films deposited at temperature below 300 .deg. C showed amorphous characteristics. TiN film deposited on contact holes, about 0.4-μm wide and 0.8-μm deep, by using the MOALD method showed excellent conformal deposition with almost 100% step coverage. This study demonstrates that the processing parameters need to be carefully controlled to optimize the film properties that the processing parameters need to be carefully controlled to optimize the film properties when using the ALD technique and that TiN films deposited by using the MOALD method exhibited excellent film properties compared to those of films deposited by using other CVD methods

  11. On the solid phase crystallization of In{sub 2}O{sub 3}:H transparent conductive oxide films prepared by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Macco, Bart; Verheijen, Marcel A.; Black, Lachlan E.; Melskens, J. [Department of Applied Physics, Eindhoven University of Technology, P.O. Box 513, 5600 MB Eindhoven (Netherlands); Barcones, Beatriz [NanoLab@TU/e, Eindhoven University of Technology, P.O. Box 513, 5600 MB Eindhoven (Netherlands); Kessels, Wilhelmus M. M., E-mail: w.m.m.kessels@tue.nl [Department of Applied Physics, Eindhoven University of Technology, P.O. Box 513, 5600 MB Eindhoven (Netherlands); Solliance Solar Research, High Tech Campus 5, 5656 AE Eindhoven (Netherlands)

    2016-08-28

    Hydrogen-doped indium oxide (In{sub 2}O{sub 3}:H) has emerged as a highly transparent and conductive oxide, finding its application in a multitude of optoelectronic devices. Recently, we have reported on an atomic layer deposition (ALD) process to prepare high quality In{sub 2}O{sub 3}:H. This process consists of ALD of In{sub 2}O{sub 3}:H films at 100 °C, followed by a solid phase crystallization step at 150–200 °C. In this work, we report on a detailed electron microscopy study of this crystallization process which reveals new insights into the crucial aspects for achieving the large grain size and associated excellent properties of the material. The key finding is that the best optoelectronic properties are obtained by preparing the films at the lowest possible temperature prior to post-deposition annealing. Electron microscopy imaging shows that such films are mostly amorphous, but feature a very low density of embedded crystallites. Upon post-deposition annealing, crystallization proceeds merely from isotropic crystal grain growth of these embedded crystallites rather than by the formation of additional crystallites. The relatively high hydrogen content of 4.2 at. % in these films is thought to cause the absence of additional nucleation, thereby rendering the final grain size and optoelectronic properties solely dependent on the density of embedded crystallites. The temperature-dependent grain growth rate has been determined, from which an activation energy of (1.39 ± 0.04) eV has been extracted. Finally, on the basis of the observed crystallization mechanism, a simple model to fully describe the crystallization process has been developed. This model has been validated with a numerical implementation thereof, which accurately predicts the observed temperature-dependent crystallization behaviour.

  12. Nanoscale semiconductor Pb{sub 1-x}Sn{sub x}Se (x = 0.2) thin films synthesized by electrochemical atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lin Shaoxiong; Zhang Xin; Shi Xuezhao; Wei Jinping; Lu Daban; Zhang Yuzhen; Kou Huanhuan [Department of Chemistry, Lanzhou University, Lanzhou 730000 (China); Wang Chunming, E-mail: wangcm@lzu.edu.cn [Department of Chemistry, Lanzhou University, Lanzhou 730000 (China)

    2011-04-15

    In this paper the fabrication and characterization of IV-VI semiconductor Pb{sub 1-x}Sn{sub x}Se (x = 0.2) thin films on gold substrate by electrochemical atomic layer deposition (EC-ALD) method at room temperature are reported. Cyclic voltammetry (CV) is used to determine approximate deposition potentials for each element. The amperometric I-t technique is used to fabricate the semiconductor alloy. The elements are deposited in the following sequence: (Se/Pb/Se/Pb/Se/Pb/Se/Pb/Se/Sn ...), each period is formed using four ALD cycles of PbSe followed by one cycle of SnSe. Then the deposition manner above is cyclic repeated till a satisfactory film with expected thickness of Pb{sub 1-x}Sn{sub x}Se is obtained. The morphology of the deposit is observed by field emission scanning electron microscopy (FE-SEM). X-ray diffraction (XRD) pattern is used to study its crystalline structure; X-ray photoelectron spectroscopy (XPS) of the deposit indicates an approximate ratio 1.0:0.8:0.2 of Se, Pb and Sn, as the expected stoichiometry for the deposit. Open-circuit potential (OCP) studies indicate a good p-type property, and the good optical activity makes it suitable for fabricating a photoelectric switch.

  13. Improving photoelectrochemical performance on quantum dots co-sensitized TiO_2 nanotube arrays using ZnO energy barrier by atomic layer deposition

    International Nuclear Information System (INIS)

    Zeng, Min; Zeng, Xi; Peng, Xiange; Zhu, Zhuo; Liao, Jianjun; Liu, Kai; Wang, Guizhen; Lin, Shiwei

    2016-01-01

    Graphical abstract: - Highlights: • The length of TNTAs has a balance between the charge recombination and the QDs loading. • The introduction of ZnO interlayer by ALD could improve the QDs absorption. • The optimal thickness of ZnO interlayer is 1.5 nm prepared by 10 cycles ALD. - Abstract: PbS and CdS quantum dots (QDs) have been deposited onto TiO_2 nanotube arrays (TNTAs) in turn via a sonication-assisted successive ionic layer adsorption and reaction method. This method could uniformly decorate TNTAs with QDs, avoiding QDs aggregation at the mouth of TiO_2 nanotube. The loading amounts of QDs on TNTAs could be controlled by adjusting the TNTAs length. Under one sun illumination, the QDs co-sensitized TNTAs (TNTAs/QDs) with the length of about 2.4 μm displayed the highest photocurrent of 4.32 mA cm"−"2, which is 27 times higher than that of the bare TNTAs. Introduction of a thin ZnO energy barrier by atomic layer deposition (ALD) between the TNTAs and QDs can further improve the photocurrent of TNTAs/QDs. And the TNTAs/QDs with 10 ALD cycles of ZnO interlayer exhibits the highest photocurrent of 5.24 mA cm"−"2 and best photoconversion efficiency of 4.9%, a more than 20% enhancement over the bare TNTAs/QDs. Such enhanced photoelectrochemical performance may be ascribed to the increased amounts of QDs on the TNTAs due to the introduction of ZnO interlayer. The benefits of ALD layers play a crucial role in development and optimization of high-performance photoelectrodes in the near future.

  14. SnO2 anode surface passivation by atomic layer deposited HfO2 improves li-ion battery performance

    KAUST Repository

    Yesibolati, Nulati; Shahid, Muhammad; Chen, Wei; Hedhili, Mohamed N.; Reuter, Mark C.; Ross, Frances M.; Alshareef, Husam N.

    2014-01-01

    For the first time, it is demonstrated that nanoscale HfO2 surface passivation layers formed by atomic layer deposition (ALD) significantly improve the performance of Li ion batteries with SnO2-based anodes. Specifically, the measured battery

  15. Functionalized graphene-Pt composites for fuel cells and photoelectrochemical cells

    Science.gov (United States)

    Diankov, Georgi; An, Jihwan; Park, Joonsuk; Goldhaber, David J. K.; Prinz, Friedrich B.

    2017-08-29

    A method of growing crystals on two-dimensional layered material is provided that includes reversibly hydrogenating a two-dimensional layered material, using a controlled radio-frequency hydrogen plasma, depositing Pt atoms on the reversibly hydrogenated two-dimensional layered material, using Atomic Layer Deposition (ALD), where the reversibly hydrogenated two-dimensional layered material promotes loss of methyl groups in an ALD Pt precursor, and forming Pt-O on the reversibly hydrogenated two-dimensional layered material, using combustion by O.sub.2, where the Pt-O is used for subsequent Pt half-cycles of the ALD process, where growth of Pt crystals occurs.

  16. Growth kinetics and initial stage growth during plasma-enhanced Ti atomic layer deposition

    CERN Document Server

    Kim, H

    2002-01-01

    We have investigated the growth kinetics of plasma-enhanced Ti atomic layer deposition (ALD) using a quartz crystal microbalance. Ti ALD films were grown at temperatures from 20 to 200 deg. C using TiCl sub 4 as a source gas and rf plasma-produced atomic H as the reducing agent. Postdeposition ex situ chemical analyses of thin films showed that the main impurity is oxygen, mostly incorporated during the air exposure prior to analysis. The thickness per cycle, corresponding to the growth rate, was measured by quartz crystal microbalance as a function of various key growth parameters, including TiCl sub 4 and H exposure time, rf plasma power, and sample temperature. The growth rates were independent of TiCl sub 4 exposure above 1x10 sup 3 L, indicating typical ALD mode growth. The key kinetic parameters for Cl extraction reaction and TiCl sub 4 adsorption kinetics were obtained and the growth kinetics were modeled to predict the growth rates based upon these results. Also, the dependency of growth kinetics on d...

  17. Modified Shrinking Core Model for Atomic Layer Deposition of TiO2 on Porous Alumina with Ultrahigh Aspect Ratio

    International Nuclear Information System (INIS)

    Park, Inhye; Leem, Jina; Lee, Hooyong; Min, Yosep

    2013-01-01

    When atomic layer deposition (ALD) is performed on a porous material by using an organometallic precursor, minimum exposure time of the precursor for complete coverage becomes much longer since the ALD is limited by Knudsen diffusion in the pores. In the previous report by Min et al. (Ref. 23), shrinking core model (SCM) was proposed to predict the minimum exposure time of diethylzinc for ZnO ALD on a porous cylindrical alumina monolith. According to the SCM, the minimum exposure time of the precursor is influenced by volumetric density of adsorption sites, effective diffusion coefficient, precursor concentration in gas phase and size of the porous monolith. Here we modify the SCM in order to consider undesirable adsorption of byproduct molecules. TiO 2 ALD was performed on the cylindrical alumina monolith by using titanium tetrachloride (TiCl 4 ) and water. We observed that the byproduct (i. e., HCl) of TiO 2 ALD can chemically adsorb on adsorption sites, unlike the behavior of the byproduct (i. e., ethane) of ZnO ALD. Consequently, the minimum exposure time of TiCl 4 (∼16 min) was significantly much shorter than that (∼71 min) of DEZ. The predicted minimum exposure time by the modified SCM well agrees with the observed time. In addition, the modified SCM gives an effective diffusion coefficient of TiCl 4 of ∼1.78 Χ 10 -2 cm 2 /s in the porous alumina monolith

  18. Direct Measurements of Half-Cycle Reaction Heats during Atomic Layer Deposition by Calorimetry

    Energy Technology Data Exchange (ETDEWEB)

    Lownsbury, James M. [Department; Gladden, James A. [Department; Campbell, Charles T. [Department; Department; Kim, In Soo [Materials; Martinson, Alex B. F. [Materials

    2017-10-05

    We introduce a new high-temperature adsorption calorimeter that approaches the ideal limit of a heat detector whereby the signal at any time is proportional to the heat power being delivered to the sample and prove its sensitivity for measuring pulse-to-pulse heats of half-reactions during atomic layer deposition (ALD) at 400 K. The heat dynamics of amorphous Al2O3 growth via sequential self-limiting surface reaction of trimethylaluminum (TMA) and H2O is clearly resolved. Calibration enables quantitation of the exothermic TMA and H2O half-reactions with high precision, -343 kJ/mol TMA and -251 kJ/mol H2O, respectively. A time resolution better than 1 ms is demonstrated, allowing for the deconvolution of at least two distinct surface reactions during TMA microdosing. It is further demonstrated that this method can provide the heat of reaction versus extent of reaction during each precursors half-reaction, thus providing even richer mechanistic information on the surface processes involved. The broad applicability of this novel calorimeter is demonstrated through excellent signal-to-noise ratios of less exothermic ALD half-reactions to produce TiO2 and MnO.

  19. The Use of Feature Parameters to Asses Barrier Properties of ALD coatings for Flexible PV Substrates

    International Nuclear Information System (INIS)

    Blunt, Liam; Fleming, Leigh; Elrawemi, Mohamed; Robbins, David

    2014-01-01

    This paper reports on the recent work carried out as part of the EU funded NanoMend project. The project seeks to develop integrated process inspection, cleaning, repair and control systems for nano-scale thin films on large area substrates. In the present study flexible photovoltaic films have been the substrate of interest. Flexible PV films are the subject of significant development at present and the latest films have efficiencies at or beyond the level of Si based rigid PV modules. These flexible devices are fabricated on polymer film by the repeated deposition, and patterning, of thin layer materials using roll-to-roll processes, where the whole film is approximately 3um thick prior to encapsulation. Whilst flexible films offer significant advantages in terms of mass and the possibility of building integration (BIPV) they are at present susceptible to long term environmental degradation as a result of water vapor transmission through the barrier layers to the CIGS (Copper Indium Gallium Selenide CuIn x Ga (1-x) Se 2 ) PV cells thus causing electrical shorts and efficiency drops. Environmental protection of the GIGS cell is provided by a thin (40nm) barrier coating of Al 2 O 3 . The highly conformal aluminium oxide barrier layer is produced by atomic layer deposition (ALD) where, the ultra-thin Al 2 O 3 layer is deposited onto polymer thin films before these films encapsulate the PV cell. The surface of the starting polymer film must be of very high quality in order to avoid creating defects in the device layers. Since these defects reduce manufacturing yield, in order to prevent them, a further thin polymer coating (planarization layer) is generally applied to the polymer film prior to deposition. The presence of surface irregularities on the uncoated film can create defects within the nanometre-scale, aluminium oxide, barrier layer and these are measured and characterised. This paper begins by reporting the results of early stage measurements conducted to

  20. aldB, an RpoS-dependent gene in Escherichia coli encoding an aldehyde dehydrogenase that is repressed by Fis and activated by Crp.

    OpenAIRE

    Xu, J; Johnson, R C

    1995-01-01

    Escherichia coli aldB was identified as a gene that is negatively regulated by Fis but positively regulated by RpoS. The complete DNA sequence determined in this study indicates that aldB encodes a 56.3-kDa protein which shares a high degree of homology with an acetaldehyde dehydrogenase encoded by acoD of Alcaligenes eutrophus and an aldehyde dehydrogenase encoded by aldA of Vibrio cholerae and significant homology with a group of other aldehyde dehydrogenases from prokaryotes and eukaryotes...

  1. Accurate characterization and understanding of interface trap density trends between atomic layer deposited dielectrics and AlGaN/GaN with bonding constraint theory

    Energy Technology Data Exchange (ETDEWEB)

    Ramanan, Narayanan; Lee, Bongmook; Misra, Veena, E-mail: vmisra@ncsu.edu [Department of Electrical and Computer Engineering, North Carolina State University, 2410 Campus Shore Drive, Raleigh, North Carolina 27695 (United States)

    2015-06-15

    Many dielectrics have been proposed for the gate stack or passivation of AlGaN/GaN based metal oxide semiconductor heterojunction field effect transistors, to reduce gate leakage and current collapse, both for power and RF applications. Atomic Layer Deposition (ALD) is preferred for dielectric deposition as it provides uniform, conformal, and high quality films with precise monolayer control of film thickness. Identification of the optimum ALD dielectric for the gate stack or passivation requires a critical investigation of traps created at the dielectric/AlGaN interface. In this work, a pulsed-IV traps characterization method has been used for accurate characterization of interface traps with a variety of ALD dielectrics. High-k dielectrics (HfO{sub 2}, HfAlO, and Al{sub 2}O{sub 3}) are found to host a high density of interface traps with AlGaN. In contrast, ALD SiO{sub 2} shows the lowest interface trap density (<2 × 10{sup 12 }cm{sup −2}) after annealing above 600 °C in N{sub 2} for 60 s. The trend in observed trap densities is subsequently explained with bonding constraint theory, which predicts a high density of interface traps due to a higher coordination state and bond strain in high-k dielectrics.

  2. Surface passivation of nano-textured fluorescent SiC by atomic layer deposited TiO2

    DEFF Research Database (Denmark)

    Lu, Weifang; Ou, Yiyu; Jokubavicius, Valdas

    2016-01-01

    Nano-textured surfaces have played a key role in optoelectronic materials to enhance the light extraction efficiency. In this work, morphology and optical properties of nano-textured SiC covered with atomic layer deposited (ALD) TiO2 were investigated. In order to obtain a high quality surface fo...

  3. Photon up-converting (Yb,Er){sub 2}O{sub 3} thin films by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tuomisto, Minnea [Department of Chemistry, University of Turku (Finland); Doctoral Programme in Physical and Chemical Sciences, University of Turku Graduate School (UTUGS), Turku (Finland); Giedraityte, Zivile; Karppinen, Maarit [Department of Chemistry and Materials Science, School of Chemical Engineering, Aalto University (Finland); Lastusaari, Mika [Department of Chemistry, University of Turku (Finland); Turku University Centre for Materials and Surfaces (MatSurf), Turku (Finland)

    2017-06-15

    We report up-converting (Yb,Er){sub 2}O{sub 3} thin films grown with the atomic layer deposition (ALD) technique. The films are crystalline and show a homogeneous morphology with a roughness less than 1 nm for 40 nm thick films. High-intensity near-infrared (NIR) to green and red two-photon up-conversion emission is obtained with 974 nm excitation through an absorption by Yb{sup 3+}, followed by a Yb{sup 3+}-Er{sup 3+} energy transfer and emission from Er{sup 3+}. The ALD technique promises to be excellent for producing up-converting films for many applications such as near-infrared radiation absorbing layers for solar cells and sensors in point-of-care biomedical diagnostics. Schematic picture of the ALD-grown (Yb,Er){sub 2}O{sub 3} thin film including the up-conversion emission spectra. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. Improving photoelectrochemical performance on quantum dots co-sensitized TiO{sub 2} nanotube arrays using ZnO energy barrier by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zeng, Min [Key Laboratory of Ministry of Education for Advanced Materials in Tropical Island Resources, College of Materials and Chemical Engineering, Hainan University, Haikou 570228 (China); Zeng, Xi [College of Chemistry and Chemical Engineering, Yunnan Normal University, Kunming, 650500 (China); Peng, Xiange; Zhu, Zhuo; Liao, Jianjun; Liu, Kai; Wang, Guizhen [Key Laboratory of Ministry of Education for Advanced Materials in Tropical Island Resources, College of Materials and Chemical Engineering, Hainan University, Haikou 570228 (China); Lin, Shiwei, E-mail: linsw@hainu.edu.cn [Key Laboratory of Ministry of Education for Advanced Materials in Tropical Island Resources, College of Materials and Chemical Engineering, Hainan University, Haikou 570228 (China)

    2016-12-01

    Graphical abstract: - Highlights: • The length of TNTAs has a balance between the charge recombination and the QDs loading. • The introduction of ZnO interlayer by ALD could improve the QDs absorption. • The optimal thickness of ZnO interlayer is 1.5 nm prepared by 10 cycles ALD. - Abstract: PbS and CdS quantum dots (QDs) have been deposited onto TiO{sub 2} nanotube arrays (TNTAs) in turn via a sonication-assisted successive ionic layer adsorption and reaction method. This method could uniformly decorate TNTAs with QDs, avoiding QDs aggregation at the mouth of TiO{sub 2} nanotube. The loading amounts of QDs on TNTAs could be controlled by adjusting the TNTAs length. Under one sun illumination, the QDs co-sensitized TNTAs (TNTAs/QDs) with the length of about 2.4 μm displayed the highest photocurrent of 4.32 mA cm{sup −2}, which is 27 times higher than that of the bare TNTAs. Introduction of a thin ZnO energy barrier by atomic layer deposition (ALD) between the TNTAs and QDs can further improve the photocurrent of TNTAs/QDs. And the TNTAs/QDs with 10 ALD cycles of ZnO interlayer exhibits the highest photocurrent of 5.24 mA cm{sup −2} and best photoconversion efficiency of 4.9%, a more than 20% enhancement over the bare TNTAs/QDs. Such enhanced photoelectrochemical performance may be ascribed to the increased amounts of QDs on the TNTAs due to the introduction of ZnO interlayer. The benefits of ALD layers play a crucial role in development and optimization of high-performance photoelectrodes in the near future.

  5. Protective silicon coating for nanodiamonds using atomic layer deposition

    International Nuclear Information System (INIS)

    Lu, J.; Wang, Y.H.; Zang, J.B.; Li, Y.N.

    2007-01-01

    Ultrathin silicon coating was deposited on nanodiamonds using atomic layer deposition (ALD) from gaseous monosilane (SiH 4 ). The coating was performed by sequential reaction of SiH 4 saturated adsorption and in situ decomposition. X-ray diffraction (XRD) and transmission electron microscopy (TEM) were utilized to investigate the structural and morphological properties of the coating. Thermogravimetric analysis (TGA) and differential scanning calorimetry (DSC) were used to compare the thermal stability of nanodiamonds before and after silicon coating. The results confirmed that the deposited cubic phase silicon coating was even and continuous. The protective silicon coating could effectively improve the oxidation resistance of nanodiamonds in air flow, which facilitates the applications of nanodiamonds that are commonly hampered by their poor thermal stability

  6. Protective silicon coating for nanodiamonds using atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lu, J. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China); College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China); Wang, Y.H. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China); College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China); Zang, J.B. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China) and College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China)]. E-mail: diamondzjb@163.com; Li, Y.N. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China); College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China)

    2007-01-30

    Ultrathin silicon coating was deposited on nanodiamonds using atomic layer deposition (ALD) from gaseous monosilane (SiH{sub 4}). The coating was performed by sequential reaction of SiH{sub 4} saturated adsorption and in situ decomposition. X-ray diffraction (XRD) and transmission electron microscopy (TEM) were utilized to investigate the structural and morphological properties of the coating. Thermogravimetric analysis (TGA) and differential scanning calorimetry (DSC) were used to compare the thermal stability of nanodiamonds before and after silicon coating. The results confirmed that the deposited cubic phase silicon coating was even and continuous. The protective silicon coating could effectively improve the oxidation resistance of nanodiamonds in air flow, which facilitates the applications of nanodiamonds that are commonly hampered by their poor thermal stability.

  7. Using atomic layer deposited tungsten to increase thermal conductivity of a packed bed

    Energy Technology Data Exchange (ETDEWEB)

    Van Norman, Staci A.; Falconer, John L.; Weimer, Alan W., E-mail: alan.weimer@colorado.edu [Department of Chemical and Biological Engineering, University of Colorado, UCB 596, Boulder, Colorado 80309-0596 (United States); Tringe, Joseph W.; Sain, John D. [Lawrence Livermore National Laboratory, 7000 East Ave, Livermore, California 94550 (United States); Yang, Ronggui [Department of Mechanical Engineering, University of Colorado, UCB 427, Boulder, Colorado 80309-0427 (United States)

    2015-04-13

    This study investigated the effective thermal conductivity (k{sub eff}) of packed-beds that contained porous particles with nanoscale tungsten (W) films of different thicknesses formed by atomic layer deposition (ALD). A continuous film on the particles is vital towards increasing k{sub eff} of the packed beds. For example, the k{sub eff} of an alumina packed bed was increased by three times after an ∼8-nm continuous W film with 20 cycles of W ALD, whereas k{sub eff} was decreased on a polymer packed bed with discontinuous, evenly dispersed W-islands due to nanoparticle scattering of phonons. For catalysts, understanding the thermal properties of these packed beds is essential for developing thermally conductive supports as alternatives to structured supports.

  8. Single-Crystal Y2O3 Epitaxially on GaAs(001 and (111 Using Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Y. H. Lin

    2015-10-01

    Full Text Available Single-crystal atomic-layer-deposited (ALD Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films 2 nm thick were epitaxially grown on molecular beam epitaxy (MBE GaAs(001-4 \\(\\times\\ 6 and GaAs(111A-2 \\(\\times\\ 2 reconstructed surfaces. The in-plane epitaxy between the ALD-oxide films and GaAs was observed using \\textit{in-situ} reflection high-energy electron diffraction in our uniquely designed MBE/ALD multi-chamber system. More detailed studies on the crystallography of the hetero-structures were carried out using high-resolution synchrotron radiation X-ray diffraction. When deposited on GaAs(001, the Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films are of a cubic phase and have (110 as the film normal, with the orientation relationship being determined: Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\(\\(110\\[\\(001\\][\\(\\overline{1}10\\]//GaAs(\\(001\\[\\(110\\][\\(1\\overline{1}0\\]. On GaAs(\\(111\\A, the Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films are also of a cubic phase with (\\(111\\ as the film normal, having the orientation relationship of Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\(\\(111\\[\\(2\\overline{1}\\overline{1}\\] [\\(01\\overline{1}\\]//GaAs (\\(111\\ [\\(\\overline{2}11\\][\\(0\\overline{1}1\\]. The relevant orientation for the present/future integrated circuit platform is (\\(001\\. The ALD-Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\/GaAs(\\(001\\-4 \\(\\times\\ 6 has shown excellent electrical properties. These include small frequency dispersion in the capacitance-voltage CV curves at accumulation of ~7% and ~14% for the respective p- and n-type samples with the measured frequencies of 1 MHz to 100 Hz. The interfacial trap density (Dit is low of ~10\\(^{12}\\ cm\\(^{−2}\\eV\\(^{−1}\\ as extracted from measured quasi-static CVs. The frequency dispersion at accumulation and the D\\(_{it}\\ are the lowest ever achieved among all the ALD-oxides on GaAs(\\(001\\.

  9. Influence of Different Defects in Vertically Aligned Carbon Nanotubes on TiO2 Nanoparticle Formation through Atomic Layer Deposition.

    Science.gov (United States)

    Acauan, Luiz; Dias, Anna C; Pereira, Marcelo B; Horowitz, Flavio; Bergmann, Carlos P

    2016-06-29

    The chemical inertness of carbon nanotubes (CNT) requires some degree of "defect engineering" for controlled deposition of metal oxides through atomic layer deposition (ALD). The type, quantity, and distribution of such defects rules the deposition rate and defines the growth behavior. In this work, we employed ALD to grow titanium oxide (TiO2) on vertically aligned carbon nanotubes (VACNT). The effects of nitrogen doping and oxygen plasma pretreatment of the CNT on the morphology and total amount of TiO2 were systematically studied using transmission electron microscopy, Raman spectroscopy, and thermogravimetric analysis. The induced chemical changes for each functionalization route were identified by X-ray photoelectron and Raman spectroscopies. The TiO2 mass fraction deposited with the same number of cycles for the pristine CNT, nitrogen-doped CNT, and plasma-treated CNT were 8, 47, and 80%, respectively. We demonstrate that TiO2 nucleation is dependent mainly on surface incorporation of heteroatoms and their distribution rather than structural defects that govern the growth behavior. Therefore, selecting the best way to functionalize CNT will allow us to tailor TiO2 distribution and hence fabricate complex heterostructures.

  10. Electronic and optical device applications of hollow cathode plasma assisted atomic layer deposition based GaN thin films

    International Nuclear Information System (INIS)

    Bolat, Sami; Tekcan, Burak; Ozgit-Akgun, Cagla; Biyikli, Necmi; Okyay, Ali Kemal

    2015-01-01

    Electronic and optoelectronic devices, namely, thin film transistors (TFTs) and metal–semiconductor–metal (MSM) photodetectors, based on GaN films grown by hollow cathode plasma-assisted atomic layer deposition (PA-ALD) are demonstrated. Resistivity of GaN thin films and metal-GaN contact resistance are investigated as a function of annealing temperature. Effect of the plasma gas and postmetallization annealing on the performances of the TFTs as well as the effect of the annealing on the performance of MSM photodetectors are studied. Dark current to voltage and responsivity behavior of MSM devices are investigated as well. TFTs with the N 2 /H 2 PA-ALD based GaN channels are observed to have improved stability and transfer characteristics with respect to NH 3 PA-ALD based transistors. Dark current of the MSM photodetectors is suppressed strongly after high-temperature annealing in N 2 :H 2 ambient

  11. Ta2O5/ Al2O3/ SiO2 - antireflective coating for non-planar optical surfaces by atomic layer deposition

    Science.gov (United States)

    Pfeiffer, K.; Schulz, U.; Tünnermann, A.; Szeghalmi, A.

    2017-02-01

    Antireflective coatings are essential to improve transmittance of optical elements. Most research and development of AR coatings has been reported on a wide variety of plane optical surfaces; however, antireflection is also necessary on nonplanar optical surfaces. Physical vapor deposition (PVD), a common method for optical coatings, often results in thickness gradients on strongly curved surfaces, leading to a failure of the desired optical function. In this work, optical thin films of tantalum pentoxide, aluminum oxide and silicon dioxide were prepared by atomic layer deposition (ALD), which is based on self-limiting surface reactions. The results demonstrate that ALD optical layers can be deposited on both vertical and horizontal substrate surfaces with uniform thicknesses and the same optical properties. A Ta2O5/Al2O3/ SiO2 multilayer AR coating (400-700 nm) was successfully applied to a curved aspheric glass lens with a diameter of 50 mm and a center thickness of 25 mm.

  12. The Effect of Film Thickness on the Gas Sensing Properties of Ultra-Thin TiO2 Films Deposited by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Rachel L. Wilson

    2018-03-01

    Full Text Available Analyte sensitivity for gas sensors based on semiconducting metal oxides should be highly dependent on the film thickness, particularly when that thickness is on the order of the Debye length. This thickness dependence has previously been demonstrated for SnO2 and inferred for TiO2. In this paper, TiO2 thin films have been prepared by Atomic Layer Deposition (ALD using titanium isopropoxide and water as precursors. The deposition process was performed on standard alumina gas sensor platforms and microscope slides (for analysis purposes, at a temperature of 200 °C. The TiO2 films were exposed to different concentrations of CO, CH4, NO2, NH3 and SO2 to evaluate their gas sensitivities. These experiments showed that the TiO2 film thickness played a dominant role within the conduction mechanism and the pattern of response for the electrical resistance towards CH4 and NH3 exposure indicated typical n-type semiconducting behavior. The effect of relative humidity on the gas sensitivity has also been demonstrated.

  13. Toxic AGE (TAGE Theory for the Pathophysiology of the Onset/Progression of NAFLD and ALD

    Directory of Open Access Journals (Sweden)

    Masayoshi Takeuchi

    2017-06-01

    Full Text Available Non-alcoholic fatty liver disease (NAFLD and alcoholic liver disease (ALD are among the most common causes of chronic liver diseases in the westernized world. NAFLD and ALD are frequently accompanied by extrahepatic complications, including hepatocellular carcinoma and cardiovascular diseases, which have a negative impact on patient survival. The chronic ingestion of an excessive daily diet containing sugar/high-fructose corn syrup increases the level of the fructose/glucose metabolite, glyceraldehyde (GA, while the chronic consumption of an excessive number of alcoholic beverages increases the level of the alcohol metabolite, acetaldehyde (AA in the liver. GA and AA are known to react non-enzymatically with the ε- or α-amino groups of proteins, thereby generating advanced glycation end-products (AGEs, GA-AGEs, and AA-AGEs, respectively in vivo. The interaction between GA-AGEs and the receptor for AGEs (RAGE alters intracellular signaling, gene expression, and the release of pro-inflammatory molecules and also elicits the production of reactive oxygen species by human hepatocytes and hepatic stellate cells, all of which may contribute to the pathological changes associated with chronic liver diseases. We herein discuss the pathophysiological roles of GA-AGEs and AA-AGEs (toxic AGEs, TAGE and a related novel theory for preventing the onset/progression of NAFLD and ALD.

  14. Fabrication of Hyperbolic Metamaterials using Atomic Layer Deposition

    DEFF Research Database (Denmark)

    Shkondin, Evgeniy

     technology allowing thickness control on atomic scale. As the deposition relies on a surface reaction, conformal pinhole free films can be deposited on various substrates with advanced topology. This method has been a central theme of the project and a core fabrication technique of plasmonic and dielectric...... in dielectric host, the fabrication is still challenging, since ultrathin, continuous, pinhole free nanometer-scale coatings are desired. The required high-quality thin layers have been fabricated using atomic layer deposition (ALD). It is a relatively new, cyclic, self-limiting thin film deposition......, especially in the infrared range, result in high loss and weak connement to the surface. Additionally, the most implemented metals in plasmonics such as Au and Ag are diffcult to pattern at nanoscale due to their limited chemistry, adhesion or oxidation issues. Therefore the implementation of...

  15. Ultra-thin film encapsulation processes for micro-electro-mechanical devices and systems

    International Nuclear Information System (INIS)

    Stoldt, Conrad R; Bright, Victor M

    2006-01-01

    A range of physical properties can be achieved in micro-electro-mechanical systems (MEMS) through their encapsulation with solid-state, ultra-thin coatings. This paper reviews the application of single source chemical vapour deposition and atomic layer deposition (ALD) in the growth of submicron films on polycrystalline silicon microstructures for the improvement of microscale reliability and performance. In particular, microstructure encapsulation with silicon carbide, tungsten, alumina and alumina-zinc oxide alloy ultra-thin films is highlighted, and the mechanical, electrical, tribological and chemical impact of these overlayers is detailed. The potential use of solid-state, ultra-thin coatings in commercial microsystems is explored using radio frequency MEMS as a case study for the ALD alloy alumina-zinc oxide thin film. (topical review)

  16. Aluminum oxide from trimethylaluminum and water by atomic layer deposition: The temperature dependence of residual stress, elastic modulus, hardness and adhesion

    International Nuclear Information System (INIS)

    Ylivaara, Oili M.E.; Liu, Xuwen; Kilpi, Lauri; Lyytinen, Jussi; Schneider, Dieter; Laitinen, Mikko; Julin, Jaakko; Ali, Saima; Sintonen, Sakari; Berdova, Maria; Haimi, Eero; Sajavaara, Timo; Ronkainen, Helena; Lipsanen, Harri

    2014-01-01

    Use of atomic layer deposition (ALD) in microelectromechanical systems (MEMS) has increased as ALD enables conformal growth on 3-dimensional structures at relatively low temperatures. For MEMS device design and fabrication, the understanding of stress and mechanical properties such as elastic modulus, hardness and adhesion of thin film is crucial. In this work a comprehensive characterization of the stress, elastic modulus, hardness and adhesion of ALD aluminum oxide (Al 2 O 3 ) films grown at 110–300 °C from trimethylaluminum and water is presented. Film stress was analyzed by wafer curvature measurements, elastic modulus by nanoindentation and surface-acoustic wave measurements, hardness by nanoindentation and adhesion by microscratch test and scanning nanowear. The films were also analyzed by ellipsometry, optical reflectometry, X-ray reflectivity and time-of-flight elastic recoil detection for refractive index, thickness, density and impurities. The ALD Al 2 O 3 films were under tensile stress in the scale of hundreds of MPa. The magnitude of the stress decreased strongly with increasing ALD temperature. The stress was stable during storage in air. Elastic modulus and hardness of ALD Al 2 O 3 saturated to a fairly constant value for growth at 150 to 300 °C, while ALD at 110 °C gave softer films with lower modulus. ALD Al 2 O 3 films adhered strongly on cleaned silicon with SiO x termination. - Highlights: • The residual stress of Al 2 O 3 was tensile and stable during the storage in air. • Elastic modulus of Al 2 O 3 saturated to at 170 GPa for films grown at 150 to 300 °C. • At 110 °C Al 2 O 3 films were softer with high residual hydrogen and lower density. • The Al 2 O 3 adhered strongly on the SiO x -terminated silicon

  17. Atomic layer deposition of W - based layers on SiO2

    NARCIS (Netherlands)

    van Nieuwkasteele-Bystrova, Svetlana Nikolajevna; Holleman, J.; Wolters, Robertus A.M.; Aarnink, Antonius A.I.

    2003-01-01

    W and W1-xNx , where x= 15- 22 at%, thin films were grown using the ALD (Atomic Layer Deposition) principle. Growth rate of W films is about 4- 5 monolayers/ cycle at 300- 350 ºC. Growth rate of W1-xNx is 0.5 monolayer/cycle at 325- 350 ºC. Standard Deviation (STDV) of thickness is about 2%

  18. Textured strontium titanate layers on platinum by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Blomberg, T., E-mail: tom.blomberg@asm.com [ASM Microchemistry Ltd., Vaeinoe Auerin katu 12 A, 00560 Helsinki (Finland); Anttila, J.; Haukka, S.; Tuominen, M. [ASM Microchemistry Ltd., Vaeinoe Auerin katu 12 A, 00560 Helsinki (Finland); Lukosius, M.; Wenger, Ch. [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Saukkonen, T. [Aalto University, Puumiehenkuja 3, 02150 Espoo (Finland)

    2012-08-31

    Formation of textured strontium titanate (STO) layers with large lateral grain size (0.2-1 {mu}m) and low X-ray reflectivity roughness ({approx} 1.36 nm) on Pt electrodes by industry proven atomic layer deposition (ALD) method is demonstrated. Sr(t-Bu{sub 3}Cp){sub 2}, Ti(OMe){sub 4} and O{sub 3} precursors at 250 Degree-Sign C were used to deposit Sr rich STO on Pt/Ti/SiO{sub 2}/Si Empty-Set 200 mm substrates. After crystallization post deposition annealing at 600 Degree-Sign C in air, most of the STO grains showed a preferential orientation of the {l_brace}001{r_brace} plane parallel to the substrate surface, although other orientations were also present. Cross sectional and plan view transmission electron microscopy and electron diffraction analysis revealed more than an order of magnitude larger lateral grain sizes for the STO compared to the underlying multicrystalline {l_brace}111{r_brace} oriented platinum electrode. The combination of platinum bottom electrodes with ALD STO(O{sub 3}) shows a promising path towards the formation of single oriented STO film. - Highlights: Black-Right-Pointing-Pointer Amorphous strontium titanate (STO) on platinum formed a textured film after annealing. Black-Right-Pointing-Pointer Single crystal domains in 60 nm STO film were 0.2-1 {mu}m wide. Black-Right-Pointing-Pointer Most STO grains were {l_brace}001{r_brace} oriented.

  19. La sélection d'Aldébaran

    Directory of Open Access Journals (Sweden)

    Françoise Acquier

    2014-09-01

    Full Text Available Aldébaran est une revue électronique en libre accès qui propose une sélection de ressources documentaires en sciences humaines à travers des notices de sites et des conseils de recherche. Les notices présentées dans ce numéro ont été rédigées par Françoise Acquier (CRESSON, Grenoble, Fabrice Melka (IMAF, Julien Gillet (Cléo et Véronique Ginouvès (MMSH USR3125. Afriterra. The Cartographic Free Library http://aldebaran.revues.org/6775 La fondation Afriterra, à but non lucratif, est une bibl...

  20. Effect of atomic layer deposition temperature on current conduction in Al{sub 2}O{sub 3} films formed using H{sub 2}O oxidant

    Energy Technology Data Exchange (ETDEWEB)

    Hiraiwa, Atsushi, E-mail: hiraiwa@aoni.waseda.jp, E-mail: qs4a-hriw@asahi-net.or.jp [Research Organization for Nano and Life Innovation, Waseda University, 513 Waseda-Tsurumaki, Shinjuku, Tokyo 162-0041 (Japan); Matsumura, Daisuke [Faculty of Science and Engineering, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan); Kawarada, Hiroshi, E-mail: kawarada@waseda.jp [Research Organization for Nano and Life Innovation, Waseda University, 513 Waseda-Tsurumaki, Shinjuku, Tokyo 162-0041 (Japan); Faculty of Science and Engineering, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan); The Kagami Memorial Laboratory for Materials Science and Technology, Waseda University, 2-8-26 Nishiwaseda, Shinjuku, Tokyo 169-0051 (Japan)

    2016-08-28

    To develop high-performance, high-reliability gate insulation and surface passivation technologies for wide-bandgap semiconductor devices, the effect of atomic layer deposition (ALD) temperature on current conduction in Al{sub 2}O{sub 3} films is investigated based on the recently proposed space-charge-controlled field emission model. Leakage current measurement shows that Al{sub 2}O{sub 3} metal-insulator-semiconductor capacitors formed on the Si substrates underperform thermally grown SiO{sub 2} capacitors at the same average field. However, using equivalent oxide field as a more practical measure, the Al{sub 2}O{sub 3} capacitors are found to outperform the SiO{sub 2} capacitors in the cases where the capacitors are negatively biased and the gate material is adequately selected to reduce virtual dipoles at the gate/Al{sub 2}O{sub 3} interface. The Al{sub 2}O{sub 3} electron affinity increases with the increasing ALD temperature, but the gate-side virtual dipoles are not affected. Therefore, the leakage current of negatively biased Al{sub 2}O{sub 3} capacitors is approximately independent of the ALD temperature because of the compensation of the opposite effects of increased electron affinity and permittivity in Al{sub 2}O{sub 3}. By contrast, the substrate-side sheet of charge increases with increasing ALD temperature above 210 °C and hence enhances the current of positively biased Al{sub 2}O{sub 3} capacitors more significantly at high temperatures. Additionally, an anomalous oscillatory shift of the current-voltage characteristics with ALD temperature was observed in positively biased capacitors formed by low-temperature (≤210 °C) ALD. This shift is caused by dipoles at the Al{sub 2}O{sub 3}/underlying SiO{sub 2} interface. Although they have a minimal positive-bias leakage current, the low-temperature-grown Al{sub 2}O{sub 3} films cause the so-called blisters problem when heated above 400 °C. Therefore, because of the absence of blistering, a 450

  1. Effective Surface Passivation of InP Nanowires by Atomic-Layer-Deposited Al2O3 with POx Interlayer.

    Science.gov (United States)

    Black, L E; Cavalli, A; Verheijen, M A; Haverkort, J E M; Bakkers, E P A M; Kessels, W M M

    2017-10-11

    III/V semiconductor nanostructures have significant potential in device applications, but effective surface passivation is critical due to their large surface-to-volume ratio. For InP such passivation has proven particularly difficult, with substantial depassivation generally observed following dielectric deposition on InP surfaces. We present a novel approach based on passivation with a phosphorus-rich interfacial oxide deposited using a low-temperature process, which is critical to avoid P-desorption. For this purpose we have chosen a PO x layer deposited in a plasma-assisted atomic layer deposition (ALD) system at room temperature. Since PO x is known to be hygroscopic and therefore unstable in atmosphere, we encapsulate this layer with a thin ALD Al 2 O 3 capping layer to form a PO x /Al 2 O 3 stack. This passivation scheme is capable of improving the photoluminescence (PL) efficiency of our state-of-the-art wurtzite (WZ) InP nanowires by a factor of ∼20 at low excitation. If we apply the rate equation analysis advocated by some authors, we derive a PL internal quantum efficiency (IQE) of 75% for our passivated wires at high excitation. Our results indicate that it is more reliable to calculate the IQE as the ratio of the integrated PL intensity at room temperature to that at 10 K. By this means we derive an IQE of 27% for the passivated wires at high excitation (>10 kW cm -2 ), which constitutes an unprecedented level of performance for undoped InP nanowires. This conclusion is supported by time-resolved PL decay lifetimes, which are also shown to be significantly higher than previously reported for similar wires. The passivation scheme displays excellent long-term stability (>7 months) and is additionally shown to substantially improve the thermal stability of InP surfaces (>300 °C), significantly expanding the temperature window for device processing. Such effective surface passivation is a key enabling technology for InP nanowire devices such as

  2. Effect of substrate composition on atomic layer deposition using self-assembled monolayers as blocking layers

    International Nuclear Information System (INIS)

    Zhang, Wenyu; Engstrom, James R.

    2016-01-01

    The authors have examined the effect of two molecules that form self-assembled monolayers (SAMs) on the subsequent growth of TaN x by atomic layer deposition (ALD) on two substrate surfaces, SiO 2 and Cu. The SAMs that the authors have investigated include two vapor phase deposited, fluorinated alkyl silanes: Cl 3 Si(CH 2 ) 2 (CF 2 ) 5 CF 3 (FOTS) and (C 2 H 5 O) 3 Si(CH 2 ) 2 (CF 2 ) 7 CF 3 (HDFTEOS). Both the SAMs themselves and the TaN x thin films, grown using Ta[N(CH 3 ) 2 ] 5 and NH 3 , were analyzed ex situ using contact angle, spectroscopic ellipsometry, x-ray photoelectron spectroscopy (XPS), and low energy ion-scattering spectroscopy (LEISS). First, the authors find that both SAMs on SiO 2 are nominally stable at T s  ∼ 300 °C, the substrate temperature used for ALD, while on Cu, the authors find that HDFTEOS thermally desorbs, while FOTS is retained on the surface. The latter result reflects the difference in the head groups of these two molecules. The authors find that both SAMs strongly attenuate the ALD growth of TaN x on SiO 2 , by about a factor of 10, while on Cu, the SAMs have no effect on ALD growth. Results from LEISS and XPS are decisive in determining the nature of the mechanism of growth of TaN x on all surfaces. Growth on SiO 2 is 2D and approximately layer-by-layer, while on the surfaces terminated by the SAMs, it nucleates at defect sites, is islanded, and is 3D. In the latter case, our results support growth of the TaN x thin film over the SAM, with a considerable delay in formation of a continuous thin film. Growth on Cu, with or without the SAMs, is also 3D and islanded, and there is also a delay in the formation of a continuous thin film as compared to growth on SiO 2 . These results highlight the power of coupling measurements from both LEISS and XPS in examinations of ultrathin films formed by ALD

  3. Room-temperature atomic layer deposition of ZrO{sub 2} using tetrakis(ethylmethylamino)zirconium and plasma-excited humidified argon

    Energy Technology Data Exchange (ETDEWEB)

    Kanomata, K. [Graduate School of Science and Engineering, Yamagata University, 4-3-16 Jonan, Yonezawa 992-8510 (Japan); Japan Society for the Promotion of Science, 5-3-1 Kojimachi, Chiyoda-ku, Tokyo 102-0083 (Japan); Tokoro, K.; Imai, T.; Pansila, P.; Miura, M.; Ahmmad, B.; Kubota, S.; Hirahara, K. [Graduate School of Science and Engineering, Yamagata University, 4-3-16 Jonan, Yonezawa 992-8510 (Japan); Hirose, F., E-mail: fhirose@yz.yamagata-u.ac.jp [Graduate School of Science and Engineering, Yamagata University, 4-3-16 Jonan, Yonezawa 992-8510 (Japan)

    2016-11-30

    Highlights: • RT-ALD of ZrO{sub 2} is developed using TEMAZ and plasma-excited humidified argon. • The plasma-excited humidified argon is effective in oxidizing the TEMAZ saturated ZrO{sub 2}. • We discuss the reaction mechanism of the RT-ZrO{sub 2} ALD. - Abstract: Room-temperature atomic layer deposition (ALD) of ZrO{sub 2} is developed with tetrakis(ethylmethylamino)zirconium (TEMAZ) and a plasma-excited humidified argon. A growth per cycle of 0.17 nm/cycle at room temperature is confirmed, and the TEMAZ adsorption and its oxidization on ZrO{sub 2} are characterized by IR absorption spectroscopy with a multiple internal reflection mode. TEMAZ is saturated on a ZrO{sub 2} surface with exposures exceeding ∼2.0 × 10{sup 5} Langmuir (1 Langmuir = 1.0 × 10{sup −6} Torr s) at room temperature, and the plasma-excited humidified argon is effective in oxidizing the TEMAZ-adsorbed ZrO{sub 2} surface. The IR absorption spectroscopy suggests that Zr-OH works as an adsorption site for TEMAZ. The reaction mechanism of room-temperature ZrO{sub 2} ALD is discussed in this paper.

  4. Atomic layer deposition of AlN for thin membranes using trimethylaluminum and H2/N2 plasma

    International Nuclear Information System (INIS)

    Goerke, Sebastian; Ziegler, Mario; Ihring, Andreas; Dellith, Jan; Undisz, Andreas; Diegel, Marco; Anders, Solveig; Huebner, Uwe; Rettenmayr, Markus; Meyer, Hans-Georg

    2015-01-01

    Highlights: • AlN films grown at 150 °C by ALD using trimethylaluminum and H 2 /N 2 -plasma. • Nearly stoichiometric AlN films (ratio Al:N = 0.938), polycrystalline by XRD/TEM. • Refractive index of n = 1.908 and low thermal conductivity of κ = 1.66 W/(m K). • Free-standing AlN membranes mechanically stable and buckling free (tensile strain). • Membrane patterning by focused ion beam etching possible. - Abstract: Aluminum nitride (AlN) thin films with thicknesses from 20 to 100 nm were deposited on silicon, amorphous silica, silicon nitride, and vitreous carbon by plasma enhanced atomic layer deposition (PE-ALD). Trimethylaluminum (TMA) and a H 2 /N 2 plasma mixture were used as precursors. We investigated the influence of deposition temperature and plasma parameters on the growth characteristics and the film properties of AlN. Stable PE-ALD growth conditions were obtained from 150 °C to the highest tested temperature of 300 °C. The growth rate, refractive index, and thickness homogeneity on 4″ wafers were determined by spectroscopic ellipsometry. X-ray diffraction (XRD), high-resolution transmission electron microscopy (HRTEM) and Rutherford backscattering spectrometry (RBS) were carried out to analyze crystallinity and composition of the films. Furthermore, the thermal conductivity and the film stress were determined. The stress was sufficiently low to fabricate mechanically stable free-standing AlN membranes with lateral dimensions of up to 2.2 × 2.2 mm 2 . The membranes were patterned with focused ion beam etching. Thus, these AlN membranes qualify as dielectric support material for a variety of potential applications

  5. Mg-doped ZnO thin films deposited by the atomic layer chemical vapor deposition for the buffer layer of CIGS solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Li, Zhao-Hui [Department of Electronics Engineering, Gachon University, Soojung-gu, Seongnam city 461-701, Gyunggi-do (Korea, Republic of); Center for Photovoltaic and Solar Energy, Shenzhen Institutes of Advanced Technology, Chinese Academy of Sciences, Shenzhen city 518055 (China); Cho, Eou-Sik [Department of Electronics Engineering, Gachon University, Soojung-gu, Seongnam city 461-701, Gyunggi-do (Korea, Republic of); Kwon, Sang Jik, E-mail: sjkwon@gachon.ac.kr [Department of Electronics Engineering, Gachon University, Soojung-gu, Seongnam city 461-701, Gyunggi-do (Korea, Republic of)

    2014-09-30

    Highlights: • Mg-doped ZnO film as CIGS buffer was prepared by ALD process. • The grain size of ZnO-like hexagonal phase decreased with Mg content. • The transmittance and crystallinity increased but the band gap decreased with temperature. - Abstract: Mg-doped ZnO [(Zn, Mg)O] thin films were prepared by atomic layer chemical vapor deposition (ALCVD) process with different Mg content, using diethyl zinc, biscyclopentadienyl magnesium, and water as the metal and oxygen sources, respectively. The ratio of Mg to Zn was varied by changing the pulse ratio of MgCp{sub 2} to DEZn precursor to study its effect on the properties of (Zn, Mg)O thin films. From the experimental results, it was shown that the grain size of the ZnO-like hexagonal phase (Zn, Mg)O decreased as the Mg content increased. But the transmittance and optical band gap of (Zn, Mg)O films increased with the increase of the Mg content. In addition, the effect of the substrate temperature on the properties of (Zn, Mg)O films was also investigated. The deposition rate, transmittance, and crystallinity of (Zn, Mg)O films increased as the substrate temperature increased. But its band gap decreased slightly with the increase of substrate temperature.

  6. Effective optimization of surface passivation on porous silicon carbide using atomic layer deposited Al2O3

    DEFF Research Database (Denmark)

    Lu, Weifang; Iwasa, Yoshimi; Ou, Yiyu

    2017-01-01

    Porous silicon carbide (B–N co-doped SiC) produced by anodic oxidation showed strong photoluminescence (PL) at around 520 nm excited by a 375 nm laser. The porous SiC samples were passivated by atomic layer deposited (ALD) aluminum oxide (Al2O3) films, resulting in a significant enhancement...

  7. La sélection d'Aldébaran

    Directory of Open Access Journals (Sweden)

    Françoise Acquier

    2013-12-01

    Full Text Available Aldébaran est une revue électronique en libre accès qui propose une sélection de ressources documentaires en sciences humaines à travers des notices de sites et des conseils de recherche. Les notices présentées dans ce numéro ont été rédigées par Véronique Ginouvès (MMSH USR3125 et Françoise Acquier (CRESSON. Arab Cinema Directory [http://aldebaran.revues.org/6945] Le site  « Arab Cinema Directory » rassemble des informations sur plus de 6 500 films arabes depuis les années 1930 jusqu'à auj...

  8. Hollow inorganic nanospheres and nanotubes with tunable wall thicknesses by atomic layer deposition on self-assembled polymeric templates

    NARCIS (Netherlands)

    Ras, Robin H. A.; Kemell, Marianna; de Wit, Joost; Ritala, Mikko; ten Brinke, Gerrit; Leskela, Markku; Ikkala, Olli; Leskelä, Markku

    2007-01-01

    The construction of inorganic nanostructures with hollow interiors is demonstrated by coating self-assembled polymeric nano-objects with a thin Al2O3 layer by atomic layer deposition (ALD), followed by removal of the polymer template upon heating. The morphology of the nano-object (i.e., spherical

  9. Highly effective synthesis of NiO/CNT nanohybrids by atomic layer deposition for high-rate and long-life supercapacitors.

    Science.gov (United States)

    Yu, Lei; Wang, Guilong; Wan, Gengping; Wang, Guizhen; Lin, Shiwei; Li, Xinyue; Wang, Kan; Bai, Zhiming; Xiang, Yang

    2016-09-21

    In this work, we report an atomic layer deposition (ALD) method for the fabrication of NiO/CNT hybrid structures in order to improve electronic conductivity, enhance cycling stability and increase rate capability of NiO used as supercapacitor electrodes. A uniform NiO coating can be well deposited on carbon nanotubes (CNTs) through simultaneously employing O3 and H2O as oxidizing agents in a single ALD cycle of NiO for the first time, with a high growth rate of nearly 0.3 Å per cycle. The electrochemical properties of the as-prepared NiO/CNT were then investigated. The results show that the electrochemical capacitive properties are strongly associated with the thickness of the NiO coating. The NiO/CNT composite materials with 200 cycles of NiO deposition exhibit the best electrochemical properties, involving high specific capacitance (622 F g(-1) at 2 A g(-1), 2013 F g(-1) for NiO), excellent rate capability (74% retained at 50 A g(-1)) and outstanding cycling stability. The impressive results presented here suggest a great potential for the fabrication of composite electrode materials by atomic layer deposition applied in high energy density storage systems.

  10. Defect analysis in low temperature atomic layer deposited Al{sub 2}O{sub 3} and physical vapor deposited SiO barrier films and combination of both to achieve high quality moisture barriers

    Energy Technology Data Exchange (ETDEWEB)

    Maindron, Tony, E-mail: tony.maindron@cea.fr; Jullien, Tony; André, Agathe [Université Grenoble-Alpes, CEA, LETI, MINATEC Campus, 17 rue des Martyrs, F-38054 Grenoble Cedex 9 (France)

    2016-05-15

    Al{sub 2}O{sub 3} [20 nm, atomic layer deposition (ALD)] and SiO films' [25 nm, physical vacuum deposition (PVD)] single barriers as well as hybrid barriers of the Al{sub 2}O{sub 3}/SiO or SiO/Al{sub 2}O{sub 3} have been deposited onto single 100 nm thick tris-(8-hydroxyquinoline) aluminum (AlQ{sub 3}) organic films made onto silicon wafers. The defects in the different barrier layers could be easily observed as nonfluorescent AlQ{sub 3} black spots, under ultraviolet light on the different systems stored into accelerated aging conditions (85 °C/85% RH, ∼2000 h). It has been observed that all devices containing an Al{sub 2}O{sub 3} layer present a lag time τ from which defect densities of the different systems start to increase significantly. This is coherent with the supposed pinhole-free nature of fresh, ALD-deposited, Al{sub 2}O{sub 3} films. For t > τ, the number of defect grows linearly with storage time. For devices with the single Al{sub 2}O{sub 3} barrier layer, τ has been estimated to be 64 h. For t > τ, the defect occurrence rate has been calculated to be 0.268/cm{sup 2}/h. Then, a total failure of fluorescence of the AlQ{sub 3} film appears between 520 and 670 h, indicating that the Al{sub 2}O{sub 3} barrier has been totally degraded by the hot moisture. Interestingly, the device with the hybrid barrier SiO/Al{sub 2}O{sub 3} shows the same characteristics as the device with the single Al{sub 2}O{sub 3} barrier (τ = 59 h; 0.246/cm{sup 2}/h for t > τ), indicating that Al{sub 2}O{sub 3} ALD is the factor that limits the performance of the barrier system when it is directly exposed to moisture condensation. At the end of the storage period (1410 h), the defect density for the system with the hybrid SiO/Al{sub 2}O{sub 3} barrier is 120/cm{sup 2}. The best sequence has been obtained when Al{sub 2}O{sub 3} is passivated by the SiO layer (Al{sub 2}O{sub 3}/SiO). In that case, a large lag time of 795 h and a very

  11. Pore radius fine tuning of a silica matrix (MCM-41) based on the synthesis of alumina nanolayers with different thicknesses by atomic layer deposition

    International Nuclear Information System (INIS)

    Zemtsova, Elena G.; Arbenin, Andrei Yu.; Plotnikov, Alexander F.; Smirnov, Vladimir M.

    2015-01-01

    The authors investigated a new approach to modify the surface of the mesoporous silica matrix MCM-41. This approach is based on manipulating the chemical composition of the porous surface layer and also on fine tuning the pore radius by applying the atomic layer deposition (ALD) technique. The synthesis of alumina nanolayers was performed on the planar and the porous matrix (MCM-41) by the ALD technique using aluminum tri-sec-butoxide and water as precursors. The authors show that one cycle on silicon, using aluminum tri-sec-butoxide and water as precursors, results in a 1–1.2 Å increase in alumina nanolayer thickness. This is comparable to the increase in thickness per cycle for other precursors such as trimethylaluminum and aluminum chloride. The authors show that the synthesis of an Al 2 O 3 nanolayer on the pore surface of the mesoporous silica matrix MCM-41 by the ALD technique results in a regular change in the porous structure of the samples. The specific porosity (ml/g) of the MCM-41 was 0.95 and that of MCM-41 after 5 ALD cycles was 0.39. The pore diameter (nm) of MCM-41 was 3.3 and that of MCM-41 after 5 ALD cycles was 2.3

  12. Surface poisoning in the nucleation and growth of palladium atomic layer deposition with Pd(hfac){sub 2} and formalin

    Energy Technology Data Exchange (ETDEWEB)

    Goldstein, D.N. [Department of Chemistry and Biochemistry, University of Colorado, 215 UCB, Boulder, CO 80309 (United States); George, S.M., E-mail: Steven.George@Colorado.Edu [Department of Chemistry and Biochemistry, University of Colorado, 215 UCB, Boulder, CO 80309 (United States); Department of Chemical and Biological Engineering, University of Colorado, 424 UCB, Boulder, CO (United States)

    2011-06-01

    Palladium (Pd) atomic layer deposition (ALD) can be performed with Pd(hfac){sub 2} (hfac = hexafluoroacetyl-acetone) and formalin as the reactants. For Pd ALD on oxide surfaces, the nucleation of Pd ALD has been observed to require between 20 and 100 ALD cycles. To understand the long nucleation periods, this study explored the surface reactions occurring during Pd ALD nucleation and growth on hydroxylated Al{sub 2}O{sub 3} substrates. In situ Fourier transform infrared (FTIR) spectroscopy on high surface area nanopowders was used to observe the surface species. The adsorption of Pd(hfac){sub 2} on hydroxylated Al{sub 2}O{sub 3} substrates was found to yield both Pd(hfac)* and Al(hfac)* surface species. The identity of the Al(hfac)* species was confirmed by separate FTIR studies of hfacH adsorption on the hydroxylated Al{sub 2}O{sub 3} substrates. Isothermal loss of the Al(hfac)* species revealed second-order kinetics at 448-523 K with an activation barrier of E{sub d} = 39.4 kcal/mol. The lack of correlation between Al(hfac)* and AlOH* species during the loss of Al(hfac)* species suggested that the Al(hfac)* species may desorb as Al(hfac){sub 3}. After Pd(hfac){sub 2} exposure and the subsequent formalin exposure on hydroxylated Al{sub 2}O{sub 3} substrates, only hfac ligands from Pd(hfac)* species were removed from the surface. In addition, the formalin exposure added formate species. The Al(hfac)* species was identified as the cause of the long nucleation period because Al(hfac)* behaves as a site blocker. The surface poisoning by Al(hfac)* species was corroborated by adsorbing hfacH prior to the Pd(hfac){sub 2} exposures. The amount of Pd(hfac)* species after Pd(hfac){sub 2} exposures decreased progressively versus the previous hfacH exposure. Pd ALD occurred gradually during the subsequent Pd ALD cycles as the Al(hfac)* species were slowly removed from the Al{sub 2}O{sub 3} surface. Ex situ transmission electron microscopy analysis revealed Pd nanoclusters

  13. Growth kinetics for temperature-controlled atomic layer deposition of GaN using trimethylgallium and remote-plasma-excited NH3

    International Nuclear Information System (INIS)

    Pansila, P.; Kanomata, K.; Miura, M.; Ahmmad, B.; Kubota, S.; Hirose, F.

    2015-01-01

    Highlights: • We discuss the reaction mechanism of the low temperature GaN ALD. • The plasma-excited NH 3 is effective in the nitridation of the TMG saturated GaN surface with surface temperatures in excess of 100 °C. • The temperature controlled ALD of GaN is examined using RT-TMG adsorption and plasma-excited NH 3 treatment with the temperature of 115 °C. - Abstract: Fundamental surface reactions in the atomic layer deposition of GaN with trimethylgallium (TMG) and plasma-excited NH 3 are investigated by multiple-internal-reflection infrared absorption spectroscopy (MIR-IRAS) at surface temperatures varying from room temperature (RT) to 400 °C. It is found that TMG is saturated at RT on GaN surfaces when the TMG exposure exceeds 8 × 10 4 Langmuir (L), where 1 L corresponds to 1.33 × 10 −4 Pa s (or 1.0 × 10 −6 Torr s), and its saturation density reaches the maximum value at RT. Nitridation with the plasma-excited NH 3 on the TMG-saturated GaN surface is investigated by X-ray photoelectron spectroscopy (XPS). The nitridation becomes effective at surface temperatures in excess of 100 °C. The reaction models of TMG adsorption and nitridation on the GaN surface are proposed in this paper. Based on the surface analysis, a temperature-controlled ALD process consisting of RT-TMG adsorption and nitridation at 115 °C is examined, where the growth per cycle of 0.045 nm/cycle is confirmed. XPS analysis indicates that all N atoms are bonded as GaN. Atomic force microscopy indicates an average roughness of 0.23 nm. We discuss the reaction mechanism of GaN ALD in the low-temperature region at around 115 °C with TMG and plasma-excited NH 3 .

  14. Towards high-energy and durable lithium-ion batteries via atomic layer deposition: elegantly atomic-scale material design and surface modification

    International Nuclear Information System (INIS)

    Meng, Xiangbo

    2015-01-01

    Targeted at fueling future transportation and sustaining smart grids, lithium-ion batteries (LIBs) are undergoing intensive investigation for improved durability and energy density. Atomic layer deposition (ALD), enabling uniform and conformal nanofilms, has recently made possible many new advances for superior LIBs. The progress was summarized by Liu and Sun in their latest review [1], offering many insightful views, covering the design of nanostructured battery components (i.e., electrodes and solid electrolytes), and nanoscale modification of electrode/electrolyte interfaces. This work well informs peers of interesting research conducted and it will also further help boost the applications of ALD in next-generation LIBs and other advanced battery technologies. (viewpoint)

  15. Effect of atomic layer deposition temperature on the performance of top-down ZnO nanowire transistors

    Science.gov (United States)

    2014-01-01

    This paper studies the effect of atomic layer deposition (ALD) temperature on the performance of top-down ZnO nanowire transistors. Electrical characteristics are presented for 10-μm ZnO nanowire field-effect transistors (FETs) and for deposition temperatures in the range 120°C to 210°C. Well-behaved transistor output characteristics are obtained for all deposition temperatures. It is shown that the maximum field-effect mobility occurs for an ALD temperature of 190°C. This maximum field-effect mobility corresponds with a maximum Hall effect bulk mobility and with a ZnO film that is stoichiometric. The optimized transistors have a field-effect mobility of 10 cm2/V.s, which is approximately ten times higher than can typically be achieved in thin-film amorphous silicon transistors. Furthermore, simulations indicate that the drain current and field-effect mobility extraction are limited by the contact resistance. When the effects of contact resistance are de-embedded, a field-effect mobility of 129 cm2/V.s is obtained. This excellent result demonstrates the promise of top-down ZnO nanowire technology for a wide variety of applications such as high-performance thin-film electronics, flexible electronics, and biosensing. PMID:25276107

  16. Subnanometer Ga 2 O 3 Tunnelling Layer by Atomic Layer Deposition to Achieve 1.1 V Open-Circuit Potential in Dye-Sensitized Solar Cells

    KAUST Repository

    Chandiran, Aravind Kumar; Tetreault, Nicolas; Humphry-Baker, Robin; Kessler, Florian; Baranoff, Etienne; Yi, Chenyi; Nazeeruddin, Mohammad Khaja; Grä tzel, Michael

    2012-01-01

    Herein, we present the first use of a gallium oxide tunnelling layer to significantly reduce electron recombination in dye-sensitized solar cells (DSC). The subnanometer coating is achieved using atomic layer deposition (ALD) and leading to a new

  17. Resistive switching and synaptic properties of fully atomic layer deposition grown TiN/HfO{sub 2}/TiN devices

    Energy Technology Data Exchange (ETDEWEB)

    Matveyev, Yu.; Zenkevich, A. [Moscow Institute of Physics and Technology, 141700 Moscow Region (Russian Federation); NRNU “Moscow Engineering Physics Institute”, 115409 Moscow (Russian Federation); Egorov, K.; Markeev, A. [Moscow Institute of Physics and Technology, 141700 Moscow Region (Russian Federation)

    2015-01-28

    Recently proposed novel neural network hardware designs imply the use of memristors as electronic synapses in 3D cross-bar architecture. Atomic layer deposition (ALD) is the most feasible technique to fabricate such arrays. In this work, we present the results of the detailed investigation of the gradual resistive switching (memristive) effect in nanometer thick fully ALD grown TiN/HfO{sub 2}/TiN stacks. The modelling of the I-V curves confirms interface limited trap-assisted-tunneling mechanism along the oxygen vacancies in HfO{sub 2} in all conduction states. The resistivity of the stack is found to critically depend upon the distance from the interface to the first trap in HfO{sub 2}. The memristive properties of ALD grown TiN/HfO{sub 2}/TiN devices are correlated with the demonstrated neuromorphic functionalities, such as long-term potentiation/depression and spike-timing dependent plasticity, thus indicating their potential as electronic synapses in neuromorphic hardware.

  18. Low-temperature plasma-enhanced atomic layer deposition of 2-D MoS2 : Large area, thickness control and tuneable morphology

    NARCIS (Netherlands)

    Sharma, A.; Verheijen, M.A.; Wu, L.; Karwal, S.; Vandalon, V.; Knoops, H.C.M.; Sundaram, R.S.; Hofmann, J.P.; Kessels, W.M.M.; Bol, A.A.

    2018-01-01

    Low-temperature controllable synthesis of monolayer-to-multilayer thick MoS2 with tuneable morphology is demonstrated by using plasma enhanced atomic layer deposition (PEALD). The characteristic self-limiting ALD growth with a growth-per-cycle of 0.1 nm per cycle and digital thickness control down

  19. Effect of heat treatment on the characteristics of tool steel deposited by the directed energy deposition process

    Science.gov (United States)

    Park, Jun Seok; Lee, Min-Gyu; Cho, Yong-Jae; Sung, Ji Hyun; Jeong, Myeong-Sik; Lee, Sang-Kon; Choi, Yong-Jin; Kim, Da Hye

    2016-01-01

    The directed energy deposition process has been mainly applied to re-work and the restoration of damaged steel. Differences in material properties between the base and the newly deposited materials are unavoidable, which may affect the mechanical properties and durability of the part. We investigated the effect of heat treatment on the characteristics of tool steel deposited by the DED process. We prepared general tool steel materials of H13 and D2 that were deposited onto heat-treated substrates of H13 and D2, respectively, using a direct metal tooling process. The hardness and microstructure of the deposited steel before and after heat treatment were investigated. The hardness of the deposited H13 steel was higher than that of wrought H13 steel substrate, while that of the deposited D2 was lower than that of wrought D2. The evolution of the microstructures by deposition and heat treatment varied depending on the materials. In particular, the microstructure of the deposited D2 steel after heat treatment consisted of fine carbides in tempered martensite and it is expected that the deposited D2 steel will have isotropic properties and high hardness after heat treatment.

  20. Engineering Nanoscale Multiferroic Composites for Memory Applications with Atomic Layer Deposition of Pb(ZrxTi1-x)O3 Thin Films

    Science.gov (United States)

    Chien, Diana

    This work focuses on the development of atomic layer deposition (ALD) for lead zirconate titanate, Pb(ZrxTi1-x)O 3 (PZT). Leveraging the surface-reaction controlled process based on alternating self-limiting surface reactions, PZT can be synthesized not only with elemental precision to realize the desired composition (Zr/Ti = 52/48) but also with outstanding conformality. The latter enables the integration of PZT with a ferromagnetic phase to realize multiferroism (MF) and magnetoelectric (ME) effect. Since PZT is one of the best known ferroelectric and piezoelectric materials due the large displacements of the Pb ions at the morphotropic phase boundary, PZT based MF composites could lead to stronger ME coupling through strain coupling at the interface. Specifically, ALD PZT thin films were synthesized by using beta-diketonate metalorganic precursors Pb(TMHD)2, Zr(TMHD)4, and Ti(O.i-Pr) 2(TMHD)2 and H2O. The number of local cycles and global cycles were regulated to achieve the desired stoichiometry and thickness, respectively. ALD of PZT was studied to obtain (100) textured PZT on Pt (111) oriented platinized silicon substrates. In order to attain a highly oriented PZT thin film, a (100) textured PbTiO3 seed layer was required because PZT orientation is governed by nucleation. MF nanocomposites were engineered using ALD PZT thin films to achieve controlled complex nanoscale structures, enabling porosity to be studied as a new additional parameter for nanocomposite architectures to enhance ME effect. Specifically, 3--6 nm-thick ALD PZT thin films were deposited to uniformly coat the walls of mesoporous cobalt ferrite (CFO) template. The PZT/CFO nanocomposites were electrically poled ex-situ and the change in magnetic moment was measured. The inverse magnetoelectric coupling coefficient, a, was determined to be 85.6 Oe-cm/mV. The in-plane results show no significant change in magnetization (1--4%) as a function of electric field, which was expected due to the effect

  1. Enhanced Performance of Nanowire-Based All-TiO2 Solar Cells using Subnanometer-Thick Atomic Layer Deposited ZnO Embedded Layer

    International Nuclear Information System (INIS)

    Ghobadi, Amir; Yavuz, Halil I.; Ulusoy, T. Gamze; Icli, K. Cagatay; Ozenbas, Macit; Okyay, Ali K.

    2015-01-01

    In this paper, the effect of angstrom-thick atomic layer deposited (ALD) ZnO embedded layer on photovoltaic (PV) performance of Nanowire-Based All-TiO 2 solar cells has been systematically investigated. Our results indicate that by varying the thickness of ZnO layer the efficiency of the solar cell can be significantly changed. It is shown that the efficiency has its maximum for optimal thickness of 1 ALD cycle in which this ultrathin ZnO layer improves device performance through passivation of surface traps without hampering injection efficiency of photogenerated electrons. The mechanisms contributing to this unprecedented change in PV performance of the cell have been scrutinized and discussed

  2. Governance assessment of a protected area : the case of the Alde Feanen National Park

    NARCIS (Netherlands)

    Lordkipanidze, Maia; Bressers, Hans; Lulofs, Kris

    2018-01-01

    This paper addresses the challenge of appropriate governance of complexity and diversity in the Dutch national park of Alde Feanen. The issue is how to enhance ecosystem resilience. Our focus relates to a navigable waterway within the park that affects the natural values of the area. The governance

  3. The AMC Linear Disability Score (ALDS): a cross-sectional study with a new generic instrument to measure disability applied to patients with peripheral arterial disease

    NARCIS (Netherlands)

    Met, R.; Reekers, J.A.; Koelemay, M.J.W.; Legemate, D.A.; de Haan, R.J.

    2009-01-01

    Background: The AMC Linear Disability Score (ALDS) is a calibrated generic itembank to measure the level of physical disability in patients with chronic diseases. The ALDS has already been validated in different patient populations suffering from chronic diseases. The aim of this study was to assess

  4. Properties of nanostructured undoped ZrO{sub 2} thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Gu Young; Noh, Seungtak; Lee, Yoon Ho; Cha, Suk Won, E-mail: ybkim@hanyang.ac.kr, E-mail: swcha@snu.ac.kr [Department of Mechanical and Aerospace Engineering, Seoul National University, 1 Gwanak-ro, Gwanak-gu, Seoul 151-744 (Korea, Republic of); Ji, Sanghoon [Graduate School of Convergence Science and Technology, Seoul National University, Iui-dong, Yeongtong-gu, Suwon 443-270 (Korea, Republic of); Hong, Soon Wook; Koo, Bongjun; Kim, Young-Beom, E-mail: ybkim@hanyang.ac.kr, E-mail: swcha@snu.ac.kr [Department of Mechanical Engineering, Hanyang University, 222 Wangsimni-ro, Seongdong-gu, Seoul 133-791 (Korea, Republic of); An, Jihwan [Manufacturing Systems and Design Engineering Programme, Seoul National University of Science and Technology, 232 Gongneung-ro, Nowon-gu, Seoul 139-743 (Korea, Republic of)

    2016-01-15

    Nanostructured ZrO{sub 2} thin films were prepared by thermal atomic layer deposition (ALD) and by plasma-enhanced atomic layer deposition (PEALD). The effects of the deposition conditions of temperature, reactant, plasma power, and duration upon the physical and chemical properties of ZrO{sub 2} films were investigated. The ZrO{sub 2} films by PEALD were polycrystalline and had low contamination, rough surfaces, and relatively large grains. Increasing the plasma power and duration led to a clear polycrystalline structure with relatively large grains due to the additional energy imparted by the plasma. After characterization, the films were incorporated as electrolytes in thin film solid oxide fuel cells, and the performance was measured at 500 °C. Despite similar structure and cathode morphology of the cells studied, the thin film solid oxide fuel cell with the ZrO{sub 2} thin film electrolyte by the thermal ALD at 250 °C exhibited the highest power density (38 mW/cm{sup 2}) because of the lowest average grain size at cathode/electrolyte interface.

  5. SnO2 anode surface passivation by atomic layer deposited HfO2 improves li-ion battery performance

    KAUST Repository

    Yesibolati, Nulati

    2014-03-14

    For the first time, it is demonstrated that nanoscale HfO2 surface passivation layers formed by atomic layer deposition (ALD) significantly improve the performance of Li ion batteries with SnO2-based anodes. Specifically, the measured battery capacity at a current density of 150 mAg -1 after 100 cycles is 548 and 853 mAhg-1 for the uncoated and HfO2-coated anodes, respectively. Material analysis reveals that the HfO2 layers are amorphous in nature and conformably coat the SnO2-based anodes. In addition, the analysis reveals that ALD HfO2 not only protects the SnO2-based anodes from irreversible reactions with the electrolyte and buffers its volume change, but also chemically interacts with the SnO2 anodes to increase battery capacity, despite the fact that HfO2 is itself electrochemically inactive. The amorphous nature of HfO2 is an important factor in explaining its behavior, as it still allows sufficient Li diffusion for an efficient anode lithiation/delithiation process to occur, leading to higher battery capacity. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Electronic structure investigation of atomic layer deposition ruthenium(oxide) thin films using photoemission spectroscopy

    Science.gov (United States)

    Schaefer, Michael; Schlaf, Rudy

    2015-08-01

    Analyzing and manipulating the electronic band line-up of interfaces in novel micro- and nanoelectronic devices is important to achieve further advancement in this field. Such band alignment modifications can be achieved by introducing thin conformal interfacial dipole layers. Atomic layer deposition (ALD), enabling angstrom-precise control over thin film thickness, is an ideal technique for this challenge. Ruthenium (Ru0) and its oxide (RuO2) have gained interest in the past decade as interfacial dipole layers because of their favorable properties like metal-equivalent work functions, conductivity, etc. In this study, initial results of the electronic structure investigation of ALD Ru0 and RuO2 films via photoemission spectroscopy are presented. These experiments give insight into the band alignment, growth behavior, surface structure termination, and dipole formation. The experiments were performed in an integrated vacuum system attached to a home-built, stop-flow type ALD reactor without exposing the samples to the ambient in between deposition and analysis. Bis(ethylcyclopentadienyl)ruthenium(II) was used as precursor and oxygen as reactant. The analysis chamber was outfitted with X-ray photoemission spectroscopy (LIXPS, XPS). The determined growth modes are consistent with a strong growth inhibition situation with a maximum average growth rate of 0.21 Å/cycle for RuO2 and 0.04 Å/cycle for Ru.0 An interface dipole of up to -0.93 eV was observed, supporting the assumption of a strongly physisorbed interface. A separate experiment where the surface of a RuO film was sputtered suggests that the surface is terminated by an intermediate, stable, non-stoichiometric RuO2/OH compound whose surface is saturated with hydroxyl groups.

  7. Atomic layer deposition grown composite dielectric oxides and ZnO for transparent electronic applications

    International Nuclear Information System (INIS)

    Gieraltowska, S.; Wachnicki, L.; Witkowski, B.S.; Godlewski, M.; Guziewicz, E.

    2012-01-01

    In this paper, we report on transparent transistor obtained using laminar structure of two high-k dielectric oxides (hafnium dioxide, HfO 2 and aluminum oxide, Al 2 O 3 ) and zinc oxide (ZnO) layer grown at low temperature (60 °C–100 °C) using Atomic Layer Deposition (ALD) technology. Our research was focused on the optimization of technological parameters for composite layers Al 2 O 3 /HfO 2 /Al 2 O 3 for thin film transistor structures with ZnO as a channel and a gate layer. We elaborate on the ALD growth of these oxides, finding that the 100 nm thick layers of HfO 2 and Al 2 O 3 exhibit fine surface flatness and required amorphous microstructure. Growth parameters are optimized for the monolayer growth mode and maximum smoothness required for gating.

  8. Surface chemistry of plasma-assisted atomic layer deposition of Al2O3 studied by infrared spectroscopy

    NARCIS (Netherlands)

    Langereis, E.; Keijmel, J.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2008-01-01

    The surface groups created during plasma-assisted atomic layer deposition (ALD) of Al2O3 were studied by infrared spectroscopy. For temperatures in the range of 25–150 °C, –CH3 and –OH were unveiled as dominant surface groups after the Al(CH3)3precursor and O2 plasma half-cycles, respectively. At

  9. Combining a multi deposition multi annealing technique with a scavenging (Ti) to improve the high-k/metal gate stack performance for a gate-last process

    International Nuclear Information System (INIS)

    Zhang ShuXiang; Yang Hong; Tang Bo; Tang Zhaoyun; Xu Yefeng; Xu Jing; Yan Jiang

    2014-01-01

    ALD HfO 2 films fabricated by a novel multi deposition multi annealing (MDMA) technique are investigated, we have included samples both with and without a Ti scavenging layer. As compared to the reference gate stack treated by conventional one-time deposition and annealing (D and A), devices receiving MDMA show a significant reduction in leakage current. Meanwhile, EOT growth is effectively controlled by the Ti scavenging layer. This improvement strongly correlates with the cycle number of D and A (while keeping the total annealing time and total dielectrics thickness the same). Transmission electron microscope and energy-dispersive X-ray spectroscopy analysis suggests that oxygen incorporation into both the high-k film and the interfacial layer is likely to be responsible for the improvement of the device. This novel MDMA is promising for the development of gate stack technology in a gate last integration scheme. (semiconductor technology)

  10. Incorporation of La in epitaxial SrTiO{sub 3} thin films grown by atomic layer deposition on SrTiO{sub 3}-buffered Si (001) substrates

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Ekerdt, John G., E-mail: ekerdt@utexas.edu [University of Texas at Austin, Department of Chemical Engineering, Austin, Texas 78712 (United States); Posadas, Agham; Demkov, Alexander A. [University of Texas at Austin, Department of Physics, Austin, Texas 78712 (United States); Karako, Christine M. [University of Dallas, Department of Chemistry, Irving, Texas 75062 (United States); Bruley, John; Frank, Martin M.; Narayanan, Vijay [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States)

    2014-06-14

    Strontium titanate, SrTiO{sub 3} (STO), thin films incorporated with lanthanum are grown on Si (001) substrates at a thickness range of 5–25 nm. Atomic layer deposition (ALD) is used to grow the La{sub x}Sr{sub 1−x}TiO{sub 3} (La:STO) films after buffering the Si (001) substrate with four-unit-cells of STO deposited by molecular beam epitaxy. The crystalline structure and orientation of the La:STO films are confirmed via reflection high-energy electron diffraction, X-ray diffraction, and cross-sectional transmission electron microscopy. The low temperature ALD growth (∼225 °C) and post-deposition annealing at 550 °C for 5 min maintains an abrupt interface between Si (001) and the crystalline oxide. Higher annealing temperatures (650 °C) show more complete La activation with film resistivities of ∼2.0 × 10{sup −2} Ω cm for 20-nm-thick La:STO (x ∼ 0.15); however, the STO-Si interface is slightly degraded due to the increased annealing temperature. To demonstrate the selective incorporation of lanthanum by ALD, a layered heterostructure is grown with an undoped STO layer sandwiched between two conductive La:STO layers. Based on this work, an epitaxial oxide stack centered on La:STO and BaTiO{sub 3} integrated with Si is envisioned as a material candidate for a ferroelectric field-effect transistor.

  11. Copper Benzenetricarboxylate Metal-Organic Framework Nucleation Mechanisms on Metal Oxide Powders and Thin Films formed by Atomic Layer Deposition.

    Science.gov (United States)

    Lemaire, Paul C; Zhao, Junjie; Williams, Philip S; Walls, Howard J; Shepherd, Sarah D; Losego, Mark D; Peterson, Gregory W; Parsons, Gregory N

    2016-04-13

    Chemically functional microporous metal-organic framework (MOF) crystals are attractive for filtration and gas storage applications, and recent results show that they can be immobilized on high surface area substrates, such as fiber mats. However, fundamental knowledge is still lacking regarding initial key reaction steps in thin film MOF nucleation and growth. We find that thin inorganic nucleation layers formed by atomic layer deposition (ALD) can promote solvothermal growth of copper benzenetricarboxylate MOF (Cu-BTC) on various substrate surfaces. The nature of the ALD material affects the MOF nucleation time, crystal size and morphology, and the resulting MOF surface area per unit mass. To understand MOF nucleation mechanisms, we investigate detailed Cu-BTC MOF nucleation behavior on metal oxide powders and Al2O3, ZnO, and TiO2 layers formed by ALD on polypropylene substrates. Studying both combined and sequential MOF reactant exposure conditions, we find that during solvothermal synthesis ALD metal oxides can react with the MOF metal precursor to form double hydroxy salts that can further convert to Cu-BTC MOF. The acidic organic linker can also etch or react with the surface to form MOF from an oxide metal source, which can also function as a nucleation agent for Cu-BTC in the mixed solvothermal solution. We discuss the implications of these results for better controlled thin film MOF nucleation and growth.

  12. Modification of SnO2 Anodes by Atomic Layer Deposition for High Performance Lithium Ion Batteries

    KAUST Repository

    Yesibolati, Nulati

    2013-05-01

    Tin dioxide (SnO2) is considered one of the most promising anode materials for Lithium ion batteries (LIBs), due to its large theoretical capacity and natural abundance. However, its low electronic/ionic conductivities, large volume change during lithiation/delithiation and agglomeration prevent it from further commercial applications. In this thesis, we investigate modified SnO2 as a high energy density anode material for LIBs. Specifically two approaches are presented to improve battery performances. Firstly, SnO2 electrochemical performances were improved by surface modification using Atomic Layer Deposition (ALD). Ultrathin Al2O3 or HfO2 were coated on SnO2 electrodes. It was found that electrochemical performances had been enhanced after ALD deposition. In a second approach, we implemented a layer-by-layer (LBL) assembled graphene/carbon-coated hollow SnO2 spheres as anode material for LIBs. Our results indicated that the LBL assembled electrodes had high reversible lithium storage capacities even at high current densities. These superior electrochemical performances are attributed to the enhanced electronic conductivity and effective lithium diffusion, because of the interconnected graphene/carbon networks among nanoparticles of the hollow SnO2 spheres.

  13. Simulation of nucleation and growth of atomic layer deposition phosphorus for doping of advanced FinFETs

    International Nuclear Information System (INIS)

    Seidel, Thomas E.; Goldberg, Alexander; Halls, Mat D.; Current, Michael I.

    2016-01-01

    Simulations for the nucleation and growth of phosphorus films were carried out using density functional theory. The surface was represented by a Si 9 H 12 truncated cluster surface model with 2 × 1-reconstructured (100) Si-OH terminations for the initial reaction sites. Chemistries included phosphorous halides (PF 3 , PCl 3 , and PBr 3 ) and disilane (Si 2 H 6 ). Atomic layer deposition (ALD) reaction sequences were illustrated with three-dimensional molecular models using sequential PF 3 and Si 2 H 6 reactions and featuring SiFH 3 as a byproduct. Exothermic reaction pathways were developed for both nucleation and growth for a Si-OH surface. Energetically favorable reactions for the deposition of four phosphorus atoms including lateral P–P bonding were simulated. This paper suggests energetically favorable thermodynamic reactions for the growth of elemental phosphorus on (100) silicon. Phosphorus layers made by ALD are an option for doping advanced fin field-effect transistors (FinFETs). Phosphorus may be thermally diffused into the silicon or recoil knocked in; simulations of the recoil profile of phosphorus into a FinFET surface are illustrated

  14. Building of nested components by a double-nozzle droplet deposition process

    Science.gov (United States)

    Li, SuLi; Wei, ZhengYing; Du, Jun; Zhao, Guangxi; Wang, Xin; Lu, BingHeng

    2016-07-01

    According to the nested components jointed with multiple parts,a double-nozzle droplet deposition process was put forward in this paper, and the experimental system was developed. Through the research on the properties of support materials and the process of double-nozzle droplet deposition, the linkage control of the metal droplet deposition and the support material extrusion was realized, and a nested component with complex construction was fabricated directly. Compared with the traditional forming processes, this double-nozzle deposition process has the advantages of short cycle, low cost and so on. It can provide an approach way to build the nested parts.

  15. Influence of annealing temperature on the structural, optical and mechanical properties of ALD-derived ZnO thin films

    International Nuclear Information System (INIS)

    Yen, C.-Y.; Jian, S.-R.; Chen, G.-J.; Lin, C.-M.; Lee, H.-Y.; Ke, W.-C.; Liao, Y.-Y.; Yang, P.-F.; Wang, C.-T.; Lai, Y.-S.; Jang, Jason S.-C.; Juang, J.-Y.

    2011-01-01

    ZnO thin films grown on Si(1 1 1) substrates by using atomic layer deposition (ALD) were annealed at the temperatures ranging from 300 to 500 deg. C. The X-ray diffraction (XRD) results show that the annealed ZnO thin films are highly (0 0 2)-oriented, indicating a well ordered microstructure. The film surface examined by the atomic force microscopy (AFM), however, indicated that the roughness increases with increasing annealing temperature. The photoluminescence (PL) spectrum showed that the intensity of UV emission was strongest for films annealed at 500 deg. C. The mechanical properties of the resultant ZnO thin films investigated by nanoindentation reveal that the hardness decreases from 9.2 GPa to 7.2 GPa for films annealed at 300 deg. C and 500 deg. C, respectively. On the other hand, the Young's modulus for the former is 168.6 GPa as compared to a value of 139.5 GPa for the latter. Moreover, the relationship between the hardness and film grain size appear to follow closely with the Hall-Petch equation.

  16. Desenvolvimento e caracterização de filmes de base celulósica incorporados com aldeído cinâmico

    Directory of Open Access Journals (Sweden)

    Franceline Aparecida Lopes

    2014-03-01

    Full Text Available Filmes antimicrobianos foram desenvolvidos com a incorporação de aldeído cinâmico nas concentrações de 5%, 10% e 20% v/p. Suas atividades de inibição do crescimento foram avaliadas para as bactérias Staphylococcus aureus, Listeria monocytogenes e Escherichia coli e para os fungos Fusarium oxysporum e Aspergillus flavus. As propriedades mecânicas dos filmes também foram avaliadas. Para as bactérias, à exceção de E. coli, foram observados halos de inibição que aumentaram proporcionalmente ao aumento da concentração de aldeído cinâmico no filme. A espessura média dos filmes utilizados, independentemente da concentração, foi de 32,47 µm e a média de deformação relativa na carga máxima dos filmes foi de 2,05% a 8 ºC e 1,73% a 25 ºC. O filme contendo 10% de aldeído cinâmico apresentou carga máxima de deformação de 108,27 N (Newton, significativamente menor (p<0,05 que os filmes com 0% e 5%. Os resultados mostraram que o uso de filmes incorporados com aldeído cinâmico é uma alternativa como embalagem ativa para o controle do crescimento de micro-organismos em produtos alimentícios.

  17. Deposition of conductive TiN shells on SiO2 nanoparticles with a fluidized bed ALD reactor

    NARCIS (Netherlands)

    Didden, A.; Hillebrand, P.; Wollgarten, M.; Dam, B.; Van de Krol, R.

    2016-01-01

    Conductive TiN shells have been deposited on SiO2 nanoparticles (10–20 nm primary particle size) with fluidized bed atomic layer deposition using TDMAT and NH3 as precursors. Analysis of the powders confirms that shell growth saturates at approximately 0.4 nm/cycle at TDMAT doses of >1.2 mmol/g of

  18. Fabrication of high aspect ratio TiO{sub 2} and Al{sub 2}O{sub 3} nanogratings by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Shkondin, Evgeniy, E-mail: eves@fotonik.dtu.dk [Department of Photonics Engineering, Technical University of Denmark, DK-2800 Kongens Lyngby, Denmark and Danish National Center for Micro- and Nanofabrication (DANCHIP), DK-2800 Kongens Lyngby (Denmark); Takayama, Osamu; Lavrinenko, Andrei V. [Department of Photonics Engineering, Technical University of Denmark, DK-2800 Kongens Lyngby (Denmark); Lindhard, Jonas Michael; Larsen, Pernille Voss; Mar, Mikkel Dysseholm; Jensen, Flemming [Danish National Center for Micro- and Nanofabrication (DANCHIP), DK-2800 Kongens Lyngby (Denmark)

    2016-05-15

    The authors report on the fabrication of TiO{sub 2} and Al{sub 2}O{sub 3} nanostructured gratings with an aspect ratio of up to 50. The gratings were made by a combination of atomic layer deposition (ALD) and dry etch techniques. The workflow included fabrication of a Si template using deep reactive ion etching followed by ALD of TiO{sub 2} or Al{sub 2}O{sub 3}. Then, the template was etched away using SF{sub 6} in an inductively coupled plasma tool, which resulted in the formation of isolated ALD coatings, thereby achieving high aspect ratio grating structures. SF{sub 6} plasma removes silicon selectively without any observable influence on TiO{sub 2} or Al{sub 2}O{sub 3}, thus revealing high selectivity throughout the fabrication. Scanning electron microscopy was used to analyze every fabrication step. Due to nonreleased stress in the ALD coatings, the top parts of the gratings were observed to bend inward as the Si template was removed, thus resulting in a gradual change in the pitch value of the structures. The pitch on top of the gratings is 400 nm, and it gradually reduces to 200 nm at the bottom. The form of the bending can be reshaped by Ar{sup +} ion beam etching. The chemical purity of the ALD grown materials was analyzed by x-ray photoelectron spectroscopy. The approach presented opens the possibility to fabricate high quality optical metamaterials and functional nanostructures.

  19. Electronic structure investigation of atomic layer deposition ruthenium(oxide) thin films using photoemission spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Schaefer, Michael, E-mail: mvschaefer@mail.usf.edu, E-mail: schlaf@mail.usf.edu [Department of Physics, University of South Florida, Tampa, Florida 33620 (United States); Schlaf, Rudy, E-mail: mvschaefer@mail.usf.edu, E-mail: schlaf@mail.usf.edu [Department of Electrical Engineering, University of South Florida, Tampa, Florida 33620 (United States)

    2015-08-14

    Analyzing and manipulating the electronic band line-up of interfaces in novel micro- and nanoelectronic devices is important to achieve further advancement in this field. Such band alignment modifications can be achieved by introducing thin conformal interfacial dipole layers. Atomic layer deposition (ALD), enabling angstrom-precise control over thin film thickness, is an ideal technique for this challenge. Ruthenium (Ru{sup 0}) and its oxide (RuO{sub 2}) have gained interest in the past decade as interfacial dipole layers because of their favorable properties like metal-equivalent work functions, conductivity, etc. In this study, initial results of the electronic structure investigation of ALD Ru{sup 0} and RuO{sub 2} films via photoemission spectroscopy are presented. These experiments give insight into the band alignment, growth behavior, surface structure termination, and dipole formation. The experiments were performed in an integrated vacuum system attached to a home-built, stop-flow type ALD reactor without exposing the samples to the ambient in between deposition and analysis. Bis(ethylcyclopentadienyl)ruthenium(II) was used as precursor and oxygen as reactant. The analysis chamber was outfitted with X-ray photoemission spectroscopy (LIXPS, XPS). The determined growth modes are consistent with a strong growth inhibition situation with a maximum average growth rate of 0.21 Å/cycle for RuO{sub 2} and 0.04 Å/cycle for Ru.{sup 0} An interface dipole of up to −0.93 eV was observed, supporting the assumption of a strongly physisorbed interface. A separate experiment where the surface of a RuO film was sputtered suggests that the surface is terminated by an intermediate, stable, non-stoichiometric RuO{sub 2}/OH compound whose surface is saturated with hydroxyl groups.

  20. Electronic structure investigation of atomic layer deposition ruthenium(oxide) thin films using photoemission spectroscopy

    International Nuclear Information System (INIS)

    Schaefer, Michael; Schlaf, Rudy

    2015-01-01

    Analyzing and manipulating the electronic band line-up of interfaces in novel micro- and nanoelectronic devices is important to achieve further advancement in this field. Such band alignment modifications can be achieved by introducing thin conformal interfacial dipole layers. Atomic layer deposition (ALD), enabling angstrom-precise control over thin film thickness, is an ideal technique for this challenge. Ruthenium (Ru 0 ) and its oxide (RuO 2 ) have gained interest in the past decade as interfacial dipole layers because of their favorable properties like metal-equivalent work functions, conductivity, etc. In this study, initial results of the electronic structure investigation of ALD Ru 0 and RuO 2 films via photoemission spectroscopy are presented. These experiments give insight into the band alignment, growth behavior, surface structure termination, and dipole formation. The experiments were performed in an integrated vacuum system attached to a home-built, stop-flow type ALD reactor without exposing the samples to the ambient in between deposition and analysis. Bis(ethylcyclopentadienyl)ruthenium(II) was used as precursor and oxygen as reactant. The analysis chamber was outfitted with X-ray photoemission spectroscopy (LIXPS, XPS). The determined growth modes are consistent with a strong growth inhibition situation with a maximum average growth rate of 0.21 Å/cycle for RuO 2 and 0.04 Å/cycle for Ru. 0 An interface dipole of up to −0.93 eV was observed, supporting the assumption of a strongly physisorbed interface. A separate experiment where the surface of a RuO film was sputtered suggests that the surface is terminated by an intermediate, stable, non-stoichiometric RuO 2 /OH compound whose surface is saturated with hydroxyl groups

  1. Advances in the electro-spark deposition coating process

    International Nuclear Information System (INIS)

    Johnson, R.N.; Sheldon, G.L.

    1986-04-01

    Electro-spark deposition (ESD) is a pulsed-arc micro-welding process using short-duration, high-current electrical pulses to deposit an electrode material on a metallic substrate. It is one of the few methods available by which a fused, metallurgically bonded coating can be applied with such a low total heat input that the bulk substrate material remains at or near ambient temperatures. The short duration of the electrical pulse allows an extremely rapid solidification of the deposited material and results in an exceptionally fine-grained, homogenous coating that approaches (and with some materials, actually is) an amorphous structure. This structure is believed to contribute to the good tribological and corrosion performance observed for hardsurfacing materials used in the demanding environments of high temperatures, liquid metals, and neutron irradiation. A brief historical review of the process is provided, followed by descriptions of the present state-of-the-art and of the performance and applications of electro-spark deposition coatings in liquid-metal-cooled nuclear reactors

  2. Enhancing photocatalytic CO{sub 2} reduction by coating an ultrathin Al{sub 2}O{sub 3} layer on oxygen deficient TiO{sub 2} nanorods through atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Huilei; Chen, Jiatang; Rao, Guiying; Deng, Wei; Li, Ying, E-mail: yingli@tamu.edu

    2017-05-15

    Highlights: • Oxygen deficient TiO{sub 2} anatase nanorods are coated with an ultrathin Al{sub 2}O{sub 3} layer by ALD. • Exposed {100} facets and oxygen vacancies promote CO{sub 2} photoreduction to CO and CH{sub 4}. • Al{sub 2}O{sub 3} overlayer passivates surface states and mitigates surface charge recombination. • Two cycles of ALD coating lead to maximum photocatalytic CO{sub 2} reduction. • More than five cycles of ALD coating prohibits electron transfer to the surface. - Abstract: In this work, anatase nanorods (ANR) of TiO{sub 2} with active facet {100} as the major facet were successfully synthesized, and reducing the ANR by NaBH{sub 4} led to the formation of gray colored oxygen deficient TiO{sub 2-x} (ReANR). On the surface of ReANR, a thin layer of Al{sub 2}O{sub 3} was deposited using atomic layer deposition (ALD), and the thickness of Al{sub 2}O{sub 3} varied by the number of ALD cycles (1, 2, 5, 10, 50, 100, or 200). The growth rate of Al{sub 2}O{sub 3} was determined to be 0.25 Å per cycle based on high-resolution TEM analysis, and the XRD result showed the amorphous structure of Al{sub 2}O{sub 3}. All the synthesized photocatalysts (ANR, ReANR, and Al{sub 2}O{sub 3} coated ReANR) were tested for CO{sub 2} photocatalytic reduction in the presence of water vapor, with CO detected as the major reduction product and CH{sub 4} as the minor product. Compared with ANR, ReANR had more than 50% higher CO production and more than ten times higher CH{sub 4} production due to the oxygen vacancies that possibly enhanced CO{sub 2} adsorption and activation. By applying less than 5 cycles of ALD, the Al{sub 2}O{sub 3} coated ReANR had enhanced overall production of CO and CH{sub 4} than uncoated ReANR, with 2 cycles being the optimum, about 40% higher overall production than ReANR. Whereas, both CO and CH{sub 4} production decreased with increasing number of ALD cycles when more than 5 cycles were applied. Photoluminescence (PL) analysis showed an

  3. [Effects of annealing temperature on the structure and optical properties of ZnMgO films prepared by atom layer deposition].

    Science.gov (United States)

    Sun, Dong-Xiao; Li, Jin-Hua; Fang, Xuan; Chen, Xin-Ying; Fang, Fang; Chu, Xue-Ying; Wei, Zhi-Peng; Wang, Xiao-Hua

    2014-07-01

    In the present paper, we report the research on the effects of annealing temperature on the crystal quality and optical properties of ZnMgO films deposited by atom layer deposition(ALD). ZnMgO films were prepared on quartz substrates by ALD and then some of the samples were treated in air ambient at different annealing temperature. The effects of annealing temperature on the crystal quality and optical properties of ZnMgO films were characterized by X-ray diffraction (XRD), photoluminescence (PL) and ultraviolet-visible (UV-Vis) absorption spectra. The XRD results showed that the crystal quality of ZnMgO films was significantly improved when the annealing temperature was 600 degrees C, meanwhile the intensity of(100) diffraction peak was the strongest. Combination of PL and UV-Vis absorption measurements showed that it can strongly promote the Mg content increasing in ZnMgO films and increase the band gap of films. So the results illustrate that suitable annealing temperature can effectively improve the crystal quality and optical properties of ZnMgO films.

  4. An Overview of High-k Oxides on Hydrogenated-Diamond for Metal-Oxide-Semiconductor Capacitors and Field-Effect Transistors

    Directory of Open Access Journals (Sweden)

    Jiangwei Liu

    2018-06-01

    Full Text Available Thanks to its excellent intrinsic properties, diamond is promising for applications of high-power electronic devices, ultraviolet detectors, biosensors, high-temperature tolerant gas sensors, etc. Here, an overview of high-k oxides on hydrogenated-diamond (H-diamond for metal-oxide-semiconductor (MOS capacitors and MOS field-effect transistors (MOSFETs is demonstrated. Fabrication routines for the H-diamond MOS capacitors and MOSFETs, band configurations of oxide/H-diamond heterointerfaces, and electrical properties of the MOS and MOSFETs are summarized and discussed. High-k oxide insulators are deposited using atomic layer deposition (ALD and sputtering deposition (SD techniques. Electrical properties of the H-diamond MOS capacitors with high-k oxides of ALD-Al2O3, ALD-HfO2, ALD-HfO2/ALD-Al2O3 multilayer, SD-HfO2/ALD-HfO2 bilayer, SD-TiO2/ALD-Al2O3 bilayer, and ALD-TiO2/ALD-Al2O3 bilayer are discussed. Analyses for capacitance-voltage characteristics of them show that there are low fixed and trapped charge densities for the ALD-Al2O3/H-diamond and SD-HfO2/ALD-HfO2/H-diamond MOS capacitors. The k value of 27.2 for the ALD-TiO2/ALD-Al2O3 bilayer is larger than those of the other oxide insulators. Drain-source current versus voltage curves show distinct pitch-off and p-type channel characteristics for the ALD-Al2O3/H-diamond, SD-HfO2/ALD-HfO2/H-diamond, and ALD-TiO2/ALD-Al2O3/H-diamond MOSFETs. Understanding of fabrication routines and electrical properties for the high-k oxide/H-diamond MOS electronic devices is meaningful for the fabrication of high-performance H-diamond MOS capacitor and MOSFET gas sensors.

  5. Modeling of gas flow and deposition profile in HWCVD processes

    Energy Technology Data Exchange (ETDEWEB)

    Pflug, Andreas; Höfer, Markus; Harig, Tino; Armgardt, Markus; Britze, Chris; Siemers, Michael; Melzig, Thomas; Schäfer, Lothar

    2015-11-30

    Hot wire chemical vapor deposition (HWCVD) is a powerful technology for deposition of high quality films on large area, where drawbacks of plasma based technology such as defect generation by ion bombardment and high equipment costs are omitted. While processes for diamond coatings using H{sub 2} and CH{sub 4} as precursor have been investigated in detail since 1990 and have been transferred to industry, research also focuses on silicon based coatings with H{sub 2}, SiH{sub 4} and NH{sub 3} as process gases. HWCVD of silicon based coatings is a promising alternative for state-of-the-art radiofrequency-plasma enhanced chemical vapor deposition reactors. The film formation in HWCVD results from an interaction of several concurrent chemical reactions such as gas phase chemistry, film deposition, abstraction of surplus hydrogen bonds and etching by atomic hydrogen. Since there is no easy relation between process parameters and resulting deposition profiles, substantial experimental effort is required to optimize the process for a given film specification and the desired film uniformity. In order to obtain a deeper understanding of the underlying mechanisms and to enable an efficient way of process optimization, simulation methods come into play. While diamond deposition occurs at pressures in the range of several kPa HWCVD deposition of Si based coatings operates at pressures in the 0.1–30 Pa range. In this pressure regime, particle based simulation methods focused on solving the Boltzmann equation are computationally feasible. In comparison to computational fluid dynamics this yields improved accuracy even near small gaps or orifices, where characteristic geometric dimensions approach the order of the mean free path of gas molecules. At Fraunhofer IST, a parallel implementation of the Direct Simulation Monte Carlo (DSMC) method extended by a reactive wall chemistry model is developed. To demonstrate the feasibility of three-dimensional simulation of HWCVD processes

  6. Improvement in negative bias illumination stress stability of In-Ga-Zn-O thin film transistors using HfO2 gate insulators by controlling atomic-layer-deposition conditions

    Science.gov (United States)

    Na, So-Yeong; Kim, Yeo-Myeong; Yoon, Da-Jeong; Yoon, Sung-Min

    2017-12-01

    The effects of atomic layer deposition (ALD) conditions for the HfO2 gate insulators (GI) on the device characteristics of the InGaZnO (IGZO) thin film transistors (TFTs) were investigated when the ALD temperature and Hf precursor purge time were varied to 200, 225, and 250 °C, and 15 and 30 s, respectively. The HfO2 thin films showed low leakage current density of 10-8 A cm-2, high dielectric constant of over 20, and smooth surface roughness at all ALD conditions. The IGZO TFTs using the HfO2 GIs showed good device characteristics such as a saturation mobility as high as 11 cm2 V-1 s-1, a subthreshold swing as low as 0.10 V/dec, and all the devices could be operated at a gate voltage as low as  ±3 V. While there were no marked differences in transfer characteristics and PBS stabilities among the fabricated devices, the NBIS instabilities could be improved by increasing the ALD temperature for the formation of HfO2 GIs by reducing the oxygen vacancies within the IGZO channel.

  7. The importance of dye chemistry and TiCl4 surface treatment in the behavior of Al2O3 recombination barrier layers deposited by atomic layer deposition in solid-state dye-sensitized solar cells

    KAUST Repository

    Brennan, Thomas P.

    2012-01-01

    Atomic layer deposition (ALD) was used to fabricate Al 2O 3 recombination barriers in solid-state dye-sensitized solar cells (ss-DSSCs) employing an organic hole transport material (HTM) for the first time. Al 2O 3 recombination barriers of varying thickness were incorporated into efficient ss-DSSCs utilizing the Z907 dye adsorbed onto a 2 μm-thick nanoporous TiO 2 active layer and the HTM spiro-OMeTAD. The impact of Al 2O 3 barriers was also studied in devices employing different dyes, with increased active layer thicknesses, and with substrates that did not undergo the TiCl 4 surface treatment. In all instances, electron lifetimes (as determined by transient photovoltage measurements) increased and dark current was suppressed after Al 2O 3 deposition. However, only when the TiCl 4 treatment was eliminated did device efficiency increase; in all other instances efficiency decreased due to a drop in short-circuit current. These results are attributed in the former case to the similar effects of Al 2O 3 ALD and the TiCl 4 surface treatment whereas the insulating properties of Al 2O 3 hinder charge injection and lead to current loss in TiCl 4-treated devices. The impact of Al 2O 3 barrier layers was unaffected by doubling the active layer thickness or using an alternative ruthenium dye, but a metal-free donor-π-acceptor dye exhibited a much smaller decrease in current due to its higher excited state energy. We develop a model employing prior research on Al 2O 3 growth and dye kinetics that successfully predicts the reduction in device current as a function of ALD cycles and is extendable to different dye-barrier systems. © This journal is the Owner Societies 2012.

  8. Development of a new process for deposition of metallic vapours and ions

    International Nuclear Information System (INIS)

    Gabrielli, O. de.

    1989-01-01

    Surface treatment processes by deposition, enabling surface properties to be altered without altering the volume, are making rapid progress in industry. The description of these processes has led us to consider the role and the importance of methods using plasmas. The new plasma source we have developed is the subject of this experimental research: it is the basis of the deposition process (metallic ion and vapour deposition). The specifications and preliminary results enable us to compare this process with others in use. Fast deposition rates and excellent adhesion are the two main characteristics of this process [fr

  9. Atomic layer deposition of two dimensional MoS{sub 2} on 150 mm substrates

    Energy Technology Data Exchange (ETDEWEB)

    Valdivia, Arturo; Conley, John F., E-mail: jconley@eecs.oregonstate.edu [School of EECS, Oregon State University, Corvallis, Oregon 97331 (United States); Tweet, Douglas J. [Sharp Labs of America, Camas, Washington 98607 (United States)

    2016-03-15

    Low temperature atomic layer deposition (ALD) of monolayer to few layer MoS{sub 2} uniformly across 150 mm diameter SiO{sub 2}/Si and quartz substrates is demonstrated. Purge separated cycles of MoCl{sub 5} and H{sub 2}S precursors are used at reactor temperatures of up to 475 °C. Raman scattering studies show clearly the in-plane (E{sup 1}{sub 2g}) and out-of-plane (A{sub 1g}) modes of MoS{sub 2}. The separation of the E{sup 1}{sub 2g} and A{sub 1g} peaks is a function of the number of ALD cycles, shifting closer together with fewer layers. X-ray photoelectron spectroscopy indicates that stoichiometry is improved by postdeposition annealing in a sulfur ambient. High resolution transmission electron microscopy confirms the atomic spacing of monolayer MoS{sub 2} thin films.

  10. Biochemical processes of oligotrophic peat deposits of Vasyugan Mire

    Science.gov (United States)

    Inisheva, L. I.; Sergeeva, M. A.

    2009-04-01

    The problem of peat and mire ecosystems functioning and their rational use is the main problem of biosphere study. This problem also refers to forecasting of biosphere changes results which are global and anthropogenic. According to many scientists' research the portion of mires in earth carbon balance is about 15% of world's stock. The aim of this study is to investigate biochemical processes in oligotrophic deposits in North-eastern part of Vasyugan Mire. The investigations were made on the territory of scientific-research ground (56˚ 03´ and 56˚ 57´ NL, 82˚ 22´ and 82˚ 42´ EL). It is situated between two rivers Bakchar and Iksa (in outskirts of the village Polynyanka, Bakchar region, Tomsk oblast). Evolution of investigated mire massif began with the domination of eutrophic phytocenosis - Filicinae, then sedge. Later transfer into oligotrophic phase was accompanied by formation of meter high-moor peat deposit. The age of three-meter peat deposit reaches four thousand years. Biochemical processes of carbon cycle cover the whole peat deposit, but the process activity and its direction in different layers are defined by genesis and duration of peat formation. So, the number of cellulose-fermenting aerobes in researched peat deposits ranges from 16.8 to 75.5 million CFU/g, and anaerobic bacteria from 9.6 to 48.6 million CFU/g. The high number of aerobes is characteristic for high water levels, organizing by raised bog peats. Their number decreases along the profile in 1.7 - 2 times. The number of microflora in peat deposit is defined by the position in the landscape profile (different geneses), by the depth, by hydrothermic conditions of years and individual months. But microflora activity shows along all depth of peat deposit. We found the same in the process of studying of micromycete complex structure. There was revealed either active component micromycete complex - mycelium, or inert one - spores in a meter layer of peat deposit. If mushrooms

  11. Growth kinetics for temperature-controlled atomic layer deposition of GaN using trimethylgallium and remote-plasma-excited NH{sub 3}

    Energy Technology Data Exchange (ETDEWEB)

    Pansila, P. [Graduate School of Science and Engineering, Yamagata University, 4-3-16 Jonan, Yonezawa 992-8510 (Japan); Kanomata, K. [Graduate School of Science and Engineering, Yamagata University, 4-3-16 Jonan, Yonezawa 992-8510 (Japan); Japan Society for the Promotion of Science, 5-3-1 Kojimachi, Chiyoda-ku, Tokyo 102-0083 (Japan); Miura, M. [Graduate School of Science and Engineering, Yamagata University, 4-3-16 Jonan, Yonezawa 992-8510 (Japan); Ahmmad, B.; Kubota, S. [Graduate School of Science and Engineering, Yamagata University, 4-3-16 Jonan, Yonezawa 992-8510 (Japan); CREST, Japan Science and Technology Agency, 4-3-16 Jonan, Yonezawa 992-8510 (Japan); Hirose, F., E-mail: fhirose@yz.yamagata-u.ac.jp [Graduate School of Science and Engineering, Yamagata University, 4-3-16 Jonan, Yonezawa 992-8510 (Japan); CREST, Japan Science and Technology Agency, 4-3-16 Jonan, Yonezawa 992-8510 (Japan)

    2015-12-01

    Highlights: • We discuss the reaction mechanism of the low temperature GaN ALD. • The plasma-excited NH{sub 3} is effective in the nitridation of the TMG saturated GaN surface with surface temperatures in excess of 100 °C. • The temperature controlled ALD of GaN is examined using RT-TMG adsorption and plasma-excited NH{sub 3} treatment with the temperature of 115 °C. - Abstract: Fundamental surface reactions in the atomic layer deposition of GaN with trimethylgallium (TMG) and plasma-excited NH{sub 3} are investigated by multiple-internal-reflection infrared absorption spectroscopy (MIR-IRAS) at surface temperatures varying from room temperature (RT) to 400 °C. It is found that TMG is saturated at RT on GaN surfaces when the TMG exposure exceeds 8 × 10{sup 4} Langmuir (L), where 1 L corresponds to 1.33 × 10{sup −4} Pa s (or 1.0 × 10{sup −6} Torr s), and its saturation density reaches the maximum value at RT. Nitridation with the plasma-excited NH{sub 3} on the TMG-saturated GaN surface is investigated by X-ray photoelectron spectroscopy (XPS). The nitridation becomes effective at surface temperatures in excess of 100 °C. The reaction models of TMG adsorption and nitridation on the GaN surface are proposed in this paper. Based on the surface analysis, a temperature-controlled ALD process consisting of RT-TMG adsorption and nitridation at 115 °C is examined, where the growth per cycle of 0.045 nm/cycle is confirmed. XPS analysis indicates that all N atoms are bonded as GaN. Atomic force microscopy indicates an average roughness of 0.23 nm. We discuss the reaction mechanism of GaN ALD in the low-temperature region at around 115 °C with TMG and plasma-excited NH{sub 3}.

  12. Oxidant-Dependent Thermoelectric Properties of Undoped ZnO Films by Atomic Layer Deposition

    KAUST Repository

    Kim, Hyunho

    2017-02-27

    Extraordinary oxidant-dependent changes in the thermoelectric properties of undoped ZnO thin films deposited by atomic layer deposition (ALD) have been observed. Specifically, deionized water and ozone oxidants are used in the growth of ZnO by ALD using diethylzinc as a zinc precursor. No substitutional atoms have been added to the ZnO films. By using ozone as an oxidant instead of water, a thermoelectric power factor (σS) of 5.76 × 10 W m K is obtained at 705 K for undoped ZnO films. In contrast, the maximum power factor for the water-based ZnO film is only 2.89 × 10 W m K at 746 K. Materials analysis results indicate that the oxygen vacancy levels in the water- and ozone-grown ZnO films are essentially the same, but the difference comes from Zn-related defects present in the ZnO films. The data suggest that the strong oxidant effect on thermoelectric performance can be explained by a mechanism involving point defect-induced differences in carrier concentration between these two oxides and a self-compensation effect in water-based ZnO due to the competitive formations of both oxygen and zinc vacancies. This strong oxidant effect on the thermoelectric properties of undoped ZnO films provides a pathway to improve the thermoelectric performance of this important material.

  13. Tribological improvements of carbon-carbon composites by infiltration of atomic layer deposited lubricious nanostructured ceramic oxides

    Science.gov (United States)

    Mohseni, Hamidreza

    A number of investigators have reported enhancement in oxidation and wear resistant of carbon-carbon composites (CCC) in the presence of protective coating layers. However, application of a surface and subsurface coating system that can preserve its oxidation and wear resistance along with maintaining lubricity at high temperature remains unsolved. To this end, thermodynamically stable protective oxides (ZnO/Al2O3/ZrO2) have been deposited by atomic layer deposition (ALD) to infiltrate porous CCC and graphite foams in order to improve the thermal stability and wear resistance in low and high speed sliding contacts. Characterization of microstructural evolution was achieved by using energy dispersive x-ray spectroscopy (EDS) mapping in scanning electron microscope (SEM) coupled with focused ion beam (FIB), x-ray tomography, high resolution transmission electron microscopy (HRTEM), scanning transmission electron microscopy (STEM) and X-ray diffraction (XRD). Evaluation of the tribological properties of CCC coated with abovementioned ALD thin films were performed by employing low speed pure sliding tribometer and a high speed/frequency reciprocating rig to simulate the fretting wear behavior at ambient temperature and elevated temperatures of 400°C. It was determined with x-ray tomography imaging and EDS mapping that ALD ZnO/Al2O3/ZrO2 nanolaminates and baseline ZrO2 coatings exhibited excellent conformality and pore-filling capabilities down to ˜100 microm and 1.5 mm in the porous CCC and graphite foam, respectively, which were dependent on the exposure time of the ALD precursors. XRD and HRTEM determined the crystalline phases of {0002} textured ZnO (wurtzite), amorphous Al2O3, and {101}-tetragonal ZrO2. Significant improvements up to ˜65% in the sliding and fretting wear factors were determined for the nanolaminates in comparison to the uncoated CCC. A tribochemical sliding-induced mechanically mixed layer (MML) was found to be responsible for these improvements

  14. Surface Passivation Mechanism of Atomic Layer Deposited Al2O3 Films on c-Si Studied by Optical Second-Harmonic Generation

    NARCIS (Netherlands)

    Gielis, J.J.H.; Verlaan, V.; Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.; Terlinden, N.M.

    2009-01-01

    Recently, it was shown that Al2O3 thin films synthesized by (plasmaassisted) atomic layer deposition (ALD) provide excellent surface passivation of n, p and p+ type c-Si as highly relevant for c-Si photovoltaics. It was found that a large negative fixed charge density (up to 1013 cm-2) in the Al2O3

  15. Understanding the Structure of High-K Gate Oxides - Oral Presentation

    Energy Technology Data Exchange (ETDEWEB)

    Miranda, Andre [SLAC National Accelerator Lab., Menlo Park, CA (United States)

    2015-08-25

    Hafnium Oxide (HfO2) amorphous thin films are being used as gate oxides in transistors because of their high dielectric constant (κ) over Silicon Dioxide. The present study looks to find the atomic structure of HfO2 thin films which hasn’t been done with the technique of this study. In this study, two HfO2 samples were studied. One sample was made with thermal atomic layer deposition (ALD) on top of a Chromium and Gold layer on a silicon wafer. The second sample was made with plasma ALD on top of a Chromium and Gold layer on a Silicon wafer. Both films were deposited at a thickness of 50nm. To obtain atomic structure information, Grazing Incidence X-ray diffraction (GIXRD) was carried out on the HfO2 samples. Because of this, absorption, footprint, polarization, and dead time corrections were applied to the scattering intensity data collected. The scattering curves displayed a difference in structure between the ALD processes. The plasma ALD sample showed the broad peak characteristic of an amorphous structure whereas the thermal ALD sample showed an amorphous structure with characteristics of crystalline materials. This appears to suggest that the thermal process results in a mostly amorphous material with crystallites within. Further, the scattering intensity data was used to calculate a pair distribution function (PDF) to show more atomic structure. The PDF showed atom distances in the plasma ALD sample had structure up to 10 Å, while the thermal ALD sample showed the same structure below 10 Å. This structure that shows up below 10 Å matches the bond distances of HfO2 published in literature. The PDF for the thermal ALD sample also showed peaks up to 20 Å, suggesting repeating atomic spacing outside the HfO2 molecule in the sample. This appears to suggest that there is some crystalline structure within the thermal ALD sample.

  16. Improving ethanol yield in acetate-reducing Saccharomyces cerevisiae by cofactor engineering of 6-phosphogluconate dehydrogenase and deletion of ALD6.

    Science.gov (United States)

    Papapetridis, Ioannis; van Dijk, Marlous; Dobbe, Arthur P A; Metz, Benjamin; Pronk, Jack T; van Maris, Antonius J A

    2016-04-26

    Acetic acid, an inhibitor of sugar fermentation by yeast, is invariably present in lignocellulosic hydrolysates which are used or considered as feedstocks for yeast-based bioethanol production. Saccharomyces cerevisiae strains have been constructed, in which anaerobic reduction of acetic acid to ethanol replaces glycerol formation as a mechanism for reoxidizing NADH formed in biosynthesis. An increase in the amount of acetate that can be reduced to ethanol should further decrease acetic acid concentrations and enable higher ethanol yields in industrial processes based on lignocellulosic feedstocks. The stoichiometric requirement of acetate reduction for NADH implies that increased generation of NADH in cytosolic biosynthetic reactions should enhance acetate consumption. Replacement of the native NADP(+)-dependent 6-phosphogluconate dehydrogenase in S. cerevisiae by a prokaryotic NAD(+)-dependent enzyme resulted in increased cytosolic NADH formation, as demonstrated by a ca. 15% increase in the glycerol yield on glucose in anaerobic cultures. Additional deletion of ALD6, which encodes an NADP(+)-dependent acetaldehyde dehydrogenase, led to a 39% increase in the glycerol yield compared to a non-engineered strain. Subsequent replacement of glycerol formation by an acetate reduction pathway resulted in a 44% increase of acetate consumption per amount of biomass formed, as compared to an engineered, acetate-reducing strain that expressed the native 6-phosphogluconate dehydrogenase and ALD6. Compared to a non-acetate reducing reference strain under the same conditions, this resulted in a ca. 13% increase in the ethanol yield on glucose. The combination of NAD(+)-dependent 6-phosphogluconate dehydrogenase expression and deletion of ALD6 resulted in a marked increase in the amount of acetate that was consumed in these proof-of-principle experiments, and this concept is ready for further testing in industrial strains as well as in hydrolysates. Altering the cofactor

  17. Low-pressure c-BN deposition - is a CVD process possible?

    International Nuclear Information System (INIS)

    Haubner, R.; Tang, X.

    2001-01-01

    Since the low-pressure diamond deposition was discovered in 1982 there is a high interest to find a similar process for the c-BN synthesis. A review about the c-BN deposition process as well as its characterization is given. Experiments with a simple chemical vapor deposition(CVD) reactor using tris(dimethylamino)borane as precursor were carried out. In a cold-wall reactor substrates were heated up by high-frequency. Argon was used as protecting and carrying the precursor, it was saturated with tris(dimethylamino)borane (precursor) according to its vapor pressure and transports the pressure to the hot substrate, where deposition occurs. WC-Co hardmetal plates containing 6 wt. % Co, Mo and Si were used as substrates. Various BN layers were deposited and characterized. X-ray diffraction, IR-spectroscopy and SIMS indicate that BN-coatings containing c-BN were deposited. However a final verification of c-BN crystallites by TEM investigations was not possible till now. (nevyjel)

  18. A review: deposition and resuspension processes

    International Nuclear Information System (INIS)

    Sehmel, G.A.

    1979-01-01

    A review chapter was written on deposition and resuspension processes for the forthcoming Department of Energy publication, Atmospheric Sciences and Power Production, edited by D. Randerson. The chapter includes eleven tables and thirteen figures summarizing data from 241 references. The conclusions of that review chapter are given

  19. Growth of Fe2O3 thin films by atomic layer deposition

    International Nuclear Information System (INIS)

    Lie, M.; Fjellvag, H.; Kjekshus, A.

    2005-01-01

    Thin films of α-Fe 2 O 3 (α-Al 2 O 3 -type crystal structure) and γ-Fe 2 O 3 (defect-spinel-type crystal structure) have been grown by the atomic layer deposition (ALD) technique with Fe(thd) 3 (iron derivative of Hthd = 2,2,6,6-tetramethylheptane-3,5-dione) and ozone as precursors. It has been shown that an ALD window exists between 160 and 210 deg. C. The films have been characterized by various techniques and are shown to comprise (001)-oriented columns of α-Fe 2 O 3 with no in-plane orientation when grown on soda-lime-glass and Si(100) substrates. Good quality films have been made with thicknesses ranging from 10 to 130 nm. Films grown on α-Al 2 O 3 (001) and MgO(100) substrates have the α-Fe 2 O 3 and γ-Fe 2 O 3 crystal structure, respectively, and consist of highly oriented columns with in-plane orientations matching those of the substrates

  20. Atomic Layer Deposition Alumina-Passivated Silicon Nanowires: Probing the Transition from Electrochemical Double-Layer Capacitor to Electrolytic Capacitor.

    Science.gov (United States)

    Gaboriau, Dorian; Boniface, Maxime; Valero, Anthony; Aldakov, Dmitry; Brousse, Thierry; Gentile, Pascal; Sadki, Said

    2017-04-19

    Silicon nanowires were coated by a 1-5 nm thin alumina layer by atomic layer deposition (ALD) in order to replace poorly reproducible and unstable native silicon oxide by a highly conformal passivating alumina layer. The surface coating enabled probing the behavior of symmetric devices using such electrodes in the EMI-TFSI electrolyte, allowing us to attain a large cell voltage up to 6 V in ionic liquid, together with very high cyclability with less than 4% capacitance fade after 10 6 charge/discharge cycles. These results yielded fruitful insights into the transition between an electrochemical double-layer capacitor behavior and an electrolytic capacitor behavior. Ultimately, thin ALD dielectric coatings can be used to obtain hybrid devices exhibiting large cell voltage and excellent cycle life of dielectric capacitors, while retaining energy and power densities close to the ones displayed by supercapacitors.

  1. Effects of acid deposition on microbial processes in natural waters

    International Nuclear Information System (INIS)

    Gilmour, C.C.

    1992-01-01

    Biogeochemical processes mediated by microorganisms are not adversely affected by the acidification of natural waters to the same extent as are the life cycles of higher organisms. Basic processes, e.g., primary production and organic matter decomposition, are not slowed in moderately acidified systems and do not generally decline above a pH of 5. More specifically, the individual components of the carbon, nitrogen, and sulfur cycles are, with few exceptions, also acid resistant. The influence of acid deposition on microbial processes is more often stimulation of nitrogen and sulfur cycling, often leading to alkalinity production, which mitigates the effect of strong acid deposition. Bacterial sulfate reduction and denitrification in sediments are two of the major processes that can be stimulated by sulfate and nitrate deposition, respectively, and result in ANC (acid-neutralizing capacity) generation. One of the negative effects of acid deposition is increased mobilization and bioaccumulation of some metals. Bacteria appear to play an important role, especially in mercury cycling, with acidification leading to increased bacterial methylation of mercury and subsequent bioaccumulation in higher organisms

  2. PVD processes of thin films deposition using Hall-current discharge

    International Nuclear Information System (INIS)

    Svadkovskij, I.V.

    2007-01-01

    Results of research and developments in the field of PVD processes of thin films deposition using Hall-current discharge have been summarized. Effects of interaction of ions with surface during deposition have been considered. Also features of application and prospects of devices based on ion beam and magnetron sputtering systems in thin films technologies have been analyzed. The aspects in the field plasma physics, technology and equipment plasma PVD processes of thin films deposition have been systematized, on the base of investigations made by author and other scientists. (authors)

  3. Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors.

    Science.gov (United States)

    Shih, Huan-Yu; Chu, Fu-Chuan; Das, Atanu; Lee, Chia-Yu; Chen, Ming-Jang; Lin, Ray-Ming

    2016-12-01

    In this study, films of gallium oxide (Ga2O3) were prepared through remote plasma atomic layer deposition (RP-ALD) using triethylgallium and oxygen plasma. The chemical composition and optical properties of the Ga2O3 thin films were investigated; the saturation growth displayed a linear dependence with respect to the number of ALD cycles. These uniform ALD films exhibited excellent uniformity and smooth Ga2O3-GaN interfaces. An ALD Ga2O3 film was then used as the gate dielectric and surface passivation layer in a metal-oxide-semiconductor high-electron-mobility transistor (MOS-HEMT), which exhibited device performance superior to that of a corresponding conventional Schottky gate HEMT. Under similar bias conditions, the gate leakage currents of the MOS-HEMT were two orders of magnitude lower than those of the conventional HEMT, with the power-added efficiency enhanced by up to 9 %. The subthreshold swing and effective interfacial state density of the MOS-HEMT were 78 mV decade(-1) and 3.62 × 10(11) eV(-1) cm(-2), respectively. The direct-current and radio-frequency performances of the MOS-HEMT device were greater than those of the conventional HEMT. In addition, the flicker noise of the MOS-HEMT was lower than that of the conventional HEMT.

  4. Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition

    Science.gov (United States)

    Lackey, Jr., Walter J.; Caputo, Anthony J.

    1986-01-01

    A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.

  5. Highly transparent and thermal-stable silver nanowire conductive film covered with ZnMgO by atomic-layer-deposition

    Science.gov (United States)

    Wang, Lei; Huang, Dongchen; Li, Min; Xu, Hua; Zou, Jianhua; Tao, Hong; Peng, Junbiao; Xu, Miao

    2017-12-01

    Solution-processed silver nanowires (AgNWs) have been considered as a promising material for next generation flexible transparent conductive electrodes. However AgNWs films have several intrinsic drawbacks, such as thermal stability and storage stability. Herein, we demonstrate a laminated ZnO/MgO (ZnMgO, ZMO) as a protective layer on the AgNWs films using atomic layer deposition (ALD). The fabricated films exhibited a low sheet resistance of 16 Ω/sq with high transmittance of 91% at 550 nm, an excellent thermal stability and bending property. The ZMO film grows perpendicularly on the surface of the AgNWs, making a perfect coverage of bulk silver nanowires and junction, which can effectively prompt the electrical transport behavior and enhance stability of the silver nanowires network.

  6. Atomic Layer Deposition Re Ective Coatings For Future Astronomical Space Telescopes And The Solar Corona Viewed Through The Minxss (Miniature X-Ray Solar Spectrometer) Cubesats

    Science.gov (United States)

    Moore, Christopher Samuel

    2017-11-01

    Advances in technology and instrumentation open new windows for observing astrophysical objects. The first half of my dissertation involves the development of atomic layer deposition (ALD) coatings to create high reflectivity UV mirrors for future satellite astronomical telescopes. Aluminum (Al) has intrinsic reflectance greater than 80% from 90 – 2,000 nm, but develops a native aluminum oxide (Al2O3) layer upon exposure to air that readily absorbs light below 250 nm. Thus, Al based UV mirrors must be protected by a transmissive overcoat. Traditionally, metal-fluoride overcoats such as MgF2 and LiF are used to mitigate oxidation but with caveats. We utilize a new metal fluoride (AlF3) to protect Al mirrors deposited by ALD. ALD allows for precise thickness control, conformal and near stoichiometric thin films. We prove that depositing ultra-thin ( 3 nm) ALD ALF3 to protect Al mirrors after removing the native oxide layer via atomic layer etching (ALE) enhances the reflectance near 90 nm from 5% to 30%.X-ray detector technology with high readout rates are necessary for the relatively bright Sun, particularly during large flares. The hot plasma in the solar corona generates X-rays, which yield information on the physical conditions of the plasma. The second half of my dissertation includes detector testing, characterization and solar science with the Miniature X-ray Solar Spectrometer (MinXSS) CubeSats. The MinXSS CubeSats employ Silicon Drift Diode (SDD) detectors called X123, which generate full sun spectrally resolved ( 0.15 FWHM at 5.9 keV) measurements of the sparsely measured, 0.5 – 12 keV range. The absolute radiometric calibration of the MinXSS instrument suite was performed at the National Institute for Standards and Technology (NIST) Synchrotron Ultraviolet Radiation Facility (SURF) and spectral resolution determined from radioactive sources. I used MinXSS along with data from the Geostationary Operational Environmental Satellites (GOES), Reuven Ramaty

  7. Amorphous Ultrathin SnO2 Films by Atomic Layer Deposition on Graphene Network as Highly Stable Anodes for Lithium-Ion Batteries.

    Science.gov (United States)

    Xie, Ming; Sun, Xiang; George, Steven M; Zhou, Changgong; Lian, Jie; Zhou, Yun

    2015-12-23

    Amorphous SnO2 (a-SnO2) thin films were conformally coated onto the surface of reduced graphene oxide (G) using atomic layer deposition (ALD). The electrochemical characteristics of the a-SnO2/G nanocomposites were then determined using cyclic voltammetry and galvanostatic charge/discharge curves. Because the SnO2 ALD films were ultrathin and amorphous, the impact of the large volume expansion of SnO2 upon cycling was greatly reduced. With as few as five formation cycles best reported in the literature, a-SnO2/G nanocomposites reached stable capacities of 800 mAh g(-1) at 100 mA g(-1) and 450 mAh g(-1) at 1000 mA g(-1). The capacity from a-SnO2 is higher than the bulk theoretical values. The extra capacity is attributed to additional interfacial charge storage resulting from the high surface area of the a-SnO2/G nanocomposites. These results demonstrate that metal oxide ALD on high surface area conducting carbon substrates can be used to fabricate high power and high capacity electrode materials for lithium-ion batteries.

  8. Fabrication of high aspect ratio TiO2 and Al2O3 nanogratings by atomic layer deposition

    DEFF Research Database (Denmark)

    Shkondin, Evgeniy; Takayama, Osamu; Michael-Lindhard, Jonas

    2016-01-01

    The authors report on the fabrication of TiO2 and Al2O3 nanostructured gratings with an aspect ratio of up to 50. The gratings were made by a combination of atomic layer deposition (ALD) and dry etch techniques. The workflow included fabrication of a Si template using deep reactive ion etching...... spectroscopy. The approach presented opens the possibility to fabricate high quality optical metamaterials and functional nanostructures....

  9. Use of process indices for simplification of the description of vapor deposition systems

    International Nuclear Information System (INIS)

    Kajikawa, Yuya; Noda, Suguru; Komiyama, Hiroshi

    2004-01-01

    Vapor deposition is a complex process, including gas-phase, surface, and solid-phase phenomena. Because of the complexity of chemical and physical processes occurring in vapor deposition processes, it is difficult to form a comprehensive, fundamental understanding of vapor deposition and to control such systems for obtaining desirable structures and performance. To overcome this difficulty, we present a method for simplifying the complex description of such systems. One simplification method is to separate complex systems into multiple elements, and determine which of these are important elements. We call this method abridgement. The abridgement method retains only the dominant processes in a description of the system, and discards the others. Abridgement can be achieved by using process indices to evaluate the relative importance of the elementary processes. We describe the formulation and use of these process indices through examples of the growth of continuous films, initial deposition processes, and the formation of the preferred orientation of polycrystalline films. In this paper, we propose a method for representing complex vapor deposition processes as a set of simpler processes

  10. Influence of substrate geometry on ion-plasma coating deposition process

    International Nuclear Information System (INIS)

    Khoroshikh, V.M.; Leonov, S.A.; Belous, V.A.

    2008-01-01

    Influence of substrate geometry on the feature of Ti vacuum arc plasma streams condensation process in presence of N 2 or Ar in a discharge ambient were investigated. Character of gas pressure and substrate potential influence on deposition rate is conditioned the competitive processes of condensation and sputtering, and also presence of double electric layer on a border plasma-substrate. Influence of potential on deposition rate especially strongly shows up for cylindrical substrates of small size. For such substrates it was found substantial (approximately in 4 times) growth of deposition rate at the increasing of negative potential from 100 to 700 V when nitrogen pressure is ∼0,3...2,5 Pa. Possibility of droplet-free coating deposition the substrate backs and in discharge ambient, being outside area of cathode direct visibility is shown

  11. Shape-selective catalysts for Fischer-Tropsch chemistry. Final report: January 1, 2001 - December 31, 2008

    International Nuclear Information System (INIS)

    Cronauer, D.C.

    2011-01-01

    Argonne National Laboratory carried out a research program to create, prepare, and evaluate catalysts to promote Fischer-Tropsch (FT) chemistry-specifically, the reaction of hydrogen with carbon monoxide to form long-chain hydrocarbons. In addition to needing high activity, it was desirable that the catalysts have high selectivity and stability with respect to both mechanical strength and aging properties. It was desired that selectivity be directed toward producing diesel fraction components and avoiding excess yields of both light hydrocarbons and heavy waxes. The original goal was to produce shape-selective catalysts that had the potential to limit the formation of long-chain products and yet retain the active metal sites in a protected 'cage.' This cage would also restrict their loss by attrition during use in slurry-bed reactors. The first stage of this program was to prepare and evaluate iron-containing particulate catalysts. Such catalysts were prepared with silica-containing fractal cages. The activity and strength was essentially the same as that of catalysts without the cages. Since there was no improvement, the program plan was modified as discussed below. A second experimental stage was undertaken to prepare and evaluate active FT catalysts formed by atomic-layer deposition [ALD] of active components on supported membranes and particulate supports. The concept was that of depositing active metals (i.e. ruthenium, iron or cobalt) upon membranes with well defined flow channels of small diameter and length such that the catalytic activity and product molecular weight distribution could be controlled. In order to rapidly evaluate the catalytic membranes, the ALD coating processes were performed in an 'exploratory mode' in which ALD procedures from the literature appropriate for coating flat surfaces were applied to the high surface area membranes. Consequently, the Fe and Ru loadings in the membranes were likely to be smaller than those expected for

  12. Spontaneous nano-clustering of ZrO2 in atomic layer deposited LayZr1-yOx thin films: Part 1 - Material characterization

    NARCIS (Netherlands)

    Klootwijk, J.H.; Jinesh, K.B.; Wolters, R.A.M.; Roozeboom, F.; Besling, W.

    2008-01-01

    During atomic layer deposition (ALD) of uniform LayZr1-yOx thin films, spontaneous segregation of ZrO2 nanocrystals takes place that are embedded in an amorphous La2O3 matrix. This occurs if the Zr content in the LayZr1-yOx film is above 30% i.e. if the pulse ratio between the lanthanum precursor

  13. α-Ga2O3 grown by low temperature atomic layer deposition on sapphire

    Science.gov (United States)

    Roberts, J. W.; Jarman, J. C.; Johnstone, D. N.; Midgley, P. A.; Chalker, P. R.; Oliver, R. A.; Massabuau, F. C.-P.

    2018-04-01

    α-Ga2O3 is a metastable phase of Ga2O3 of interest for wide bandgap engineering since it is isostructural with α-In2O3 and α-Al2O3. α-Ga2O3 is generally synthesised under high pressure (several GPa) or relatively high temperature (∼500 °C). In this study, we report the growth of α-Ga2O3 by low temperature atomic layer deposition (ALD) on sapphire substrate. The film was grown at a rate of 0.48 Å/cycle, and predominantly consists of α-Ga2O3 in the form of (0001) -oriented columns originating from the interface with the substrate. Some inclusions were also present, typically at the tips of the α phase columns and most likely comprising ε-Ga2O3. The remainder of the Ga2O3 film - i.e. nearer the surface and between the α-Ga2O3 columns, was amorphous. The film was found to be highly resistive, as is expected for undoped material. This study demonstrates that α-Ga2O3 films can be grown by low temperature ALD and suggests the possibility of a new range of ultraviolet optoelectronic and power devices grown by ALD. The study also shows that scanning electron diffraction is a powerful technique to identify the different polymorphs of Ga2O3 present in multiphase samples.

  14. Ultrathin ZnO interfacial passivation layer for atomic layer deposited ZrO2 dielectric on the p-In0.2Ga0.8As substrate

    Science.gov (United States)

    Liu, Chen; Lü, Hongliang; Yang, Tong; Zhang, Yuming; Zhang, Yimen; Liu, Dong; Ma, Zhenqiang; Yu, Weijian; Guo, Lixin

    2018-06-01

    Interfacial and electrical properties were investigated on metal-oxidesemiconductor capacitors (MOSCAPs) fabricated with bilayer ZnO/ZrO2 films by atomic layer deposition (ALD) on p-In0.2Ga0.8As substrates. The ZnO passivated In0.2Ga0.8As MOSCAPs have exhibited significantly improved capacitance-voltage (C-V) characteristics with the suppressed "stretched out" effect, increased accumulation capacitance and reduced accumulation frequency dispersion as well as the lower gate leakage current. In addition, the interface trap density (Dit) estimated by the Terman method was decreased dramatically for ZnO passivated p-In0.2Ga0.8As. The inherent mechanism is attributed to the fact that an ultrathin ZnO IPL employed by ALD prior to ZrO2 dielectric deposition can effectively suppress the formation of defect-related low-k oxides and As-As dimers at the interface, thus effectively improving the interface quality by largely removing the border traps aligned near the valence band edge of the p-In0.2Ga0.8As substrate.

  15. Thin film growth into the ion track structures in polyimide by atomic layer deposition

    Science.gov (United States)

    Mättö, L.; Malm, J.; Arstila, K.; Sajavaara, T.

    2017-09-01

    High-aspect ratio porous structures with controllable pore diameters and without a stiff substrate can be fabricated using the ion track technique. Atomic layer deposition is an ideal technique for depositing thin films and functional surfaces on complicated 3D structures due to the high conformality of the films. In this work, we studied Al2O3 and TiO2 films grown by ALD on pristine polyimide (Kapton HN) membranes as well as polyimide membranes etched in sodium hypochlorite (NaOCl) and boric acid (BO3) solution by means of RBS, PIXE, SEM-EDX and helium ion microcopy (HIM). The focus was on the first ALD growth cycles. The areal density of Al2O3 film in the 400 cycle sample was determined to be 51 ± 3 × 1016 at./cm2, corresponding to the thickness of 55 ± 3 nm. Furthermore, the growth per cycle was 1.4 Å/cycle. The growth is highly linear from the first cycles. In the case of TiO2, the growth per cycle is clearly slower during the first 200 cycles but then it increases significantly. The growth rate based on RBS measurements is 0.24 Å/cycle from 3 to 200 cycles and then 0.6 Å/cycle between 200 and 400 cycles. The final areal density of TiO2 film after 400 cycles is 148 ± 3 × 1015 at./cm2 which corresponds to the thickness of 17.4 ± 0.4 nm. The modification of the polyimide surface by etching prior to the deposition did not have an effect on the Al2O3 and TiO2 growth.

  16. Investigation of Al2O3 barrier film properties made by atomic layer deposition onto fluorescent tris-(8-hydroxyquinoline) aluminium molecular films

    International Nuclear Information System (INIS)

    Maindron, Tony; Aventurier, Bernard; Ghazouani, Ahlem; Jullien, Tony; Rochat, Névine; Simon, Jean-Yves; Viasnoff, Emilie

    2013-01-01

    Al 2 O 3 films have been deposited at 85 °C by atomic layer deposition onto single 100 nm thick tris-(8-hydroxyquinoline) aluminium (AlQ 3 ) films made onto silicon wafers. It has been found that a thick ALD-deposited Al 2 O 3 layer (> 11 nm) greatly prevents the photo-oxidation of AlQ 3 films when exposed to continuous UV irradiation (350 mW/cm 2 ). Thin Al 2 O 3 thicknesses (< 11 nm) on the contrary yield lower barrier performances. Defects in the Al 2 O 3 layer have been easily observed as non-fluorescent AlQ 3 singularities, or black spots, under UV light on the system Si/AlQ 3 /Al 2 O 3 stored into laboratory conditions (22 °C/50% Relative Humidity (RH)) for long time scale (∼ 2000 h). Accelerated aging conditions in a climatic chamber (85 °C/85% RH) also allow faster visualization of the same defects (168 h). The black spot density grows upon time and the black spot density occurrence rates have been calculated to be 0.024 h −1 ·cm −2 and 0.243 h −1 ·cm −2 respectively for the two testing conditions. A detailed investigation of these defects did show that they cannot be ascribed to the presence of a detectable particle. In that sense they are presumably the consequence of the existence of nanometre-scaled defects which cannot be detected onto fresh samples. Interestingly, an additional overcoating of ebeam-deposited SiO 2 onto the Si/AlQ 3 /Al 2 O 3 sample helps to decrease drastically the black spot density occurrence rates down to 0.004 h −1 ·cm −2 and 0.04 h −1 ·cm −2 respectively for 22 °C/50% RH and 85 °C/85% RH testing conditions. These observations highlight the moisture sensitivity of low temperature ALD-deposited Al 2 O 3 films and confirm the general idea that a single Al 2 O 3 ALD film performs as an ultra-high barrier but needs to be overprotected from water condensation by an additional moisture-stable layer. - Highlights: • Thin Al 2 O 3 films have been deposited by atomic layer deposition onto organic films.

  17. Recombination barrier layers in solid-state quantum dot-sensitized solar cells

    KAUST Repository

    Roelofs, Katherine E.; Brennan, Thomas P.; Dominguez, Juan C.; Bent, Stacey F.

    2012-01-01

    in situ by successive ion layer adsorption and reaction (SILAR). Aluminum oxide recombination barrier layers were deposited by atomic layer deposition (ALD) at the TiO2/hole-conductor interface. For low numbers of ALD cycles, the Al2O3 barrier layer

  18. Thin films of In2O3 by atomic layer deposition using In(acac)3

    International Nuclear Information System (INIS)

    Nilsen, O.; Balasundaraprabhu, R.; Monakhov, E.V.; Muthukumarasamy, N.; Fjellvag, H.; Svensson, B.G.

    2009-01-01

    Thin films of indium oxide have been deposited using the atomic layer deposition (ALD) technique using In(acac) 3 (acac = acetylacetonate, pentane-2,4-dione) and either H 2 O or O 3 as precursors. Successful growth using In(acac) 3 is contradictory to what has been reported previously in the literature [J.W. Elam, A.B.F. Martinson, M.J. Pellin, J.T. Hupp, Chem. Mater. 18 (2006) 3571.]. Investigation of the dependence of temperature on the deposition shows windows where the growth rates are relatively unaffected by temperature in the ranges 165-200 o C for In(acac) 3 and H 2 O, 165-225 o C for In(acac) 3 and O 3 . The growth rates obtained are of the order 20 pm/cycle for In(acac) 3 and H 2 O, 12 pm/cycle for In(acac) 3 .

  19. Evolution of microstructure and related optical properties of ZnO grown by atomic layer deposition

    Directory of Open Access Journals (Sweden)

    Adib Abou Chaaya

    2013-10-01

    Full Text Available A study of transmittance and photoluminescence spectra on the growth of oxygen-rich ultra-thin ZnO films prepared by atomic layer deposition is reported. The structural transition from an amorphous to a polycrystalline state is observed upon increasing the thickness. The unusual behavior of the energy gap with thickness reflected by optical properties is attributed to the improvement of the crystalline structure resulting from a decreasing concentration of point defects at the growth of grains. The spectra of UV and visible photoluminescence emissions correspond to transitions near the band-edge and defect-related transitions. Additional emissions were observed from band-tail states near the edge. A high oxygen ratio and variable optical properties could be attractive for an application of atomic layer deposition (ALD deposited ultrathin ZnO films in optical sensors and biosensors.

  20. Low temperature formation of higher-k cubic phase HfO2 by atomic layer deposition on GeOx/Ge structures fabricated by in-situ thermal oxidation

    International Nuclear Information System (INIS)

    Zhang, R.; Huang, P.-C.; Taoka, N.; Yokoyama, M.; Takenaka, M.; Takagi, S.

    2016-01-01

    We have demonstrated a low temperature formation (300 °C) of higher-k HfO 2 using atomic layer deposition (ALD) on an in-situ thermal oxidation GeO x interfacial layer. It is found that the cubic phase is dominant in the HfO 2 film with an epitaxial-like growth behavior. The maximum permittivity of 42 is obtained for an ALD HfO 2 film on a 1-nm-thick GeO x form by the in-situ thermal oxidation. It is suggested from physical analyses that the crystallization of cubic phase HfO 2 can be induced by the formation of six-fold crystalline GeO x structures in the underlying GeO x interfacial layer