WorldWideScience

Sample records for deposited diamond films

  1. Chemical vapor deposition of nanocrystalline diamond films

    International Nuclear Information System (INIS)

    Vyrovets, I.I.; Gritsyna, V.I.; Dudnik, S.F.; Opalev, O.A.; Reshetnyak, O.M.; Strel'nitskij, V.E.

    2008-01-01

    The brief review of the literature is devoted to synthesis of nanocrystalline diamond films. It is shown that the CVD method is an effective way for deposition of such nanostructures. The basic technological methods that allow limit the size of growing diamond crystallites in the film are studied.

  2. Polarized Raman spectroscopy of chemically vapour deposited diamond films

    International Nuclear Information System (INIS)

    Prawer, S.; Nugent, K.W.; Weiser, P.S.

    1994-01-01

    Polarized micro-Raman spectra of chemically vapour deposited diamond films are presented. It is shown that important parameters often extracted from the Raman spectra such as the ratio of the diamond to non-diamond component of the films and the estimation of the level of residual stress depend on the orientation of the diamond crystallites with respect to the polarization of the incident laser beam. The dependence originates from the fact that the Raman scattering from the non-diamond components in the films is almost completely depolarized whilst the scattering from the diamond components is strongly polarized. The results demonstrate the importance of taking polarization into account when attempting to use Raman spectroscopy in even a semi-quantitative fashion for the assessment of the purity, perfection and stress in CVD diamond films. 8 refs., 1 tab. 2 figs

  3. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  4. Pulsed laser deposition of metallic films on the surface of diamond particles for diamond saw blades

    International Nuclear Information System (INIS)

    Jiang Chao; Luo Fei; Long Hua; Hu Shaoliu; Li Bo; Wang Youqing

    2005-01-01

    Ti or Ni films have been deposited on the diamond particle surfaces by pulsed laser deposition. Compressive resistance of the uncoated and coated diamond particles was measured, respectively, in the experiments. The compressive resistance of the Ti-coated diamonds particles was found much higher than that of the uncoated ones. It increased by 39%. The surface morphology is observed by the metallography microscope. The surface of the uncoated diamonds particles had many hollows and flaws, while the surface of Ni-coated diamond particles was flat and smooth, and the surface of Ti-coated diamond particles had some metal masses that stood out of the surface of the Ti-coated film. The components of the metallic films of diamond particles were examined by X-ray diffractometry (XRD). TiC was found formed on the Ti-coated diamond surface, which resulted in increased surface bonding strength between the diamond particles and the Ti films. Meanwhile, TiC also favored improving the bonding strength between the coated diamond particles and the binding materials. Moreover, the bending resistance of the diamond saw blade made of Ti-coated diamond was drastically higher than that of other diamond saw blades, which also played an important role in improving the blade's cutting ability and lifetime. Therefore, it was most appropriate that the diamond saw blade was made of Ti-coated diamond particles rather than other materials

  5. One step deposition of highly adhesive diamond films on cemented carbide substrates via diamond/β-SiC composite interlayers

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Tao; Zhuang, Hao; Jiang, Xin, E-mail: xin.jiang@uni-siegen.de

    2015-12-30

    Graphical abstract: - Highlights: • Novel diamond/beta-silicon carbide composite gradient interlayers were synthesized. • The interlayer features a cross-sectional gradient with increasing diamond content. • Diamond top layers and the interlayers were deposited in one single process. • The adhesion of the diamond film is drastically improved by employing the interlayer. • The stress was suppressed by manipulating the distribution of diamond and silicon carbide. - Abstract: Deposition of adherent diamond films on cobalt-cemented tungsten carbide substrates has been realized by application of diamond/beta-silicon carbide composite interlayers. Diamond top layers and the interlayers were deposited in one single process by hot filament chemical vapor deposition technique. Two different kinds of interlayers have been employed, namely, gradient interlayer and interlayer with constant composition. The distribution of diamond and beta-silicon carbide phases was precisely controlled by manipulating the gas phase composition. X-ray diffraction and Raman spectroscopy were employed to determine the existence of diamond, beta-silicon carbide and cobalt silicides (Co{sub 2}Si, CoSi) phases, as well as the quality of diamond crystal and the residual stress in the films. Rockwell-C indentation tests were carried out to evaluate the film adhesion. It is revealed that the adhesion of the diamond film is drastically improved by employing the interlayer. This is mainly influenced by the residual stress in the diamond top layer, which is induced by the different thermal expansion coefficient of the film and the substrate. It is even possible to further suppress the stress by manipulating the distribution of diamond and beta-silicon carbide in the interlayer. The most adhesive diamond film on cemented carbide is thus obtained by employing a gradient composite interlayer.

  6. Application of heat treatment and dispersive strengthening concept in interlayer deposition to enhance diamond film adherence

    Energy Technology Data Exchange (ETDEWEB)

    Lin Chiiruey [Tatung Inst. of Technol., Taipei (Taiwan, Province of China). Dept. of Mech. Eng.; Kuo Chengtzu; Chang Rueyming [Institute of Materials Science and Engineering, National Chiao Tung University, 1001 Ta-Hsueh Road, Hsinchu 30050 (Taiwan, Province of China)

    1997-10-31

    Two different deposition processes were carried out to enhance adherence of diamond films on WC+3-5%Co substrate with Ti-Si as the interlayer. One process can be called two-step diamond deposition process. Another process can be called interlayer heat treatment process. Diamond films were deposited by a microwave plasma chemical vapor deposition system. Ti and Si interlayer are deposited by DC sputter and an E-gun, respectively. Film morphologies, interface structure and film quality were examined by SEM, XRD, Auger electron spectroscopy and Raman spectroscopy. The residual stresses and adhesion strengths of the films were determined by Raman spectroscopy and indentation adhesion testing, respectively. Comparing the regular one-step diamond deposition process with the present two different new processes, the average dP/dX values, which are a measure of the adherence of the film, are 354 kgf/mm, 494 kgf/mm and 787 kgf/mm, respectively. In other words, the interlayer heat treatment process gives the best film adherence on average. For the two-step diamond deposition process, the interlayer thickness and the percent diamond surface coverage of the first diamond deposition step are the main parameters, and there exists an optimum Ti thickness and percent diamond coverage for the best film adherence. The main contribution to better film adherence is not a large difference in residual stress, but is due to the following reasons. The interlayer heat treatment can transform amorphous Si to polycrystalline Si, and may form strong TiC and SiC bonding. The polycrystalline Si and the diamond particles from the first diamond deposition step can be an effective seeds to enhance diamond nucleation. (orig.) 11 refs.

  7. Cathodoluminescence characteristics of polycrystalline diamond films grown by cyclic deposition method

    International Nuclear Information System (INIS)

    Seo, Soo-Hyung; Park, Chang-Kyun; Park, Jin-Seok

    2002-01-01

    Polycrystalline diamond films were deposited using a cyclic deposition method where the H 2 plasma for etching (t E ) and the CH 4 +H 2 plasma for growing (t G ) are alternately modulated with various modulation ratios (t E /t G ). From the measurement of full width at half maximum and I D /I G intensity ratio obtained from the Raman spectra, it was found that diamond defects and non-diamond carbon phases were reduced a little by adopting the cyclic deposition method. From the cathodoluminescence (CL) characteristics measured for deposited films, the nitrogen-related band (centered at approximately 590 nm) as well as the so-called band-A (centered at approximately 430 nm) were observed. As the cyclic ratio t E /t G increased, the relative intensity ratio of band-A to nitrogen-related band (I A /I N ) was found to monotonically decrease. In addition, analysis of X-ray diffraction spectra and scanning electron microscope morphologies showed that CL characteristics of deposited diamond films were closely related to their crystal orientations and morphologies

  8. Morphology and structure of Ti-doped diamond films prepared by microwave plasma chemical vapor deposition

    Science.gov (United States)

    Liu, Xuejie; Lu, Pengfei; Wang, Hongchao; Ren, Yuan; Tan, Xin; Sun, Shiyang; Jia, Huiling

    2018-06-01

    Ti-doped diamond films were deposited through a microwave plasma chemical vapor deposition (MPCVD) system for the first time. The effects of the addition of Ti on the morphology, microstructure and quality of diamond films were systematically investigated. Secondary ion mass spectrometry results show that Ti can be added to diamond films through the MPCVD system using tetra n-butyl titanate as precursor. The spectra from X-ray diffraction, Raman spectroscopy, and X-ray photoelectron spectroscopy and the images from scanning electron microscopy of the deposited films indicate that the diamond phase clearly exists and dominates in Ti-doped diamond films. The amount of Ti added obviously influences film morphology and the preferred orientation of the crystals. Ti doping is beneficial to the second nucleation and the growth of the (1 1 0) faceted grains.

  9. Field electron emission characteristics of chemical vapour deposition diamond films with controlled sp2 phase concentration

    International Nuclear Information System (INIS)

    Lu, X.; Yang, Q.; Xiao, C.; Hirose, A.

    2008-01-01

    Diamond films were synthesized in a microwave plasma-enhanced chemical vapour deposition reactor. The microstructure and surface morphology of deposited films were characterized by Raman spectroscope and scanning electron microscope. The sp 2 phase concentration in diamond films was varied and its effect on the field electron emission (FEE) properties was investigated. Diamond films deposited under higher methane concentration exhibit better FEE property including lower turn-on electric field and larger emission current. The predominating factor modifying the FEE property is presumed to be the increase of sp 2 phase concentration. The influence of bias voltage on the FEE property of diamond films is not monotonic. Postgrowth acid treatment reduces the sp 2 phase content in diamond films without changing diamond grain sizes. The corresponding FEE property was degraded

  10. Characterization of chemical vapour deposited diamond films: correlation between hydrogen incorporation and film morphology and quality

    International Nuclear Information System (INIS)

    Tang, C J; Neves, A J; Carmo, M C

    2005-01-01

    In order to tailor diamond synthesized through chemical vapour deposition (CVD) for different applications, many diamond films of different colours and variable quality were deposited by a 5 kW microwave plasma CVD reactor under different growth conditions. The morphology, quality and hydrogen incorporation of these films were characterized using scanning electron microscopy (SEM), Raman and Fourier-transform infrared (FTIR) spectroscopy, respectively. From this study, a general trend between hydrogen incorporation and film colour, morphology and quality was found. That is, as the films sorted by colour gradually become darker, ranging from white through grey to black, high magnification SEM images illustrate that the smoothness of the well defined crystalline facet gradually decreases and second nucleation starts to appear on it, indicating gradual degradation of the crystalline quality. Correspondingly, Raman spectra evidence that the diamond Raman peak at 1332 cm -1 becomes broader and the non-diamond carbon band around 1500 cm -1 starts to appear and becomes stronger, confirming increase of the non-diamond component and decrease of the phase purity of the film, while FTIR spectra show that the CH stretching band and the two CVD diamond specific peaks around 2830 cm -1 rise rapidly, and this indicates that the total amount of hydrogen incorporated into the film increases significantly

  11. Study on the Microstructure and Electrical Properties of Boron and Sulfur Codoped Diamond Films Deposited Using Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Zhang Jing

    2014-01-01

    Full Text Available The atomic-scale microstructure and electron emission properties of boron and sulfur (denoted as B-S codoped diamond films grown on high-temperature and high-pressure (HTHP diamond and Si substrates were investigated using atom force microscopy (AFM, scanning tunneling microscopy (STM, secondary ion mass spectroscopy (SIMS, and current imaging tunneling spectroscopy (CITS measurement techniques. The films grown on Si consisted of large grains with secondary nucleation, whereas those on HTHP diamond are composed of well-developed polycrystalline facets with an average size of 10–50 nm. SIMS analyses confirmed that sulfur was successfully introduced into diamond films, and a small amount of boron facilitated sulfur incorporation into diamond. Large tunneling currents were observed at some grain boundaries, and the emission character was better at the grain boundaries than that at the center of the crystal. The films grown on HTHP diamond substrates were much more perfect with higher quality than the films deposited on Si substrates. The local I-V characteristics for films deposited on Si or HTHP diamond substrates indicate n-type conduction.

  12. Nanostructured diamond film deposition on curved surfaces of metallic temporomandibular joint implant

    Energy Technology Data Exchange (ETDEWEB)

    Fries, Marc D; Vohra, Yogesh K [Department of Physics, University of Alabama at Birmingham (UAB), Birmingham, AL (United States)

    2002-10-21

    Microwave plasma chemical vapour deposition of nanostructured diamond films was carried out on curved surfaces of Ti-6Al-4V alloy machined to simulate the shape of a temporomandibular joint (TMJ) dental implant. Raman spectroscopy shows that the deposited films are uniform in chemical composition along the radius of curvature of the TMJ condyle. Thin film x-ray diffraction reveals an interfacial carbide layer and nanocrystalline diamond grains in this coating. Nanoindentation hardness measurements show an ultra-hard coating with a hardness value of 60{+-}5 GPa averaged over three samples. (rapid communication)

  13. Adherent diamond film deposited on Cu substrate by carbon transport from nanodiamond buried under Pt interlayer

    Energy Technology Data Exchange (ETDEWEB)

    Liu Xuezhang [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China); Wei Qiuping, E-mail: qiupwei@csu.edu.cn [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China); State Key Laboratory of Powder Metallurgy, Central South University, Changsha, 410083 (China); Yu Zhiming, E-mail: zhiming@csu.edu.cn [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China); State Key Laboratory of Powder Metallurgy, Central South University, Changsha, 410083 (China); Yang Taiming; Zhai Hao [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China)

    2013-01-15

    Highlights: Black-Right-Pointing-Pointer Adherent polycrystalline diamond films were grown on copper substrate by carbon transport. Black-Right-Pointing-Pointer The nucleation density was increased to 10{sup 11} cm{sup -2}. Black-Right-Pointing-Pointer Diamond films were a composite structure of nano-crystalline diamond layer and micro-crystalline diamond layer. Black-Right-Pointing-Pointer Diamond nucleation was based by carbon dissolving from UDDs to Pt interlayer and formation of sp{sup 3}-bonded diamond clusters at the Pt surface. - Abstract: Diamond film deposited on Cu suffered from poor adhesion mainly due to the large mismatch of thermal expansion coefficients and the lack of affinity between carbon and Cu. Enhancing diamond nucleation by carbon transport from buried nanodiamond through a Pt ultrathin interlayer, adherent diamond film was then deposited on Cu substrate without distinctly metallic interlayer. This novel nucleation mechanism increased diamond nucleation density to 10{sup 11} cm{sup -2}, and developed diamond film with a composite structure of nano-crystalline diamond (NCD) layer and micro-crystalline diamond layer. Diamond film was characterized by the scanning electron microscope (SEM) and Raman spectroscope, respectively. The composition of diamond film/Cu substrate interface was examined by electron probe microanalysis (EPMA). The adhesion of diamond film was evaluated by indentation test. Those results show that a Pt ultrathin interlayer provides stronger chemically bonded interfaces and improve film adhesion.

  14. Adherent diamond film deposited on Cu substrate by carbon transport from nanodiamond buried under Pt interlayer

    International Nuclear Information System (INIS)

    Liu Xuezhang; Wei Qiuping; Yu Zhiming; Yang Taiming; Zhai Hao

    2013-01-01

    Highlights: ► Adherent polycrystalline diamond films were grown on copper substrate by carbon transport. ► The nucleation density was increased to 10 11 cm −2 . ► Diamond films were a composite structure of nano-crystalline diamond layer and micro-crystalline diamond layer. ► Diamond nucleation was based by carbon dissolving from UDDs to Pt interlayer and formation of sp 3 -bonded diamond clusters at the Pt surface. - Abstract: Diamond film deposited on Cu suffered from poor adhesion mainly due to the large mismatch of thermal expansion coefficients and the lack of affinity between carbon and Cu. Enhancing diamond nucleation by carbon transport from buried nanodiamond through a Pt ultrathin interlayer, adherent diamond film was then deposited on Cu substrate without distinctly metallic interlayer. This novel nucleation mechanism increased diamond nucleation density to 10 11 cm −2 , and developed diamond film with a composite structure of nano-crystalline diamond (NCD) layer and micro-crystalline diamond layer. Diamond film was characterized by the scanning electron microscope (SEM) and Raman spectroscope, respectively. The composition of diamond film/Cu substrate interface was examined by electron probe microanalysis (EPMA). The adhesion of diamond film was evaluated by indentation test. Those results show that a Pt ultrathin interlayer provides stronger chemically bonded interfaces and improve film adhesion.

  15. Nanostructured Diamond-Like Carbon Films Grown by Off-Axis Pulsed Laser Deposition

    Directory of Open Access Journals (Sweden)

    Seong Shan Yap

    2015-01-01

    Full Text Available Nanostructured diamond-like carbon (DLC films instead of the ultrasmooth film were obtained by pulsed laser ablation of pyrolytic graphite. Deposition was performed at room temperature in vacuum with substrates placed at off-axis position. The configuration utilized high density plasma plume arriving at low effective angle for the formation of nanostructured DLC. Nanostructures with maximum size of 50 nm were deposited as compared to the ultrasmooth DLC films obtained in a conventional deposition. The Raman spectra of the films confirmed that the films were diamond-like/amorphous in nature. Although grown at an angle, ion energy of >35 eV was obtained at the off-axis position. This was proposed to be responsible for subplantation growth of sp3 hybridized carbon. The condensation of energetic clusters and oblique angle deposition correspondingly gave rise to the formation of nanostructured DLC in this study.

  16. CVD diamond deposition onto dental burs

    International Nuclear Information System (INIS)

    Ali, N.; Sein, H.

    2001-01-01

    A hot-filament chemical vapor deposition (HFCVD) system has been modified to enable non-planar substrates, such as metallic wires and dental burs, to be uniformly coated with thin polycrystalline diamond films. Initially, diamond deposition was carried out on titanium and tantalum wires in order to test and optimize the system. High growth rates of the order of approx. 8 /hr were obtained when depositing diamond on titanium wires using the vertical filament arrangement. However, lower growth rates of the order of 4-5meu m/hr were obtained with diamond deposition on tantalum wires. To extend the work towards a practical biomedical application tungsten carbide dental burs were coated with diamond films. The as-grown films were found to be polycrystalline and uniform over the cutting tip. Finally, the costs relating to diamond CVD onto dental burs have been presented in this paper. The costs relating to coating different number of burs at a time and the effect of film thickness on costs have been included in this investigation. (author)

  17. Chemical Vapor-Deposited (CVD) Diamond Films for Electronic Applications

    Science.gov (United States)

    1995-01-01

    Diamond films have a variety of useful applications as electron emitters in devices such as magnetrons, electron multipliers, displays, and sensors. Secondary electron emission is the effect in which electrons are emitted from the near surface of a material because of energetic incident electrons. The total secondary yield coefficient, which is the ratio of the number of secondary electrons to the number of incident electrons, generally ranges from 2 to 4 for most materials used in such applications. It was discovered recently at the NASA Lewis Research Center that chemical vapor-deposited (CVD) diamond films have very high secondary electron yields, particularly when they are coated with thin layers of CsI. For CsI-coated diamond films, the total secondary yield coefficient can exceed 60. In addition, diamond films exhibit field emission at fields orders of magnitude lower than for existing state-of-the-art emitters. Present state-of-the-art microfabricated field emitters generally require applied fields above 5x10^7 V/cm. Research on field emission from CVD diamond and high-pressure, high-temperature diamond has shown that field emission can be obtained at fields as low as 2x10^4 V/cm. It has also been shown that thin layers of metals, such as gold, and of alkali halides, such as CsI, can significantly increase field emission and stability. Emitters with nanometer-scale lithography will be able to obtain high-current densities with voltages on the order of only 10 to 15 V.

  18. Studies of internal stress in diamond films prepared by DC plasma chemical vapour deposition

    International Nuclear Information System (INIS)

    Wang Wanlu; Gao Jinying; Liao Kejun; Liu Anmin

    1992-01-01

    The internal stress in diamond thin films deposited by DC plasma CVD was studied as a function of methane concentration and deposited temperature. Experimental results have shown that total stress in diamond thin films is sensitive to the deposition conditions. The results also indicate that the compressive stress can be explained in terms of amorphous state carbon and hydrogen, and tensile stress is ascribed to the grain boundary relaxation model due to high internal surface area and microstructure with voids

  19. Diamond films: Historical perspective

    Energy Technology Data Exchange (ETDEWEB)

    Messier, R. [Pennsylvania State Univ., University Park (United States)

    1993-01-01

    This section is a compilation of notes and published international articles about the development of methods of depositing diamond films. Vapor deposition articles are included from American, Russian, and Japanese publications. The international competition to develop new deposition methodologies is stressed. The current status of chemical vapor deposition of diamond is assessed.

  20. Nanostructured titanium/diamond-like carbon multilayer films: deposition, characterization, and applications.

    Science.gov (United States)

    Dwivedi, Neeraj; Kumar, Sushil; Malik, Hitendra K

    2011-11-01

    Titanium/diamond-like carbon multilayer (TDML) films were deposited using a hybrid system combining radio frequency (RF)-sputtering and RF-plasma enhanced chemical vapor deposition (PECVD) techniques under a varied number of Ti/diamond-like carbon (DLC) bilayers from 1 to 4, at high base pressure of 1 × 10(-3) Torr. The multilayer approach was used to create unique structures such as nanospheres and nanorods in TDML films, which is confirmed by scanning electron microscopy (SEM) analysis and explained by a hypothetical model. Surface composition was evaluated by X-ray photoelectron spectroscopy (XPS), whereas energy dispersive X-ray analysis (EDAX) and time-of-flight secondary ion mass spectrometer (ToF-SIMS) measurements were performed to investigate the bulk composition. X-ray diffraction (XRD) was used to evaluate the phase and crystallinity of the deposited TDML films. Residual stress in these films was found to be significantly low. These TDML films were found to have excellent nanomechanical properties with maximum hardness of 41.2 GPa. In addition, various nanomechanical parameters were calculated and correlated with each other. Owing to metallic interfacial layer of Ti in multilayer films, the optical properties, electrical properties, and photoluminescence were improved significantly. Due to versatile nanomechanical properties and biocompatibility of DLC and DLC based films, these TDML films may also find applications in biomedical science.

  1. Study of hard diamond-like carbon films deposited in an inductively coupled plasma source

    International Nuclear Information System (INIS)

    Yu Shiji; Ma Tengcai

    2003-01-01

    Chemical vapor deposition of the hard diamond-like carbon (DLC) films was achieved using an inductively coupled plasma source (ICPS). The microscopy, microhardness, deposition rate and structure characteristic of the DLC films were analyzed. It is shown that the ICPS is suitable for the hard DLC film deposition at relatively low substrate negative bias voltage, and the substrate negative bias voltage greatly affects chemical vapor deposition of the DLC film and its quality

  2. Toroidal plasma enhanced CVD of diamond films

    International Nuclear Information System (INIS)

    Zvanya, John; Cullen, Christopher; Morris, Thomas; Krchnavek, Robert R.; Holber, William; Basnett, Andrew; Basnett, Robert; Hettinger, Jeffrey

    2014-01-01

    An inductively coupled toroidal plasma source is used as an alternative to microwave plasmas for chemical vapor deposition of diamond films. The source, operating at a frequency of 400 kHz, synthesizes diamond films from a mixture of argon, methane, and hydrogen. The toroidal design has been adapted to create a highly efficient environment for diamond film deposition: high gas temperature and a short distance from the sample to the plasma core. Using a toroidal plasma geometry operating in the medium frequency band allows for efficient (≈90%) coupling of AC line power to the plasma and a scalable path to high-power and large-area operation. In test runs, the source generates a high flux of atomic hydrogen over a large area, which is favorable for diamond film growth. Using a deposition temperature of 900–1050 °C and a source to sample distance of 0.1–2.0 cm, diamond films are deposited onto silicon substrates. The results showed that the deposition rate of the diamond films could be controlled using the sample temperature and source to sample spacing. The results also show the films exhibit good-quality polycrystalline diamond as verified by Raman spectroscopy, x-ray diffraction, and scanning electron microscopy. The scanning electron microscopy and x-ray diffraction results show that the samples exhibit diamond (111) and diamond (022) crystallites. The Raman results show that the sp 3 peak has a narrow spectral width (FWHM 12 ± 0.5 cm −1 ) and that negligible amounts of the sp 2 band are present, indicating good-quality diamond films

  3. Thin diamond films for tribological applications

    International Nuclear Information System (INIS)

    Wong, M.S.; Meilunas, R.; Ong, T.P.; Chang, R.P.H.

    1989-01-01

    Diamond films have been deposited on Si, Mo and many other substrates by microwave and radio frequency plasma enhanced chemical vapor deposition. Although the adhesion between the diamond film and most of the metal substrates is poor due to residual thermal stress from the mismatch of thermal expansion coefficients, the authors have developed processes to promote the growth of uniform and continuous diamond films with enhanced adhesion to metal substrates for tribological applications. The tribological properties of these films are measured using a ring-on-block tribotester. The coefficients of friction of diamond films sliding against a 52100 steel ring under the same experimental conditions are found to be significantly different depending on the morphology, grain size and roughness of the diamond films. However, under all cases tested, it is found that for uniform and continuous diamond films with small grain size of 1-3 micrometers, the coefficient of friction of the diamond film sliding against a steel ring under lubrication of a jet of mineral oil is about 0.04

  4. Structure and properties of diamond and diamond-like films

    Energy Technology Data Exchange (ETDEWEB)

    Clausing, R.E. [Oak Ridge National Lab., TN (United States)

    1993-01-01

    This section is broken into four parts: (1) introduction, (2) natural IIa diamond, (3) importance of structure and composition, and (4) control of structure and properties. Conclusions of this discussion are that properties of chemical vapor deposited diamond films can compare favorably with natural diamond, that properties are anisotropic and are a strong function of structure and crystal perfection, that crystal perfection and morphology are functions of growth conditions and can be controlled, and that the manipulation of texture and thereby surface morphology and internal crystal perfection is an important step in optimizing chemically deposited diamond films for applications.

  5. Diamond film growth with modification properties of adhesion between substrate and diamond film

    Directory of Open Access Journals (Sweden)

    Setasuwon P.

    2004-03-01

    Full Text Available Diamond film growth was studied using chemical vapor deposition (CVD. A special equipment was build in-house, employing a welding torch, and substrate holder with a water-cooling system. Acetylene and oxygen were used as combustion gases and the substrate was tungsten carbide cobalt. It was found that surface treatments, such as diamond powder scratching or acid etching, increase the adhesion and prevent the film peel-off. Diamond powder scratching and combined diamond powder scratching with acid etching gave the similar diamond film structure with small grain and slightly rough surface. The diamond film obtained with both treatments has high adhesion and can withstand internal stress better than ones obtained by untreated surface or acid etching alone. It was also found that higher substrate temperature produced smoother surface and more uniform diamond grain.

  6. Novel morphology of chemical vapor deposited diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Tang, C.J. [I3N and Department of Physics, University of Aveiro (Portugal); Jiangsu Key Laboratory for Advanced Functional Materials and Department of Physics, Changshu Institute of Technology, Changshu (China); TEMA and Department of Mechanical Engineering, University of Aveiro (Portugal); Fernandes, A.J.S.; Abe, I.; Pinto, J.L. [I3N and Department of Physics, University of Aveiro (Portugal); Gracio, J. [TEMA and Department of Mechanical Engineering, University of Aveiro (Portugal); Buijnsters, J.G. [Institute for Molecules and Materials (IMM), Radboud University Nijmegen (Netherlands)

    2010-04-15

    We have obtained simultaneously nanocrystalline and {l_brace}100{r_brace} faceted large-grained polycrystalline diamond films not only on different substrates but also on the same substrate in only one deposition run using a novel approach for substrate arrangement. Furthermore, interesting unusual morphologies and microstructures composed by non-faceted nanostructures and terminated with large smooth {l_brace}100{r_brace} facet-like belt are found near the edges of the top square sample. The morphology variation is likely caused by the so called edge effect, where a strong variation in temperature is also present. We have modelled the temperature distribution on the substrates by computer simulations using the finite element method. The novel feature, namely the coexistence of oval non-faceted nanocrystalline diamond grains and large smooth {l_brace}100{r_brace} facet-like belt in one diamond grain, is in the transition from {l_brace}100{r_brace} faceted polycrystalline diamond to cauliflower-like nanocrystalline diamond. The formation mechanism is discussed based on the temperature analysis and other simulation results described in the literature. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Surface smoothening effects on growth of diamond films

    Science.gov (United States)

    Reshi, Bilal Ahmad; Kumar, Shyam; Kartha, Moses J.; Varma, Raghava

    2018-04-01

    We have carried out a detailed study of the growth dynamics of the diamond film during initial time on diamond substrates. The diamond films are deposited using Microwave Plasma Chemical Vapor Deposition (MPCVD) method for different times. Surface morphology and its correlation with the number of hours of growth of thin films was invested using atomic force microscopy (AFM). Diamond films have smooth interface with average roughness of 48.6873nm. The initial growth dynamics of the thin film is investigated. Interestingly, it is found that there is a decrease in the surface roughness of the film. Thus a smoothening effect is observed in the grown films. The film enters into the growth regime in the later times. Our results also find application in building diamond detector.

  8. Diamond-like carbon films deposited by a hybrid ECRCVD system

    International Nuclear Information System (INIS)

    Guo, C.T.; Dittrich, K.-H.

    2007-01-01

    A novel hybrid technique for diamond-like carbon (DLC) film deposition has been developed. This technique combines the electron cyclotron resonance chemical vapor deposition (ECRCVD) of C 2 H 2 and metallic magnetron sputtering. Here we described how DLC film is used for a variety of applications such as stamper, PCB micro-tools, and threading form-tools by taking advantage of hybrid ECRCVD system. The structure of the DLC films is delineated by a function of bias voltages by Raman spectroscopy. This function includes parameters such as dependence of G peak positions and the intensity ratio (I D /I G ). Atomic force microscope (AFM) examines the root-mean-square (R.M.S.) roughness and the surface morphology. Excellent adhesion and lower friction coefficients of a DLC film were also assessed

  9. Synthesis of thick diamond films by direct current hot-cathode plasma chemical vapour deposition

    CERN Document Server

    Jin Zeng Sun; Bai Yi Zhen; Lu Xian Yi

    2002-01-01

    The method of direct current hot-cathode plasma chemical vapour deposition has been established. A long-time stable glow discharge at large discharge current and high gas pressure has been achieved by using a hot cathode in the temperature range from 1100 degree C to 1500 degree C and non-symmetrical configuration of the poles, in which the diameter of the cathode is larger than that of anode. High-quality thick diamond films, with a diameter of 40-50 mm and thickness of 0.5-4.2 mm, have been synthesized by this method. Transparent thick diamond films were grown over a range of growth rates between 5-10 mu m/h. Most of the thick diamond films have thermal conductivities of 10-12 W/K centre dot cm. The thick diamond films with high thermal conductivity can be used as a heat sink of semiconducting laser diode array and as a heat spreading and isolation substrate of multichip modules. The performance can be obviously improved

  10. Investigation of the nucleation process of chemical vapour deposited diamond films

    International Nuclear Information System (INIS)

    Katai, S.

    2001-01-01

    The primary aim of this work was to contribute to the understanding of the bias enhanced nucleation (BEN) process during the chemical vapour deposition (CVD) of diamond on silicon. The investigation of both the gas phase environment above the substrate surface, by in situ mass selective energy analysis of ions, and of the surface composition and structure by in vacuo surface analytic methods (XPS, EELS) have been carried out. In both cases, the implementation of these measurements required the development and construction of special experimental apparatus as well. The secondary aim of this work was to give orientation to our long term goal of growing diamond films with improved quality. For this reason, (1) contaminant levels at the diamond-silicon interface after growth were studied by SIMS, (2) the internal stress distribution of highly oriented free-standing diamond films were studied by Raman spectroscopy, and (3) an attempt was made to produce spatially regular oriented nuclei formation by nucleating on a pattern created by laser treatment on silicon substrates. (orig.)

  11. Thermal diffusivity of diamond films using a laser pulse technique

    International Nuclear Information System (INIS)

    Albin, S.; Winfree, W.P.; Crews, B.S.

    1990-01-01

    Polycrystalline diamond films were deposited using a microwave plasma-enhanced chemical vapor deposition process. A laser pulse technique was developed to measure the thermal diffusivity of diamond films deposited on a silicon substrate. The effective thermal diffusivity of a diamond film on silicon was measured by observing the phase and amplitude of the cyclic thermal waves generated by laser pulses. An analytical model is presented to calculate the effective inplane (face-parallel) diffusivity of a two-layer system. The model is used to reduce the effective thermal diffusivity of the diamond/silicon sample to a value for the thermal diffusivity and conductivity of the diamond film

  12. Diamond deposition on siliconized stainless steel

    International Nuclear Information System (INIS)

    Alvarez, F.; Reinoso, M.; Huck, H.; Rosenbusch, M.

    2010-01-01

    Silicon diffusion layers in AISI 304 and AISI 316 type stainless steels were investigated as an alternative to surface barrier coatings for diamond film growth. Uniform 2 μm thick silicon rich interlayers were obtained by coating the surface of the steels with silicon and performing diffusion treatments at 800 deg. C. Adherent diamond films with low sp 2 carbon content were deposited on the diffused silicon layers by a modified hot filament assisted chemical vapor deposition (HFCVD) method. Characterization of as-siliconized layers and diamond coatings was performed by energy dispersive X-ray analysis, scanning electron microscopy, X-ray diffraction and Raman spectroscopy.

  13. Characterization of diamond thin films deposited by a CO{sub 2} laser-assisted combustion-flame method

    Energy Technology Data Exchange (ETDEWEB)

    McKindra, Travis, E-mail: mckindra@mst.edu [Department of Materials Science and Engineering, Missouri University of Science and Technology, Rolla, MO 65409 (United States); O' Keefe, Matthew J. [Department of Materials Science and Engineering, Missouri University of Science and Technology, Rolla, MO 65409 (United States); Xie Zhiqiang; Lu Yongfeng [Department of Electrical Engineering, University of Nebraska-Lincoln, Lincoln, NE 68588 (United States)

    2010-06-15

    Diamond thin films were deposited by a CO{sub 2} laser-assisted O{sub 2}/C{sub 2}H{sub 2}/C{sub 2}H{sub 4} combustion-flame process. The effect of the deposition parameters, in particular the laser wavelength and power, on the film surface morphology, microstructure and phases present was the primary focus of the work. The laser power was set at 100, 400 and 800 W while the wavelength was varied and set at 10.591 {mu}m in the untuned condition and set at 10.532 {mu}m to resonantly match the CH{sub 2}-wagging vibrational mode of the C{sub 2}H{sub 4} molecule when in the tuned condition. When the laser was coupled to the combustion flame during deposition the diamond film growth was enhanced as the lateral grain size increased from 1 {mu}m to greater than 5 {mu}m. The greatest increase in grain size occurred when the wavelength was in the tuned condition. Scanning transmission electron microscopy images from focused-ion beam cross-sectioned samples revealed a sub-layer of smaller grains less than 1 {mu}m in size near the substrate surface at the lower laser powers and untuned wavelength. X-ray diffraction results showed a more intense Diamond (111) peak as the laser power increased from 100 to 800 W for the films deposited with the tuned laser wavelength. Micro-Raman spectra showed a diamond peak nearly twice as intense from the films with the tuned laser wavelength.

  14. Effect of pretreatment and deposition parameters on diamond nucleation in CVD

    International Nuclear Information System (INIS)

    Nazim, E.; Izman, S.; Ourdjini, A.; Shaharoun, A.M.

    2007-01-01

    Chemical vapour deposition (CVD) of diamond films on cemented carbide (WC) has aroused great interest in recent years. The combination of toughness from the WC and the high hardness of diamond results in outstanding wear resistance. This will increase the lifetime and better technical performance of the components made of diamond coated carbide. One of the important steps in the growth of diamond film is the nucleation of diamond as its density strongly influences the diamond growth process, film quality and morphology. In this paper the various effects of surface pretreatment and diamond deposition conditions on the diamond nucleation density are reviewed. (author)

  15. Metal-doped diamond-like carbon films synthesized by filter-arc deposition

    International Nuclear Information System (INIS)

    Weng, K.-W.; Chen, Y.-C.; Lin, T.-N.; Wang, D.-Y.

    2006-01-01

    Diamond-like carbon (DLC) thin films are extensively utilized in the semiconductor, electric and cutting machine industries owing to their high hardness, high elastic modulus, low friction coefficients and high chemical stability. DLC films are prepared by ion beam-assisted deposition (BAD), sputter deposition, plasma-enhanced chemical vapor deposition (PECVD), cathodic arc evaporation (CAE), and filter arc deposition (FAD). The major drawbacks of these methods are the degraded hardness associated with the low sp 3 /sp 2 bonding ratio, the rough surface and poor adhesion caused by the presence of particles. In this study, a self-developed filter arc deposition (FAD) system was employed to prepare metal-containing DLC films with a low particle density. The relationships between the DLC film properties, such as film structure, surface morphology and mechanical behavior, with variation of substrate bias and target current, are examined. Experimental results demonstrate that FAD-DLC films have a lower ratio, suggesting that FAD-DLC films have a greater sp 3 bonding than the CAE-DLC films. FAD-DLC films also exhibit a low friction coefficient of 0.14 and half of the number of surface particles as in the CAE-DLC films. Introducing a CrN interfacial layer between the substrate and the DLC films enables the magnetic field strength of the filter to be controlled to improve the adhesion and effectively eliminate the contaminating particles. Accordingly, the FAD system improves the tribological properties of the DLC films

  16. Single-layer nano-carbon film, diamond film, and diamond/nano-carbon composite film field emission performance comparison

    International Nuclear Information System (INIS)

    Wang, Xiaoping; Wang, Jinye; Wang, Lijun

    2016-01-01

    A series of single-layer nano-carbon (SNC) films, diamond films, and diamond/nano-carbon (D/NC) composite films have been prepared on the highly doped silicon substrate by using microwave plasma chemical vapor deposition techniques. The films were characterised by scanning electron microscopy, Raman spectroscopy, and field emission I-V measurements. The experimental results indicated that the field emission maximum current density of D/NC composite films is 11.8–17.8 times that of diamond films. And the field emission current density of D/NC composite films is 2.9–5 times that of SNC films at an electric field of 3.0 V/μm. At the same time, the D/NC composite film exhibits the advantage of improved reproducibility and long term stability (both of the nano-carbon film within the D/NC composite cathode and the SNC cathode were prepared under the same experimental conditions). And for the D/NC composite sample, a high current density of 10 mA/cm"2 at an electric field of 3.0 V/μm was obtained. Diamond layer can effectively improve the field emission characteristics of nano-carbon film. The reason may be due to the diamond film acts as the electron acceleration layer.

  17. Thermoluminescence characterisation of chemical vapour deposited diamond films

    CERN Document Server

    Mazzocchi, S; Bucciolini, M; Cuttone, G; Pini, S; Sabini, M G; Sciortino, S

    2002-01-01

    The thermoluminescence (TL) characteristics of a set of six chemical vapour deposited diamond films have been studied with regard to their use as off-line dosimeters in radiotherapy. The structural characterisation has been performed by means of Raman spectroscopy. Their TL responses have been tested with radiotherapy beams ( sup 6 sup 0 Co photons, photons and electrons from a linear accelerator (Linac), 26 MeV protons from a TANDEM accelerator) in the dose range 0.1-7 Gy. The dosimetric characterisation has yielded a very good reproducibility, a very low dependence of the TL response on the type of particle and independence of the radiation energy. The TL signal is not influenced by the dose rate and exhibits a very low thermal fading. Moreover, the sensitivity of the diamond samples compares favourably with that of standard TLD100 dosimeters.

  18. Growth, microstructure, and field-emission properties of synthesized diamond film on adamantane-coated silicon substrate by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Tiwari, Rajanish N.; Chang Li

    2010-01-01

    Diamond nucleation on unscratched Si surface is great importance for its growth, and detailed understanding of this process is therefore desired for many applications. The pretreatment of the substrate surface may influence the initial growth period. In this study, diamond films have been synthesized on adamantane-coated crystalline silicon {100} substrate by microwave plasma chemical vapor deposition from a gaseous mixture of methane and hydrogen gases without the application of a bias voltage to the substrates. Prior to adamantane coating, the Si substrates were not pretreated such as abraded/scratched. The substrate temperature was ∼530 deg. C during diamond deposition. The deposited films are characterized by scanning electron microscopy, Raman spectrometry, x-ray diffraction, and x-ray photoelectron spectroscopy. These measurements provide definitive evidence for high-crystalline quality diamond film, which is synthesized on a SiC rather than clean Si substrate. Characterization through atomic force microscope allows establishing fine quality criteria of the film according to the grain size of nanodiamond along with SiC. The diamond films exhibit a low-threshold (55 V/μm) and high current-density (1.6 mA/cm 2 ) field-emission (FE) display. The possible mechanism of formation of diamond films and their FE properties have been demonstrated.

  19. Improvement in the degradation resistance of silicon nanostructures by the deposition of diamond-like carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Klyui, N. I., E-mail: klyui@isp.kiev.ua; Semenenko, M. A.; Khatsevich, I. M.; Makarov, A. V.; Kabaldin, A. N. [National Academy of Sciences of Ukraine, Lashkarev Institute of Semiconductor Physics (Ukraine); Fomovskii, F. V. [Kremenchug National University (Ukraine); Han, Wei [Jilin University, College of Physics (China)

    2015-08-15

    It is established that the deposition of a diamond-like film onto a structure with silicon nanoclusters in a silicon dioxide matrix yields an increase in the long-wavelength photoluminescence intensity of silicon nanoclusters due to the passivation of active-recombination centers with hydrogen and a shift of the photoluminescence peak to the region of higher photosensitivity of silicon-based solar cells. It is also shown that, due to the deposited diamond-like film, the resistance of such a structure to degradation upon exposure to γ radiation is improved, which is also defined by the effect of the passivation of radiation-induced activerecombination centers by hydrogen that is released from the films during treatment.

  20. Selective area deposition of diamond films on AlGaN/GaN heterostructures

    Czech Academy of Sciences Publication Activity Database

    Ižák, Tibor; Babchenko, Oleg; Jirásek, Vít; Vanko, G.; Vallo, M.; Vojs, M.; Kromka, Alexander

    2014-01-01

    Roč. 250, č. 12 (2014), 2574-2580 ISSN 0370-1972 R&D Projects: GA ČR(CZ) GP14-16549P Institutional support: RVO:68378271 Keywords : circular high electron mobility transistors * diamond films * GaN substrates * microwave chemical vapor deposition * selective area deposition Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.489, year: 2014

  1. Study on effect of plasma surface treatments for diamond deposition by DC arc plasmatron.

    Science.gov (United States)

    Kang, In-Je; Joa, Sang-Beom; Lee, Heon-Ju

    2013-11-01

    To improve the thermal conductivity and wear resistance of ceramic materials in the field of renewable energy technologies, diamond coating by plasma processing has been carried out in recent years. This study's goal is to improve diamond deposition on Al2O3 ceramic substrates by plasma surface treatments. Before diamond deposition was carried out in a vacuum, plasma surface treatments using Ar gas were conducted to improve conditions for deposition. We also conducted plasma processing for diamond deposition on Al2O3 ceramic substrates using a DC arc Plasmatron. The Al2O3 ceramic substrates with diamond film (5 x 15 mm2), were investigated by SEM (Scanning Electron Microscopy), AFM (Atomic Force Microscopy) and XRD (X-ray Diffractometer). Then, the C-H stretching of synthetic diamond films by FTIR (Fourier Transform Infrared Spectroscopy) was studied. We identified nanocrystalline diamond films on the Al2O3 ceramic substrates. The results showed us that the deposition rate of diamond films was 2.3 microm/h after plasma surface treatments. Comparing the above result with untreated ceramic substrates, the deposition rate improved with the surface roughness of the deposited diamond films.

  2. Low-temperature synthesis of diamond films by photoemission-assisted plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kawata, Mayuri, E-mail: kawata@mail.tagen.tohoku.ac.jp; Ojiro, Yoshihiro; Ogawa, Shuichi; Takakuwa, Yuji [Institute of Multidisciplinary Research for Advanced Materials, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan); Masuzawa, Tomoaki; Okano, Ken [International Christian University, 3-10-2 Osawa, Mitaka 181-8585 (Japan)

    2014-03-15

    Photoemission-assisted plasma-enhanced chemical vapor deposition (PA-PECVD), a process in which photoelectrons emitted from a substrate irradiated with ultraviolet light are utilized as a trigger for DC discharge, was investigated in this study; specifically, the DC discharge characteristics of PA-PECVD were examined for an Si substrate deposited in advance through hot-filament chemical vapor deposition with a nitrogen-doped diamond layer of thickness ∼1 μm. Using a commercially available Xe excimer lamp (hν = 7.2 eV) to illuminate the diamond surface with and without hydrogen termination, the photocurrents were found to be 3.17 × 10{sup 12} and 2.11 × 10{sup 11} electrons/cm{sup 2}/s, respectively. The 15-fold increase in photocurrent was ascribed to negative electron affinity (NEA) caused by hydrogen termination on the diamond surfaces. The DC discharge characteristics revealed that a transition bias voltage from a Townsend-to-glow discharge was considerably decreased because of NEA (from 490 to 373 V for H{sub 2} gas and from 330 to 200 V for Ar gas), enabling a reduction in electric power consumption needed to synthesize diamond films through PA-PECVD. In fact, the authors have succeeded in growing high-quality diamond films of area 2.0 cm{sup 2} at 540 °C with a discharge power of only 1.8 W, plasma voltage of 156.4 V, and discharge current of 11.7 mA under the glow discharge of CH{sub 4}/H{sub 2}/Ar mixed gases. In addition to having only negligible amounts of graphite and amorphous carbon, the diamond films exhibit a relatively high diamond growth rate of 0.5 μm/h at temperatures as low as 540 °C, which is attributed to Ar{sup +} ions impinging on the diamond surface, and causing the removal of hydrogen atoms from the surface through sputtering. This process leads to enhanced CH{sub x} radical adsorption, because the sample was applied with a negative potential to accelerate photoelectrons in PA-PECVD.

  3. Electroluminescence Spectrum Shift with Switching Behaviour of Diamond Thin Films

    Institute of Scientific and Technical Information of China (English)

    王小平; 王丽军; 张启仁; 姚宁; 张兵临

    2003-01-01

    We report a special phenomenon on switching behaviour and the electroluminescence (EL) spectrum shift of doped diamond thin films. Nitrogen and cerium doped diamond thin films were deposited on a silicon substrate by microwave plasma-assisted chemical vapour deposition system and other special techniques. An EL device with a three-layer structure of nitrogen doped diamond/cerium doped diamond/SiO2 thin films was made. The EL device was driven by a direct-current power supply. Its EL character has been investigated, and a switching behaviour was observed. The EL light emission colour of diamond films changes from yellow (590nm) to blue (454 nm) while the switching behaviour appears.

  4. Silicon solar cell performance deposited by diamond like carbon thin film ;Atomic oxygen effects;

    Science.gov (United States)

    Aghaei, Abbas Ail; Eshaghi, Akbar; Karami, Esmaeil

    2017-09-01

    In this research, a diamond-like carbon thin film was deposited on p-type polycrystalline silicon solar cell via plasma-enhanced chemical vapor deposition method by using methane and hydrogen gases. The effect of atomic oxygen on the functioning of silicon coated DLC thin film and silicon was investigated. Raman spectroscopy, field emission scanning electron microscopy, atomic force microscopy and attenuated total reflection-Fourier transform infrared spectroscopy were used to characterize the structure and morphology of the DLC thin film. Photocurrent-voltage characteristics of the silicon solar cell were carried out using a solar simulator. The results showed that atomic oxygen exposure induced the including oxidation, structural changes, cross-linking reactions and bond breaking of the DLC film; thus reducing the optical properties. The photocurrent-voltage characteristics showed that although the properties of the fabricated thin film were decreased after being exposed to destructive rays, when compared with solar cell without any coating, it could protect it in atomic oxygen condition enhancing solar cell efficiency up to 12%. Thus, it can be said that diamond-like carbon thin layer protect the solar cell against atomic oxygen exposure.

  5. Influence of coil current modulation on polycrystalline diamond film deposition by irradiation of Ar/CH4/H2 inductively coupled thermal plasmas

    Science.gov (United States)

    Betsuin, Toshiki; Tanaka, Yasunori; Arai, T.; Uesugi, Y.; Ishijima, T.

    2018-03-01

    This paper describes the application of an Ar/CH4/H2 inductively coupled thermal plasma with and without coil current modulation to synthesise diamond films. Induction thermal plasma with coil current modulation is referred to as modulated induction thermal plasma (M-ITP), while that without modulation is referred to as non-modulated ITP (NM-ITP). First, spectroscopic observations of NM-ITP and M-ITP with different modulation waveforms were made to estimate the composition in flux from the thermal plasma by measuring the time evolution in the spectral intensity from the species. Secondly, we studied polycrystalline diamond film deposition tests on a Si substrate, and we studied monocrystalline diamond film growth tests using the irradiation of NM-ITP and M-ITP. From these tests, diamond nucleation effects by M-ITP were found. Finally, following the irradiation results, we attempted to use a time-series irradiation of M-ITP and NM-ITP for polycrystalline diamond film deposition on a Si substrate. The results indicated that numerous larger diamond particles were deposited with a high population density on the Si substrate by time-series irradiation.

  6. Electron field emission for ultrananocrystalline diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Krauss, A. R.; Auciello, O.; Ding, M. Q.; Gruen, D. M.; Huang, Y.; Zhirnov, V. V.; Givargizov, E. I.; Breskin, A.; Chechen, R.; Shefer, E. (and others)

    2001-03-01

    Ultrananocrystalline diamond (UNCD) films 0.1--2.4 {mu}m thick were conformally deposited on sharp single Si microtip emitters, using microwave CH{sub 4}--Ar plasma-enhanced chemical vapor deposition in combination with a dielectrophoretic seeding process. Field-emission studies exhibited stable, extremely high (60--100 {mu}A/tip) emission current, with little variation in threshold fields as a function of film thickness or Si tip radius. The electron emission properties of high aspect ratio Si microtips, coated with diamond using the hot filament chemical vapor deposition (HFCVD) process were found to be very different from those of the UNCD-coated tips. For the HFCVD process, there is a strong dependence of the emission threshold on both the diamond coating thickness and Si tip radius. Quantum photoyield measurements of the UNCD films revealed that these films have an enhanced density of states within the bulk diamond band gap that is correlated with a reduction in the threshold field for electron emission. In addition, scanning tunneling microscopy studies indicate that the emission sites from UNCD films are related to minima or inflection points in the surface topography, and not to surface asperities. These data, in conjunction with tight binding pseudopotential calculations, indicate that grain boundaries play a critical role in the electron emission properties of UNCD films, such that these boundaries: (a) provide a conducting path from the substrate to the diamond--vacuum interface, (b) produce a geometric enhancement in the local electric field via internal structures, rather than surface topography, and (c) produce an enhancement in the local density of states within the bulk diamond band gap.

  7. Synthesis of diamond films by pulsed liquid injection chemical vapor deposition using a mixture of acetone and water as precursor

    International Nuclear Information System (INIS)

    Apatiga, L.M.; Morales, J.

    2009-01-01

    A chemical vapor deposition reactor based on the flash evaporation of an organic liquid precursor was used to grow diamond films on Si substrates. An effective pulsed liquid injection mechanism consisting of an injector, normally used for fuel injection in internal combustion engines, injects micro-doses of the precursor to the evaporation zone at 280 o C and is instantly evaporated. The resulting vapor mixture is transported by a carrier gas to the high-temperature reaction chamber where the diamond nucleates and grows on the substrate surface at temperatures ranging from 750 to 850 o C. The injection frequency, opening time, number of pulses and other injector parameters are controlled by a computer-driven system. The diamond film morphology and structure were characterized by scanning electron microscopy and Raman spectroscopy. The as-deposited diamond films show a ball-shaped morphology with a grain size that varies from 100 to 400 nm, as well as the characteristic diamond Raman band at 1332 cm -1 . The effects of the experimental parameters and operation principle on the diamond films quality are analyzed and discussed in terms of crystallinity, composition, structure, and morphology.

  8. STRUCTURING OF DIAMOND FILMS USING MICROSPHERE LITHOGRAPHY

    Directory of Open Access Journals (Sweden)

    Mária Domonkos

    2014-10-01

    Full Text Available In this study, the structuring of micro- and nanocrystalline diamond thin films is demonstrated. The structuring of the diamond films is performed using the technique of microsphere lithography followed by reactive ion etching. Specifically, this paper presents a four-step fabrication process: diamond deposition (microwave plasma assisted chemical vapor deposition, mask preparation (by the standard Langmuir-Blodgett method, mask modification and diamond etching. A self-assembled monolayer of monodisperse polystyrene (PS microspheres with close-packed ordering is used as the primary template. Then the PS microspheres and the diamond films are processed in capacitively coupled radiofrequency plasma  using different plasma chemistries. This fabrication method illustrates the preparation of large arrays of periodic and homogeneous hillock-like structures. The surface morphology of processed diamond films is characterized by scanning electron microscopy and atomic force microscope. The potential applications of such diamond structures in various fields of nanotechnology are also briefly discussed.

  9. Sandblasting induced stress release and enhanced adhesion strength of diamond films deposited on austenite stainless steel

    Science.gov (United States)

    Li, Xiao; Ye, Jiansong; Zhang, Hangcheng; Feng, Tao; Chen, Jianqing; Hu, Xiaojun

    2017-08-01

    We firstly used sandblasting to treat austenite stainless steel and then deposited a Cr/CrN interlayer by close field unbalanced magnetron sputtering on it. After that, diamond films were prepared on the interlayer. It is found that the sandblasting process induces phase transition from austenite to martensite in the surface region of the stainless steel, which decreases thermal stress in diamond films due to lower thermal expansion coefficient of martensite phase compared with that of austenite phase. The sandblasting also makes stainless steel's surface rough and the Cr/CrN interlayer film inherits the rough surface. This decreases the carburization extent of the interlayer, increases nucleation density and modifies the stress distribution. Due to lower residual stress and small extent of the interlayer's carburization, the diamond film on sandblast treated austenite stainless steel shows enhanced adhesion strength.

  10. Dosimetric characterization of chemical-vapor-deposited diamond film irradiated with UV and beta radiation

    Science.gov (United States)

    Meléndrez, R.; Chernov, V.; Pedroza-Montero, M.; Barboza-Flores, M.

    2003-03-01

    Diamond is an excellent prospect for clinical radiation dosimetry due to its tissue-equivalence properties and being chemically inert. The use of diamond in radiation dosimetry has been halted by the high market price; although recently the capability of growing high quality polycrystalline has renewed the interest in using diamond films as detectors and dosimeters. In the present work we have characterized the dosimetric properties of diamond films synthesized by using chemical vapor deposition. The thermoluminescence (TL) of UV and beta exposed samples shows a glow curve composed of at least four peaks; one located around 587 K presents excellent TL properties suitable for dosimetric applications with ionizing and non ionizing radiation. The TL excitation spectrum exhibits maximum TL efficiency at 220 nm. The samples show regions of linear as well as supralinear behavior as a function or irradiation dose. The linear dose dependence was found for up to sixteen minutes of UV irradiation and 300 Gy for beta irradiated samples. The activation energy and the frequency factor were determined and found in the range of 0.32 - 0.89 eV and 1.1x10^2 - 2x10^8s_-1, respectively. The observed TL performance is reasonable appropriate to justify further investigation of diamond films as radiation dosimeters.

  11. Diamond-like carbon films deposited on three-dimensional shape substrate model by liquid electrochemical technique

    International Nuclear Information System (INIS)

    He, Y.Y.; Zhang, G.F.; Zhao, Y.; Liu, D.D.; Cong, Y.; Buck, V.

    2015-01-01

    Diamond-like carbon (DLC) films were deposited on three-dimensional (3D) shape substrate model by electrolysis of 2-propanol solution at low temperature (60 °C). This 3D shape model was composed of a horizontally aligned stainless steel wafer and vertically aligned stainless steel rods. Morphology and microstructure of the films were analyzed by scanning electron microscopy (SEM), atomic force microscopy (AFM) and Raman spectroscopy, respectively. The results suggested there were only differences in film uniformity and thickness for two kinds of samples. The hydrogenated amorphous carbon films deposited on horizontally aligned substrate were smooth and homogeneous. And the film thickness of DLC films gained on the vertical substrates decreased along vertical direction. It is believed that bubble formation could enhance nucleation on the wetted capillary area. This experiment shows that deposition of DLC films by liquid phase deposition on 3D shape conductive substrates is possible. - Highlights: • DLC film is expected to be deposited on complex surface/shape substrate. • DLC film is deposited on 3D shape substrate by liquid electrochemical method. • Horizontal substrate is covered by smooth and homogeneous DLC films. • Film thickness decreases along vertical direction due to boiling effect

  12. Diamond-like carbon films deposited on three-dimensional shape substrate model by liquid electrochemical technique

    Energy Technology Data Exchange (ETDEWEB)

    He, Y.Y. [Institute of Nano-photonics, School of Physics and Materials Engineering, Dalian Nationalities University, 116600 Dalian (China); Zhang, G.F. [School of Materials Science and Engineering, Dalian University of Technology, 116024, Dalian China (China); Zhao, Y.; Liu, D.D. [Institute of Nano-photonics, School of Physics and Materials Engineering, Dalian Nationalities University, 116600 Dalian (China); Cong, Y., E-mail: congyan@ciomp.ac.cn [Institute of Nano-photonics, School of Physics and Materials Engineering, Dalian Nationalities University, 116600 Dalian (China); Buck, V. [Thin Film Technology Group, Faculty of Physics, University Duisburg-Essen and CeNIDE, 47057 Duisburg (Germany)

    2015-09-01

    Diamond-like carbon (DLC) films were deposited on three-dimensional (3D) shape substrate model by electrolysis of 2-propanol solution at low temperature (60 °C). This 3D shape model was composed of a horizontally aligned stainless steel wafer and vertically aligned stainless steel rods. Morphology and microstructure of the films were analyzed by scanning electron microscopy (SEM), atomic force microscopy (AFM) and Raman spectroscopy, respectively. The results suggested there were only differences in film uniformity and thickness for two kinds of samples. The hydrogenated amorphous carbon films deposited on horizontally aligned substrate were smooth and homogeneous. And the film thickness of DLC films gained on the vertical substrates decreased along vertical direction. It is believed that bubble formation could enhance nucleation on the wetted capillary area. This experiment shows that deposition of DLC films by liquid phase deposition on 3D shape conductive substrates is possible. - Highlights: • DLC film is expected to be deposited on complex surface/shape substrate. • DLC film is deposited on 3D shape substrate by liquid electrochemical method. • Horizontal substrate is covered by smooth and homogeneous DLC films. • Film thickness decreases along vertical direction due to boiling effect.

  13. Hydrogen content and density in nanocrystalline carbon films of a predominant diamond character

    International Nuclear Information System (INIS)

    Hoffman, A.; Heiman, A.; Akhvlediani, R.; Lakin, E.; Zolotoyabko, E.; Cyterman, C.

    2003-01-01

    Nanocrystalline carbon films possessing a prevailing diamond or graphite character, depending on substrate temperature, can be deposited from a methane hydrogen mixture by the direct current glow discharge plasma chemical vapor deposition method. While at a temperature of ∼880 deg. C, following the formation of a thin precursor graphitic film, diamond nucleation occurs and a nanodiamond film grows, at higher and lower deposition temperatures the films maintain their graphitic character. In this study the hydrogen content, density and nanocrystalline phase composition of films deposited at various temperatures are investigated. We aim to elucidate the role of hydrogen in nanocrystalline films with a predominant diamond character. Secondary ion mass spectroscopy revealed a considerable increase of the hydrogen concentration in the films that accompanies the growth of nanodiamond. It correlates with near edge x-ray adsorption spectroscopy measurements, that showed an appearance of spectroscopic features associated with the diamond structure, and with a substantial increase of the film density detected by x-ray reflectivity. Electron energy loss spectroscopy showed that nanocrystalline diamond films can be deposited from a CH 4 /H 2 mixture with hydrogen concentration in the 80%-95% range. For a deposition temperature of 880 deg. C, the highest diamond character of the films was found for a hydrogen concentration of 91% of H 2 . The deposition temperature plays an important role in diamond formation, strongly influencing the content of adsorbed hydrogen with an optimum at 880 deg. C. It is suggested that diamond nucleation and growth of the nanodiamond phase is driven by densification of the deposited graphitic films which results in high local compressive stresses. Nanodiamond formation is accompanied by an increase of hydrogen concentration in the films. It is suggested that hydrogen retention is critical for stabilization of nanodiamond crystallites. At lower

  14. Deposition and microstructure of Ti-containing diamond-like carbon nanocomposite films

    International Nuclear Information System (INIS)

    Yang, Won Jae; Sekino, Tohru; Shim, Kwang Bo; Niihara, Koichi; Auh, Keun Ho

    2005-01-01

    Ti-containing diamond-like carbon (DLC) films were deposited by plasma decomposition of CH 4 /Ar gas mixtures with an introduction of tetrakis(dimethylamino)titanium (TDMAT, Ti[(CH 3 ) 2 N] 4 ), which was used as a precursor of titanium. The films deposited were found to be nanocomposite coatings consisting of TiN nanocrystalline clusters and amorphous hydrocarbon (a-C:H), indicating that the nanocrystalline clusters were embedded in the DLC matrix. The crystallinity of TiN clusters, as well as the Ti atomic concentrations in the films, increased with an increase of substrate temperature. The substrate temperature applied to form a crystalline phase in the DLC matrix induced a graphitization of amorphous hydrocarbon matrix. The increase of volume fraction of TiN nanocrystalline clusters in the DLC matrix enhanced the mechanical properties of nanostructured coatings, although the graphite-like structural transition of DLC matrix happened due to the applied heating

  15. Influence of electroformation regime on the specific properties of cobalt oxide‒platinum composite films deposited on conductive diamond

    Energy Technology Data Exchange (ETDEWEB)

    Spătaru, Tanţa; Osiceanu, Petre; Preda, Loredana; Munteanu, Cornel [Institute of Physical Chemistry “Ilie Murgulescu”, 202 Spl. Independenţei 060021, Bucharest (Romania); Spătaru, Nicolae, E-mail: nspataru@icf.ro [Institute of Physical Chemistry “Ilie Murgulescu”, 202 Spl. Independenţei 060021, Bucharest (Romania); Fujishima, Akira [Tokyo University of Science, 1-3 Kagurazaka, Shinjuku-ku Tokyo 162-8601 (Japan)

    2014-04-01

    Two straightforward electrochemical methods were used in the present work for depositing cobalt oxide-platinum composite films on boron-doped diamond substrates in order to put into evidence the effect of the electroformation regime on the morphological and electrochemical features of these hybrid systems. The shift from potentiostatic to potentiodynamic deposition enabled not only a significant improvement of the Pt particles dispersion but also a much higher surface concentration of oxygenated species of platinum. For similar Co{sub 3}O{sub 4} and Pt loadings, the specific capacitance of the composite films deposited by cyclic voltammetry was with ca. 8% higher than that of the potentiostatically obtained ones. Additional advantage of potentiodynamic deposition is the improved resistance to fouling during methanol anodic oxidation of Pt particles, tentatively ascribed to the higher surface concentration of oxygenated species of platinum. - Highlights: • Cobalt oxide-platinum composite films were electrodeposited on conductive diamond. • Composite films formed by cyclic voltammetry exhibit enhanced specific capacitance. • Potentiodynamic deposition enables higher concentration of oxygenated Pt species. • Co{sub 3}O{sub 4}–Pt films prepared by cyclic voltammetry are less susceptible to CO poisoning.

  16. Improved stability of titanium based boron-doped chemical vapor deposited diamond thin-film electrode by modifying titanium substrate surface

    International Nuclear Information System (INIS)

    Lim, P.Y.; Lin, F.Y.; Shih, H.C.; Ralchenko, V.G.; Varnin, V.P.; Pleskov, Yu.V.; Hsu, S.F.; Chou, S.S.; Hsu, P.L.

    2008-01-01

    The film quality and electrochemical properties of BDD (boron-doped diamond) thin films grown by hot-filament chemical vapor deposition technique on titanium substrates that had been subjected to a range of pre-treatment processes were evaluated. The pre-roughened Ti-substrates are shown to support more adherent BDD films. It is evident that acid-etching the Ti-substrate involves surface hydrogenation that enhances nucleation and formation of diamond thereon. The prepared BDD film exhibits wide potential window and electrochemical reversibility. It also demonstrated a better long-term electrochemical stability based on the low variation in voltametric background current upon the exposing of the electrodes to repeated cycles of electrochemical metal deposition/stripping process

  17. Optical and electrical properties of boron doped diamond thin conductive films deposited on fused silica glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ficek, M.; Sobaszek, M.; Gnyba, M. [Department of Metrology and Optoelectronics, Gdansk University of Technology, 11/12G. Narutowicza St., 80-233 Gdansk (Poland); Ryl, J. [Department of Electrochemistry, Corrosion and Material Engineering, Gdansk University of Technology, 11/12 Narutowicza St., 80-233 Gdansk (Poland); Gołuński, Ł. [Department of Metrology and Optoelectronics, Gdansk University of Technology, 11/12G. Narutowicza St., 80-233 Gdansk (Poland); Smietana, M.; Jasiński, J. [Institute of Microelectronics and Optoelectronics, Warsaw University of Technology, 75 Koszykowa St., 00-662 Warsaw (Poland); Caban, P. [Institute of Electronic Materials Technology, 133 Wolczynska St., 01-919 Warsaw (Poland); Bogdanowicz, R., E-mail: rbogdan@eti.pg.gda.pl [Department of Metrology and Optoelectronics, Gdansk University of Technology, 11/12G. Narutowicza St., 80-233 Gdansk (Poland); Materials and Process Simulation Center, California Institute of Technology, Pasadena, CA 91125 (United States)

    2016-11-30

    Highlights: • Growth of 60% of transmittance diamond films with resistivity as low as 48 Ω cm. • Two step seeding process of fused silica: plasma hydrogenation and wet seeding. • Nanodiamond seeding density of 2 × 10{sup 10} cm{sup −2} at fused silica substrates. • High refractive index (2.4 @550 nm) was achieved for BDD films deposited at 500 °C. - Abstract: This paper presents boron-doped diamond (BDD) film as a conductive coating for optical and electronic purposes. Seeding and growth processes of thin diamond films on fused silica have been investigated. Growth processes of thin diamond films on fused silica were investigated at various boron doping level and methane admixture. Two step pre-treatment procedure of fused silica substrate was applied to achieve high seeding density. First, the substrates undergo the hydrogen plasma treatment then spin-coating seeding using a dispersion consisting of detonation nanodiamond in dimethyl sulfoxide with polyvinyl alcohol was applied. Such an approach results in seeding density of 2 × 10{sup 10} cm{sup −2}. The scanning electron microscopy images showed homogenous, continuous and polycrystalline surface morphology with minimal grain size of 200 nm for highly boron doped films. The sp{sup 3}/sp{sup 2} ratio was calculated using Raman spectra deconvolution method. A high refractive index (range of 2.0–2.4 @550 nm) was achieved for BDD films deposited at 500 °C. The values of extinction coefficient were below 0.1 at λ = 550 nm, indicating low absorption of the film. The fabricated BDD thin films displayed resistivity below 48 Ohm cm and transmittance over 60% in the visible wavelength range.

  18. Encapsulation of electroless copper patterns into diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Pimenov, S.M.; Shafeev, G.A.; Lavrischev, S.V. [General Physics Institute, Moscow (Russian Federation)] [and others

    1995-12-31

    The results are reported on encapsulating copper lines into diamond films grown by a DC plasma CVD. The process includes the steps of (i) laser activation of diamond for electroless metal plating, (ii) electroless copper deposition selectively onto the activated surface regions, and (iii) diamond regrowth on the Cu-patterned diamond films. The composition and electrical properties of the encapsulated copper lines were examined, revealing high purity and low electrical resistivity of the encapsulated electroless copper.

  19. D.C. Arcjet Diamond Deposition

    Science.gov (United States)

    Russell, Derrek Andrew

    1995-01-01

    Polycrystalline diamond films synthesized by a D.C. (direct current) arcjet device was reported for the first time in 1988. This device is capable of higher diamond growth rates than any other form of diamond CVD (chemical vapor deposition) process due to its inherent versatility with regard to the enthalpy and fluid properties of the diamond-depositing vapor. Unfortunately, the versatility of this type of device is contrasted by many difficulties such as arc stability and large heat fluxes which make applying it toward diamond deposition a difficult problem. The purpose of this work was to convert the dc arcjet, which is primarily a metallurgical device, into a commercially viable diamond CVD process. The project was divided into two parts: process development and diagnostics. The process development effort concentrated on the certain engineering challenges. Among these was a novel arcjet design that allowed the carbon-source gas to be injected downstream of the tungsten cathode while still facilitating mixture with the main gas feed. Another engineering accomplishment was the incorporation of a water -cooled substrate cooler/spinner that maintained the substrate at the proper temperature, provided the substrate with a large thermal time constant to reduce thermal shock of the diamond film, and enabled the system to achieve a four -inch diameter growth area. The process diagnostics effort concentrated on measurements aimed at developing a fundamental understanding of the properties of the plasma jet such as temperature, plasma density, Mach number, pressure at the substrate, etc. The plasma temperature was determined to be 5195 K by measuring the rotational temperature of C _2 via optical emission spectroscopy. The Mach number of the plasma jet was determined to be ~6.0 as determined by the ratio of the stagnation pressures before and after the shock wave in the plasma jet. The C_2 concentration in the plasma jet was determined to be {~10 }^{12} cm^ {-3} by

  20. Microstructure and mechanical properties of diamond films on titanium-aluminum-vanadium alloy

    Science.gov (United States)

    Catledge, Shane Aaron

    The primary focus of this dissertation is the investigation of the processing-structure-property relationships of diamond films deposited on Ti-6Al-4V alloy by microwave plasma chemical vapor deposition (MPCVD). By depositing a well-adhered protective layer of diamond on an alloy component, its hardness, wear-resistance, performance, and overall lifetime could be significantly increased. However, due to the large thermal expansion mismatch between the diamond film and metal (and the corresponding residual stress induced in the film), film adhesion is typically unsatisfactory and often results in immediate delamination after processing. Therefore, it is a major goal of this research to improve adhesion of the diamond film to the alloy substrate. Through the use of innovative processing techniques involving MPCVD deposition conditions and methane (CH4), nitrogen (N2), and hydrogen (H2) chemistry, we have achieved diamond films which consistently adhere to the alloy substrate. In addition, we have discovered that, with the appropriate choice of deposition conditions, the film structure can be tailored to range from highly crystalline, well-faceted diamond to nanocrystalline diamond with extremely low surface roughness (as low as 27 nm). The relationship between processing and structure was studied using in-situ optical emission spectroscopy, micro-Raman spectroscopy, surface profilometry, glancing-angle x-ray diffraction, and scanning electron microscopy. We observe that when nitrogen is added to the H2/CH4 feedgas mixture, a carbon-nitrogen (CN) emission band arises and its relative abundance to the carbon dimer (C2) gas species is shown to have a pronounced influence on the diamond film structure. By appropriate choice of deposition chemistry and conditions, we can tailor the diamond film structure and its corresponding properties. The mechanical properties of interest in this thesis are those relating to the integrity of the film/substrate interface, as well as the

  1. Adherence of diamond films on refractory metal substrates for thermionic applications

    International Nuclear Information System (INIS)

    Tsao, B.H.; Ramalingam, M.L.; Adams, S.F.; Cloyd, J.S.

    1991-01-01

    Diamond films are currently being considered as electrical insulation material for application in the thermionic fuel element of a power producing nuclear reactor system. The function of the diamond insulator in this application is to electrically isolate the collector of each cell in the TFE from the coolant and outer sheath. Deposition of diamond films on plane surfaces of Si/SiO 2 have already been demonstrated to be quite effective. However, the diamond films on refractory metal surfaces tend to spall off in the process of deposition revealing an inefficient adherence characteristic between the film and the substrate. This paper is geared towards explaining this deficiency by way of selected experimentation and the use of analytical tools to predict uncertainties such as the mismatch in coefficient of expansion, micrographic study of the interface between the film and the substrate and X-ray diffraction spectra. The investigation of the adherence characteristics of several diamond films on Mo and Nb substrates revealed that there was an allowable stress that resulted in the formation of the critical thickness for the diamond film

  2. Undoped CVD diamond films for electrochemical applications

    International Nuclear Information System (INIS)

    Mosinska, Lidia; Fabisiak, Kazimierz; Paprocki, Kazimierz; Kowalska, Magdalena; Popielarski, Pawel; Szybowicz, Miroslaw

    2013-01-01

    By using different deposition conditions, the CVD diamond films with different qualities and orientation were grown by the hot-filament CVD technique. The object of this article is to summarize and discuss relation between structural, physical and electrochemical properties of different diamond electrodes. The physical properties of the Hot Filament CVD microcrystalline diamond films are analyzed by scanning electron microscopy and Raman spectroscopy. In presented studies two different electrodes were used of the diamond grain sizes around 200 nm and 10 μm, as it was estimated from SEM picture. The diamond layers quality was checked on basis of FWHM (Full width at Half Maximum) of 1332 cm −1 diamond Raman peak. The ratio of sp 3 /sp 2 carbon bonds was determined by 1550 cm −1 G band and 1350 cm −1 D band in the Raman spectrum. The electrochemical properties were analyzed using (CV) cyclic voltammetry measurements in aqueous solutions. The sensitivity of undoped diamond electrodes depends strongly on diamond film quality and concentration of amorphous carbon phase in the diamond layer

  3. TL and OSL studies on undoped diamond films grown by hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Soni, Anuj, E-mail: anujsoni.phy@gmail.com [Radiological Physics and Advisory Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Choudhary, R.K. [Materials Processing Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Polymeris, G.S. [Ankara University, Institute of Nuclear Sciences (Turkey); Mishra, D.R. [Radiological Physics and Advisory Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Mishra, P. [Materials Processing Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Kulkarni, M.S. [Radiation Safety Systems Division, Bhabha Atomic Research Center, Mumbai 400 085 (India)

    2016-09-15

    In this work, approximately 0.5 µm thick diamond films were grown on a silicon substrate by hot filament chemical vapour deposition (HFCVD) method in a gas mixture of hydrogen and methane. The batch to batch reproducibility of the sample using this technique was found to be very good. The obtained film was characterized by micro laser Raman spectroscopy (MLRS), grazing incidence X-ray diffractometry (GIXRD), scanning electron microscopy (SEM) and atomic force miscroscopy (AFM) techniques. MLRS and GIXRD results confirmed the formation of diamond whereas SEM and AFM analyses indicated uniform morphology of the film with an average grain size of 200 nm. The deposited film was studied for ionizing radiation dosimetry applications using the thermoluminescence (TL) and optically stimulated luminescence (OSL) techniques after irradiating the film by a calibrated 5 mCi, {sup 90}Sr/{sup 90}Y beta source. In the TL measurement, for a heating rate of 4 K/s, broad glow curve was obtained which was deconvoluted into seven TL peaks. The integrated TL counts were found to vary linearly with increasing the radiation dose up to 10 kGy. The characteristic TL output seen in the temperature range 200–300 °C, may be considered good for thermal stability of the film and it could also avoid TL fading during storage and non-interference of any black body radiation during the measurement. However, in comparison to TL output, the OSL response for 470 nm LED stimulation was found to be lesser. The CW–OSL decay curve has shown two components contributing to the OSL signal, having photoionization cross-section 1.5×10{sup −18} and 5.2×10{sup −19} cm{sup 2} respectively. The studies have revealed the possibility of using diamond film for high dose radiation dosimetry with TL/OSL method.

  4. The study and the realization of radiation detectors made from polycrystalline diamond films grown by microwave plasma enhanced chemical vapour deposition technique

    International Nuclear Information System (INIS)

    Jany, Ch.

    1998-01-01

    The aim of this work was to develop radiation detectors made from polycrystalline diamond films grown by microwave plasma enhanced chemical vapour deposition technique. The influence of surface treatments, contact technology and diamond growth parameters on the diamond detectors characteristics was investigated in order to optimise the detector response to alpha particles. The first part of the study focused on the electrical behaviour of as-deposited diamond surface, showing a p type conduction and its influence on the leakage current of the device. A surface preparation process was established in order to reduce the leakage current of the device by surface dehydrogenation using an oxidising step. Several methods to form and treat electrical contacts were also investigated showing that the collection efficiency of the device decreases after contact annealing. In the second part, we reported the influence of the diamond deposition parameters on the characteristics of the detectors. The increase of the deposition temperature and/or methane concentration was shown to lead η to decrease. In contrast, η was found to increase with the micro-wave power. The evolution of the diamond detector characteristics results from the variation in sp 2 phases incorporation and in the crystallography quality of the films. These defects increase the leakage current and reduce the carrier mobility and lifetime. Measurements carried out on detectors with different thicknesses showed that the physical properties varies along the growth direction, improving with the film thickness. Finally, the addition of nitrogen (> 10 ppm) in the gas mixture during diamond deposition was found to strongly reduce the collection efficiency of the detectors. To conclude the study, we fabricated and characterised diamond devices which were used for thermal neutron detection and for the intensity and shape measurement of VUV and soft X-ray pulses. (author)

  5. Deposition of diamond-like carbon films by plasma source ion implantation with superposed pulse

    International Nuclear Information System (INIS)

    Baba, K.; Hatada, R.

    2003-01-01

    Diamond-like carbon (DLC) films were prepared on silicon wafer substrate by plasma source ion implantation with superposed negative pulse. Methane and acetylene gases were used as working gases for plasma. A negative DC voltage and a negative pulse voltage were superposed and applied to the substrate holder. The DC voltage was changed in the range from 0 to -4 kV and the pulse voltage was changed from 0 to -18 kV. The surface of DLC films was very smooth. The deposition rate of DLC films increased with increasing in superposed DC bias voltage. Carbon ion implantation was confirmed for the DLC film deposited from methane plasma with high pulse voltage. I D /I G ratios of Raman spectroscopy were around 1.5 independent on pulse voltage. The maximum hardness of 20.3 GPa was observed for the film prepared with high DC and high pulse voltage

  6. Enhanced field emission characteristics of boron doped diamond films grown by microwave plasma assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Koinkar, Pankaj M. [Center for International Cooperation in Engineering Education (CICEE), University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan); Patil, Sandip S. [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Kim, Tae-Gyu [Department of Nano System and Process Engineering, Pusan National University, 50 Cheonghak-ri, Samrangjin-eup, Miryang, Gyeongnam, Pusan 627-706 (Korea, Republic of); Yonekura, Daisuke [Department of Mechanical Engineering, University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan); More, Mahendra A., E-mail: mam@physics.unipune.ac.in [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Joag, Dilip S. [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Murakami, Ri-ichi, E-mail: murakami@me.tokushima-u.ac.jp [Department of Mechanical Engineering, University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan)

    2011-01-01

    Boron doped diamond films were synthesized on silicon substrates by microwave plasma chemical vapor deposition (MPCVD) technique. The effect of B{sub 2}O{sub 3} concentration varied from 1000 to 5000 ppm on the field emission characteristics was examined. The surface morphology and quality of films were characterized by scanning electron microscope (SEM) and Raman spectroscopy. The surface morphology obtained by SEM showed variation from facetted microcrystal covered with nanometric grains to cauliflower of nanocrystalline diamond (NCD) particles with increasing B{sub 2}O{sub 3} concentration. The Raman spectra confirm the formation of NCD films. The field emission properties of NCD films were observed to improve upon increasing boron concentration. The values of the onset field and threshold field are observed to be as low as 0.36 and 0.08 V/{mu}m, respectively. The field emission current stability investigated at the preset value of {approx}1 {mu}A is observed to be good, in each case. The enhanced field emission properties are attributed to the better electrical conductivity coupled with the nanometric features of the diamond films.

  7. Room-temperature deposition of diamond-like carbon field emitter on flexible substrates

    International Nuclear Information System (INIS)

    Chen, H.; Iliev, M.N.; Liu, J.R.; Ma, K.B.; Chu, W.-K.; Badi, N.; Bensaoula, A.; Svedberg, E.B.

    2006-01-01

    Room-temperature fabrication of diamond-like carbon electron field emitters on flexible polyimide substrate is reported. These thin film field emitters are made using an Ar gas cluster ion beam assisted C 6 vapor deposition method. The bond structure of the as-deposited diamond-like carbon film was studied using Raman spectroscopy. The field emission characteristics of the deposited films were also measured. Electron current densities over 15 mA/cm 2 have been recorded under an electrical field of about 65 V/μm. These diamond-like carbon field emitters are easy and inexpensive to fabricate. The results are promising for flexible field-emission fabrication without the need of complex patterning and tip shaping as compared to the Spindt-type field emitters

  8. Fabrication of Antireflection Nanodiamond Particle Film by the Spin Coating Deposition Technique

    Directory of Open Access Journals (Sweden)

    Chii-Ruey Lin

    2014-01-01

    Full Text Available Diamond-based antireflective (AR coatings were fabricated using a spin coating of diamond suspension at room temperature as nucleation enhancement procedure and microwave plasma enhanced chemical vapour deposition. Various working pressures were used to investigate their effect on the optical characterization of the as-deposited diamond films. Scanning electron microscopy (SEM and atomic forced microscopy (AFM were employed to analyze the surface properties of the diamond films. Raman spectra and transmission electron microscopy (TEM also were used for analysis of the microstructure of the films. The results showed that working pressure had a significant effect on thickness, surface roughness, and wettability of the as-deposited diamond films. Deposited under 35 Torr or working pressure, the film possessed a low surface roughness of 13.8 nm and fine diamond grain sizes of 35 nm. Reflectance measurements of the films also were carried out using UV-Vis spectrometer and revealed a low reflectance value of the diamond films. The achievement demonstrated feasibility of the proposed spin-coating procedure for large scale production and thus opens up a prospect application of diamond film as an AR coating in industrial optoelectronic device.

  9. Structural properties and surface wettability of Cu-containing diamond-like carbon films prepared by a hybrid linear ion beam deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Peng; Sun, Lili; Li, Xiaowei [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Xu, Sheng [Gao Hong Coating Technology Co., Ltd, Huzhou 313000 (China); Ke, Peiling [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Wang, Aiying, E-mail: aywang@nimte.ac.cn [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China)

    2015-06-01

    Cu-containing diamond-like carbon (Cu-DLC) films were deposited on Si/glass substrate by a hybrid ion beam deposition system. The Cu concentration (0.1–39.7 at.%) in the film was controlled by varying the sputtering current. The microstructure and composition of Cu-DLC films were investigated systematically. The surface topography, roughness and surface wettability of the films were also studied. Results indicated that with increasing the Cu concentration, the water contact angle of the films changed from 66.8° for pure carbon film to more than 104.4° for Cu-DLC films with Cu concentration larger than 24.4 at.%. In the hydrophilic region, the polar surface energy decreased from 30.54 mJ/m{sup 2} for pure carbon film to 2.48 mJ/m{sup 2} for the film with Cu 7.0 at.%. - Highlights: • Cu-containing diamond-like carbon (DLC) films were deposited by a hybrid ion beam system. • Cu-containing DLC films exhibited a wide range of water contact angle. • The water contact angles vary with the surface energies and surface roughness.

  10. γ radiation thermoluminescence performance of HFCVD diamond films

    International Nuclear Information System (INIS)

    Gastelum, S.; Cruz-Zaragoza, E.; Melendrez, R.; Chernov, V.; Barboza-Flores, M.

    2006-01-01

    Polycrystalline chemically vapor deposited (CVD) diamond films have been proposed as detectors and dosimeters of ionizing radiation with prospective applications in high-energy photon dosimetry applications. We present a comparison study on the thermoluminescence (TL) properties of two diamond film samples grown by the hot filament CVD method having thickness of 180 and 500 μm and exposed to γ radiation in the 1-300 Gy dose range. The 180 μm thick sample deposited on silicon substrate displayed a TL glow curve peaked at 145 deg. C. The 500 μm, which was a free standing sample, exhibited higher intensity and a well defined first order kinetics TL glow peak around 289 deg. C. Both diamond samples showed a linear dose behavior in the 1-50 Gy range and sublinear behavior for higher doses. The 180 and 500 μm samples presented about 80% and 30% TL losses in a 24 h period, respectively, with both samples showing excellent TL reproducibility. The results indicate that the 500 μm CVD diamond film exhibited a good TL behavior adequate for γ radiation dosimetry

  11. High energy ion beam induced modifications in diamond and diamond like carbon thin films

    International Nuclear Information System (INIS)

    Dilawar, N.; Sah, S.; Mehta, B.R.; Vankar, V.D.

    1996-01-01

    Diamond and DLC films deposited using hot-filament chemical vapour deposition technique at various parameters were irradiated with 50 MeV Si 4+ ions. The resulting microstructural changes were studied using X-ray diffraction and scanning electron microscopy. All the samples showed the development of β-SiC and hexagonal carbon phases at the expense of the diamond/DLC phase. The ERD analysis was carried out to determine the hydrogen concentration and its distribution in DLC films. The absolute hydrogen concentration in DLC samples is of the order of 10 22 atoms/cm 3 which gets depleted on irradiation. The DLC samples show a clear dependence of hydrogen content on the deposition parameters. (author)

  12. Diamond films deposited by oxygen-enhanced linear plasma chemistry

    Czech Academy of Sciences Publication Activity Database

    Kromka, Alexander; Babchenko, Oleg; Ižák, Tibor; Varga, Marián; Davydova, Marina; Krátká, Marie; Rezek, Bohuslav

    2013-01-01

    Roč. 5, č. 6 (2013), s. 509-514 ISSN 2164-6627 R&D Projects: GA ČR(CZ) GBP108/12/G108; GA ČR GAP108/12/0996 Institutional support: RVO:68378271 Keywords : diamond films * process gas chemistry * pulsed microwave plasma * surface conductivity of diamond Subject RIV: BM - Solid Matter Physics ; Magnetism

  13. Sputtered tungsten-based ternary and quaternary layers for nanocrystalline diamond deposition.

    Science.gov (United States)

    Walock, Michael J; Rahil, Issam; Zou, Yujiao; Imhoff, Luc; Catledge, Shane A; Nouveau, Corinne; Stanishevsky, Andrei V

    2012-06-01

    Many of today's demanding applications require thin-film coatings with high hardness, toughness, and thermal stability. In many cases, coating thickness in the range 2-20 microm and low surface roughness are required. Diamond films meet many of the stated requirements, but their crystalline nature leads to a high surface roughness. Nanocrystalline diamond offers a smoother surface, but significant surface modification of the substrate is necessary for successful nanocrystalline diamond deposition and adhesion. A hybrid hard and tough material may be required for either the desired applications, or as a basis for nanocrystalline diamond film growth. One possibility is a composite system based on carbides or nitrides. Many binary carbides and nitrides offer one or more mentioned properties. By combining these binary compounds in a ternary or quaternary nanocrystalline system, we can tailor the material for a desired combination of properties. Here, we describe the results on the structural and mechanical properties of the coating systems composed of tungsten-chromium-carbide and/or nitride. These WC-Cr-(N) coatings are deposited using magnetron sputtering. The growth of adherent nanocrystalline diamond films by microwave plasma chemical vapor deposition has been demonstrated on these coatings. The WC-Cr-(N) and WC-Cr-(N)-NCD coatings are characterized with atomic force microscopy and SEM, X-ray diffraction, X-ray photoelectron spectroscopy, Raman spectroscopy, and nanoindentation.

  14. Selective deposition of polycrystalline diamond films using photolithography with addition of nanodiamonds as nucleation centers

    International Nuclear Information System (INIS)

    Okhotnikov, V V; Linnik, S A; Gaidaichuk, A V; Shashev, D V; Nazarova, G Yu; Yurchenko, V I

    2016-01-01

    A new method of selective deposition of polycrystalline diamond has been developed and studied. The diamond coatings with a complex, predetermined geometry and resolution up to 5 μm were obtained. A high density of polycrystallites in the coating area was reached (up to 32·10 7 pcs/cm 2 ). The uniformity of the film reached 100%, and the degree of the surface contamination by parasitic crystals did not exceed 2%. The technology was based on the application of the standard photolithography with an addition of nanodiamond suspension into the photoresist that provided the creation of the centers of further nucleation in the areas which require further overgrowth. The films were deposited onto monocrystalline silicon substrates using the method of “hot filaments” in the CVD reactor. The properties of the coating and the impact of the nanodiamond suspension concentration in the photoresist were also studied. The potential use of the given method includes a high resolution, technological efficiency, and low labor costs compared to the standard methods (laser treatment, chemical etching in aggressive environments,). (paper)

  15. Selective deposition of polycrystalline diamond films using photolithography with addition of nanodiamonds as nucleation centers

    Science.gov (United States)

    Okhotnikov, V. V.; Linnik, S. A.; Gaidaichuk, A. V.; Shashev, D. V.; Nazarova, G. Yu; Yurchenko, V. I.

    2016-02-01

    A new method of selective deposition of polycrystalline diamond has been developed and studied. The diamond coatings with a complex, predetermined geometry and resolution up to 5 μm were obtained. A high density of polycrystallites in the coating area was reached (up to 32·107 pcs/cm2). The uniformity of the film reached 100%, and the degree of the surface contamination by parasitic crystals did not exceed 2%. The technology was based on the application of the standard photolithography with an addition of nanodiamond suspension into the photoresist that provided the creation of the centers of further nucleation in the areas which require further overgrowth. The films were deposited onto monocrystalline silicon substrates using the method of “hot filaments” in the CVD reactor. The properties of the coating and the impact of the nanodiamond suspension concentration in the photoresist were also studied. The potential use of the given method includes a high resolution, technological efficiency, and low labor costs compared to the standard methods (laser treatment, chemical etching in aggressive environments,).

  16. Increased charge storage capacity of titanium nitride electrodes by deposition of boron-doped nanocrystalline diamond films

    DEFF Research Database (Denmark)

    Meijs, Suzan; McDonald, Matthew; Sørensen, Søren

    2015-01-01

    The aim of this study was to investigate the feasibility of depositing a thin layer of boron-doped nanocrystalline diamond (B-NCD) on titanium nitride (TiN) coated electrodes and the effect this has on charge injection properties. The charge storage capacity increased by applying the B-NCD film...

  17. Rhenium Alloys as Ductile Substrates for Diamond Thin-Film Electrodes.

    Science.gov (United States)

    Halpern, Jeffrey M; Martin, Heidi B

    2014-02-01

    Molybdenum-rhenium (Mo/Re) and tungsten-rhenium (W/Re) alloys were investigated as substrates for thin-film, polycrystalline boron-doped diamond electrodes. Traditional, carbide-forming metal substrates adhere strongly to diamond but lose their ductility during exposure to the high-temperature (1000°C) diamond, chemical vapor deposition environment. Boron-doped semi-metallic diamond was selectively deposited for up to 20 hours on one end of Mo/Re (47.5/52.5 wt.%) and W/Re (75/25 wt.%) alloy wires. Conformal diamond films on the alloys displayed grain sizes and Raman signatures similar to films grown on tungsten; in all cases, the morphology and Raman spectra were consistent with well-faceted, microcrystalline diamond with minimal sp 2 carbon content. Cyclic voltammograms of dopamine in phosphate-buffered saline (PBS) showed the wide window and low baseline current of high-quality diamond electrodes. In addition, the films showed consistently well-defined, dopamine electrochemical redox activity. The Mo/Re substrate regions that were uncoated but still exposed to the diamond-growth environment remained substantially more flexible than tungsten in a bend-to-fracture rotation test, bending to the test maximum of 90° and not fracturing. The W/Re substrates fractured after a 27° bend, and the tungsten fractured after a 21° bend. Brittle, transgranular cleavage fracture surfaces were observed for tungsten and W/Re. A tension-induced fracture of the Mo/Re after the prior bend test showed a dimple fracture with a visible ductile core. Overall, the Mo/Re and W/Re alloys were suitable substrates for diamond growth. The Mo/Re alloy remained significantly more ductile than traditional tungsten substrates after diamond growth, and thus may be an attractive metal substrate for more ductile, thin-film diamond electrodes.

  18. Fabrication of nitrogen-containing diamond-like carbon film by filtered arc deposition as conductive hard-coating film

    Science.gov (United States)

    Iijima, Yushi; Harigai, Toru; Isono, Ryo; Imai, Takahiro; Suda, Yoshiyuki; Takikawa, Hirofumi; Kamiya, Masao; Taki, Makoto; Hasegawa, Yushi; Tsuji, Nobuhiro; Kaneko, Satoru; Kunitsugu, Shinsuke; Habuchi, Hitoe; Kiyohara, Shuji; Ito, Mikio; Yick, Sam; Bendavid, Avi; Martin, Phil

    2018-01-01

    Diamond-like carbon (DLC) films, which are amorphous carbon films, have been used as hard-coating films for protecting the surface of mechanical parts. Nitrogen-containing DLC (N-DLC) films are expected as conductive hard-coating materials. N-DLC films are expected in applications such as protective films for contact pins, which are used in the electrical check process of integrated circuit chips. In this study, N-DLC films are prepared using the T-shaped filtered arc deposition (T-FAD) method, and film properties are investigated. Film hardness and film density decreased when the N content increased in the films because the number of graphite structures in the DLC film increased as the N content increased. These trends are similar to the results of a previous study. The electrical resistivity of N-DLC films changed from 0.26 to 8.8 Ω cm with a change in the nanoindentation hardness from 17 to 27 GPa. The N-DLC films fabricated by the T-FAD method showed high mechanical hardness and low electrical resistivity.

  19. The effect of the partial pressure of H2 gas and atomic hydrogen on diamond films deposited using CH3OH/H2O gas

    International Nuclear Information System (INIS)

    Lee, Kwon-Jai; Koh, Jae-Gui; Shin, Jae-Soo; Kwon, Ki-Hong; Lee, Chang-Hee

    2006-01-01

    Diamond films were deposited on Si(100) substrates by hot filament chemical vapor deposition (HFCVD) with a CH 3 OH/H 2 O gas mixture while changing the gas ratio. The films were analyzed with scanning electron microscopy (SEM), Raman spectroscopy, and optical emission spectroscopy (OES). The diamond films were grown with CH 3 OH being 52 % by volume of the gas mixture. The effect of atomic hydrogen on the film was different from that of the CH 4 /H 2 gas mixture. Analysis with OES during film growth indicated that among the thermally dissociated hydrogen radicals, only H α contributed to the etching of graphite.

  20. RF characteristic of MESFET on H-terminated DC arc jet CVD diamond film

    International Nuclear Information System (INIS)

    Liu, J.L.; Li, C.M.; Zhu, R.H.; Guo, J.C.; Chen, L.X.; Wei, J.J.; Hei, L.F.; Wang, J.J.; Feng, Z.H.; Guo, H.; Lv, F.X.

    2013-01-01

    Diamond has been considered to be a potential material for high-frequency and high-power electronic devices due to the excellent electrical properties. In this paper, we reported the radio frequency (RF) characteristic of metal-semiconductor field effect transistor (MESFET) on polycrystalline diamond films prepared by direct current (DC) arc jet chemical vapor deposition (CVD). First, 4 in polycrystalline diamond films were deposited by DC arc jet CVD in gas recycling mode with the deposition rate of 14 μm/h. Then the polished diamond films were treated by microwave hydrogen plasma and the 0.2 μm-gate-length MESFET was fabricated by using Au mask photolithography and electron beam (EB) lithography. The surface conductivity of the H-terminated diamond film and DC and RF performances of the MESFET were characterized. The results demonstrate that, the carrier mobility of 24.6 cm 2 /V s and the carrier density of 1.096 × 10 13 cm −2 are obtained on the surface of H-terminated diamond film. The FET shows the maximum transition frequency (f T ) of 5 GHz and the maximum oscillation frequency (f max ) of 6 GHz at V GS = −0.5 V and V DS = −8 V, which indicates that H-terminated DC arc jet CVD polycrystalline diamond is suitable for the development of high frequency devices.

  1. Near-surface hydrogen depletion of diamond-like carbon films produced by direct ion deposition

    Science.gov (United States)

    Markwitz, Andreas; Gupta, Prasanth; Mohr, Berit; Hübner, René; Leveneur, Jerome; Zondervan, Albert; Becker, Hans-Werner

    2016-03-01

    Amorphous atomically flat diamond-like carbon (DLC) coatings were produced by direct ion deposition using a system based on a Penning ion source, butane precursor gas and post acceleration. Hydrogen depth profiles of the DLC coatings were measured with the 15N R-NRA method using the resonant nuclear reaction 1H(15N, αγ)12C (Eres = 6.385 MeV). The films produced at 3.0-10.5 kV acceleration voltage show two main effects. First, compared to average elemental composition of the film, the near-surface region is hydrogen depleted. The increase of the hydrogen concentration by 3% from the near-surface region towards the bulk is attributed to a growth model which favours the formation of sp2 hybridised carbon rich films in the film formation zone. Secondly, the depth at which the maximum hydrogen concentration is measured increases with acceleration voltage and is proportional to the penetration depth of protons produced by the ion source from the precursor gas. The observed effects are explained by a deposition process that takes into account the contributions of ion species, hydrogen effusion and preferential displacement of atoms during direct ion deposition.

  2. Defect studies of thin ZnO films prepared by pulsed laser deposition

    International Nuclear Information System (INIS)

    Vlček, M; Čížek, J; Procházka, I; Novotný, M; Bulíř, J; Lančok, J; Anwand, W; Brauer, G; Mosnier, J-P

    2014-01-01

    Thin ZnO films were grown by pulsed laser deposition on four different substrates: sapphire (0 0 0 1), MgO (1 0 0), fused silica and nanocrystalline synthetic diamond. Defect studies by slow positron implantation spectroscopy (SPIS) revealed significantly higher concentration of defects in the studied films when compared to a bulk ZnO single crystal. The concentration of defects in the films deposited on single crystal sapphire and MgO substrates is higher than in the films deposited on amorphous fused silica substrate and nanocrystalline synthetic diamond. Furthermore, the effect of deposition temperature on film quality was investigated in ZnO films deposited on synthetic diamond substrates. Defect studies performed by SPIS revealed that the concentration of defects firstly decreases with increasing deposition temperature, but at too high deposition temperatures it increases again. The lowest concentration of defects was found in the film deposited at 450° C.

  3. Giant Negative Piezoresistive Effect in Diamond-like Carbon and Diamond-like Carbon-Based Nickel Nanocomposite Films Deposited by Reactive Magnetron Sputtering of Ni Target

    DEFF Research Database (Denmark)

    Meškinis, Šaru Nas; Gudaitis, Rimantas; Šlapikas, Kęstutis

    2018-01-01

    deposited by either reactive HIPIMS or dc magnetron sputtering of Ni target was explained by possible clustering of the sp2-bonded carbon and/or formation of areas with the decreased hydrogen content. It was suggested that the tensile stress-induced rearrangements of these conglomerations have resulted......Piezoresistive properties of hydrogenated diamond-like carbon (DLC) and DLC-based nickel nanocomposite (DLC:Ni) films were studied in the range of low concentration of nickel nanoparticles. The films were deposited by reactive high power pulsed magnetron sputtering (HIPIMS) of Ni target, and some...... samples were deposited by direct current (dc) reactive magnetron sputtering for comparison purposes. Raman scattering spectroscopy, energy-dispersive X-ray spectrometry (EDS), and X-ray photoelectron spectroscopy (XPS) were used to study the structure and chemical composition of the films. A four...

  4. Raman spectroscopy study of the influence of processing conditions on the structure of polycrystalline diamond films

    International Nuclear Information System (INIS)

    Ramamurti, R.; Shanov, V.; Singh, R.N.; Mamedov, S.; Boolchand, P.

    2006-01-01

    Diamond films are prepared by microwave plasma-enhanced chemical-vapor deposition on Si (100) substrates using the H 2 -Ar-CH 4 gases. Raman scattering data, including the peak position, intensity, area, and width, are analyzed in depth and used to obtain the sp 3 - and sp 2 -bonded carbon contents and the nature of internal stresses in the films. Polarization behavior of the Raman peaks is analyzed to assess its role on the quantitative analysis of the diamond films, which suggested that the 1150 cm -1 Raman peak in nanocrystalline diamond films could be attributed to sp 2 -bonded carbon. The role of the H 2 /Ar content in the gas mixture and substrate temperature on the characteristics of the diamond film is studied. Thickness and grain size of diamond films are also determined by scanning electron microscopy and related to the deposition conditions and Raman results. Deposition conditions, which led to highest sp 3 -bonded carbon content and growth rate, are identified

  5. Adhesion enhancement of diamond-like carbon thin films on Ti alloys by incorporation of nanodiamond particles

    International Nuclear Information System (INIS)

    Zhang, C.Z.; Tang, Y.; Li, Y.S.; Yang, Q.

    2013-01-01

    Coating adherent diamond-like carbon (DLC) thin films directly on Ti alloys is technologically difficult. This research incorporates nanodiamond particles to form a diamond/DLC composite interlayer to enhance the adhesion of DLC thin films on Ti6Al4V substrates. Initially, nanodiamond particles were deposited on Ti6Al4V substrates by microwave plasma enhanced chemical vapor deposition from a methane–hydrogen gas mixture. A DLC thin film was then deposited, on top of the nanodiamond particles, by direct ion beam deposition. Scanning electron microscopy, Atomic force microscopy, X-ray Diffraction and Raman spectroscopy were used to characterize the microstructure and chemical bonding of the deposited particles and films, and Rockwell indentation testing was used to evaluate the adhesion of the deposited films. The results indicate that the pre-deposited nanodiamond particles significantly enhance the interfacial adhesion between the DLC thin film and the Ti6Al4V substrate, possibly by enhanced interfacial bonding, mechanical interlocking, and stress relief. - Highlights: ► Nanodiamond particles were deposited on Ti6Al4V before DLC deposition. ► Diamond/DLC composite film was formed by incorporation of nanodiamond particles. ► Greatly enhanced adhesion of diamond/DLC composite film on Ti6Al4V was achieved. ► Enhanced adhesion is by increased interfacial bonding and mechanical interlocking

  6. Adhesion enhancement of diamond-like carbon thin films on Ti alloys by incorporation of nanodiamond particles

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, C.Z.; Tang, Y. [Department of Mechanical Engineering, University of Saskatchewan, 57 Campus Drive, Saskatoon, SK, Canada S7N 5A9 (Canada); Li, Y.S. [Plasma Physics Laboratory, University of Saskatchewan, 116 Science Place, Saskatoon, SK, Canada S7N 5E2 (Canada); Yang, Q., E-mail: qiaoqin.yang@usask.ca [Department of Mechanical Engineering, University of Saskatchewan, 57 Campus Drive, Saskatoon, SK, Canada S7N 5A9 (Canada)

    2013-01-01

    Coating adherent diamond-like carbon (DLC) thin films directly on Ti alloys is technologically difficult. This research incorporates nanodiamond particles to form a diamond/DLC composite interlayer to enhance the adhesion of DLC thin films on Ti6Al4V substrates. Initially, nanodiamond particles were deposited on Ti6Al4V substrates by microwave plasma enhanced chemical vapor deposition from a methane–hydrogen gas mixture. A DLC thin film was then deposited, on top of the nanodiamond particles, by direct ion beam deposition. Scanning electron microscopy, Atomic force microscopy, X-ray Diffraction and Raman spectroscopy were used to characterize the microstructure and chemical bonding of the deposited particles and films, and Rockwell indentation testing was used to evaluate the adhesion of the deposited films. The results indicate that the pre-deposited nanodiamond particles significantly enhance the interfacial adhesion between the DLC thin film and the Ti6Al4V substrate, possibly by enhanced interfacial bonding, mechanical interlocking, and stress relief. - Highlights: ► Nanodiamond particles were deposited on Ti6Al4V before DLC deposition. ► Diamond/DLC composite film was formed by incorporation of nanodiamond particles. ► Greatly enhanced adhesion of diamond/DLC composite film on Ti6Al4V was achieved. ► Enhanced adhesion is by increased interfacial bonding and mechanical interlocking.

  7. Thermoluminescence properties of undoped diamond films deposited using HF CVD technique

    Directory of Open Access Journals (Sweden)

    Paprocki K.

    2018-03-01

    Full Text Available Natural diamond has been considered as a perspective material for clinical radiation dosimetry due to its tissuebiocompatibility and chemical inertness. However, the use of natural diamond in radiation dosimetry has been halted by the high market price. The recent progress in the development of CVD techniques for diamond synthesis, offering the capability of growing high quality diamond layers, has renewed the interest in using this material in radiation dosimeters having small geometricalsizes. Polycrystalline CVD diamond films have been proposed as detectors and dosimeters of β and α radiation with prospective applications in high-energy photon dosimetry. In this work, we present a study on the TL properties of undoped diamond film samples grown by the hot filament CVD (HF CVD method and exposed to β and α radiation. The glow curves for both types of radiation show similar character and can be decomposed into three components. The dominant TL peaks are centered at around 610 K and exhibit activation energy of the order of 0.90 eV.

  8. SiC interlayer by laser-cladding on WC-Co substrates for CVD diamond deposition

    Energy Technology Data Exchange (ETDEWEB)

    Contin, Andre; Fraga, Mariana Amorim; Vieira, Jose; Trava-Airoldi, Vladimir Jesus; Corat, Evaldo Jose, E-mail: andrecontin@yahoo.com.br [Instituto Nacional de Pesquisas Espaciais (INPE), Sao Jose dos Campos, SP (Brazil); Campos, Raonei Alves [Universidade Federal do Sul e Sudeste do Para (UNIFESSPA), Belem, PA (Brazil); Vasconcelos, Getulio [Instituto de Estudos Avancados (IEA), Sao Jose dos Campos, SP (Brazil)

    2016-07-01

    Full text: Despite their huge industrial potential and commercial interest, the direct diamond coating on cemented carbide (WC-Co) is limited, mainly because of the catalytic effect of Cobalt (Co) and the high difference in thermal expansion coefficient [1]. This results in poor adherence between diamond and WC-Co. In addition, the low diamond film adhesion to the cemented carbide useless for machining applications. Removal of Co binder from the substrate surface by superficial etching is one of the techniques used to improve the adhesion between diamond and WC-Co. For the present study, diamond films were deposited on WC-Co substrates with an intermediate barrier to block the Co diffusion to the surface substrate. The laser cladding process produced the SiC barrier, in which a powder layer is melted by a laser irradiation to create the coating on the substrate. The use of laser cladding is the novel method for an intermediate barrier for cemented carbides. The advantages of laser cladding include a faster processing speed, precision, versatility. We reported the application of pretreatment method called ESND (Electrostatic self-assembly seeding of nanocrystalline diamond). The nucleation density was around 10{sup 11}part/cm{sup 2}. Diamond films were grown by Hot Filament Chemical Vapor Deposition. Characterization of samples included Field Emission Gun-Scanning Electron Microscopy (FEG-SEM), Energy Dispersive X-ray (EDX), X-ray diffraction (XRD) and Raman Scattering Spectroscopy. Results showed that laser irradiation formed stable Co compounds in the interfacial barrier. It is because nucleation and good quality of diamond film since the cobalt are no longer free to migrate to the surface during the CVD diamond deposition. Reference: [1] Y. X. Cui, B. Shen, F. H. Sun. Diamond deposition on WC–Co substrate with amorphous SiC interlayer, Surface Engineering, 30, (2014) 237-243. (author)

  9. INFLUENCE OF THE SILICON INTERLAYER ON DIAMOND-LIKE CARBON FILMS DEPOSITED ON GLASS SUBSTRATES

    Directory of Open Access Journals (Sweden)

    Deiler Antonio Lima Oliveira

    2012-06-01

    Full Text Available Diamond-like carbon (DLC films as a hard protective coating have achieved great success in a diversity of technological applications. However, adhesion of DLC films to substrates can restrict their applications. The influence of a silicon interlayer in order to improve DLC adhesion on glass substrates was investigated. Amorphous silicon interlayer and DLC films were deposited using plasma enhanced chemical vapor deposition from silane and methane, respectively. The bonding structure, transmittance, refraction index, and adherence of the films were also evaluated regarding the thickness of the silicon interlayer. Raman scattering spectroscopy did not show any substantial difference in DLC structure due to the interlayer thickness of the silicon. Optical measurements showed a sharp decrease of transmittance in the ultra-violet region caused by the fundamental absorption of the light. In addition, the absorption edge of transmittance shifted toward longer wavelength side in the ultra-violet region as the thickness of the silicon interlayer increased. The tribological results showed an increase of DLC adherence as the silicon interlayer increased, which was characterized by less cracks around the grooves.

  10. Characterization of diamond-like nanocomposite thin films grown by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Santra, T. S.; Liu, C. H.; Bhattacharyya, T. K.; Patel, P.; Barik, T. K.

    2010-01-01

    Diamond-like nanocomposite (DLN) thin films, comprising the networks of a-C:H and a-Si:O were deposited on pyrex glass or silicon substrate using gas precursors (e.g., hexamethyldisilane, hexamethyldisiloxane, hexamethyldisilazane, or their different combinations) mixed with argon gas, by plasma enhanced chemical vapor deposition technique. Surface morphology of DLN films was analyzed by atomic force microscopy. High-resolution transmission electron microscopic result shows that the films contain nanoparticles within the amorphous structure. Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, and x-ray photoelectron spectroscopy (XPS) were used to determine the structural change within the DLN films. The hardness and friction coefficient of the films were measured by nanoindentation and scratch test techniques, respectively. FTIR and XPS studies show the presence of C-C, C-H, Si-C, and Si-H bonds in the a-C:H and a-Si:O networks. Using Raman spectroscopy, we also found that the hardness of the DLN films varies with the intensity ratio I D /I G . Finally, we observed that the DLN films has a better performance compared to DLC, when it comes to properties like high hardness, high modulus of elasticity, low surface roughness and low friction coefficient. These characteristics are the critical components in microelectromechanical systems (MEMS) and emerging nanoelectromechanical systems (NEMS).

  11. Near-surface hydrogen depletion of diamond-like carbon films produced by direct ion deposition

    Energy Technology Data Exchange (ETDEWEB)

    Markwitz, Andreas, E-mail: A.Markwitz@gns.cri.nz [GNS Science, Lower Hutt (New Zealand); The MacDiarmid Institute for Advanced Materials and Nanotechnology (New Zealand); Gupta, Prasanth [GNS Science, Lower Hutt (New Zealand); The MacDiarmid Institute for Advanced Materials and Nanotechnology (New Zealand); Mohr, Berit [GNS Science, Lower Hutt (New Zealand); Hübner, René [Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden-Rossendorf (Germany); Leveneur, Jerome; Zondervan, Albert [GNS Science, Lower Hutt (New Zealand); Becker, Hans-Werner [RUBION, Ruhr-University Bochum (Germany)

    2016-03-15

    Amorphous atomically flat diamond-like carbon (DLC) coatings were produced by direct ion deposition using a system based on a Penning ion source, butane precursor gas and post acceleration. Hydrogen depth profiles of the DLC coatings were measured with the 15N R-NRA method using the resonant nuclear reaction {sup 1}H({sup 15}N, αγ){sup 12}C (E{sub res} = 6.385 MeV). The films produced at 3.0–10.5 kV acceleration voltage show two main effects. First, compared to average elemental composition of the film, the near-surface region is hydrogen depleted. The increase of the hydrogen concentration by 3% from the near-surface region towards the bulk is attributed to a growth model which favours the formation of sp{sup 2} hybridised carbon rich films in the film formation zone. Secondly, the depth at which the maximum hydrogen concentration is measured increases with acceleration voltage and is proportional to the penetration depth of protons produced by the ion source from the precursor gas. The observed effects are explained by a deposition process that takes into account the contributions of ion species, hydrogen effusion and preferential displacement of atoms during direct ion deposition.

  12. Simulation optimization of filament parameters for uniform depositions of diamond films on surfaces of ultra-large circular holes

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Xinchang, E-mail: wangxinchangz@163.com; Shen, Xiaotian; Sun, Fanghong; Shen, Bin

    2016-12-01

    Highlights: • A verified simulation model using a novel filament arrangement is constructed. • Influences of filament parameters are clarified. • A coefficient between simulated and experimental results is proposed. • Orthogonal simulations are adopted to optimize filament parameters. • A general filament arrangement suitable for different conditions is determined. - Abstract: Chemical vapor deposition (CVD) diamond films have been widely applied as protective coatings on varieties of anti-frictional and wear-resistant components, owing to their excellent mechanical and tribological properties close to the natural diamond. In applications of some components, the inner hole surface will serve as the working surface that suffers severe frictional or erosive wear. It is difficult to realize uniform depositions of diamond films on surfaces of inner holes, especially ultra-large inner holes. Adopting a SiC compact die with an aperture of 80 mm as an example, a novel filament arrangement with a certain number of filaments evenly distributed on a circle is designed, and specific effects of filament parameters, including the filament number, arrangement direction, filament temperature, filament diameter, circumradius and the downward translation, on the substrate temperature distribution are studied by computational fluid dynamics (CFD) simulations based on the finite volume method (FVM), adopting a modified computational model well consistent with the actual deposition environment. Corresponding temperature measurement experiments are also conducted to verify the rationality of the computational model. From the aspect of depositing uniform boron-doped micro-crystalline, undoped micro-crystalline and undoped fine-grained composite diamond (BDM-UMC-UFGCD) film on such the inner hole surface, filament parameters as mentioned above are accurately optimized and compensated by orthogonal simulations. Moreover, deposition experiments adopting compensated optimized

  13. Modifying thin film diamond for electronic applications

    International Nuclear Information System (INIS)

    Baral, B.

    1999-01-01

    The unique combination of properties that diamond possesses are being exploited in both electronic and mechanical applications. An important step forward in the field has been the ability to grow thin film diamond by chemical vapour deposition (CVD) methods and to control parameters such as crystal orientation, dopant level and surface roughness. An extensive understanding of the surface of any potential electronic material is vital to fully comprehend its behaviour within device structures. The surface itself ultimately controls key aspects of device performance when interfaced with other materials. This study has provided insight into important chemical reactions on polycrystalline CVD diamond surfaces, addressing how certain surface modifications will ultimately affect the properties of the material. A review of the structure, bonding, properties and potential of diamond along with an account of the current state of diamond technology and CVD diamond growth is provided. The experimental chapter reviews bulk material and surface analytical techniques employed in this work and is followed by an investigation of cleaning treatments for polycrystalline CVD diamond aimed at removing non-diamond carbon from the surface. Selective acid etch treatments are compared and contrasted for efficacy with excimer laser irradiation and hydrogen plasma etching. The adsorption/desorption kinetics of potential dopant-containing precursors on polycrystalline CVD diamond surfaces have been investigated to compare their effectiveness at introducing dopants into the diamond during the growth stage. Both boron and sulphur-containing precursor compounds have been investigated. Treating polycrystalline CVD diamond in various atmospheres / combination of atmospheres has been performed to enhance electron field emission from the films. Films which do not emit electrons under low field conditions can be modified such that they emit at fields as low as 10 V/μm. The origin of this enhancement

  14. Effect of pulse biasing on the morphology of diamond films grown by hot filament CVD

    International Nuclear Information System (INIS)

    Beake, B.D.; Hussain, I.U.; Rego, C.; Ahmed, W.

    1999-01-01

    There has been considerable interest in the chemical vapour deposition (CVD) of diamond due to its unique mechanical, optical and electronic properties, which make it useful for many applications. For use in optical and electronic applications further developments in the CVD process are required to control the surface morphology and crystal size of the diamond films. These will require a detailed understanding of both the nucleation and growth processes that effect the properties. The technique of bias enhanced nucleation (BEN) of diamond offers better reproducibility than conventional pre-treatment methods such as mechanical abrasion. Atomic force microscopy (AFM) and scanning electron microscopy (SEM) have been used study the surface modification of diamond films on silicon substrates during pulse biased growth in a hot filament CVD reactor. Pre-abraded silicon substrates were subjected to a three-step sequential growth process: (i) diamond deposition under standard CVD conditions, (ii) bias pre-treatment and (iii) deposition under standard conditions. The results show that the bias pre-treatment time is a critical parameter controlling the surface morphology and roughness of the diamond films deposited. Biasing reduces the surface roughness from 152 nm for standard CVD diamond to 68 nm for the 2.5 minutes pulse biased film. Further increase in the bias time results in an increase in surface roughness and crystallite size. (author)

  15. Friction Properties of Polished Cvd Diamond Films Sliding against Different Metals

    Science.gov (United States)

    Lin, Zichao; Sun, Fanghong; Shen, Bin

    2016-11-01

    Owing to their excellent mechanical and tribological properties, like the well-known extreme hardness, low coefficient of friction and high chemical inertness, chemical vapor deposition (CVD) diamond films have found applications as a hard coating for drawing dies. The surface roughness of the diamond films is one of the most important attributes to the drawing dies. In this paper, the effects of different surface roughnesses on the friction properties of diamond films have been experimentally studied. Diamond films were fabricated using hot filament CVD. The WC-Co (Co 6wt.%) drawing dies were used as substrates. A gas mixture of acetone and hydrogen gas was used as the feedstock gas. The CVD diamond films were polished using mechanical polishing. Polished diamond films with three different surface roughnesses, as well as the unpolished diamond film, were fabricated in order to study the tribological performance between the CVD diamond films and different metals with oil lubrication. The unpolished and polished CVD diamond films are characterized with scanning electron microscope (SEM), atomic force microscope (AFM), surface profilometer, Raman spectrum and X-ray diffraction (XRD). The friction examinations were carried out by using a ball-on-plate type reciprocating friction tester. Low carbide steel, stainless steel, copper and aluminum materials were used as counterpart balls. Based on this study, the results presented the friction coefficients between the polished CVD films and different metals. The friction tests demonstrate that the smooth surface finish of CVD diamond films is beneficial for reducing their friction coefficients. The diamond films exhibit low friction coefficients when slid against the stainless steel balls and low carbide steel ball, lower than that slid against copper ball and aluminum ball, attributed to the higher ductility of copper and aluminum causing larger amount of wear debris adhering to the sliding interface and higher adhesive

  16. Phenomenological effets of tantalum incorporation into diamond films: Experimental and first principle studies

    Energy Technology Data Exchange (ETDEWEB)

    Ullah, Mahtab, E-mail: mahtabullah@bzu.edu.pk [Department of Physics, Bahauddin Zakariya University Multan (Pakistan); Rana, Anwar Manzoor; Ahmad, E. [Department of Physics, Bahauddin Zakariya University Multan (Pakistan); Raza, Rizwan [Department of Physics, COMSATS Institute of Information Technology, Lahore-54000 (Pakistan); Hussain, Fayyaz [Department of Physics, Bahauddin Zakariya University Multan (Pakistan); Hussain, Akhtar; Iqbal, Muhammad [Theoretical Physics Division, PINSTECH, P.O. Nilore, Islamabad (Pakistan)

    2016-09-01

    Graphical abstract: - Highlights: • Fabrication of tantalum incorporated diamonds films using HFCVD technique. • Decrease in resistivity by increasing tantalum content in diamond thin films. • Electronic structure calculations of tantalum incorporated diamonds films through VASP code. • A rise of bond length and bond angles by addition of tantalum in the diamond lattice. • Confirmation of decrease of resistivity by adding tantalum due to creation of impurity states in the bandgap. - Abstract: Tantalum (Ta) incorporated diamond films are synthesized on silicon substrate by chemical vapor deposition under gas mixture of CH{sub 4} + H{sub 2}. Characterizations of the resulting films indicate that morphology and resistivity of as-grown diamond films are significantly influenced by the process parameters and the amount of tantalum incorporated in the diamond films. XRD plots reveal that diamond films are composed of TaC along with diamond for higher concentration of tantalum and Ta{sub 2}C phases for lower concentration of tantalum. EDS spectra confirms the existence of tantalum in the diamond films. Resistivity measurements illustrate a sudden fall of about two orders of magnitude by the addition of tantalum in the diamond films. Band structure of Ta-incorporated diamond has been investigated based on density functional theory (DFT) using VASP code. Band structure calculations lead to the semiconducting behavior of Ta-incorporated diamond films because of the creation of defects states inside the band gap extending towards conduction band minimum. Present DFT results support experimental trend of resistivity that with the incorporation of tantalum into diamond lattice causes a decrease in the resistivity of diamond films so that tantalum-incorporated diamond films behave like a good semiconductor.

  17. New route to the fabrication of nanocrystalline diamond films

    International Nuclear Information System (INIS)

    Varshney, Deepak; Morell, Gerardo; Palomino, Javier; Resto, Oscar; Gil, Jennifer; Weiner, Brad R.

    2014-01-01

    Nanocrystalline diamond (NCD) thin films offer applications in various fields, but the existing synthetic approaches are cumbersome and destructive. A major breakthrough has been achieved by our group in the direction of a non-destructive, scalable, and economic process of NCD thin-film fabrication. Here, we report a cheap precursor for the growth of nanocrystalline diamond in the form of paraffin wax. We show that NCD thin films can be fabricated on a copper support by using simple, commonplace paraffin wax under reaction conditions of Hot Filament Chemical Vapor Deposition (HFCVD). Surprisingly, even the presence of any catalyst or seeding that has been conventionally used in the state-of-the-art is not required. The structure of the obtained films was analyzed by scanning electron microscopy and transmission electron microscopy. Raman spectroscopy and electron energy-loss spectroscopy recorded at the carbon K-edge region confirm the presence of nanocrystalline diamond. The process is a significant step towards cost-effective and non-cumbersome fabrication of nanocrystalline diamond thin films for commercial production

  18. Effect of working pressure on corrosion behavior of nitrogen doped diamond-like carbon thin films deposited by DC magnetron sputtering.

    Science.gov (United States)

    Khun, N W; Liu, E

    2011-06-01

    Nitrogen doped diamond-like carbon thin films were deposited on highly conductive p-silicon(100) substrates using a DC magnetron sputtering deposition system by varying working pressure in the deposition chamber. The bonding structure, adhesion strength, surface roughness and corrosion behavior of the films were investigated by using X-ray photoelectron spectroscopy, micro-Raman spectroscopy, micro-scratch test, atomic force microscopy and potentiodynamic polarization test. A 0.6 M NaCl electrolytic solution was used for the corrosion tests. The optimum corrosion resistance of the films was found at a working pressure of 7 mTorr at which a good balance between the kinetics of the sputtered ions and the surface mobility of the adatoms promoted a microstructure of the films with fewer porosities.

  19. A Review on the Low-Dimensional and Hybridized Nanostructured Diamond Films

    Directory of Open Access Journals (Sweden)

    Hongdong Li

    2015-01-01

    Full Text Available In the last decade, besides the breakthrough of high-rate growth of chemical vapor deposited single-crystal diamonds, numerous nanostructured diamond films have been rapidly developed in the research fields of the diamond-based sciences and industrial applications. The low-dimensional diamonds of two-dimensional atomic-thick nanofilms and nanostructural diamond on the surface of bulk diamond films have been theoretically and experimentally investigated. In addition, the diamond-related hybrid nanostructures of n-type oxide/p-type diamond and n-type nitride/p-type diamond, having high performance physical and chemical properties, are proposed for further applications. In this review, we first briefly introduce the three categories of diamond nanostructures and then outline the current advances in these topics, including their design, fabrication, characterization, and properties. Finally, we address the remaining challenges in the research field and the future activities.

  20. Nano-Crystalline Diamond Films with Pineapple-Like Morphology Grown by the DC Arcjet vapor Deposition Method

    Science.gov (United States)

    Li, Bin; Zhang, Qin-Jian; Shi, Yan-Chao; Li, Jia-Jun; Li, Hong; Lu, Fan-Xiu; Chen, Guang-Chao

    2014-08-01

    A nano-crystlline diamond film is grown by the dc arcjet chemical vapor deposition method. The film is characterized by scanning electron microscopy, high-resolution transmission electron microscopy (HRTEM), x-ray diffraction (XRD) and Raman spectra, respectively. The nanocrystalline grains are averagely with 80 nm in the size measured by XRD, and further proven by Raman and HRTEM. The observed novel morphology of the growth surface, pineapple-like morphology, is constructed by cubo-octahedral growth zones with a smooth faceted top surface and coarse side surfaces. The as-grown film possesses (100) dominant surface containing a little amorphous sp2 component, which is far different from the nano-crystalline film with the usual cauliflower-like morphology.

  1. Optical and mechanical properties of diamond like carbon films ...

    Indian Academy of Sciences (India)

    Diamond like carbon (DLC) films were deposited on Si (111) substrates by microwave electron cyclotron resonance (ECR) plasma chemical vapour deposition (CVD) process using plasma of argon and methane gases. During deposition, a d.c. self-bias was applied to the substrates by application of 13.56 MHz rf power.

  2. Hydrogen termination of CVD diamond films by high-temperature annealing at atmospheric pressure

    NARCIS (Netherlands)

    Seshan, V.; Ullien, D.; Castellanos-Gomez, A.; Sachdeva, S.; Murthy, D.H.K.; Savenije, T.J.; Ahmad, H.A.; Nunney, T.S.; Janssens, S.D.; Haenen, K.; Nesládek, M.; Van der Zant, H.S.J.; Sudhölter, E.J.R.; De Smet, L.C.P.M.

    2013-01-01

    A high-temperature procedure to hydrogenate diamond films using molecular hydrogen at atmospheric pressure was explored. Undoped and doped chemical vapour deposited (CVD) polycrystalline diamond films were treated according to our annealing method using a H2 gas flow down to ?50 ml/min (STP) at

  3. Laser Raman microprobe spectroscopy as a diagnostic for the characterisation of diamond and diamond like carbon (DLC) thin films

    International Nuclear Information System (INIS)

    Johnston, C.

    1990-10-01

    Invariably when manufacturing an artificial diamond film a mixture of carbon is deposited - tetragonally bonded (diamond), trigonally bonded (graphite) and other allotropic crystalline forms and amorphous carbons. This imposes a need for careful analysis to determine exactly what carbon types constitute the films. Raman spectroscopy is particularly sensitive to crystal and atomic structure and has a number of advantages which make it one of the most useful techniques for interrogating diamond and DLC thin films. Although Raman spectroscopy alone cannot fully characterise the film, it can give more information than simply what particular form of carbon or other impurities are present in the film. It can be used to determine the ratio of sp 2 to sp 3 bonding within the film, and to some extent the crystallite or domain size and the internal stress of the film. The use of laser Raman microprobe spectroscopy as a diagnostic tool in the analysis of diamond and DLC thin films is demonstrated for a variety of carbon films on various substrates and the characterisation of these films is discussed. (author)

  4. Method for producing fluorinated diamond-like carbon films

    Science.gov (United States)

    Hakovirta, Marko J.; Nastasi, Michael A.; Lee, Deok-Hyung; He, Xiao-Ming

    2003-06-03

    Fluorinated, diamond-like carbon (F-DLC) films are produced by a pulsed, glow-discharge plasma immersion ion processing procedure. The pulsed, glow-discharge plasma was generated at a pressure of 1 Pa from an acetylene (C.sub.2 H.sub.2) and hexafluoroethane (C.sub.2 F.sub.6) gas mixture, and the fluorinated, diamond-like carbon films were deposited on silicon substrates. The film hardness and wear resistance were found to be strongly dependent on the fluorine content incorporated into the coatings. The hardness of the F-DLC films was found to decrease considerably when the fluorine content in the coatings reached about 20%. The contact angle of water on the F-DLC coatings was found to increase with increasing film fluorine content and to saturate at a level characteristic of polytetrafluoroethylene.

  5. Ultrananocrystalline diamond film as an optimal cell interface for biomedical applications.

    Science.gov (United States)

    Bajaj, Piyush; Akin, Demir; Gupta, Amit; Sherman, Debby; Shi, Bing; Auciello, Orlando; Bashir, Rashid

    2007-12-01

    Surfaces of materials that promote cell adhesion, proliferation, and growth are critical for new generation of implantable biomedical devices. These films should be able to coat complex geometrical shapes very conformally, with smooth surfaces to produce hermetic bioinert protective coatings, or to provide surfaces for cell grafting through appropriate functionalization. Upon performing a survey of desirable properties such as chemical inertness, low friction coefficient, high wear resistance, and a high Young's modulus, diamond films emerge as very attractive candidates for coatings for biomedical devices. A promising novel material is ultrananocrystalline diamond (UNCD) in thin film form, since UNCD possesses the desirable properties of diamond and can be deposited as a very smooth, conformal coating using chemical vapor deposition. In this paper, we compared cell adhesion, proliferation, and growth on UNCD films, silicon, and platinum films substrates using different cell lines. Our results showed that UNCD films exhibited superior characteristics including cell number, total cell area, and cell spreading. The results could be attributed to the nanostructured nature or a combination of nanostructure/surface chemistry of UNCD, which provides a high surface energy, hence promoting adhesion between the receptors on the cell surface and the UNCD films.

  6. Characterization of diamond-like nanocomposite thin films grown by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Santra, T S; Liu, C H [Institute of Nanoengineering and Microsystems (NEMS), National Tsing Hua University, Hsinchu, Taiwan 30043 (China); Bhattacharyya, T K [Department of Electronics and Electrical Communication Engineering, Indian Institute of Technology, Kharagpur 721302, West Bengal (India); Patel, P [Department of Electrical and Computer Engineering, University of Illinois at Urbana Champaign, Urbana, Illinois 61801 (United States); Barik, T K [School of Applied Sciences, Haldia Institute of Technology, Haldia 721657, Purba Medinipur, West Bengal (India)

    2010-06-15

    Diamond-like nanocomposite (DLN) thin films, comprising the networks of a-C:H and a-Si:O were deposited on pyrex glass or silicon substrate using gas precursors (e.g., hexamethyldisilane, hexamethyldisiloxane, hexamethyldisilazane, or their different combinations) mixed with argon gas, by plasma enhanced chemical vapor deposition technique. Surface morphology of DLN films was analyzed by atomic force microscopy. High-resolution transmission electron microscopic result shows that the films contain nanoparticles within the amorphous structure. Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, and x-ray photoelectron spectroscopy (XPS) were used to determine the structural change within the DLN films. The hardness and friction coefficient of the films were measured by nanoindentation and scratch test techniques, respectively. FTIR and XPS studies show the presence of C-C, C-H, Si-C, and Si-H bonds in the a-C:H and a-Si:O networks. Using Raman spectroscopy, we also found that the hardness of the DLN films varies with the intensity ratio I{sub D}/I{sub G}. Finally, we observed that the DLN films has a better performance compared to DLC, when it comes to properties like high hardness, high modulus of elasticity, low surface roughness and low friction coefficient. These characteristics are the critical components in microelectromechanical systems (MEMS) and emerging nanoelectromechanical systems (NEMS).

  7. Dependence of reaction pressure on deposition and properties of boron-doped freestanding diamond films

    International Nuclear Information System (INIS)

    Li Liuan; Li Hongdong; Lue Xianyi; Cheng Shaoheng; Wang Qiliang; Ren Shiyuan; Liu Junwei; Zou Guangtian

    2010-01-01

    In this paper, we investigate the reaction pressure-dependent growth and properties of boron-doped freestanding diamond films, synthesized by hot filament chemical vapor deposition (HFCVD) at different boron-doping levels. With the decrease in pressure, the growth feature of the films varies from mixed [1 1 1] and [1 1 0] to dominated [1 1 1] texture. The low reaction pressure, as well as high boron-doping level, results in the increase (decrease) of carrier concentration (resistivity). The high concentration of atomic hydrogen in the ambient and preferable [1 1 1] growth, due to the low reaction pressure, is available for the enhancement of boron doping. The estimated residual stress increases with increase in the introducing boron level.

  8. Cell adhesion and growth on ultrananocrystalline diamond and diamond-like carbon films after different surface modifications

    Energy Technology Data Exchange (ETDEWEB)

    Miksovsky, J. [Institute of Nanostructure Technologies and Analytics, Center for Interdisciplinary Nanostructure Science and Technology, University of Kassel (Germany); Institute of Physics ASCR, Prague (Czech Republic); Czech Technical University in Prague, Faculty of Biomedical Engineering, Kladno (Czech Republic); Voss, A. [Institute of Nanostructure Technologies and Analytics, Center for Interdisciplinary Nanostructure Science and Technology, University of Kassel (Germany); Kozarova, R. [Institute of Molecular Biology, Bulgarian Academy of Sciences, Sofia (Bulgaria); Kocourek, T.; Pisarik, P. [Institute of Physics ASCR, Prague (Czech Republic); Czech Technical University in Prague, Faculty of Biomedical Engineering, Kladno (Czech Republic); Ceccone, G. [Unit Nanobiosciences, European Commission Joint Research Centre, Ispra (Italy); Kulisch, W. [Institute of Nanostructure Technologies and Analytics, Center for Interdisciplinary Nanostructure Science and Technology, University of Kassel (Germany); Jelinek, M. [Institute of Physics ASCR, Prague (Czech Republic); Czech Technical University in Prague, Faculty of Biomedical Engineering, Kladno (Czech Republic); Apostolova, M.D. [Institute of Molecular Biology, Bulgarian Academy of Sciences, Sofia (Bulgaria); Reithmaier, J.P. [Institute of Nanostructure Technologies and Analytics, Center for Interdisciplinary Nanostructure Science and Technology, University of Kassel (Germany); Popov, C., E-mail: popov@ina.uni-kassel.de [Institute of Nanostructure Technologies and Analytics, Center for Interdisciplinary Nanostructure Science and Technology, University of Kassel (Germany)

    2014-04-01

    Graphical abstract: - Highlights: • UNCD and DLC films were modified by UV/O{sub 3} treatments, O{sub 2} or NH{sub 3}-containing plasmas. • Surface composition, wettability and surface energy change upon modifications. • Higher efficiency of UNCD modifications was observed. • Cell attachment and growth were influenced by the surface termination and roughness. - Abstract: Diamond and diamond-like carbon (DLC) films possess a set of excellent physical and chemical properties which together with a high biocompatibility make them attractive candidates for a number of medical and biotechnological applications. In the current work thin ultrananocrystalline diamond (UNCD) and DLC films were comparatively investigated with respect to cell attachment and proliferation after different surface modifications. The UNCD films were prepared by microwave plasma enhanced chemical vapor deposition, the DLC films by pulsed laser deposition (PLD). The films were comprehensively characterized with respect to their basic properties, e.g. crystallinity, morphology, chemical bonding nature, etc. Afterwards the UNCD and DLC films were modified applying O{sub 2} or NH{sub 3}/N{sub 2} plasmas and UV/O{sub 3} treatments to alter their surface termination. The surface composition of as-grown and modified samples was studied by X-ray photoelectron spectroscopy (XPS). Furthermore the films were characterized by contact angle measurements with water, formamide, 1-decanol and diiodomethane; from the results obtained the surface energy with its dispersive and polar components was calculated. The adhesion and proliferation of MG63 osteosarcoma cells on the different UNCD and DLC samples were assessed by measurement of the cell attachment efficiency and MTT assays. The determined cell densities were compared and correlated with the surface properties of as-deposited and modified UNCD and DLC films.

  9. Diamond film deposition on WC–Co and steel substrates with a CrN interlayer for tribological applications

    International Nuclear Information System (INIS)

    Chandran, Maneesh; Hoffman, Alon

    2016-01-01

    The most renowned property of diamond is its exceptional hardness. By depositing diamond films on tungsten carbide (WC–Co) and steel substrates, the hardness of diamond can be combined with the toughness of these materials, resulting in an excellent wear resistance material for tribological applications. However, poor adhesion of diamond coating on these substrates leads to a lesser lifetime for the diamond coated tools than expected. The prime reasons for the lack of proper adhesion are the preferential formation of graphitic layer at the interface due to the catalytic activities of cobalt/iron and the interfacial residual stresses due to the mismatch in thermal expansion coefficients of diamond (1.5  ×  10 −6 K −1 ) and WC–Co (5.2  ×  10 −6 K −1 ) or steel (12  ×  10 −6 K −1 ). In this review, we discuss the possibility of using a Cr–N interlayer as a diffusion barrier to prevent the catalytic activities of cobalt/iron and also to relax the interfacial residual stresses to some extent to enhance the adhesion of diamond coatings on these substrates. An overview of the most pertinent results of the last two decades, including the recent progress is introduced. We describe in detail how the Cr–N interlayer with the desired properties is fabricated. We give a concise overview of diamond deposition process, including the methods to vary the grain size from microcrystalline to nanocrystalline, which are suitable for some tribological applications. We describe in detail on surface and interface analysis, residual stress measurements, assessment adhesion strength and tribological performance of diamond coated WC–Co and steel substrates using various characterization techniques. We conclude by highlighting the current progress and future perspectives of diamond coatings on these substrates for tribological applications. (topical review)

  10. Infrared absorption study of hydrogen incorporation in thick nanocrystalline diamond films

    International Nuclear Information System (INIS)

    Tang, C.J.; Neves, A.J.; Carmo, M.C.

    2005-01-01

    We present an infrared (IR) optical absorbance study of hydrogen incorporation in nanocrystalline diamond films. The thick nanocrystalline diamond films were synthesized by microwave plasma-assisted chemical vapor deposition and a high growth rate about 3.0 μm/h was achieved. The morphology, phase quality, and hydrogen incorporation were assessed by means of scanning electron microscopy, Raman spectroscopy, and Fourier-transform infrared spectroscopy (FTIR). Large amount of hydrogen bonded to nanocrystalline diamond is clearly evidenced by the huge CH stretching band in the FTIR spectrum. The mechanism of hydrogen incorporation is discussed in light of the growth mechanism of nanocrystalline diamond. This suggests the potential of nanocrystalline diamond for IR electro-optical device applications

  11. Nucleation and adhesion of diamond films on Co cemented tungsten carbide

    Energy Technology Data Exchange (ETDEWEB)

    Polini, R.; Santarelli, M.; Traversa, E.

    1999-12-01

    Diamond deposits were grown using hot filament chemical vapor deposition (CVD) on pretreated Co cemented tungsten carbide (WC-Co) substrates with an average grain size of 6 {micro}m. Depositions were performed with 0.5 or 1.0% methane concentration and with substrate temperatures ranging from 750 to 1,000 C. Diamond nucleation densities were measured by scanning electron microscopy. Scratched and bias-enhanced nucleation pretreated substrates showed the larger nucleation densities. Etching of the WC performed by Murakami's reagent, followed by surface-Co dissolution (MP pretreatment), led to a roughened but scarcely nucleating surface. The performance of a scratching prior to the MP pretreatment allowed one to increase the nucleation density, due scratching-induced defects, confined in the outermost layer of WC grains, which act as nucleation sites. Smaller nucleation densities were observed with increasing the substrate temperature and reducing the methane concentration, confirming that diamond nucleates via a heterogeneous process. The adhesion of continuous films was evaluated by the reciprocal of the slope of crack radius-indentation load functions. The substrate pretreatments mainly affected the film adhesion, while the influence of CVD process conditions was minor. The two main factors that improve the diamond film adhesion are the coating-substrate contact area and the surface-Co removal.

  12. Growing of synthetic diamond boron-doped films for analytical applications

    International Nuclear Information System (INIS)

    Barros, Rita de Cassia Mendes de; Suarez-Iha, Maria Encarnacion Vazquez; Corat, Evaldo Jose; Iha, Koshun

    1999-01-01

    Chemical vapor deposition (CVD) technology affords the possibility of producing synthetic diamond film electrodes, with several advantageous properties due the unique characteristics of diamond. In this work, we present the study of boron-doped diamond films growth on molybdenum and silicon substrates, using boron trioxide as dopant in a filament assisted CVD reactor. The objective was to obtain semiconductor diamond for use as electrode. The samples were characterized by scanning electron microscopy and Raman spectroscopy to confirm morphology and doping levels. We have assembled electrodes with the various samples, Pt, Mo, Si and diamond, by utilizing brass and left as base materials. The electrodes were tested in neutralization potentiometric titrations for future use in electroanalysis. Boron-doped electrodes have very good performance compared with Pt, widely used in analytical chemistry. (author)

  13. Growth of high quality AlN films on CVD diamond by RF reactive magnetron sputtering

    Science.gov (United States)

    Chen, Liang-xian; Liu, Hao; Liu, Sheng; Li, Cheng-ming; Wang, Yi-chao; An, Kang; Hua, Chen-yi; Liu, Jin-long; Wei, Jun-jun; Hei, Li-fu; Lv, Fan-xiu

    2018-02-01

    A highly oriented AlN layer has been successfully grown along the c-axis on a polycrystalline chemical vapor deposited (CVD) diamond by RF reactive magnetron sputtering. Structural, morphological and mechanical properties of the heterostructure were investigated by Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM), Transmission Electron Microscopy (TEM), X-ray diffraction (XRD), Nano-indentation and Four-probe meter. A compact AlN film was demonstrated on the diamond layer, showing columnar grains and a low surface roughness of 1.4 nm. TEM results revealed a sharp AlN/diamond interface, which was characterized by the presence of a distinct 10 nm thick buffer layer resulting from the initial AlN growth stage. The FWHM of AlN (002) diffraction peak and its rocking curve are as low as 0.41° and 3.35° respectively, indicating a highly preferred orientation along the c-axis. AlN sputtered films deposited on glass substrates show a higher bulk resistivity (up to 3 × 1012 Ω cm), compared to AlN films deposited on diamond (∼1010 Ω cm). Finally, the film hardness and Young's modulus of AlN films on diamond are 25.8 GPa and 489.5 GPa, respectively.

  14. Thin film diamond microstructure applications

    Science.gov (United States)

    Roppel, T.; Ellis, C.; Ramesham, R.; Jaworske, D.; Baginski, M. E.; Lee, S. Y.

    1991-01-01

    Selective deposition and abrasion, as well as etching in atomic oxygen or reduced-pressure air, have been used to prepare patterned polycrystalline diamond films which, on further processing by anisotropic Si etching, yield the microstructures of such devices as flow sensors and accelerometers. Both types of sensor have been experimentally tested in the respective functions of hot-wire anemometer and both single- and double-hinged accelerometer.

  15. Applications of diamond films and related materials; Proceedings of the 1st International Conference, Auburn, AL, Aug. 17-22, 1991

    Science.gov (United States)

    Tzeng, Yonhua (Editor); Yoshikawa, Manasori (Editor); Murakawa, Masao (Editor); Feldman, Albert (Editor)

    1991-01-01

    The present conference discusses the nucleation and growth of diamond from hydrocarbons, the cutting tool performance of CVD thick-film diamond, the characterization of CVD diamond grinding powder, industrial applications of crystalline diamond-coated tools, standardized SEM tribometry of diamond-coated substrates, residual stress in CVD diamond films, the optical properties of CVD diamond films, polycrystalline diamond films for optical applications, and diamond growth on ferrous metals. Also discussed are ion beam-irradiation smoothing of diamond films, electronic circuits on diamond substrates, diamond-laminated surfaces for evaporative spray cooling, electron devices based on the unique properties of diamond, diamond cold cathodes, thin-film diamond microstructure applications, Schottky diodes from flame-grown diamond, diamond films for thermionic applications, methods of diamond nucleation and selective deposition, high-rate/large-area diamond film production, halogen-assisted diamond growth, the economics of diamond technology, and the optical and mechanical properties of diamondlike films.

  16. Low resistance polycrystalline diamond thin films deposited by hot ...

    Indian Academy of Sciences (India)

    Administrator

    silicon wafers using a hydrocarbon gas (CH4) highly diluted with H2 at low pressure in a hot filament chemi- cal vapour ... the laser spot was focused on the sample surface using a ... tative spectra of diamond thin films with a typical dia-.

  17. Self-enhanced plasma discharge effect in the deposition of diamond-like carbon films on the inner surface of slender tube

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Yi [Department of 702, Beihang University, Beijing (China); Li, Liuhe, E-mail: liliuhe@buaa.edu.cn [Department of 702, Beihang University, Beijing (China); Luo, Sida [Department of 702, Beihang University, Beijing (China); International Research Institute for Multidisciplinary Science, Beihang University, Beijing (China); Lu, Qiuyuan [Dong Feng Commercial Vehicle Technical Center, Dong Feng Commercial Vehicle Co., LTD, Wuhan (China); Gu, Jiabin; Lei, Ning [Department of 702, Beihang University, Beijing (China); Huo, Chunqin [Key Laboratory of Optoelectronic Devices and Systems of Ministry of Education, Shenzhen University, Guangdong (China)

    2017-01-30

    Highlights: • Effect of inner surface materials of tubes on plasma discharge is examined. • Electron mean free path is used to analyze the films deposition. • Secondary electrons emitted from inner surface of tube enhance plasma discharge. - Abstract: Enhanced glow discharge plasma immersion ion implantation and deposition (EGD-PIII&D) have been proved to be highly effective for depositing diamond-like carbon (DLC) films on the inner surface of the slender quartz tube with a deposition rate of 1.3 μm/min. Such a high-efficiency DLC films deposition was explained previously as the short electrons mean free path to cause large collision frequency between electrons and neutral particles. However, in this paper, we found that the inner surface material of the tube itself play a vital role on the films deposition. To disclose the mechanism of this phenomenon, the effect of different inner surface materials on plasma discharge was experimentally and theoretically investigated. Then a self-enhancing plasma discharge is discovered. It is found that secondary electrons emitted from the inner surface material, whatever it is the tube inner surface or deposited DLC films, can dramatically enhance the plasma discharge to improve the DLC films deposition rate.

  18. Isotope analysis of diamond-surface passivation effect of high-temperature H2O-grown atomic layer deposition-Al2O3 films

    International Nuclear Information System (INIS)

    Hiraiwa, Atsushi; Saito, Tatsuya; Matsumura, Daisuke; Kawarada, Hiroshi

    2015-01-01

    The Al 2 O 3 film formed using an atomic layer deposition (ALD) method with trimethylaluminum as Al precursor and H 2 O as oxidant at a high temperature (450 °C) effectively passivates the p-type surface conduction (SC) layer specific to a hydrogen-terminated diamond surface, leading to a successful operation of diamond SC field-effect transistors at 400 °C. In order to investigate this excellent passivation effect, we carried out an isotope analysis using D 2 O instead of H 2 O in the ALD and found that the Al 2 O 3 film formed at a conventional temperature (100 °C) incorporates 50 times more CH 3 groups than the high-temperature film. This CH 3 is supposed to dissociate from the film when heated afterwards at a higher temperature (550 °C) and causes peeling patterns on the H-terminated surface. The high-temperature film is free from this problem and has the largest mass density and dielectric constant among those investigated in this study. The isotope analysis also unveiled a relatively active H-exchange reaction between the diamond H-termination and H 2 O oxidant during the high-temperature ALD, the SC still being kept intact. This dynamic and yet steady H termination is realized by the suppressed oxidation due to the endothermic reaction with H 2 O. Additionally, we not only observed the kinetic isotope effect in the form of reduced growth rate of D 2 O-oxidant ALD but found that the mass density and dielectric constant of D 2 O-grown Al 2 O 3 films are smaller than those of H 2 O-grown films. This is a new type of isotope effect, which is not caused by the presence of isotopes in the films unlike the traditional isotope effects that originate from the presence of isotopes itself. Hence, the high-temperature ALD is very effective in forming Al 2 O 3 films as a passivation and/or gate-insulation layer of high-temperature-operation diamond SC devices, and the knowledge of the aforementioned new isotope effect will be a basis for further enhancing ALD

  19. Temperature dependence of stress in CVD diamond films studied by Raman spectroscopy

    Directory of Open Access Journals (Sweden)

    Dychalska Anna

    2015-09-01

    Full Text Available Evolution of residual stress and its components with increasing temperature in chemical vapor deposited (CVD diamond films has a crucial impact on their high temperature applications. In this work we investigated temperature dependence of stress in CVD diamond film deposited on Si(100 substrate in the temperature range of 30 °C to 480 °C by Raman mapping measurement. Raman shift of the characteristic diamond band peaked at 1332 cm-1 was studied to evaluate the residual stress distribution at the diamond surface. A new approach was applied to calculate thermal stress evolution with increasing tempera­ture by using two commonly known equations. Comparison of the residts obtained from the two methods was presented. The intrinsic stress component was calculated from the difference between average values of residual and thermal stress and then its temperature dependence was discussed.

  20. THIN DIAMOND FILMS FOR SNS H INJECTIONS STRIPPING

    International Nuclear Information System (INIS)

    SHAW, R.W.; HERR, A.D.; FEIGERLE, C.S.; CUTLER, R.J.; LIAW, C.J.; LEE, Y.Y.

    2004-01-01

    We have investigated the preparation and testing of thin diamond foils for use in stripping the SNS H - Linac beam. A long useful lifetime for these foils is desirable to improve operational efficiency. Preliminary data presented at PAC 2001 indicated that diamond foils were superior to conventional evaporated carbon foils, exhibiting lifetimes approximately five-fold longer [1]. That work employed a fully supported diamond foil, a format that is not acceptable for the SNS application; at least two edges of the approximately 1 x 1 cm foils must be free standing to allow for beam rastering. Residual stress in a chemical vapor deposited (CVD) diamond foil results in film distortion (scrolling) when the film is released from its silicon growth substrate. We have attacked this problem by initially patterning the surface of CVD growth substrates with a 50 or 100 line/inch trapezoidal grating, followed by conformal diamond film growth on the patterned substrate. Then removal of the substrate by chemical etching produced a foil that possessed improved mechanical integrity due to its corrugation. The high nucleation density required to grow continuous, pinhole free diamond foils of the desired thickness (1 (micro)m, 350 (micro)g/cm 2 ) was achieved by a combination of substrate surface scratching and seeding. A variety of diamond foils have been tested using the BNL 750 keV Radio Frequency Quadrupole H - beam to simulate energy loss in the SNS. Those include flat, corrugated, microcrystalline, and nanocrystalline foils. Foil lifetimes are reported

  1. CVD of alternated microcrystalline (MCD) and nanocrystalline (NCD) diamond films on WC-TIC-CO substrates

    International Nuclear Information System (INIS)

    Campos, Raonei Alves; Contin, Andre; Trava-Airoldi, Vladimir J.; Corat, Evaldo Jose; Barquete, Danilo Maciel

    2010-01-01

    CVD Diamond coating of WC-TiC-Co cutting tools has been an alternative to increase tool lifetime. Experiments have shown that residual stresses produced during films growth on WC-TiC-Co substrates significantly increases with increasing film thickness up to 20 μm and usually leads to film delamination. In this work alternated micro- and nanocrystalline CVD diamond films have been used to relax interface stresses and to increase diamond coatings performance. WC-TiC-Co substrates have been submitted to a boronizing thermal diffusion treatment prior to CVD diamond films growth. After reactive heat treatment samples were submitted to chemical etching in acid and alkaline solution. The diamond films deposition was performed using HFCVD reactor with different gas concentrations for microcrystalline (MCD) and nano-crystalline (NCD) films growth. As a result, we present the improvement of diamond films adherence on WC-TiC-Co, evaluated by indentation and machining tests. Samples were characterized by Scanning Electron Microscopy (SEM) and Energy Dispersive X-ray (EDX) for qualitative analysis of diamond films. X-ray Diffraction (XRD) was used for phases identification after boronizing process. Diamond film compressive residual stresses were analyzed by Raman Scattering Spectroscopy (RSS). (author)

  2. Lateral overgrowth of diamond film on stripes patterned Ir/HPHT-diamond substrate

    Science.gov (United States)

    Wang, Yan-Feng; Chang, Xiaohui; Liu, Zhangcheng; Liu, Zongchen; Fu, Jiao; Zhao, Dan; Shao, Guoqing; Wang, Juan; Zhang, Shaopeng; Liang, Yan; Zhu, Tianfei; Wang, Wei; Wang, Hong-Xing

    2018-05-01

    Epitaxial lateral overgrowth (ELO) of diamond films on patterned Ir/(0 0 1)HPHT-diamond substrates have been carried out by microwave plasma CVD system. Ir/(0 0 1)HPHT-diamond substrates are fabricated by photolithographic and magnetron sputtering technique. The morphology of the as grown ELO diamond film is characterized by optical microscopy and scanning electronic microscopy. The quality and stress of the ELO diamond film are investigated by surface etching pit density and micro-Raman spectroscopy. Two ultraviolet photodetectors are fabricated on ELO diamond area and non-ELO diamond area prepared on same substrate, and that one on ELO diamond area indicates better photoelectric properties. All results indicate quality of ELO diamond film is improved.

  3. Tribological properties of nanocrystalline diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Erdemir, A.; Fenske, G.R.; Krauss, A.R.; Gruen, D.M.; McCauley, T.; Csencsits, R.T. [Argonne National Lab., IL (United States). Energy Technology Div.

    1999-11-01

    In this paper, we present the friction and wear properties of nanocrystalline diamond (NCD) films grown in Ar-fullerene (C{sub 60}) and Ar-CH{sub 4} microwave plasmas. Specifically, we will address the fundamental tribological issues posed by these films during sliding against Si{sub 3}N{sub 4} counterfaces in ambient air and inert gases. Grain sizes of the films grown by the new method are very small (10-30 nm) and are much smoother (20-40 nm, root mean square) than those of films grown by the conventional H{sub 2}-CH{sub 4} microwave-assisted chemical vapor deposition process. Transmission electron microscopy (TEM) revealed that the grain boundaries of these films are very sharp and free of nondiamond phases. The microcrystalline diamond films grown by most conventional methods consist of large grains and a rough surface finish, which can cause severe abrasion during sliding against other materials. The friction coefficients of films grown by the new method (i.e. in Ar-C{sub 60} and Ar-CH{sub 4} plasmas) are comparable with those of natural diamond, and wear damage on counterface materials is minimal. Fundamental tribological studies indicate that these films may undergo phase transformation during long-duration, high-speed and/or high-load sliding tests and that the transformation products trapped at the sliding interfaces can intermittently dominate friction and wear performance. Using results from a combination of TEM, electron diffraction, Raman spectroscopy, and electron energy loss spectroscopy, we describe the structural chemistry of the debris particles trapped at the sliding interfaces and elucidate their possible effects on friction and wear of NCD films in dry N{sub 2}. Finally, we suggest a few potential applications in which NCD films can improve performance and service lives. (orig.)

  4. Synthesis and characterization of boron incorporated diamond-like carbon thin films

    International Nuclear Information System (INIS)

    Zhang, L.L.; Yang, Q.; Tang, Y.; Yang, L.; Zhang, C.; Hu, Y.; Cui, X.

    2015-01-01

    Boron incorporated diamond-like carbon (B-DLC) (up to 8 wt.% boron) thin films were synthesized on silicon wafers using biased target ion beam deposition technique, where diamond-like carbon (DLC) was deposited by ion beam deposition and boron (B) was simultaneously incorporated by biased target sputtering of a boron carbide (B 4 C) target under different conditions. Pure DLC films and B–C films were also synthesized by ion beam deposition and biased target sputtering of B 4 C under similar conditions, respectively, as reference samples. The microstructure and mechanical properties of the synthesized films have been characterized by various technologies. It has been found that B exists in different states in B-DLC, including carbon-rich and B-rich boron carbides, boron suboxide and boron oxide, and the oxidation of B probably occurs during the film deposition. The incorporation of B into DLC leads to the increase of sp 3 bonded carbon in the films, the increase of both film hardness and elastic modulus, and the decrease of both surface roughness and friction coefficient. Furthermore, the content of sp 3 bonded carbon, film hardness and elastic modulus increase, and the film surface roughness and friction coefficient decrease with the increase of B-rich carbide in the B-DLC films. - Highlights: • Biased target ion beam deposition technique is promising to produce high quality DLC based thin films; • Boron exists in different states in B-DLC thin films; • The incorporation of B to DLC with different levels leads to improved film properties; • The fraction of sp 3 bonded C in B-DLC thin films increase with the increase of B-rich carbide content in the films

  5. Comparative investigation of smooth polycrystalline diamond films on dental burs by chemical vapor deposition

    Science.gov (United States)

    Sein, Htet; Ahmed, Waqar; Rego, Christopher; Jackson, Mark; Polini, Riccardo

    2006-04-01

    Depositions of hot filament chemical vapor-deposited diamond on cobalt-cemented tungsten carbide (WC-Co) rotary cutting dental burs are presented. Conventional dental tools made of sintered polycrystalline diamond have a number of problems associated with the heterogeneity of the crystallite, decreased cutting efficiency, and short life. A preferential (111) faceted diamond was obtained after 15 h of deposition at a growth rate of 1.1 µm/h. Diamond-coated WC-Co dental burs and conventional sintered burs are mainly used in turning, milling, and drilling operations for machining metal ceramic hard alloys such as CoCr, composite teeth, and aluminum alloy in the dental laboratory. The influence of structure, the mechanical characteristics of both diamond grains and hard alloys on the wear behavior, as well as the regimen of grinding on diamond wear are considered. Erosion wear properties are also investigated under air-sand erosion testing. After machining with excessive cutting performance, calculations can be made on flank and crater wear areas. Diamond-coated WC-Co dental burs offered significantly better erosion and wear resistance compared with uncoated WC-Co tools and sintered burs.

  6. Fluorine and boron co-doped diamond-like carbon films deposited by pulsed glow discharge plasma immersion ion processing

    CERN Document Server

    He, X M; Peters, A M; Taylor, B; Nastasi, M

    2002-01-01

    Fluorine (F) and boron (B) co-doped diamond-like carbon (FB-DLC) films were prepared on different substrates by the plasma immersion ion processing (PIIP) technique. A pulse glow discharge plasma was used for the PIIP deposition and was produced at a pressure of 1.33 Pa from acetylene (C sub 2 H sub 2), diborane (B sub 2 H sub 6), and hexafluoroethane (C sub 2 F sub 6) gas. Films of FB-DLC were deposited with different chemical compositions by varying the flow ratios of the C sub 2 H sub 2 , B sub 2 H sub 6 , and C sub 2 F sub 6 source gases. The incorporation of B sub 2 H sub 6 and C sub 2 F sub 6 into PIIP deposited DLC resulted in the formation of F-C and B-C hybridized bonding structures. The levels of the F and B concentrations effected the chemical bonding and the physical properties as was evident from the changes observed in density, hardness, stress, friction coefficient, and contact angle of water on films. Compared to B-doped or F-doped DLC films, the F and B co-doping of DLC during PIIP deposition...

  7. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Science.gov (United States)

    Kundrát, Vojtěch; Zhang, Xiaoling; Cooke, Kevin; Sun, Hailin; Sullivan, John; Ye, Haitao

    2015-04-01

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) - tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  8. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Energy Technology Data Exchange (ETDEWEB)

    Kundrát, Vojtěch; Sullivan, John; Ye, Haitao, E-mail: h.ye@aston.ac.uk [School of Engineering and Applied Science, Aston University, Birmingham, B4 7ET (United Kingdom); Zhang, Xiaoling; Cooke, Kevin; Sun, Hailin [Miba Coating Group: Teer Coatings Ltd, West-Stone-House, West-Stone, Berry-Hill-Industrial-Estate, WR9 9AS, Droitwich (United Kingdom)

    2015-04-15

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) – tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  9. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Directory of Open Access Journals (Sweden)

    Vojtěch Kundrát

    2015-04-01

    Full Text Available Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42 substrates using a multi-structured molybdenum (Mo – tungsten (W interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  10. Study on tribological behavior and cutting performance of CVD diamond and DLC films on Co-cemented tungsten carbide substrates

    International Nuclear Information System (INIS)

    Zhang Dongcan; Shen Bin; Sun Fanghong

    2010-01-01

    The tribological behaviors of diamond and diamond-like carbon (DLC) films play a major role on their machining and mechanical applications. In this study, diamond and diamond-like carbon (DLC) films are deposited on the cobalt cemented tungsten carbide (WC-Co) substrate respectively adopting the hot filament chemical vapor deposition (HFCVD) technique and the vacuum arc discharge with a graphite cathode, and their friction properties are evaluated on a reciprocating ball-on-plate tribometer with counterfaces of silicon nitride (Si 3 N 4 ) ceramic, cemented tungsten carbide (WC) and ball-bearing steel materials, under the ambient air without lubricating condition. Moreover, to evaluate their cutting performance, comparative turning tests are conducted using the uncoated WC-Co and as-fabricated CVD diamond and DLC coated inserts, with glass fiber reinforced plastics (GFRP) composite materials as the workpiece. The as-deposited HFCVD diamond and DLC films are characterized with energy-dispersive X-ray spectroscopy (EDX), scanning electron microscope (SEM), X-ray diffraction spectroscopy (XRD), Raman spectroscopy and 3D surface topography based on white-light interferometry. Furthermore, Rocwell C indentation tests are conducted to evaluate the adhesion of HFCVD diamond and DLC films grown onto WC-Co substrates. SEM and 3D surface topography based on white-light interferometry are also used to investigate the worn region on the surfaces of diamond and DLC films. The friction tests suggest that the obtained friction coefficient curves that of various contacts exhibit similar evolution tendency. For a given counterface, DLC films present lower stable friction coefficients than HFCVD diamond films under the same sliding conditions. The cutting tests results indicate that flank wear of the HFCVD diamond coated insert is lower than that of DLC coated insert before diamond films peeling off.

  11. The effect of ion-beam induced strain on the nucleation density of chemical vapour deposited diamond

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Nugent, K.W.; Bettiol, A.A.; Kostidis, L.I.; Jamieson, D.N.

    1995-01-01

    The effect of ion implantation on the nucleation of CVD diamond on silicon and diamond substrates has been investigated. The strategy employed is to create laterally confined regions of strain in the substrates by focused MeV implantation of light ions. Raman Microscopy has been employed to obtain spatially resolved maps of the strain in these implanted regions. On diamond substrates a homo-epitaxial CVD diamond film was grown on top of both the implanted and unimplanted regions of the substrate. Raman analysis of the film grown on top of the implanted region revealed it to be under slightly tensile strain as compared to that grown on the unimplanted diamond substrate. The film deposited on the implanted portion of the diamond showed a lower fluorescence background; indicating a lower concentration of incorporated defects. These results suggest that the strain and defects in the diamond substrate material have an important influence on the quality of the homo-epitaxially grown diamond films. 6 refs., 5 figs

  12. Grating-assisted coupling to nanophotonic circuits in microcrystalline diamond thin films

    Directory of Open Access Journals (Sweden)

    Patrik Rath

    2013-05-01

    Full Text Available Synthetic diamond films can be prepared on a waferscale by using chemical vapour deposition (CVD on suitable substrates such as silicon or silicon dioxide. While such films find a wealth of applications in thermal management, in X-ray and terahertz window design, and in gyrotron tubes and microwave transmission lines, their use for nanoscale optical components remains largely unexplored. Here we demonstrate that CVD diamond provides a high-quality template for realizing nanophotonic integrated optical circuits. Using efficient grating coupling devices prepared from partially etched diamond thin films, we investigate millimetre-sized optical circuits and achieve single-mode waveguiding at telecoms wavelengths. Our results pave the way towards broadband optical applications for sensing in harsh environments and visible photonic devices.

  13. Mechanical and electrical properties of diamond-like carbon films deposited by plasma source ion implantation

    International Nuclear Information System (INIS)

    Baba, K.; Hatada, R.; Flege, S.; Ensinger, W.

    2009-01-01

    Diamond-like carbon (DLC) films were prepared by a plasma source ion implantation method with superposed negative pulse and negative DC voltage. Acetylene gas was used as working gas for plasma formation. A negative DC voltage and a negative pulse voltage were superposed and applied to the substrate holder. The DC voltage was changed in the range from 0 to -4.8 kV and the pulse voltage was changed from -18 to -13.2 kV. The films were annealed in the range of 200-450 deg. C for 1 h. The surface morphology of the films and the film thickness were observed by atomic force microscopy and scanning electron microscopy. The film structure was characterized by Raman spectroscopy. The hardness of DLC films was evaluated by an indentation method. Measurement of the electrical resistivity was performed using a four-point probe station. Furthermore, a ball-on-disc test with 2 N load was employed to obtain information about the friction properties and sliding wear resistance of the films. The surface of the DLC films was very smooth and featureless. The deposition rate was changed with the DC voltage and pulse conditions. Integrated intensity ratios I D /I G of Raman spectroscopy and electrical resistivity of the DLC films changed with DC voltage. The electrical resistivity decreased with increasing I D /I G ratio. The I D /I G ratio was increased and the electrical resistivity was decreased with annealing temperature owing to graphitization. Very low friction coefficients around 0.05 were obtained for as-deposited films.

  14. Structural Transformation upon Nitrogen Doping of Ultrananocrystalline Diamond Films by Microwave Plasma CVD

    Directory of Open Access Journals (Sweden)

    Chien-Chung Teng

    2009-01-01

    Full Text Available The molecular properties and surface morphology of undoped and N-doped ultra-nanocrystalline diamond (UNCD films deposited by microwave plasma CVD with addition of nitrogen are investigated with various spectroscopic techniques. The results of spatially resolved Raman scattering, ATR/FT-IR and XPS spectra show more amorphous and sp2/sp3 ratio characteristics in N-doped UNCD films. The surface morphology in AFM scans shows larger nanocrystalline diamond clusters in N-doped UNCD films. Incorporation of nitrogen into UNCD films has promoted an increase of amorphous sp2-bonded carbons in the grain boundaries and the size of nanocrystalline diamond grains that are well correlated to the reported enhancement of conductivity and structural changes of UNCD films.

  15. Deodorisation effect of diamond-like carbon/titanium dioxide multilayer thin films deposited onto polypropylene

    Energy Technology Data Exchange (ETDEWEB)

    Ozeki, K., E-mail: ozeki@mx.ibaraki.ac.jp [Department of Mechanical Engineering, Ibaraki University, 4-12-1, Nakanarusawa, Hitachi, Ibaraki 316-8511 (Japan); Frontier Research Center for Applied Atomic Sciences, 162-1 Shirakata, Toukai, Ibaraki 319-1106 (Japan); Hirakuri, K.K. [Applied Systems Engineering, Graduate School of Science and Engineering, Tokyo Denki University, Ishizaka, Hatoyama, Hiki, Saitama 350-0394 (Japan); Masuzawa, T. [Department of Mechanical Engineering, Ibaraki University, 4-12-1, Nakanarusawa, Hitachi, Ibaraki 316-8511 (Japan)

    2011-04-15

    Many types of plastic containers have been used for the storage of food. In the present study, diamond-like carbon (DLC)/titanium oxide (TiO{sub 2}) multilayer thin films were deposited on polypropylene (PP) to prevent flavour retention and to remove flavour in plastic containers. For the flavour removal test, two types of multilayer films were prepared, DLC/TiO{sub 2} films and DLC/TiO{sub 2}/DLC films. The residual gas concentration of acetaldehyde, ethylene, and turmeric compounds in bottle including the DLC/TiO{sub 2}-coated and the DLC/TiO{sub 2}/DLC-coated PP plates were measured after UV radiation, and the amount of adsorbed compounds to the plates was determined. The percentages of residual gas for acetaldehyde, ethylene, and turmeric with the DLC/TiO{sub 2} coated plates were 0.8%, 65.2% and 75.0% after 40 h of UV radiation, respectively. For the DLC/TiO{sub 2}/DLC film, the percentages of residual gas for acetaldehyde, ethylene and turmeric decreased to 34.9%, 76.0% and 85.3% after 40 h of UV radiation, respectively. The DLC/TiO{sub 2}/DLC film had a photocatalytic effect even though the TiO{sub 2} film was covered with the DLC film.

  16. Deodorisation effect of diamond-like carbon/titanium dioxide multilayer thin films deposited onto polypropylene

    International Nuclear Information System (INIS)

    Ozeki, K.; Hirakuri, K.K.; Masuzawa, T.

    2011-01-01

    Many types of plastic containers have been used for the storage of food. In the present study, diamond-like carbon (DLC)/titanium oxide (TiO 2 ) multilayer thin films were deposited on polypropylene (PP) to prevent flavour retention and to remove flavour in plastic containers. For the flavour removal test, two types of multilayer films were prepared, DLC/TiO 2 films and DLC/TiO 2 /DLC films. The residual gas concentration of acetaldehyde, ethylene, and turmeric compounds in bottle including the DLC/TiO 2 -coated and the DLC/TiO 2 /DLC-coated PP plates were measured after UV radiation, and the amount of adsorbed compounds to the plates was determined. The percentages of residual gas for acetaldehyde, ethylene, and turmeric with the DLC/TiO 2 coated plates were 0.8%, 65.2% and 75.0% after 40 h of UV radiation, respectively. For the DLC/TiO 2 /DLC film, the percentages of residual gas for acetaldehyde, ethylene and turmeric decreased to 34.9%, 76.0% and 85.3% after 40 h of UV radiation, respectively. The DLC/TiO 2 /DLC film had a photocatalytic effect even though the TiO 2 film was covered with the DLC film.

  17. Combined effect of nitrogen doping and nanosteps on microcrystalline diamond films for improvement of field emission

    International Nuclear Information System (INIS)

    Mengui, U.A.; Campos, R.A.; Alves, K.A.; Antunes, E.F.; Hamanaka, M.H.M.O.; Corat, E.J.; Baldan, M.R.

    2015-01-01

    Highlights: • Hot filament chemical vapor deposition using methane, hydrogen and a solution of urea in methanol produced nitrogen-doped diamond films. • Diamonds had the grain morphology changed for long growth time (28 h), and the nitrogen doping were evaluated by Raman spectroscopy. • Field emission characterization shows a decrease up to 70% in threshold field, related to reference diamond layer. - Abstract: Nitrogen-doped microcrystalline diamond (N-MCD) films were grown on Si substrates using a hot filament reactor with methanol solution of urea as N source. Electrostatic self-assembly seeding of nanocrystalline diamond were used to obtain continuous and uniform films. Simultaneous changes in grains morphology and work function of diamond by nitrogen doping decreased the threshold field and the angular coefficient of Fowler–Nordhein plots. The field emission properties of our N-MCD films are comparable to carbon nanotube films

  18. Combined effect of nitrogen doping and nanosteps on microcrystalline diamond films for improvement of field emission

    Energy Technology Data Exchange (ETDEWEB)

    Mengui, U.A., E-mail: ursulamengui@gmail.com [INPE – Instituto Nacional de Pesquisas Espaciais Laboratório Associado de Sensores e Materiais – LAS, Av. dos Astronautas 1758, CP 515, CEP 12.245-970, São José dos Campos, SP (Brazil); Campos, R.A.; Alves, K.A.; Antunes, E.F. [INPE – Instituto Nacional de Pesquisas Espaciais Laboratório Associado de Sensores e Materiais – LAS, Av. dos Astronautas 1758, CP 515, CEP 12.245-970, São José dos Campos, SP (Brazil); Hamanaka, M.H.M.O. [Centro de Tecnologia da Informação Renato Archer, Divisão de Superfícies de Interação e Displays, Rodovia D. Pedro I (SP 65) km 143.6, CP 6162, CEP 13089-500, Campinas, SP (Brazil); Corat, E.J.; Baldan, M.R. [INPE – Instituto Nacional de Pesquisas Espaciais Laboratório Associado de Sensores e Materiais – LAS, Av. dos Astronautas 1758, CP 515, CEP 12.245-970, São José dos Campos, SP (Brazil)

    2015-04-15

    Highlights: • Hot filament chemical vapor deposition using methane, hydrogen and a solution of urea in methanol produced nitrogen-doped diamond films. • Diamonds had the grain morphology changed for long growth time (28 h), and the nitrogen doping were evaluated by Raman spectroscopy. • Field emission characterization shows a decrease up to 70% in threshold field, related to reference diamond layer. - Abstract: Nitrogen-doped microcrystalline diamond (N-MCD) films were grown on Si substrates using a hot filament reactor with methanol solution of urea as N source. Electrostatic self-assembly seeding of nanocrystalline diamond were used to obtain continuous and uniform films. Simultaneous changes in grains morphology and work function of diamond by nitrogen doping decreased the threshold field and the angular coefficient of Fowler–Nordhein plots. The field emission properties of our N-MCD films are comparable to carbon nanotube films.

  19. Chromium-doped diamond-like carbon films deposited by dual-pulsed laser deposition

    Czech Academy of Sciences Publication Activity Database

    Písařík, Petr; Jelínek, Miroslav; Kocourek, Tomáš; Zezulová, M.; Remsa, Jan; Jurek, Karel

    2014-01-01

    Roč. 117, č. 1 (2014), s. 83-88 ISSN 0947-8396 R&D Projects: GA MŠk LD12069 Institutional support: RVO:68378271 Keywords : diamond like carbon * chromium * contact angle * surface free energy * dual laser deposition * zeta potential Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.704, year: 2014

  20. Optical properties of diamond like carbon nanocomposite thin films

    Science.gov (United States)

    Alam, Md Shahbaz; Mukherjee, Nillohit; Ahmed, Sk. Faruque

    2018-05-01

    The optical properties of silicon incorporated diamond like carbon (Si-DLC) nanocomposite thin films have been reported. The Si-DLC nanocomposite thin film deposited on glass and silicon substrate by radio frequency plasma enhanced chemical vapor deposition (RF-PECVD) process. Fourier transformed infrared spectroscopic analysis revealed the presence of different bonding within the deposited films and deconvolution of FTIR spectra gives the chemical composition i.e., sp3/sp2 ratio in the films. Optical band gap calculated from transmittance spectra increased from 0.98 to 2.21 eV with a variation of silicon concentration from 0 to 15.4 at. %. Due to change in electronic structure by Si incorporation, the Si-DLC film showed a broad photoluminescence (PL) peak centered at 467 nm, i.e., in the visible range and its intensity was found to increase monotonically with at. % of Si.

  1. Adhesion analysis of polycrystalline diamond films on molybdenum by means of scratch, indentation and sand abrasion testing

    Energy Technology Data Exchange (ETDEWEB)

    Buijnsters, J.G. [Applied Physics, IMM, Department of Applied Physics, Radboud University Nijmegen, Toernooiveld 1, 6525 ED Nijmegen (Netherlands); Shankar, P. [Metallurgy and Materials Group, Indira Gandhi Centre for Atomic Research, Kalpakkam-603 102 (India); Enckevort, W.J.P. van [Solid State Chemistry, IMM, Radboud University Nijmegen, Toernooiveld 1, 6525 ED Nijmegen (Netherlands); Schermer, J.J. [Experimental Solid State Physics III, IMM, Radboud University Nijmegen, Toernooiveld 1, 6525 ED Nijmegen (Netherlands); Meulen, J.J. ter [Applied Physics, IMM, Department of Applied Physics, Radboud University Nijmegen, Toernooiveld 1, 6525 ED Nijmegen (Netherlands)]. E-mail: htmeulen@sci.kun.nl

    2005-03-01

    Diamond films have been grown by hot-filament chemical vapour deposition (CVD) on molybdenum substrates under different growth conditions. The films grown with increasing substrate temperatures show a higher interconnection of diamond grains, whereas increasing methane concentrations in the 0.5-4.0% range lead to a transition from micro- towards nanocrystalline films. X-ray diffraction analysis shows Mo{sub 2}C interlayer formation. Indentation, scratch and sand erosion tests are used to evaluate the adhesion strength of the diamond films. Using steel ball indenters (diameter 750 {mu}m), indentation and scratch adhesion tests are performed up to final loads of 200 N. Upon indentation, the load values at which diamond film failure such as flaking and detachment is first observed, increase for increasing temperatures in the deposition temperature range of 450-850 deg C. The scratch adhesion tests show critical load values in the range of 16-40 N normal load for films grown for 4 h. In contrast, diamond films grown for 24 h at a methane concentration of 0.5% do not show any failure at all upon scratching up to 75 N. Film failure upon indenting and scratching is also found to decrease for increasing methane concentration in the CVD gas mixture. The sand abrasion tests show significant differences in coating failure for films grown at varying CH{sub 4}/H{sub 2} ratios. In contrast to the other tests, here best coating performance is observed for the films deposited with a methane concentration of 4%.

  2. Memory effect in the deposition of C20 fullerenes on a diamond surface

    Science.gov (United States)

    Du, A. J.; Pan, Z. Y.; Ho, Y. K.; Huang, Z.; Zhang, Z. X.

    2002-07-01

    In this paper, the deposition of C20 fullerenes on a diamond (001)-(2×1) surface and the fabrication of C20 thin film at 100 K were investigated by a molecular dynamics (MD) simulation using the many-body Brenner bond order potential. First, we found that the collision dynamic of a single C20 fullerene on a diamond surface was strongly dependent on its impact energy. Within the energy range 10-45 eV, the C20 fullerene chemisorbed on the surface retained its free cage structure. This is consistent with the experimental observation, where it was called the memory effect in ``C20-type'' films [P. Melion et al., Int. J. Mod. B 9, 339 (1995); P. Milani et al., Cluster Beam Synthesis of Nanostructured Materials (Springer, Berlin, 1999)]. Next, more than one hundred C20 (10-25 eV) were deposited one after the other onto the surface. The initial growth stage of C20 thin film was observed to be in the three-dimensional island mode. The randomly deposited C20 fullerenes stacked on diamond surface and acted as building blocks forming a polymerlike structure. The assembled film was also highly porous due to cluster-cluster interaction. The bond angle distribution and the neighbor-atom-number distribution of the film presented a well-defined local order, which is of sp3 hybridization character, the same as that of a free C20 cage. These simulation results are again in good agreement with the experimental observation. Finally, the deposited C20 film showed high stability even when the temperature was raised up to 1500 K.

  3. Thermodynamic analysis of processes proceeding on (111) faces of diamond during chemical vapour deposition

    International Nuclear Information System (INIS)

    Piekarczyk, W.; Prawer, S.

    1992-01-01

    Chemically vapour deposited diamond is commonly synthesized from activated hydrogen-rich, carbon/hydrogen gas mixtures under conditions which should, from a thermodynamic equilibrium point of view, favour the production of graphite. Much remains to be understood about why diamond, and not graphite, forms under these conditions. However, it is well known that the presence of atomic hydrogen, is crucial to the success of diamond deposition. As part of an attempt to better understand the deposition process, a thermodynamic analysis of the process was performed on diamond (111) faces in hydrogen rich environments. It is shown that the key role of atomic hydrogen is to inhibit the reconstruction of the (111) face to an sp 2 -bonded structure, which would provide a template for graphite, rather than diamond formation. The model correctly predicts experimentally determined trends in growth rate and diamond film quality as a function of methane concentration in the stating gas mixture. 17 refs., 4 figs

  4. Adhesion analysis of polycrystalline diamond films on molybdenum by means of scratch, indentation and sand abrasion testing

    NARCIS (Netherlands)

    Buijnsters, J.G.; Shankar, P.; Enckevort, W.J.P. van; Schermer, J.J.; Meulen, J.J. ter

    2005-01-01

    Diamond films have been grown by hot-filament chemical vapour deposition (CVD) on molybdenum substrates under different growth conditions. The films grown with increasing substrate temperatures show a higher interconnection of diamond grains, whereas increasing methane concentrations in the 0.5-4.0%

  5. [The change of bacterial adhesion during deposition nitrogen-diamond like carbon coating on pure titanium].

    Science.gov (United States)

    Yin, Lu; Xiao, Yun

    2011-10-01

    The aim of this study was to observe the change of bacterial adhesion on pure titanium coated with nitrogen-diamond like carbon (N-DLC) films and to guide the clinical application. N-DLC was deposited on titanium using ion plating machine, TiN film, anodic oxide film and non-deposition were used as control, then made specimens adhering on the surface of resin denture base for 6 months. The adhesion of Saccharomyces albicans on the titanium surface was observed using scanning electron microscope, and the roughness was tested by roughness detector. The number of Saccharomyces albicans adhering on diamond-like carbon film was significantly less than on the other groups (P DLC film was less than other group (P coated with N-DLC film reduced the adhesion of Saccharomyces albicans after clinical application, thereby reduced the risk of denture stomatitis.

  6. Modification of rubber surface with hydrogenated diamond-like carbon thin films

    NARCIS (Netherlands)

    Pei, Y. T.; Bui, X. L.; De Hosson, J. Th. M.; Laudon, M; Romanowicz, B

    2009-01-01

    Thin films of hydrogenated diamond-like carbon (DLC) have been deposited on hydrogenated nitrile butadiene rubber (HNBR) for reduction of friction and enhancement of wear resistance of dynamic rubber seals, by sputtering graphite targets in C(2)H(2)/Ar plasma. The wax removal and pre-deposition

  7. Low-temperature (200 C or below) fabrication of diamond films for electronic application

    International Nuclear Information System (INIS)

    Hiraki, A.

    2003-01-01

    Fabrication of Diamond (including Diamond Like Carbon: DLC) films as electronic materials, for example: to be used as electron-emitter, requires several following conditions. They are: 1 ) Low temperature fabrication (or deposition on several substrates and sometimes ones with low melting point, like glasses) below 400 C, 2) Wide area film deposition onto wide substrates of several square inches, like Si wafer and glass substrate, 3) Reproducible deposition of well defined film quality, 4) others. In these respects, we have initiated, in the author's laboratories at Osaka University and Kochi University of Technology, a quite new approach to satisfy the above requirements by using microwave plasma CVD under a magnetic field to be called as m agneto-active plasma CVD . The films fabricated by the magnets-active plasma CVD and also recently by cathodic arc methods combined with cur special nano-seeding method, have been utilized for electron emitter to exhibit very high efficiency. (Author)

  8. Structural evolution of Ti destroyable interlayer in large-size diamond film deposition by DC arc plasma jet

    Science.gov (United States)

    Guo, Jianchao; Li, Chengming; Liu, Jinlong; Wei, Junjun; Chen, Liangxian; Hua, Chenyi; Yan, Xiongbo

    2016-05-01

    The addition of titanium (Ti) interlayer was verified to reduce the residual stress of diamond films by self-fracturing and facilitate the harvest of a crack-free free-standing diamond film prepared by direct current (DC) arc plasma jet. In this study, the evolution of the Ti interlayer between large-area diamond film and substrate was studied and modeled in detail. The evolution of the interlayer was found to be relevant to the distribution of the DC arc plasma, which can be divided into three areas (arc center, arc main, and arc edge). The formation rate of titanium carbide (TiC) in the arc main was faster than in the other two areas and resulted in the preferred generation of crack in the diamond film in the arc main during cooling. Sandwich structures were formed along with the growth of TiC until the complete transformation of the Ti interlayer. The interlayer released stress via self-fracture. Avoiding uneven fragile regions that formed locally in the interlayer and achieving cooperatively released stress are crucial for the preparation of large crack-free diamond films.

  9. Nanocrystalline diamond film as cathode for gas discharge sensors

    Energy Technology Data Exchange (ETDEWEB)

    Jou, Shyankay, E-mail: sjou@mail.ntust.edu.t [Graduate Institute of Materials Science and Technology, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Huang, Bohr-Ran [Graduate Institute of Electro-Optical Engineering and Department of Electronic Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Wu, Meng-Chang [Department of Electronic Engineering, National Yunlin University of Science and Technology, Touliu 640, Taiwan (China)

    2010-05-31

    Nanocrystalline diamond (NCD) film was deposited on a silicon substrate utilizing microwave plasma-enhanced chemical vapor deposition in a mixed flow of methane, hydrogen and argon. The deposited film had a cauliflower-like morphology, and was composed of NCD, carbon clusters and mixed sp{sup 2}- and sp{sup 3}-bonded carbon. Electron field emission (EFE) in vacuum and electrical discharges in Ar, N{sub 2} and O{sub 2} using the NCD film as the cathode were characterized. The turn-on field for EFE and the geometric enhancement factor for the NCD film were 8.5 V/{mu}m and 668, respectively. The breakdown voltages for Ar, N{sub 2} and O{sub 2} increased with pressures from 1.33 x 10{sup 4} Pa to 1.01 x 10{sup 5} Pa, following the right side of the normal Paschen curve.

  10. The bonding of protective films of amorphic diamond to titanium

    Science.gov (United States)

    Collins, C. B.; Davanloo, F.; Lee, T. J.; Jander, D. R.; You, J. H.; Park, H.; Pivin, J. C.

    1992-04-01

    Films of amorphic diamond can be deposited from laser plasma ions without the use of catalysts such as hydrogen or fluorine. Prepared without columnar patterns of growth, the layers of this material have been reported to have ``bulk'' values of mechanical properties that have suggested their usage as protective coatings for metals. Described here is a study of the bonding and properties realized in one such example, the deposition of amorphic diamond on titanium. Measurements with Rutherford backscattering spectrometry and transmission electron microscopy showed that the diamond coatings deposited from laser plasmas were chemically bonded to Ti substrates in 100-200-Å-thick interfacial layers containing some crystalline precipitates of TiC. Resistance to wear was estimated with a modified sand blaster and in all cases the coating was worn away without any rupture or deterioration of the bonding layer. Such wear was greatly reduced and lifetimes of the coated samples were increased by a factor of better than 300 with only 2.7 μm of amorphic diamond.

  11. Electrochemically assisted deposition of hydroxyapatite on Ti6Al4V substrates covered by CVD diamond films - Coating characterization and first cell biological results.

    Science.gov (United States)

    Strąkowska, Paulina; Beutner, René; Gnyba, Marcin; Zielinski, Andrzej; Scharnweber, Dieter

    2016-02-01

    Although titanium and its alloys are widely used as implant material for orthopedic and dental applications they show only limited corrosion stability and osseointegration in different cases. The aim of the presented research was to develop and characterize a novel surface modification system from a thin diamond base layer and a hydroxyapatite (HAp) top coating deposited on the alloy Ti6Al4V widely used for implants in contact with bone. This coating system is expected to improve both the long-term corrosion behavior and the biocompatibility and bioactivity of respective surfaces. The diamond base films were obtained by Microwave Plasma Assisted Chemical Vapor Deposition (MW-PACVD); the HAp coatings were formed in aqueous solutions by electrochemically assisted deposition (ECAD) at varying polarization parameters. Scanning electron microscopy (SEM), Raman microscopy, and electrical conductivity measurements were applied to characterize the generated surface states; the calcium phosphate coatings were additionally chemically analyzed for their composition. The biological properties of the coating system were assessed using hMSC cells analyzing for cell adhesion, proliferation, and osteogenic differentiation. Varying MW-PACVD process conditions resulted in composite coatings containing microcrystalline diamond (MCD/Ti-C), nanocrystalline diamond (NCD), and boron-doped nanocrystalline diamond (B-NCD) with the NCD coatings being dense and homogeneous and the B-NCD coatings showing increased electrical conductivity. The ECAD process resulted in calcium phosphate coatings from stoichiometric and non-stoichiometric HAp. The deposition of HAp on the B-NCD films run at lower cathodic potentials and resulted both in the highest coating mass and the most homogenous appearance. Initial cell biological investigations showed an improved cell adhesion in the order B-NCD>HAp/B-NCD>uncoated substrate. Cell proliferation was improved for both investigated coatings whereas ALP

  12. X-ray diffraction characterization of epitaxial CVD diamond films with natural and isotopically modified compositions

    Energy Technology Data Exchange (ETDEWEB)

    Prokhorov, I. A., E-mail: igor.prokhorov@mail.ru [Russian Academy of Sciences, Space Materials Science Laboratory, Shubnikov Institute of Crystallography, Federal Scientific Research Centre “Crystallography and Photonics”, Kaluga Branch (Russian Federation); Voloshin, A. E. [Russian Academy of Sciences, Shubnikov Institute of Crystallography, Federal Scientific Research Centre “Crystallography and Photonics” (Russian Federation); Ralchenko, V. G.; Bolshakov, A. P. [Russian Academy of Sciences, Prokhorov General Physics Institute (Russian Federation); Romanov, D. A. [Bauman Moscow State Technical University, Kaluga Branch (Russian Federation); Khomich, A. A. [Russian Academy of Sciences, Prokhorov General Physics Institute (Russian Federation); Sozontov, E. A. [National Research Centre “Kurchatov Institute” (Russian Federation)

    2016-11-15

    Comparative investigations of homoepitaxial diamond films with natural and modified isotopic compositions, grown by chemical vapor deposition (CVD) on type-Ib diamond substrates, are carried out using double-crystal X-ray diffractometry and topography. The lattice mismatch between the substrate and film is precisely measured. A decrease in the lattice constant on the order of (Δa/a){sub relax} ∼ (1.1–1.2) × 10{sup –4} is recorded in isotopically modified {sup 13}C (99.96%) films. The critical thicknesses of pseudomorphic diamond films is calculated. A significant increase in the dislocation density due to the elastic stress relaxation is revealed by X-ray topography.

  13. Planarization of the diamond film surface by using the hydrogen plasma etching with carbon diffusion process

    International Nuclear Information System (INIS)

    Kim, Sung Hoon

    2001-01-01

    Planarization of the free-standing diamond film surface as smooth as possible could be obtained by using the hydrogen plasma etching with the diffusion of the carbon species into the metal alloy (Fe, Cr, Ni). For this process, we placed the free-standing diamond film between the metal alloy and the Mo substrate like a metal-diamond-molybdenum (MDM) sandwich. We set the sandwich-type MDM in a microwave-plasma-enhanced chemical vapor deposition (MPECVD) system. The sandwich-type MDM was heated over ca. 1000 .deg. C by using the hydrogen plasma. We call this process as the hydrogen plasma etching with carbon diffusion process. After etching the free-standing diamond film surface, we investigated surface roughness, morphologies, and the incorporated impurities on the etched diamond film surface. Finally, we suggest that the hydrogen plasma etching with carbon diffusion process is an adequate etching technique for the fabrication of the diamond film surface applicable to electronic devices

  14. Electrochemically assisted deposition of hydroxyapatite on Ti6Al4V substrates covered by CVD diamond films — Coating characterization and first cell biological results

    Energy Technology Data Exchange (ETDEWEB)

    Strąkowska, Paulina [Gdańsk University of Technology, Mechanical Engineering Faculty (Poland); Gdańsk University of Technology, Faculty of Electronics, Telecommunications, and Informatics (Poland); Beutner, René [Max Bergmann Center, Technische Universität Dresden (Germany); Gnyba, Marcin [Gdańsk University of Technology, Faculty of Electronics, Telecommunications, and Informatics (Poland); Zielinski, Andrzej [Gdańsk University of Technology, Mechanical Engineering Faculty (Poland); Scharnweber, Dieter, E-mail: Dieter.Scharnweber@tu-dresden.de [Max Bergmann Center, Technische Universität Dresden (Germany)

    2016-02-01

    Although titanium and its alloys are widely used as implant material for orthopedic and dental applications they show only limited corrosion stability and osseointegration in different cases. The aim of the presented research was to develop and characterize a novel surface modification system from a thin diamond base layer and a hydroxyapatite (HAp) top coating deposited on the alloy Ti6Al4V widely used for implants in contact with bone. This coating system is expected to improve both the long-term corrosion behavior and the biocompatibility and bioactivity of respective surfaces. The diamond base films were obtained by Microwave Plasma Assisted Chemical Vapor Deposition (MW-PACVD); the HAp coatings were formed in aqueous solutions by electrochemically assisted deposition (ECAD) at varying polarization parameters. Scanning electron microscopy (SEM), Raman microscopy, and electrical conductivity measurements were applied to characterize the generated surface states; the calcium phosphate coatings were additionally chemically analyzed for their composition. The biological properties of the coating system were assessed using hMSC cells analyzing for cell adhesion, proliferation, and osteogenic differentiation. Varying MW-PACVD process conditions resulted in composite coatings containing microcrystalline diamond (MCD/Ti-C), nanocrystalline diamond (NCD), and boron-doped nanocrystalline diamond (B-NCD) with the NCD coatings being dense and homogeneous and the B-NCD coatings showing increased electrical conductivity. The ECAD process resulted in calcium phosphate coatings from stoichiometric and non-stoichiometric HAp. The deposition of HAp on the B-NCD films run at lower cathodic potentials and resulted both in the highest coating mass and the most homogenous appearance. Initial cell biological investigations showed an improved cell adhesion in the order B-NCD > HAp/B-NCD > uncoated substrate. Cell proliferation was improved for both investigated coatings whereas ALP

  15. Electrochemically assisted deposition of hydroxyapatite on Ti6Al4V substrates covered by CVD diamond films — Coating characterization and first cell biological results

    International Nuclear Information System (INIS)

    Strąkowska, Paulina; Beutner, René; Gnyba, Marcin; Zielinski, Andrzej; Scharnweber, Dieter

    2016-01-01

    Although titanium and its alloys are widely used as implant material for orthopedic and dental applications they show only limited corrosion stability and osseointegration in different cases. The aim of the presented research was to develop and characterize a novel surface modification system from a thin diamond base layer and a hydroxyapatite (HAp) top coating deposited on the alloy Ti6Al4V widely used for implants in contact with bone. This coating system is expected to improve both the long-term corrosion behavior and the biocompatibility and bioactivity of respective surfaces. The diamond base films were obtained by Microwave Plasma Assisted Chemical Vapor Deposition (MW-PACVD); the HAp coatings were formed in aqueous solutions by electrochemically assisted deposition (ECAD) at varying polarization parameters. Scanning electron microscopy (SEM), Raman microscopy, and electrical conductivity measurements were applied to characterize the generated surface states; the calcium phosphate coatings were additionally chemically analyzed for their composition. The biological properties of the coating system were assessed using hMSC cells analyzing for cell adhesion, proliferation, and osteogenic differentiation. Varying MW-PACVD process conditions resulted in composite coatings containing microcrystalline diamond (MCD/Ti-C), nanocrystalline diamond (NCD), and boron-doped nanocrystalline diamond (B-NCD) with the NCD coatings being dense and homogeneous and the B-NCD coatings showing increased electrical conductivity. The ECAD process resulted in calcium phosphate coatings from stoichiometric and non-stoichiometric HAp. The deposition of HAp on the B-NCD films run at lower cathodic potentials and resulted both in the highest coating mass and the most homogenous appearance. Initial cell biological investigations showed an improved cell adhesion in the order B-NCD > HAp/B-NCD > uncoated substrate. Cell proliferation was improved for both investigated coatings whereas ALP

  16. Mechanical design of thin-film diamond crystal mounting apparatus with optimized thermal contact and crystal strain for coherence preservation x-ray optics

    Science.gov (United States)

    Shu, Deming; Shvydko, Yury; Stoupin, Stanislav; Kim, Kwang-Je

    2018-05-08

    A method and mechanical design for a thin-film diamond crystal mounting apparatus for coherence preservation x-ray optics with optimized thermal contact and minimized crystal strain are provided. The novel thin-film diamond crystal mounting apparatus mounts a thin-film diamond crystal supported by a thick chemical vapor deposition (CVD) diamond film spacer with a thickness slightly thicker than the thin-film diamond crystal, and two groups of thin film thermal conductors, such as thin CVD diamond film thermal conductor groups separated by the thick CVD diamond spacer. The two groups of thin CVD film thermal conductors provide thermal conducting interface media with the thin-film diamond crystal. A piezoelectric actuator is integrated into a flexural clamping mechanism generating clamping force from zero to an optimal level.

  17. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  18. Radiation defects and electron disordering in proton-irradiated diamond films

    International Nuclear Information System (INIS)

    Maschenko, V.E.; Soloviev, G.G.

    1991-01-01

    The absorption spectra are studied in the region of the fundamental absorption band and its longwave boundary for the 0.6 μm thick diamond films deposited onto Al 2 O 3 single crystal underlayers and irradiated by 100 keV and 50 keV protons at fluences of 10 13 -10 16 cm -2 . The E 0 β (6.10-5.9eV) and E 0 α (5.51-5.43eV) maxima and the exponential tails towards lower energies are resolved in the spectra of initial films. The halfwidths of the maxima and a weak temperature dependence of their parameters and of the Urbach tail slope are indicative of disordering of the film structure. The intrinsic maxima near and above the indirect absorption boundary in diamond Γ 25 '-Δ are identified with transitions in the disordered cubic and hexagonal phases of the diamond films. The proton irradiation stimulates the intensity redistribution of the intrinsic maxima, the absorption enhancement, and the change of the Urbach tail slope. The character of radiolysis has been found to depend on the composition of the nonirradiated carbon films and on proton fluence. (author). 8 refs.; 3 figs

  19. X-ray beam monitor made by thin-film CVD single-crystal diamond.

    Science.gov (United States)

    Marinelli, Marco; Milani, E; Prestopino, G; Verona, C; Verona-Rinati, G; Angelone, M; Pillon, M; Kachkanov, V; Tartoni, N; Benetti, M; Cannatà, D; Di Pietrantonio, F

    2012-11-01

    A novel beam position monitor, operated at zero bias voltage, based on high-quality chemical-vapor-deposition single-crystal Schottky diamond for use under intense synchrotron X-ray beams was fabricated and tested. The total thickness of the diamond thin-film beam monitor is about 60 µm. The diamond beam monitor was inserted in the B16 beamline of the Diamond Light Source synchrotron in Harwell (UK). The device was characterized under monochromatic high-flux X-ray beams from 6 to 20 keV and a micro-focused 10 keV beam with a spot size of approximately 2 µm × 3 µm square. Time response, linearity and position sensitivity were investigated. Device response uniformity was measured by a raster scan of the diamond surface with the micro-focused beam. Transmissivity and spectral responsivity versus beam energy were also measured, showing excellent performance of the new thin-film single-crystal diamond beam monitor.

  20. Low-temperature electrical transport in B-doped ultrananocrystalline diamond film

    International Nuclear Information System (INIS)

    Li, Lin; Zhao, Jing; Hu, Zhaosheng; Quan, Baogang; Li, Junjie; Gu, Changzhi

    2014-01-01

    B-doped ultrananocrystalline diamond (UNCD) films are grown using hot-filament chemical vapor deposition method, and their electrical transport properties varying with temperature are investigated. When the B-doped concentration of UNCD film is low, a step-like increase feature of the resistance is observed with decreasing temperature, reflecting at least three temperature-modified electronic state densities at the Fermi level according to three-dimensional Mott's variable range hopping transport mechanism, which is very different from that of reported B-doped nanodiamond. With increasing B-doped concentration, a superconductive transformation occurs in the UNCD film and the highest transformation temperature of 5.3 K is observed, which is higher than that reported for superconducting nanodiamond films. In addition, the superconducting coherence length is about 0.63 nm, which breaks a reported theoretical and experimental prediction about ultra-nanoscale diamond's superconductivity

  1. Real time monitoring of filament-assisted chemically vapor deposited diamond by spectroscopic ellipsometry

    International Nuclear Information System (INIS)

    Yue Cong; An, I.; Vedam, K.; Collins, R.W.; Nguyen, H.V.; Messier, R.

    1991-01-01

    Spectroscopic ellipsometry over the range 1.5-4.5 eV was applied as a real time probe of the processes occurring in the initial nucleation of thin film diamond by heated-filament assisted chemical vapor deposition. Using both untreated and diamond-polished c-Si substrates, as well as both carburized and uncarburized tungsten filaments, it was possible to separate and characterize competing phenomena, including the increase in surface temperature induced by filament ignition, the formation of carbide layers, contamination of the substrate by tungsten from the filament, annealing of diamond polishing damage, and, finally, diamond nucleation. An accurate measurement of the true temperature of the substrate surface averaged over the top 500 A can be obtained from the energy position of critical points in the c-Si band structure. For diamond deposition, we operated with an initial excess flow of CH 4 to stimulate nucleation. We applied real time feedback and manual control to reduce the CH 4 flow in the first monolayers of deposition. The thickness of diamond and an estimate of its nucleation density can be obtained from real time spectra, and the latter was in good agreement with that obtained from scanning electron microscopy. (orig.)

  2. Effects of hydrogenation on thermal conductivity of ultrananocrystalline diamond/amorphous carbon composite films prepared via coaxial arc plasma deposition

    Science.gov (United States)

    Takeichi, Satoshi; Nishiyama, Takashi; Tabara, Mitsuru; Kawawaki, Shuichi; Kohno, Masamichi; Takahashi, Koji; Yoshitake, Tsuyoshi

    2018-06-01

    Ultrananocrystalline diamond (UNCD)/hydrogenated amorphous carbon (a-C:H) composite (UNCD/a-C:H) and UNCD/non-hydrogenated amorphous carbon (a-C) composite (UNCD/a-C) films were prepared via coaxial arc plasma deposition, and their thermal conductivity and interfacial conductance in grain boundaries were measured using a time-domain thermoreflectance method. The interfacial conductance was estimated to be 1,010 and 4,892 MW/(m2·K) for UNCD/a-C:H and UNCD/a-C films, respectively. The reasons for the hydrogenated film having lower interfacial conductance than the non-hydrogenated film are 1) the reduced number of carriers that contribute to heat transport and 2) the hydrogen atoms, which are preferentially located at the grain boundaries and enhance phonon scattering.

  3. Nanocrystalline diamond films for biomedical applications

    DEFF Research Database (Denmark)

    Pennisi, Cristian Pablo; Alcaide, Maria

    2014-01-01

    Nanocrystalline diamond films, which comprise the so called nanocrystalline diamond (NCD) and ultrananocrystalline diamond (UNCD), represent a class of biomaterials possessing outstanding mechanical, tribological, and electrical properties, which include high surface smoothness, high corrosion...... performance of nanocrystalline diamond films is reviewed from an application-specific perspective, covering topics such as enhancement of cellular adhesion, anti-fouling coatings, non-thrombogenic surfaces, micropatterning of cells and proteins, and immobilization of biomolecules for bioassays. In order...

  4. Visible sub-band gap photoelectron emission from nitrogen doped and undoped polycrystalline diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Elfimchev, S., E-mail: sergeyel@tx.technion.ac.il; Chandran, M.; Akhvlediani, R.; Hoffman, A.

    2017-07-15

    Highlights: • Nitrogen related centers in diamond film are mainly responsible for visible sub-band-gap photoelectron emission. • The influence of film thickness and substrate on the measured photoelectron emission yields was not found. • Nanocrystalline diamonds have low electron emission yields most likely because of high amount of defects. • Visible sub-band gap photoelectron emission may increase with temperature due to electron trapping/detrapping processes. - Abstract: In this study the origin of visible sub-band gap photoelectron emission (PEE) from polycrystalline diamond films is investigated. The PEE yields as a function of temperature were studied in the wavelengths range of 360–520 nm. Based on the comparison of electron emission yields from diamond films deposited on silicon and molybdenum substrates, with different thicknesses and nitrogen doping levels, we suggested that photoelectrons are generated from nitrogen related centers in diamond. Our results show that diamond film thickness and substrate material have no significant influence on the PEE yield. We found that nanocrystalline diamond films have low electron emission yields, compared to microcrystalline diamond, due to the presence of high amount of defects in the former, which trap excited electrons before escaping into the vacuum. However, the low PEE yield of nanocrystalline diamond films was found to increase with temperature. The phenomenon was explained by the trap assisted photon enhanced thermionic emission (ta-PETE) model. According to the ta-PETE model, photoelectrons are trapped by shallow traps, followed by thermal excitation at elevated temperatures and escape into the vacuum. Activation energies of trap levels were estimated for undoped nanocrystalline, undoped microcrystalline and N-doped diamond films using the Richardson-Dushman equation, which gives 0.13, 0.39 and 0.04 eV, respectively. Such low activation energy of trap levels makes the ta-PETE process very

  5. The irradiation studies on diamond-like carbon films

    CERN Document Server

    LiuGuIang; Xie Er Qin

    2002-01-01

    Diamond-like carbon (DLC) films have been deposited on glass substrates using radio-frequency (r.f.) plasma deposition method. gamma-ray, ultraviolet (UV) ray and neutron beam were used to irradiate the DLC films. Raman spectroscopy and infrared (IR) spectroscopy were used to characterize the changing characteristics of SP sup 3 C-H bond and hydrogen content in the films due to the irradiations. It showed that, the damage degrees of the gamma-ray, UV ray and neutron beam on the SP sup 3 C-H bonds are different. Among them, the damage of gamma-ray on the SP sup 3 C-H bond is the weakest. When the irradiation dose of gamma-ray reaches 10x10 sup 4 Gy, the SP sup 3 C-H bond reduces about 50% in number. The square resistance of the films is reduced due to the irradiation of UV ray and this is caused by severe oxidation of the films. Compared with that of the as-deposited one, the IR transmittance of the films irradiated by both gamma-ray and neutron beam is increased to some extent. By using the results on optical...

  6. Role of high microwave power on growth and microstructure of thick nanocrystalline diamond films: A comparison with large grain polycrystalline diamond films

    Science.gov (United States)

    Tang, C. J.; Fernandes, A. J. S.; Girão, A. V.; Pereira, S.; Shi, Fa-Nian; Soares, M. R.; Costa, F.; Neves, A. J.; Pinto, J. L.

    2014-03-01

    In this work, we study the growth habit of nanocrystalline diamond (NCD) films by exploring the very high power regime, up to 4 kW, in a 5 kW microwave plasma chemical vapour deposition (MPCVD) reactor, through addition of a small amount of nitrogen and oxygen (0.24%) into 4% CH4 in H2 plasma. The coupled effect of high microwave power and substrate temperature on NCD growth behaviour is systematically investigated by varying only power, while fixing the remaining operating parameters. When the power increases from 2 kW to 4 kW, resulting also in rise of the Si substrate temperature higher than 150 °C, the diamond films obtained maintain the NCD habit, while the growth rate increases significantly. The highest growth rate of 4.6 μm/h is achieved for the film grown at 4 kW, which represents a growth rate enhancement of about 15 times compared with that obtained when using 2 kW power. Possible factors responsible for such remarkable growth rate enhancement of the NCD films are discussed. The evolution of NCD growth characteristics such as morphology, microstructure and texture is studied by growing thick films and comparing it with that of large grain polycrystalline (PCD) films. One important characteristic of the NCD films obtained, in contrast to PCD films, is that irrespective of deposition time (i.e. film thickness), their grain size and surface roughness remain in the nanometer range throughout the growth. Finally, based on our present and previous experimental results, a potential parameter window is established for fast growth of NCD films under high power conditions.

  7. Initial damage processes for diamond film exposure to hydrogen plasma

    International Nuclear Information System (INIS)

    Deslandes, A.; Guenette, M.C.; Samuell, C.M.; Karatchevtseva, I.; Ionescu, M.; Cohen, D.D.; Blackwell, B.; Corr, C.; Riley, D.P.

    2013-01-01

    Graphical abstract: -- Highlights: • Exposing chemical vapour deposited (CVD) diamond films in a recently constructed device, MAGPIE, specially commissioned to simulate fusion plasma conditions. • Non-diamond material is etched from the diamond. • There is no hydrogen retention observed, which suggests diamond is an excellent candidate for plasma facing materials. • Final structure of the surface is dependent on synergistic effects of etching and ion-induced structural change. -- Abstract: Diamond is considered to be a possible alternative to other carbon based materials as a plasma facing material in nuclear fusion devices due to its high thermal conductivity and resistance to chemical erosion. In this work CVD diamond films were exposed to hydrogen plasma in the MAGnetized Plasma Interaction Experiment (MAGPIE): a linear plasma device at the Australian National University which simulates plasma conditions relevant to nuclear fusion. Various negative sample stage biases of magnitude less than 500 V were applied to control the energies of impinging ions. Characterisation results from SEM, Raman spectroscopy and ERDA are presented. No measureable quantity of hydrogen retention was observed, this is either due to no incorporation of hydrogen into the diamond structure or due to initial incorporation as a hydrocarbon followed by subsequent etching back into the plasma. A model is presented for the initial stages of diamond erosion in fusion relevant hydrogen plasma that involves chemical erosion of non-diamond material from the surface by hydrogen radicals and damage to the subsurface region from energetic hydrogen ions. These results show that the initial damage processes in this plasma regime are comparable to previous studies of the fundamental processes as reported for less extreme plasma such as in the development of diamond films

  8. Influence of duty ratio of pulsed bias on structure and properties of silicon-doped diamond-like carbon films by plasma deposition

    International Nuclear Information System (INIS)

    Nakazawa, Hideki; Kamata, Ryosuke; Miura, Soushi; Okuno, Saori

    2013-01-01

    We have investigated the influence of the duty ratio of pulsed substrate bias on the structure and properties of Si-doped diamond-like carbon (Si-DLC) films deposited by radio frequency plasma-enhanced chemical vapor deposition using CH 4 , Ar, and monomethylsilane (CH 3 SiH 3 ) as the Si source. The Si/(Si + C) ratios in the Si-DLC films deposited using pulsed bias were higher than that of the dc-biased Si-DLC film, and the Si fraction increased with decreasing pulse duty ratio. Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy analyses revealed that Si-C, Si-H n , and C-H n bonds in the Si-DLC films increased with decreasing duty ratio. The internal stress decreased as the duty ratio decreased, which is probably due to the increase in Si-C, Si-H n , and C-H n bonds in the films. The Si-DLC films deposited using pulsed bias had higher adhesion strength than the dc-biased Si-DLC film because of the further reduction of internal stress. At higher duty ratios, although the Si fractions of the pulse-biased Si-DLC films were higher than that of the dc-biased Si-DLC film, the wear rates of the former were less than that of the latter. - Highlights: • The internal stress of Si-doped films was lowered at lower duty ratios. • The adhesion of pulse-biased films was improved compared with that of dc films. • The tribological properties of Si-doped films were improved by the use of pulse bias

  9. A study of the performance and properties of diamond like carbon (DLC) coatings deposited by plasma chemical vapor deposition (CVD) for two stroke engine components

    Energy Technology Data Exchange (ETDEWEB)

    Tither, D. [BEP Grinding Ltd., Manchester (United Kingdom); Ahmed, W.; Sarwar, M.; Penlington, R. [Univ. of Northumbria, Newcastle-upon-Tyne (United Kingdom)

    1995-12-31

    Chemical vapor deposition (CVD) using microwave and RF plasma is arguably the most successful technique for depositing diamond and diamond like carbon (DLC) films for various engineering applications. However, the difficulties of depositing diamond are nearly as extreme as it`s unique combination of physical, chemical and electrical properties. In this paper, the modified low temperature plasma enhanced CVD system is described. The main focus of this paper will be work related to deposition of DLC on metal matrix composite materials (MMCs) for application in two-stroke engine components and results will be presented from SEM, mechanical testing and composition analysis studies. The authors have demonstrated the feasibility of depositing DLC on MMCs for the first time using a vacuum deposition process.

  10. Influence of deposition parameters on the refractive index and growth rate of diamond-like carbon films

    International Nuclear Information System (INIS)

    Zhang, G.F.; Zheng, X.; Guo, L.J.; Liu, Z.T.; Xiu, N.K.

    1994-01-01

    In order to use diamond-like carbon (DLC) films as protective and antireflection coatings for IR optical materials exposed to hostile environments, an investigation has been systematically conducted on the influence of the deposition parameters on the refractive index and growth rate of DLC films, which are two of the most important parameters in evaluating optical characteristics of antireflection coatings. The experimental results show that both the refractive index and growth rate of DLC films depend strongly on the negative d.c. bias voltage. The refractive index increases with increasing bias voltage and decreases with increasing partial pressure of the hydrocarbon gas and total flow rate of the mixture. The growth rate increases greatly when the bias voltage is larger than a threshold value. The various parameters which influence the structure and properties of DLC films are interrelated. Fourier transform IR spectroscopy results show that the strength of the C-H stretching absorption band in the range 3300-2850 cm -1 is gradually weakened with increasing negative bias voltage and argon concentration. High energy bombardment of the growing film plays an important role in the structure and hence the properties of DLC films. (orig.)

  11. A 3D tomographic EBSD analysis of a CVD diamond thin film

    International Nuclear Information System (INIS)

    Liu Tao; Raabe, Dierk; Zaefferer, Stefan

    2008-01-01

    We have studied the nucleation and growth processes in a chemical vapor deposition (CVD) diamond film using a tomographic electron backscattering diffraction method (3D EBSD). The approach is based on the combination of a focused ion beam (FIB) unit for serial sectioning in conjunction with high-resolution EBSD. Individual diamond grains were investigated in 3-dimensions particularly with regard to the role of twinning.

  12. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J. [Geophysical Laboratory, Carnegie Institution of Washington, 5251 Broad Branch Rd., NW, Washington, DC 20015 (United States)

    2015-11-02

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH{sub 4}/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H{sub 2} into the deposition gas chemistry. Electronically excited species of CN, C{sub 2}, Ar, N{sub 2}, CH, H{sub β}, and H{sub α} were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T{sub 2g} phonon at 1333 cm{sup −1} peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  13. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  14. Diamond Synthesis Employing Nanoparticle Seeds

    Science.gov (United States)

    Uppireddi, Kishore (Inventor); Morell, Gerardo (Inventor); Weiner, Brad R. (Inventor)

    2014-01-01

    Iron nanoparticles were employed to induce the synthesis of diamond on molybdenum, silicon, and quartz substrates. Diamond films were grown using conventional conditions for diamond synthesis by hot filament chemical vapor deposition, except that dispersed iron oxide nanoparticles replaced the seeding. This approach to diamond induction can be combined with dip pen nanolithography for the selective deposition of diamond and diamond patterning while avoiding surface damage associated to diamond-seeding methods.

  15. Strain-induced ordered structure of titanium carbide during depositing diamond on Ti alloy substrate

    Energy Technology Data Exchange (ETDEWEB)

    Li, X.J., E-mail: lixj@alum.imr.ac.cn [College of Material Science and Engineering, Key Laboratory of Advanced Structural Materials, Ministry of Education, Changchun University of Technology, Changchun, 130012 (China); He, L.L., E-mail: llhe@imr.ac.cn [Shenyang National Lab of Materials Science, Institute of Metal Research, Chinese Academy of Sciences, Shenyang 110016 (China); Li, Y.S. [Department of Mechanical Engineering, University of Saskatchewan, Saskatoon S7N 5A9, SK (Canada); Plasma Physics Laboratory, University of Saskatchewan, Saskatoon, SK S7N 5E2 (Canada); Yang, Q. [Department of Mechanical Engineering, University of Saskatchewan, Saskatoon S7N 5A9, SK (Canada); Hirose, A. [Plasma Physics Laboratory, University of Saskatchewan, Saskatoon, SK S7N 5E2 (Canada)

    2017-01-15

    During the deposition of diamond films on Ti alloy substrates, titanium carbide is a common precipitated phase, preferentially formed at the interfacial region. However, in this case, the precipitation of an ordered structure of titanium carbide has not been reported. In our work, a long periodic ordered structure of TiC has been observed at the deposited diamond film/Ti alloy interface by high resolution transmission electron microscopy (HRTEM). The long periodic ordered structure is identified as 6H-type. The formation mechanism is revealed by comparative studies on the different structures of TiC precipitated under different diamond deposition conditions in terms of deposition time, atmosphere and temperature. A large number of carbon vacancies in the interfacial precipitated TiC phase are verified through electron energy loss spectroscopy (EELS) quantification analysis. However, an ordered arrangement of these carbon vacancies occurs only when the interfacial stress is large enough to induce the precipitation of 6H-type TiC. The supplementary analysis by X-ray diffraction (XRD) further confirms that additional diffraction peaks presented in the XRD patterns are corresponding to the precipitation of 6H-type TiC. - Highlights: •Different structures of TiC are observed during deposited diamond on Ti alloy. •One is common NaCl structure, the other is periodic structure. •The periodic structure is identified as 6H-type by HRTEM. •Carbon vacancies are verified to always exist in the TiC phase. •The precipitation of 6H-type TiC is mainly affected by interfacial stress.

  16. Strain-induced ordered structure of titanium carbide during depositing diamond on Ti alloy substrate

    International Nuclear Information System (INIS)

    Li, X.J.; He, L.L.; Li, Y.S.; Yang, Q.; Hirose, A.

    2017-01-01

    During the deposition of diamond films on Ti alloy substrates, titanium carbide is a common precipitated phase, preferentially formed at the interfacial region. However, in this case, the precipitation of an ordered structure of titanium carbide has not been reported. In our work, a long periodic ordered structure of TiC has been observed at the deposited diamond film/Ti alloy interface by high resolution transmission electron microscopy (HRTEM). The long periodic ordered structure is identified as 6H-type. The formation mechanism is revealed by comparative studies on the different structures of TiC precipitated under different diamond deposition conditions in terms of deposition time, atmosphere and temperature. A large number of carbon vacancies in the interfacial precipitated TiC phase are verified through electron energy loss spectroscopy (EELS) quantification analysis. However, an ordered arrangement of these carbon vacancies occurs only when the interfacial stress is large enough to induce the precipitation of 6H-type TiC. The supplementary analysis by X-ray diffraction (XRD) further confirms that additional diffraction peaks presented in the XRD patterns are corresponding to the precipitation of 6H-type TiC. - Highlights: •Different structures of TiC are observed during deposited diamond on Ti alloy. •One is common NaCl structure, the other is periodic structure. •The periodic structure is identified as 6H-type by HRTEM. •Carbon vacancies are verified to always exist in the TiC phase. •The precipitation of 6H-type TiC is mainly affected by interfacial stress.

  17. Growth, characterization and device development in monocrystalline diamond films

    Science.gov (United States)

    Davis, R. F.; Glass, J. T.; Nemanich, R. J.; Bozeman, S. P.; Sowers, A. T.

    1995-06-01

    Experimental and theoretical studies concerned with interface interactions of diamond with Si, Ni, and Ni3Si substrates have been conducted. Oriented diamond films deposited on (100) Si were characterized by polar Raman, polar x-ray diffraction (XRD), and cross-sectional high resolution transmission electron microscopy (HRTEM). These sutides showed that the diamond(100)/Si(100) interface adopted the 3:2-match arrangement rather than a 45 deg rotation. Extended Hueckel tight-binding (EHTB) electronic structure calculations for a model system revealed that the interface interaction favors the 3:2-match arrangement. Growth on polycrystalline Ni3Si resulted in oriented diamond particles; under the same growth conditions, graphite was formed on the nickel substrate. Our EHTB electronic structure calculations showed that the (111) and (100) surfaces of Ni3Si have a strong preference for diamond nucleation over graphite nucleation, but this was not the case for the (111) and (100) surfaces of Ni.

  18. Diamond thin films: giving biomedical applications a new shine.

    Science.gov (United States)

    Nistor, P A; May, P W

    2017-09-01

    Progress made in the last two decades in chemical vapour deposition technology has enabled the production of inexpensive, high-quality coatings made from diamond to become a scientific and commercial reality. Two properties of diamond make it a highly desirable candidate material for biomedical applications: first, it is bioinert, meaning that there is minimal immune response when diamond is implanted into the body, and second, its electrical conductivity can be altered in a controlled manner, from insulating to near-metallic. In vitro, diamond can be used as a substrate upon which a range of biological cells can be cultured. In vivo , diamond thin films have been proposed as coatings for implants and prostheses. Here, we review a large body of data regarding the use of diamond substrates for in vitro cell culture. We also detail more recent work exploring diamond-coated implants with the main targets being bone and neural tissue. We conclude that diamond emerges as one of the major new biomaterials of the twenty-first century that could shape the way medical treatment will be performed, especially when invasive procedures are required. © 2017 The Authors.

  19. A 3D tomographic EBSD analysis of a CVD diamond thin film

    Directory of Open Access Journals (Sweden)

    Tao Liu, Dierk Raabe and Stefan Zaefferer

    2008-01-01

    Full Text Available We have studied the nucleation and growth processes in a chemical vapor deposition (CVD diamond film using a tomographic electron backscattering diffraction method (3D EBSD. The approach is based on the combination of a focused ion beam (FIB unit for serial sectioning in conjunction with high-resolution EBSD. Individual diamond grains were investigated in 3-dimensions particularly with regard to the role of twinning.

  20. Gas Permeation, Mechanical Behavior and Cytocompatibility of Ultrathin Pure and Doped Diamond-Like Carbon and Silicon Oxide Films

    Directory of Open Access Journals (Sweden)

    Juergen M. Lackner

    2013-12-01

    Full Text Available Protective ultra-thin barrier films gather increasing economic interest for controlling permeation and diffusion from the biological surrounding in implanted sensor and electronic devices in future medicine. Thus, the aim of this work was a benchmarking of the mechanical oxygen permeation barrier, cytocompatibility, and microbiological properties of inorganic ~25 nm thin films, deposited by vacuum deposition techniques on 50 µm thin polyetheretherketone (PEEK foils. Plasma-activated chemical vapor deposition (direct deposition from an ion source was applied to deposit pure and nitrogen doped diamond-like carbon films, while physical vapor deposition (magnetron sputtering in pulsed DC mode was used for the formation of silicon as well as titanium doped diamond-like carbon films. Silicon oxide films were deposited by radio frequency magnetron sputtering. The results indicate a strong influence of nanoporosity on the oxygen transmission rate for all coating types, while the low content of microporosity (particulates, etc. is shown to be of lesser importance. Due to the low thickness of the foil substrates, being easily bent, the toughness as a measure of tendency to film fracture together with the elasticity index of the thin films influence the oxygen barrier. All investigated coatings are non-pyrogenic, cause no cytotoxic effects and do not influence bacterial growth.

  1. The study and the realization of radiation detectors made from polycrystalline diamond films grown by microwave plasma enhanced chemical vapour deposition technique; Etude et realisation de detecteurs de rayonnements a base de films de diamant polycristallin elabores par depot chimique en phase vapeur assiste par plasma micro-onde

    Energy Technology Data Exchange (ETDEWEB)

    Jany, Ch

    1998-10-29

    The aim of this work was to develop radiation detectors made from polycrystalline diamond films grown by microwave plasma enhanced chemical vapour deposition technique. The influence of surface treatments, contact technology and diamond growth parameters on the diamond detectors characteristics was investigated in order to optimise the detector response to alpha particles. The first part of the study focused on the electrical behaviour of as-deposited diamond surface, showing a p type conduction and its influence on the leakage current of the device. A surface preparation process was established in order to reduce the leakage current of the device by surface dehydrogenation using an oxidising step. Several methods to form and treat electrical contacts were also investigated showing that the collection efficiency of the device decreases after contact annealing. In the second part, we reported the influence of the diamond deposition parameters on the characteristics of the detectors. The increase of the deposition temperature and/or methane concentration was shown to lead {eta} to decrease. In contrast, {eta} was found to increase with the micro-wave power. The evolution of the diamond detector characteristics results from the variation in sp{sup 2} phases incorporation and in the crystallography quality of the films. These defects increase the leakage current and reduce the carrier mobility and lifetime. Measurements carried out on detectors with different thicknesses showed that the physical properties varies along the growth direction, improving with the film thickness. Finally, the addition of nitrogen (> 10 ppm) in the gas mixture during diamond deposition was found to strongly reduce the collection efficiency of the detectors. To conclude the study, we fabricated and characterised diamond devices which were used for thermal neutron detection and for the intensity and shape measurement of VUV and soft X-ray pulses. (author)

  2. Surface modification on 304 SS by plasma-immersed ion implantation to improve the adherence of a CVD diamond film

    Energy Technology Data Exchange (ETDEWEB)

    Nono, M.C.A.; Corat, E.J. (Instituto Nacional de Pesquisas Espaciais, Sao Jose dos Campos, SP (Brazil)); Ueda, M.; Stellati, C.; Barroso, J.J.; Conrad, J.R.; Shamim, M.; Fetherston, P.; Sridharan, K.

    1999-02-01

    The weak adherence of chemical vapor deposited (CVD) diamond films on steel substrates is an important factor that limits the technological applications of these materials. We are interested in enhancing the film-to-substrate adherence by using substrate surfaces with a previous modification by plasma-immersed ion implantation (PIII). In this work we present and discuss the preliminary results on phase formation, microstructure and adherence evaluations. CVD diamond films were deposited on 304 SS, the surface of which was modified by implanted carbon ions. The samples were first submitted to implantation with 30 keV carbon ions at different doses. Later, these surfaces were examined by Auger spectroscopy (SAM), scanning electron microscopy (SEM) and X-ray diffraction. We observed a metastable carbide phase formed from carbon and iron, which is considered to be a good polycrystalline material for the nucleation of CVD diamond crystals. The CVD diamond nucleation and film growth were observed by SEM and Raman spectroscopy. These results are discussed with the emphasis on the carbon diffusion barrier on the substrate surfaces. The preliminary results of diamond growth were encouraging. (orig.) 7 refs.

  3. Coating of diamond-like carbon nanofilm on alumina by microwave plasma enhanced chemical vapor deposition process.

    Science.gov (United States)

    Rattanasatien, Chotiwan; Tonanon, Nattaporn; Bhanthumnavin, Worawan; Paosawatyanyong, Boonchoat

    2012-01-01

    Diamond-like carbon (DLC) nanofilms with thickness varied from under one hundred to a few hundred nanometers have been successfully deposited on alumina substrates by microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. To obtain dense continuous DLC nanofilm coating over the entire sample surface, alumina substrates were pre-treated to enhance the nucleation density. Raman spectra of DLC films on samples showed distinct diamond peak at around 1332 cm(-1), and the broad band of amorphous carbon phase at around 1550 cm(-1). Full width at half maximum height (FWHM) values indicated good formation of diamond phase in all films. The result of nano-indentation test show that the hardness of alumina samples increase from 7.3 +/- 2.0 GPa in uncoated samples to 15.8 +/- 4.5-52.2 +/- 2.1 GPa in samples coated with DLC depending on the process conditions. It is observed that the hardness values are still in good range although the thickness of the films is less than a hundred nanometer.

  4. Tribological performance of polycrystalline tantalum-carbide-incorporated diamond films on silicon substrates

    Science.gov (United States)

    Ullah, Mahtab; Rana, Anwar Manzoor; Ahmed, E.; Malik, Abdul Sattar; Shah, Z. A.; Ahmad, Naseeb; Mehtab, Ujala; Raza, Rizwan

    2018-05-01

    Polycrystalline tantalum-carbide-incorporated diamond coatings have been made on unpolished side of Si (100) wafer by hot filament chemical vapor deposition process. Morphology of the coatings has been found to vary from (111) triangular-facetted to predominantly (111) square-faceted by increasing the concentration of tantalum carbide. The results have been compared to those of a diamond reference coating with no tantalum content. An increase in roughness has been observed with the increase of tantalum carbide (TaC) due to change in morphology of the diamond films. It is noticed that roughness of the coatings increases as grains become more square-faceted. It is found that diamond coatings involving tantalum carbide are not as resistant as diamond films with no TaC content and the coefficient of friction for such coatings with microcrystalline grains can be manipulated to 0·33 under high vacuum of 10-7 Torr. Such a low friction coefficient value enhances tribological behavior of unpolished Si substrates and can possibly be used in sliding applications.

  5. Diamond films on stainless steel substrates with an interlayer applied by laser cladding

    Energy Technology Data Exchange (ETDEWEB)

    Contin, Andre; Alves, Kenya Aparecida; Damm, Djoille Denner; Trava-Airoldi, Vladimir Jesus; Corat, Evaldo Jose, E-mail: andrecontin@yahoo.com.br [Instituto Nacional de Pesquisas Espaciais (LAS/INPE), Sao Jose dos Campos, SP (Brazil). Laboratorio Associado de Sensores e Materiais; Campos, Raonei Alves [Universidade Federal do Sul e Sudeste do Para (UNIFESSPA), Maraba, PA (Brazil); Vasconcelos, Getulio de [Instituto de Estudos Avancados (DedALO/IEAv), Sao Jose dos Campos, SP (Brazil). Laboratorio de Desenvolvimento de Aplicacoes de Lasers e Optica

    2017-03-15

    The objective of this work is the Hot Filament Chemical Vapor Deposition (HFCVD) of diamond films on stainless steel substrates using a new technique for intermediate barrier forming, made by laser cladding process. In this technique, a powder layer is irradiated by a laser beam to melt the powder layer and the substrate surface layer to create the interlayer. The control of the laser beam parameters allows creating homogeneous coating layers, in rather large area in few seconds. In this work, the silicon carbide powder (SiC) was used to create an intermediate layer. Before the diamond growth, the samples were subjected to the seeding process with diamond powder. The diamond deposition was performed using Hot-Filament CVD reactor and the characterizations were Scanning Electron Microscopy, X-ray diffraction, Raman Scattering Spectroscopy and Scratch Test. (author)

  6. Oxygen plasma etching of silver-incorporated diamond-like carbon films

    International Nuclear Information System (INIS)

    Marciano, F.R.; Bonetti, L.F.; Pessoa, R.S.; Massi, M.; Santos, L.V.; Trava-Airoldi, V.J.

    2009-01-01

    Diamond-like carbon (DLC) film as a solid lubricant coating represents an important area of investigation related to space devices. The environment for such devices involves high vacuum and high concentration of atomic oxygen. The purpose of this paper is to study the behavior of silver-incorporated DLC thin films against oxygen plasma etching. Silver nanoparticles were produced through an electrochemical process and incorporated into DLC bulk during the deposition process using plasma enhanced chemical vapor deposition technique. The presence of silver does not affect significantly DLC quality and reduces by more than 50% the oxygen plasma etching. Our results demonstrated that silver nanoparticles protect DLC films against etching process, which may increase their lifetime in low earth orbit environment.

  7. Oxygen plasma etching of silver-incorporated diamond-like carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Marciano, F.R., E-mail: fernanda@las.inpe.b [Instituto Nacional de Pesquisas Espaciais (INPE), Laboratorio Associado de Sensores e Materiais (LAS), Av. dos Astronautas 1758, Sao Jose dos Campos, 12227-010, SP (Brazil); Instituto Tecnologico de Aeronautica (ITA), Centro Tecnico Aeroespacial (CTA), Pca. Marechal Eduardo Gomes, 50-Sao Jose dos Campos, 12228-900, SP (Brazil); Bonetti, L.F. [Clorovale Diamantes Industria e Comercio Ltda, Estr. do Torrao de Ouro, 500-Sao Jose dos Campos, 12229-390, SP (Brazil); Pessoa, R.S.; Massi, M. [Instituto Tecnologico de Aeronautica (ITA), Centro Tecnico Aeroespacial (CTA), Pca. Marechal Eduardo Gomes, 50-Sao Jose dos Campos, 12228-900, SP (Brazil); Santos, L.V.; Trava-Airoldi, V.J. [Instituto Nacional de Pesquisas Espaciais (INPE), Laboratorio Associado de Sensores e Materiais (LAS), Av. dos Astronautas 1758, Sao Jose dos Campos, 12227-010, SP (Brazil)

    2009-08-03

    Diamond-like carbon (DLC) film as a solid lubricant coating represents an important area of investigation related to space devices. The environment for such devices involves high vacuum and high concentration of atomic oxygen. The purpose of this paper is to study the behavior of silver-incorporated DLC thin films against oxygen plasma etching. Silver nanoparticles were produced through an electrochemical process and incorporated into DLC bulk during the deposition process using plasma enhanced chemical vapor deposition technique. The presence of silver does not affect significantly DLC quality and reduces by more than 50% the oxygen plasma etching. Our results demonstrated that silver nanoparticles protect DLC films against etching process, which may increase their lifetime in low earth orbit environment.

  8. Subtle Raman signals from nano-diamond and β-SiC thin films

    International Nuclear Information System (INIS)

    Kuntumalla, Mohan Kumar; Ojha, Harish; Srikanth, Vadali Venkata Satya Siva

    2013-01-01

    Micro Raman scattering experiments are carried out in pursuit of subtle but discernable signals from nano-diamond and β-SiC thin films. The thin films are synthesized using microwave plasma assisted chemical vapor deposition technique. Raman scattering experiments in conjunction with scanning electron microscopy and x-ray diffraction were carried out to extract microstructure and phase information of the above mentioned thin films. Certain subtle Raman signals have been identified in this work. In the case of nanodiamond thin films, Raman bands at ∼ 485 and ∼ 1220 cm −1 are identified. These bands have been assigned to the nanodiamond present in nanodiamond thin films. In the case of nano β-SiC thin films, optical phonons are identified using surface enhanced Raman scattering. - Highlights: ► Subtle Raman signals from nano-diamond and β-silicon carbide related thin films. ► Raman bands at ∼ 485 and ∼ 1220 cm −1 from nanodiamond thin films are identified. ► Longitudinal optical phonon from nano β-silicon carbide thin films is identified

  9. Nitrogen and hydrogen related infrared absorption in CVD diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Titus, E. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal)]. E-mail: elby@mec.ua.pt; Ali, N. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Cabral, G. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Madaleno, J.C. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Neto, V.F. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Gracio, J. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Ramesh Babu, P [Materials Ireland, Polymer research Centre, School of Physics, Dublin (Ireland); Sikder, A.K. [Department of Physics, Indian Institute of Technology (IIT), Bombay (India); Okpalugo, T.I. [Northern Ireland Bio-Engineering Centre, NIBEC, University of Ulster (United Kingdom); Misra, D.S. [Department of Physics, Indian Institute of Technology (IIT), Bombay (India)

    2006-09-25

    In this paper, we investigate on the presence of hydrogen and nitrogen related infrared absorptions in chemical vapour deposited (CVD) diamond films. Investigations were carried out in cross sections of diamond windows, deposited using hot filament CVD (HFCVD). The results of Scanning Electron Microscopy (SEM), Fourier Transform Infrared (FTIR) and Raman spectroscopy carried out in a cross section of self-standing diamond sheets are presented. The FTIR spectra showed several features that have not been reported before. In order to confirm the frequency of nitrogen related vibrations, ab-initio calculations were carried out using GAMESS program. The investigations showed the presence of several C-N related peaks in one-phonon (1000-1333 cm{sup -1}). The deconvolution of the spectra in the three-phonon region (2700-3150 cm{sup -1}) also showed a number of vibration modes corresponding to sp {sup m}CH {sub n} phase of carbon. Elastic recoil detection analysis (ERDA) was employed to compare the H content measured using FTIR technique. Using these measurements we point out that the oscillator strength of the different IR modes varies depending upon the structure and H content of CVD diamond sheets.

  10. Workshop on diamond and diamond-like-carbon films for the transportation industry

    Energy Technology Data Exchange (ETDEWEB)

    Nichols, F.A.; Moores, D.K. [eds.

    1993-01-01

    Applications exist in advanced transportation systems as well as in manufacturing processes that would benefit from superior tribological properties of diamond, diamond-like-carbon and cubic boron nitride coatings. Their superior hardness make them ideal candidates as protective coatings to reduce adhesive, abrasive and erosive wear in advanced diesel engines, gas turbines and spark-ignited engines and in machining and manufacturing tools as well. The high thermal conductivity of diamond also makes it desirable for thermal management not only in tribological applications but also in high-power electronic devices and possibly large braking systems. A workshop has been recently held at Argonne National Laboratory entitled ``Diamond and Diamond-Like-Carbon Films for Transportation Applications`` which was attended by 85 scientists and engineers including top people involved in the basic technology of these films and also representatives from many US industrial companies. A working group on applications endorsed 18 different applications for these films in the transportation area alone. Separate abstracts have been prepared.

  11. Structure and wettability property of the growth and nucleation surfaces of thermally treated freestanding CVD diamond films

    Science.gov (United States)

    Pei, Xiaoqiang; Cheng, Shaoheng; Ma, Yibo; Wu, Danfeng; Liu, Junsong; Wang, Qiliang; Yang, Yizhou; Li, Hongdong

    2015-08-01

    This paper reports the surface features and wettability properties of the (1 0 0)-textured freestanding chemical vapor deposited (CVD) diamond films after thermal exposure in air at high temperature. Thermal oxidation at proper conditions eliminates selectively nanodiamonds and non-diamond carbons in the films. The growth side of the films contains (1 0 0)-oriented micrometer-sized columns, while its nucleation side is formed of nano-sized tips. The examined wettability properties of the as-treated diamond films reveal a hydrophilicity and superhydrophilicity on the growth surface and nucleation surface, respectively, which is determined by oxygen termination and geometry structure of the surface. When the surface termination is hydrogenated, the wettability of nucleation side converted from superhydrophilicity to high hydrophobicity, while the hydrophilicity of the growth side does not change significantly. The findings open a possibility for realizing freestanding diamond films having not only novel surface structures but also multifunction applications, especially proposed on the selected growth side or nucleation side in one product.

  12. Electronic Power System Application of Diamond-Like Carbon Films

    Science.gov (United States)

    Wu, Richard L. C.; Kosai, H.; Fries-Carr, S.; Weimer, J.; Freeman, M.; Schwarze, G. E.

    2003-01-01

    A prototype manufacturing technology for producing high volume efficiency and high energy density diamond-like carbon (DLC) capacitors has been developed. Unique dual ion-beam deposition and web-handling systems have been designed and constructed to deposit high quality DLC films simultaneously on both sides of capacitor grade aluminum foil and aluminum-coated polymer films. An optimized process, using inductively coupled RF ion sources, has been used to synthesize electrically robust DLC films. DLC films are amorphous and highly flexible, making them suitable for the production of wound capacitors. DLC capacitors are reliable and stable over a wide range of AC frequencies from 20 Hz to 1 MHz, and over a temperature range from .500 C to 3000 C. The compact DLC capacitors offer at least a 50% decrease in weight and volume and a greater than 50% increase in temperature handling capability over equal value capacitors built with existing technologies. The DLC capacitors will be suitable for high temperature, high voltage, pulsed power and filter applications.

  13. Development of diamond thin film-based alpha particle detectors for online assay of plutonium content in corrosive liquid medium

    International Nuclear Information System (INIS)

    Nuwad, J.; Jain, Dheeraj; Manoj, N.; Sudarsan, V.; Panja, S.; Dhami, P.S.

    2014-01-01

    In the present work, diamond thin films were prepared using microwave plasma chemical vapor deposition (MPCVD) method and characterized using XRD, OES, SEM, Raman spectroscopy and I-V techniques. These films were subjected to annealing and chemical cleaning for further improving the film quality. Surface metallization was obtained by gold deposition using PVD. These films were configured in semiconductor-insulator-metal heterostructure and mounted in SS shells. Gold coated growth surface (detector's active area) was sealed by chemical resistant sealing. Suitable bias was applied between the front and back electrical contacts to enable charge collection generated upon alpha particle interaction with diamond. The photograph of developed detector in the lab is shown

  14. Ion beam induced luminescence characterisation of CVD diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Bettiol, A.A.; Gonon, P.; Jamieson, D.N. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    The characterisation of the band structure properties of materials and devices by ion microprobe techniques has been made possible at the Melbourne MeV ion microprobe facility with the development of Ion Beam Induced Luminescence (IBIL). A number of diamond films grown by Microwave Plasma Chemical Vapour Deposition (MPCVD) on silicon substrates are analysed. A preliminary study of the luminescence properties of these samples has revealed information not previously obtainable via traditional microprobe techniques. The optical effects of incorporating dopants during the deposition process is determined using IBIL. The presence of trace element impurities introduced during growth is examined by Particle Induced X-ray Emission (PIXE), and a measurement of the film thickness is made using Rutherford Backscattering Spectrometry (RBS). 7 refs., 2 figs.

  15. Ion beam induced luminescence characterisation of CVD diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Bettiol, A A; Gonon, P; Jamieson, D N [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    The characterisation of the band structure properties of materials and devices by ion microprobe techniques has been made possible at the Melbourne MeV ion microprobe facility with the development of Ion Beam Induced Luminescence (IBIL). A number of diamond films grown by Microwave Plasma Chemical Vapour Deposition (MPCVD) on silicon substrates are analysed. A preliminary study of the luminescence properties of these samples has revealed information not previously obtainable via traditional microprobe techniques. The optical effects of incorporating dopants during the deposition process is determined using IBIL. The presence of trace element impurities introduced during growth is examined by Particle Induced X-ray Emission (PIXE), and a measurement of the film thickness is made using Rutherford Backscattering Spectrometry (RBS). 7 refs., 2 figs.

  16. Voltammetric and impedance behaviours of surface-treated nano-crystalline diamond film electrodes

    International Nuclear Information System (INIS)

    Liu, F. B.; Jing, B.; Cui, Y.; Di, J. J.; Qu, M.

    2015-01-01

    The electrochemical performances of hydrogen- and oxygen-terminated nano-crystalline diamond film electrodes were investigated by cyclic voltammetry and AC impedance spectroscopy. In addition, the surface morphologies, phase structures, and chemical states of the two diamond films were analysed by scanning probe microscopy, Raman spectroscopy, and X-ray photoelectron spectroscopy, respectively. The results indicated that the potential window is narrower for the hydrogen-terminated nano-crystalline diamond film than for the oxygen-terminated one. The diamond film resistance and capacitance of oxygen-terminated diamond film are much larger than those of the hydrogen-terminated diamond film, and the polarization resistances and double-layer capacitance corresponding to oxygen-terminated diamond film are both one order of magnitude larger than those corresponding to the hydrogen-terminated diamond film. The electrochemical behaviours of the two diamond film electrodes are discussed

  17. Plasma CVD reactor with two-microwave oscillators for diamond film synthesis

    International Nuclear Information System (INIS)

    Nagatsu, M.; Miyake, M.; Maeda, J.

    2006-01-01

    In this study, we present the experimental results of a new type of microwave plasma CVD system, where two of 1.5 kW microwave sources were used for enlarging the plasma discharge and the diamond film growth. One of the microwave oscillators was used to produce the microwave plasma as in the conventional microwave plasma CVD device, while the second one was used to enlarge the plasma by introducing microwave from the launcher mounted at the substrate stage. We demonstrated the enlargement of plasma discharge area from 60 mm to 100 mm in diameter by using the two-microwave oscillators system. Characteristics of diamond films deposited using H 2 /CH 4 plasmas were also investigated using a scanning electron microscope (SEM) and Raman spectroscopy

  18. Controlled surface chemistry of diamond/β-SiC composite films for preferential protein adsorption.

    Science.gov (United States)

    Wang, Tao; Handschuh-Wang, Stephan; Yang, Yang; Zhuang, Hao; Schlemper, Christoph; Wesner, Daniel; Schönherr, Holger; Zhang, Wenjun; Jiang, Xin

    2014-02-04

    Diamond and SiC both process extraordinary biocompatible, electronic, and chemical properties. A combination of diamond and SiC may lead to highly stable materials, e.g., for implants or biosensors with excellent sensing properties. Here we report on the controllable surface chemistry of diamond/β-SiC composite films and its effect on protein adsorption. For systematic and high-throughput investigations, novel diamond/β-SiC composite films with gradient composition have been synthesized using the hot filament chemical vapor deposition (HFCVD) technique. As revealed by scanning electron microscopy (SEM), the diamond/β-SiC ratio of the composite films shows a continuous change from pure diamond to β-SiC over a length of ∼ 10 mm on the surface. X-ray photoelectron spectroscopy (XPS) and time-of-flight secondary ion mass spectrometry (ToF-SIMS) was employed to unveil the surface termination of chemically oxidized and hydrogen treated surfaces. The surface chemistry of the composite films was found to depend on diamond/β-SiC ratio and the surface treatment. As observed by confocal fluorescence microscopy, albumin and fibrinogen were preferentially adsorbed from buffer: after surface oxidation, the proteins preferred to adsorb on diamond rather than on β-SiC, resulting in an increasing amount of proteins adsorbed to the gradient surfaces with increasing diamond/β-SiC ratio. By contrast, for hydrogen-treated surfaces, the proteins preferentially adsorbed on β-SiC, leading to a decreasing amount of albumin adsorbed on the gradient surfaces with increasing diamond/β-SiC ratio. The mechanism of preferential protein adsorption is discussed by considering the hydrogen bonding of the water self-association network to OH-terminated surfaces and the change of the polar surface energy component, which was determined according to the van Oss method. These results suggest that the diamond/β-SiC gradient film can be a promising material for biomedical applications which

  19. High-temperature Infrared Transmission of Free-standing Diamond Films

    Directory of Open Access Journals (Sweden)

    HEI Li-fu

    2017-02-01

    Full Text Available The combination of low absorption and extreme mechanical and thermal properties make diamond a compelling choice for some more extreme far infrared (8-12 μm window applications. The optical properties of CVD diamond at elevated temperatures are critical to many of these extreme applications. The infrared transmission of free-standing diamond films prepared by DC arc plasma jet were studied at temperature varied conditions. The surface morphology, structure feature and infrared optical properties of diamond films were tested by optical microscope, X-ray diffraction, laser Raman and Fourier-transform infrared spectroscopy. The results show that the average transmittance for 8-12μm is decreased from 65.95% at 27℃ to 52.5% at 500℃,and the transmittance drop is in three stages. Corresponding to the drop of transmittance with the temperature, diamond film absorption coefficient increases with the rise of temperature. The influence of the change of surface state of diamond films on the optical properties of diamond films is significantly greater than the influence on the internal structure.

  20. Structure, adhesive strength and electrochemical performance of nitrogen doped diamond-like carbon thin films deposited via DC magnetron sputtering.

    Science.gov (United States)

    Khun, N W; Liu, E; Krishna, M D

    2010-07-01

    Nitrogen doped diamond-like carbon (DLC:N) thin films were deposited on p-Si (100) substrates by DC magnetron sputtering with different nitrogen flow rates at a substrate temperature of about 100 degrees C. The chemical bonding structure of the films was characterized by X-ray photoelectron spectroscopy (XPS) and micro-Raman spectroscopy. The adhesive strength and surface morphology of the films were studied using micro-scratch tester and scanning electron microscope (SEM), respectively. The electrochemical performance of the films was evaluated by potentiodynamic polarization testing and linear sweep voltammetry. The electrolytes used for the electrochemical tests were deaerated and unstirred 0.47 M KCl aqueous solution for potentiodynamic polarization testing and 0.2 M KOH and 0.1 M KCl solutions for voltammetric analysis. It was found that the DLC:N films could well passivate the underlying substrates though the corrosion resistance of the films decreased with increased nitrogen content in the films. The DLC:N films showed wide potential windows in the KOH solution, in which the detection ability of the DLC:N films to trace lead of about 1 x 10(-3) M Pb(2+) was also tested.

  1. Progress of Diamond-like Carbon Films

    Directory of Open Access Journals (Sweden)

    CHEN Qing-yun

    2017-03-01

    Full Text Available Diamond-like carbon(DLC films had many unique and outstanding properties such as high thermal conductivity, high hardness, excellent chemical inertness, low friction coefficients and wear coefficients. The properties and combinations were very promising for heat sink, micro-electromechanical devices, radiation hardening, biomedical devices, automotive industry and other technical applications, more research and a lot of attention were attracted in recent years. The research progress of diamond-like films and the nucleation mechanism of film were summarized, and application prospect of DLC films were demonstrated. The aim of this paper is to provide insights on the research trend of DLC films and the industry applications.

  2. Direct Coating of Nanocrystalline Diamond on Steel

    Science.gov (United States)

    Tsugawa, Kazuo; Kawaki, Shyunsuke; Ishihara, Masatou; Hasegawa, Masataka

    2012-09-01

    Nanocrystalline diamond films have been successfully deposited on stainless steel substrates without any substrate pretreatments to promote diamond nucleation, including the formation of interlayers. A low-temperature growth technique, 400 °C or lower, in microwave plasma chemical vapor deposition using a surface-wave plasma has cleared up problems in diamond growth on ferrous materials, such as the surface graphitization, long incubation time, substrate softening, and poor adhesion. The deposited nanocrystalline diamond films on stainless steel exhibit good adhesion and tribological properties, such as a high wear resistance, a low friction coefficient, and a low aggression strength, at room temperature in air without lubrication.

  3. Guided assembly of nanoparticles on electrostatically charged nanocrystalline diamond thin films

    Directory of Open Access Journals (Sweden)

    Verveniotis Elisseos

    2011-01-01

    Full Text Available Abstract We apply atomic force microscope for local electrostatic charging of oxygen-terminated nanocrystalline diamond (NCD thin films deposited on silicon, to induce electrostatically driven self-assembly of colloidal alumina nanoparticles into micro-patterns. Considering possible capacitive, sp2 phase and spatial uniformity factors to charging, we employ films with sub-100 nm thickness and about 60% relative sp2 phase content, probe the spatial material uniformity by Raman and electron microscopy, and repeat experiments at various positions. We demonstrate that electrostatic potential contrast on the NCD films varies between 0.1 and 1.2 V and that the contrast of more than ±1 V (as detected by Kelvin force microscopy is able to induce self-assembly of the nanoparticles via coulombic and polarization forces. This opens prospects for applications of diamond and its unique set of properties in self-assembly of nano-devices and nano-systems.

  4. Ultrashort pulse laser deposition of thin films

    Science.gov (United States)

    Perry, Michael D.; Banks, Paul S.; Stuart, Brent C.

    2002-01-01

    Short pulse PLD is a viable technique of producing high quality films with properties very close to that of crystalline diamond. The plasma generated using femtosecond lasers is composed of single atom ions with no clusters producing films with high Sp.sup.3 /Sp.sup.2 ratios. Using a high average power femtosecond laser system, the present invention dramatically increases deposition rates to up to 25 .mu.m/hr (which exceeds many CVD processes) while growing particulate-free films. In the present invention, deposition rates is a function of laser wavelength, laser fluence, laser spot size, and target/substrate separation. The relevant laser parameters are shown to ensure particulate-free growth, and characterizations of the films grown are made using several diagnostic techniques including electron energy loss spectroscopy (EELS) and Raman spectroscopy.

  5. Micro-Raman Analysis of Irradiated Diamond Films

    Science.gov (United States)

    Newton, Robert L.

    2003-01-01

    Owing to its unique and robust physical properties, diamond is a much sought after material for use in advanced technologies, even in Microelectromechanical Systems (MEMS). The volume and weight savings promised by MEMS-based devices are of particular interest to spaceflight applications. However, much basic materials science research remains to be completed in this field. Results of micro-Raman analysis of proton (10(exp 15) - 10(exp 17) H(+)/sq cm doses) irradiated chemical vapor deposited (CVD) films are presented and indicate that their microstructure is retained even after high radiation exposure.

  6. Hydrogen doped thin film diamond. Properties and application for electronic devices

    International Nuclear Information System (INIS)

    Looi, H.J.

    2000-01-01

    The face centered cubic allotrope of carbon, diamond, is a semiconducting material which possesses a valuable combination of extreme properties such as super-hardness, highest thermal conductivity, chemical hardness, radiation hardness, wide bandgap and others. Advances in chemical vapour deposition (CVD) technology have lead to diamond becoming available in previously unattainable forms for example over large areas and with controllable purity. This has generated much research interest towards developing the knowledge and processing technology that would be necessary to fully exploit these extreme properties. Electronic devices fabricated on oxidised boron doped polycrystalline CVD diamond (PCD) displayed very poor and inconsistent characteristic. As a result, many electronic applications of polycrystalline diamond films were confined to ultra-violet (UV) and other forms of device which relied on the high intrinsic resistivity on undoped diamond films. If commercially accessible PCD films are to advance in areas which involve sophisticated electronic applications or to compete with existing semiconductors, the need for a more reliable and fully ionised dopant is paramount. This thesis describes a unique dopant discovered within the growth surface of PCD films. This dopant is related to hydrogen which arises during the growth of diamond films. The aim of this study is to characterise and identify possible applications for this form of dopant. The mechanism for carrier generation remains unknown and based on the experimental results in this work, a model is proposed. The Hall measurements conducted on this conductive layer revealed a p-type nature with promising properties for electronic device application. A more detail study based on electrical and surface science methods were carried out to identify the stability and operating conditions for this dopant. The properties of metal-semiconductor contacts on these surfaces were investigated. The fundamental knowledge

  7. Tracing the Source of Borneo's Cempaka Diamond Deposit

    Science.gov (United States)

    White, L. T.; Graham, I.; Armstrong, R. A.; Hall, R.

    2014-12-01

    Several gem quality diamond deposits are found in paleo-alluvial deposits across Borneo. The source of the diamonds and their origin are enigmatic. They could have formed in Borneo and be derived from local sources, or they could be related to diamond deposits in NW Australia, and carried with the Southwest Borneo Block after it rifted from Australia in the Late Jurassic. We collected U-Pb isotopic data from detrital zircons from the Cempaka alluvial diamond deposit in southeast Borneo. Two thirds of the zircons that were dated crystallized between 75 Ma and 110 Ma. The other third are Triassic or older (223 Ma, 314-319 Ma, 353-367 Ma, 402-414 Ma, 474 Ma, 521 Ma, 549 Ma, 1135-1176 Ma, 1535 Ma, 2716 Ma). All of the Cretaceous zircons are angular, euhedral grains with minor evidence of mechanical abrasion. Considering their age and morphology they were likely derived from the nearby Schwaner Granites. The Triassic and older grains are rounded to semi-rounded and were likely derived from Australia before Borneo rifted from Gondwana. Some of the zircons have ages that resemble those of the Merlin and Argyle diamond deposits of Australia. The diamonds themselves have delicate resorption features and overgrowths that would potentially be destroyed with prolonged transport. Geochemical data collected from the diamonds implies they were associated with lamproite intrusions. Deep seismic lines and zircons from igneous rocks suggest SE Borneo, the East Java Sea and East Java are largely underlain by thick lithosphere rifted from NW Australia. Based on several lines of evidence, we propose that diamond-bearing lamproites intruded before rifting of SW Borneo from Australia, or after collision with Sundaland of SW Borneo and the East Java-West Sulawesi Blocks during the Cretaceous. Exposure of the source after the Late Cretaceous led to diamond accumulation in river systems that flowed from the Schwaner Mountains.

  8. Effect of sputtered titanium interlayers on the properties of nanocrystalline diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Li, Cuiping, E-mail: licp226@126.com, E-mail: limingji@163.com; Li, Mingji, E-mail: licp226@126.com, E-mail: limingji@163.com; Wu, Xiaoguo; Yang, Baohe [Tianjin Key Laboratory of Film Electronic and Communicate Devices, School of Electronics Information Engineering, Tianjin University of Technology, Tianjin 300384 (China); Dai, Wei; Xu, Sheng [Tianjin Key Laboratory of Film Electronic and Communicate Devices, School of Electronics Information Engineering, Tianjin University of Technology, Tianjin 300384 (China); College of Precision Instrument and Optoelectronics Engineering, Tianjin University, Tianjin 300072 (China); Li, Hongji [Tianjin Key Laboratory of Organic Solar Cells and Photochemical Conversion, School of Chemistry and Chemical Engineering, Tianjin University of Technology, Tianjin 300384 (China)

    2016-04-07

    Ti interlayers with different thicknesses were sputtered on Si substrates and then ultrasonically seeded in a diamond powder suspension. Nanocrystalline diamond (NCD) films were deposited using a dc arc plasma jet chemical vapor deposition system on the seeded Ti/Si substrates. Atomic force microscopy and scanning electron microscopy tests showed that the roughness of the prepared Ti interlayer increased with increasing thickness. The effects of Ti interlayers with various thicknesses on the properties of NCD films were investigated. The results show nucleation, growth, and microstructure of the NCD films are strongly influenced by the Ti interlayers. The addition of a Ti interlayer between the Si substrate and the NCD films can significantly enhance the nucleation rate and reduce the surface roughness of the NCD. The NCD film on a 120 nm Ti interlayer possesses the fastest nucleation rate and the smoothest surface. Raman spectra of the NCD films show trans-polyacetylene relevant peaks reduce with increasing Ti interlayer thickness, which can owe to the improvement of crystalline at grain boundaries. Furthermore, nanoindentation measurement results show that the NCD film on a 120 nm Ti interlayer displays a higher hardness and elastic modulus. High resolution transmission electron microscopy images of a cross-section show that C atoms diffuse into the Ti layer and Si substrate and form TiC and SiC hard phases, which can explain the enhancement of mechanical properties of NCD.

  9. HFCVD Diamond-Coated Mechanical Seals

    Directory of Open Access Journals (Sweden)

    Raul Simões

    2018-05-01

    Full Text Available A mechanical seal promotes the connection between systems or mechanisms, preventing the escape of fluids to the exterior. Nonetheless, due to extreme working conditions, premature failure can occur. Diamond, due to its excellent properties, is heralded as an excellent choice to cover the surface of these devices and extend their lifetime. Therefore, the main objective of this work was to deposit diamond films over mechanical seals and test the coated seals on a water pump, under real working conditions. The coatings were created by hot filament chemical vapor deposition (HFCVD and two consecutive layers of micro- and nanocrystalline diamond were deposited. One of the main difficulties is the attainment of a good adhesion between the diamond films and the mechanical seal material (WC-Co. Nucleation, deposition conditions, and pre-treatments were studied to enhance the coating. Superficial wear or delamination of the film was investigated using SEM and Raman characterization techniques, in order to draw conclusions about the feasibility of these coatings in the WC-Co mechanical seals with the purpose of increasing their performance and life time. The results obtained gave a good indication about the feasibility of this process and the deposition conditions used, with the mechanical seals showing no wear and no film delamination after a real work environment test.

  10. Electrodeposition of diamond-like carbon films on titanium alloy using organic liquids: Corrosion and wear resistance

    International Nuclear Information System (INIS)

    Falcade, Tiago; Shmitzhaus, Tobias Eduardo; Gomes dos Reis, Otávio; Vargas, André Luis Marin; Hübler, Roberto; Müller, Iduvirges Lourdes; Fraga Malfatti, Célia de

    2012-01-01

    Highlights: ► The electrodeposition may be conducted at room temperature. ► The DLC films have good resistance to corrosion in saline environments. ► The films have lower coefficient of friction than the uncoated substrate. ► The abrasive wear protection is evident in coated systems. - Abstract: Diamond-like carbon (DLC) films have been studied as coatings for corrosion protection and wear resistance because they have excellent chemical inertness in traditional corrosive environments, besides presenting a significant reduction in coefficient of friction. Diamond-like carbon (DLC) films obtained by electrochemical deposition techniques have attracted a lot of interest, regarding their potential in relation to the vapor phase deposition techniques. The electrochemical deposition techniques are carried out at room temperature and do not need vacuum system, making easier this way the technological transfer. At high electric fields, the organic molecules polarize and react on the electrode surface, forming carbon films. The aim of this work was to obtain DLC films onto Ti6Al4V substrate using as electrolyte: acetonitrile (ACN) and N,N-dimethylformamide (DMF). The films were characterized by atomic force microscopy (AFM), scanning electron microscopy (SEM), Raman spectroscopy, potentiodynamic polarization and wear tests. The results show that these films can improve, significantly, the corrosion resistance of titanium and its alloys and their wear resistance.

  11. Iron, nitrogen and silicon doped diamond like carbon (DLC) thin films: A comparative study

    International Nuclear Information System (INIS)

    Ray, Sekhar C.; Pong, W.F.; Papakonstantinou, P.

    2016-01-01

    The X-ray absorption near edge structure (XANES), X-ray photoelectron spectroscopy (XPS), valence band photoemission (VB-PES) and Raman spectroscopy results show that the incorporation of nitrogen in pulsed laser deposited diamond like carbon (DLC) thin films, reverts the sp"3 network to sp"2 as evidenced by an increase of the sp"2 cluster and I_D/I_G ratio in C K-edge XANES and Raman spectra respectively which reduces the hardness/Young's modulus into the film network. Si-doped DLC film deposited in a plasma enhanced chemical vapour deposition process reduces the sp"2 cluster and I_D/I_G ratio that causes the decrease of hardness/Young's modulus of the film structure. The Fe-doped DLC films deposited by dip coating technique increase the hardness/Young's modulus with an increase of sp"3-content in DLC film structure. - Highlights: • Fe, N and Si doped DLC films deposited by dip, PLD and PECVD methods respectively • DLC:Fe thin films have higher hardness/Young's modulus than DLC:N(:Si) thin films. • sp"3 and sp"2 contents are estimated from C K-edge XANES and VB-PES measurements.

  12. Influence of thermal heating on diamond-like carbon film properties prepared by filtered cathodic arc

    International Nuclear Information System (INIS)

    Khamnualthong, N.; Siangchaew, K.; Limsuwan, P.

    2013-01-01

    Tetrahedral amorphous diamond-like carbon (ta-DLC) films were deposited on magnetic recording heads using the filtered cathodic arc method. The deposited film thickness was on the order of several nanometers. The DLC films were then annealed to 100 °C–300 °C for 30 and 60 min, and the structure of the ta-DLC films was investigated using Raman spectroscopy, where the gross changes were observed in the Raman D and G peaks. Detailed interpretation concluded that there was sp 2 clustering as a function of temperature, and there was no sp 3 -to-sp 2 conversion after heating up to 300 °C. Furthermore, X-ray photoelectron spectroscopy suggested that oxidation of both the ta-DLC film and the adhesion layer occurs at 300 °C. Additionally, more film wear was observed with heating as measured by a nanoindenter. - Highlights: • Tetrahedral-amorphous diamond-like carbon (ta-DLC) by filtered cathodic arc • ta-DLC used in magnetic recording head as head overcoat • ta-DLC thickness range of less than 2 nm • ta-DLC property dependence on heating • Temperature effect range of up to 300 °C

  13. Osteogenic cell differentiation on H-terminated and O-terminated nanocrystalline diamond films

    Directory of Open Access Journals (Sweden)

    Liskova J

    2015-01-01

    Full Text Available Jana Liskova,1 Oleg Babchenko,2 Marian Varga,2 Alexander Kromka,2 Daniel Hadraba,1 Zdenek Svindrych,1 Zuzana Burdikova,1 Lucie Bacakova1 1Institute of Physiology, Academy of Sciences of the Czech Republic, Prague, Czech Republic; 2Institute of Physics, Academy of Sciences of the Czech Republic, Prague, Czech Republic Abstract: Nanocrystalline diamond (NCD films are promising materials for bone implant coatings because of their biocompatibility, chemical resistance, and mechanical hardness. Moreover, NCD wettability can be tailored by grafting specific atoms. The NCD films used in this study were grown on silicon substrates by microwave plasma-enhanced chemical vapor deposition and grafted by hydrogen atoms (H-termination or oxygen atoms (O-termination. Human osteoblast-like Saos-2 cells were used for biological studies on H-terminated and O-terminated NCD films. The adhesion, growth, and subsequent differentiation of the osteoblasts on NCD films were examined, and the extracellular matrix production and composition were quantified. The osteoblasts that had been cultivated on the O-terminated NCD films exhibited a higher growth rate than those grown on the H-terminated NCD films. The mature collagen fibers were detected in Saos-2 cells on both the H-terminated and O-terminated NCD films; however, the quantity of total collagen in the extracellular matrix was higher on the O-terminated NCD films, as were the amounts of calcium deposition and alkaline phosphatase activity. Nevertheless, the expression of genes for osteogenic markers – type I collagen, alkaline phosphatase, and osteocalcin – was either comparable on the H-terminated and O-terminated films or even lower on the O-terminated films. In conclusion, the higher wettability of the O-terminated NCD films is promising for adhesion and growth of osteoblasts. In addition, the O-terminated surface also seems to support the deposition of extracellular matrix proteins and extracellular matrix

  14. Chemical vapor deposition of diamond onto iron based substrates. The use of barrier layers

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.

    1995-01-01

    When Fe is exposed to the plasma environment suitable for the chemical vapor deposition (CVD) of diamond, the surface is rapidly covered with a thick layer graphitic soot and C swiftly diffuses into the Fe substrate. Once the soot reaches a critical thickness, diamond films nucleate and grow on top of it. However, adhesion of the film to the substrate is poor due to the lack of structural integrity of the soot layer, A thin coating of TiN on the Fe can act to prevent diffusion and soot formation. Diamond readily grows upon the TiN via an a-C interface layer, but the a-C/TiN interface is weak and delamination occurs at this interface. In order to try and improve the adhesion, the use of a high dose Ti implant was investigated to replace the TiN coating. 7 refs., 6 figs

  15. Diamond-coated three-dimensional GaN micromembranes: effect of nucleation and deposition techniques

    Czech Academy of Sciences Publication Activity Database

    Ižák, Tibor; Vanko, G.; Babchenko, Oleg; Potocký, Štěpán; Marton, M.; Vojs, M.; Choleva, P.; Kromka, Alexander

    2015-01-01

    Roč. 252, č. 11 (2015), s. 2585-2590 ISSN 0370-1972 R&D Projects: GA ČR(CZ) GP14-16549P Institutional support: RVO:68378271 Keywords : diamond film * GaN micromembranes * microwave chemical vapour deposition * polymer-based nucleation Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.522, year: 2015

  16. Effects of temperature and Mo2C layer on stress and structural properties in CVD diamond film grown on Mo foil

    International Nuclear Information System (INIS)

    Long, Fen; Wei, Qiuping; Yu, Z.M.; Luo, Jiaqi; Zhang, Xiongwei; Long, Hangyu; Wu, Xianzhe

    2013-01-01

    Highlights: •Polycrystalline diamond films were grown on Mo foil substrates by HF-CVD. •We investigated the temperature dependence of the film stress for each sample. •We show that how the thermal stress and intrinsic stress affects the total stress. •The stress of Mo foil substrate obtained by XRD was investigated in this study. •The effect of Mo 2 C interface layer for stress of multilayer system was considered. -- Abstract: Polycrystalline diamond films have been prepared by hot-filament-assisted chemical vapor deposition (HFCVD) on Mo foils. The morphology, growth rate, phase composition, element distribution and residual stress of the films at different temperature were investigated by field-emission scanning electron microscopy, Raman spectrum, field emission electron probe microanalysis and X-ray diffraction. Results show that the residual stress of the diamond films is compressive. The thermal stress plays a decisive role in the total stress, while the intrinsic stress can change the trend of the total stress. The residual stress of substrate gradually changes from tensile stress to compressive stress with the increase of the deposited temperature. A Mo 2 C interlayer is formed during deposition process, and this layer has an important influence on the stresses of films and substrates

  17. Dry And Ringer Solution Lubricated Tribology Of Thin Osseoconductive Metal Oxides And Diamond-Like Carbon Films

    Directory of Open Access Journals (Sweden)

    Waldhauser W.

    2015-09-01

    Full Text Available Achieving fast and strong adhesion to jawbone is essential for dental implants. Thin deposited films may improve osseointegration, but they are prone to cohesive and adhesive fracture due to high stresses while screwing the implant into the bone, leading to bared, less osteoconductive substrate surfaces and nano- and micro-particles in the bone. Aim of this work is the investigation of the cohesion and adhesion failure stresses of osteoconductive tantalum, titanium, silicon, zirconium and aluminium oxide and diamond-like carbon films. The tribological behaviour under dry and lubricated conditions (Ringer solution reveals best results for diamond-like carbon, while cohesion and adhesion of zirconium oxide films is highest.

  18. Characteristics of ZnO/diamond thin films prepared by RF magnetron sputtering

    CERN Document Server

    Park, Y W; Lee, J G; Baik, Y J; Kim, H J; Jung, H J; Choi, W K; Cho, B H; Park, C Y

    1999-01-01

    Due to its high Young's modulus, diamond has the highest acoustic wave velocity among all materials and is expected to be a candidate substrate for high-frequency surface acoustic wave(SAW) devices. In this study, the deposition of ZnO, as a piezoelectric layer, on a diamond substrate is investigated. ZnO has been fabricated by using RF magnetron sputtering with a ZnO target and various Ar/O sub 2 gas ratios, RF powers, and substrate temperatures at a vacuum of 10 sup - sup 5 Torr. The sputtered ZnO films are characterized by X-ray diffraction (XRD), Rutherford backscattering spectroscopy (RBS), X-ray photoelectron spectroscopy (XPS), and I-V characteristics. All the films show only a (002) orientation. The atomic concentration of the sputtered ZnO films is changed by the oxygen gas ratio, and the ZnO films are grown with a homogeneous composition over their entire thickness. The electrical resistivity of the films varied from 4x10 sup 3 to 7x10 sup 8 OMEGA cm, depending on the Ar/O sub 2 gas ratio. The phase...

  19. Thermoluminescence in CVD diamond films: application to actinometric dosimetry

    International Nuclear Information System (INIS)

    Barboza-Flores, M.; Melendrez, R.; Chernov, V.; Castaneda, B.; Pedroza-Montero, M.; Gan, B.; Ahn, J.; Zhang, Q.; Yoon, S.F.

    2002-01-01

    Diamond is considered a tissue-equivalent material since its atomic number (Z=6) is close to the effective atomic number of biological tissue (Z=7.42). Such a situation makes it suitable for radiation detection purposes in medical applications. In the present work the analysis is reported of the thermoluminescence (TL) and dosimetric features of chemically vapour deposited (CVD) diamond film samples subjected to ultraviolet (UV) irradiation in the actinometric region. The TL glow curve shows peaks at 120, 220, 320 and 370 deg. C. The 120 and 370 deg. C peaks are too weak and the first one fades away in a few seconds after exposure. The overall room temperature fading shows a 50% TL decay 30 min after exposure. The 320 deg. C glow peak is considered to be the most adequate for dosimetric applications due to its low fading and linear TL behaviour as a function of UV dose in the 180-260 nm range. The TL excitation spectrum presents a broad band with at least two overlapped components around 205 and 220 nm. The results indicate that the TL behaviour of CVD diamond film can be a good alternative to the currently available dosemeter and detector in the actinometric region as well as in clinical and medical applications. (author)

  20. Design and investigation of properties of nanocrystalline diamond optical planar waveguides.

    Science.gov (United States)

    Prajzler, Vaclav; Varga, Marian; Nekvindova, Pavla; Remes, Zdenek; Kromka, Alexander

    2013-04-08

    Diamond thin films have remarkable properties comparable with natural diamond. Because of these properties it is a very promising material for many various applications (sensors, heat sink, optical mirrors, chemical and radiation wear, cold cathodes, tissue engineering, etc.) In this paper we report about design, deposition and measurement of properties of optical planar waveguides fabricated from nanocrystalline diamond thin films. The nanocrystalline diamond planar waveguide was deposited by microwave plasma enhanced chemical vapor deposition and the structure of the deposited film was studied by scanning electron microscopy and Raman spectroscopy. The design of the presented planar waveguides was realized on the bases of modified dispersion equation and was schemed for 632.8 nm, 964 nm, 1 310 nm and 1 550 nm wavelengths. Waveguiding properties were examined by prism coupling technique and it was found that the diamond based planar optical element guided one fundamental mode for all measured wavelengths. Values of the refractive indices of our NCD thin film measured at various wavelengths were almost the same as those of natural diamond.

  1. Tracing the depositional history of Kalimantan diamonds by zircon provenance and diamond morphology studies

    Science.gov (United States)

    Kueter, Nico; Soesilo, Joko; Fedortchouk, Yana; Nestola, Fabrizio; Belluco, Lorenzo; Troch, Juliana; Wälle, Markus; Guillong, Marcel; Von Quadt, Albrecht; Driesner, Thomas

    2016-11-01

    Diamonds in alluvial deposits in Southeast Asia are not accompanied by indicator minerals suggesting primary kimberlite or lamproite sources. The Meratus Mountains in Southeast Borneo (Province Kalimantan Selatan, Indonesia) provide the largest known deposit of these so-called "headless" diamond deposits. Proposals for the origin of Kalimantan diamonds include the adjacent Meratus ophiolite complex, ultra-high pressure (UHP) metamorphic terranes, obducted subcontinental lithospheric mantle and undiscovered kimberlite-type sources. Here we report results from detailed sediment provenance analysis of diamond-bearing Quaternary river channel material and from representative outcrops of the oldest known formations within the Alino Group, including the diamond-bearing Campanian-Maastrichtian Manunggul Formation. Optical examination of surfaces of diamonds collected from artisanal miners in the Meratus area (247 stones) and in West Borneo (Sanggau Area, Province Kalimantan Barat; 85 stones) points toward a classical kimberlite-type source for the majority of these diamonds. Some of the diamonds host mineral inclusions suitable for deep single-crystal X-ray diffraction investigation. We determined the depth of formation of two olivines, one coesite and one peridotitic garnet inclusion. Pressure of formation estimates for the peridotitic garnet at independently derived temperatures of 930-1250 °C are between 4.8 and 6.0 GPa. Sediment provenance analysis includes petrography coupled to analyses of detrital garnet and glaucophane. The compositions of these key minerals do not indicate kimberlite-derived material. By analyzing almost 1400 zircons for trace element concentrations with laser ablation ICP-MS (LA-ICP-MS) we tested the mineral's potential as an alternative kimberlite indicator. The screening ultimately resulted in a small subset of ten zircons with a kimberlitic affinity. Subsequent U-Pb dating resulting in Cretaceous ages plus a detailed chemical reflection make

  2. Structural and mechanical properties of diamond-like carbon films deposited by direct current magnetron sputtering

    International Nuclear Information System (INIS)

    Broitman, E.; Hellgren, N.; Czigany, Zs.; Twesten, R.D.; Luning, J.; Petrov, I.; Hultman, L.; Holloway, B.C.

    2003-01-01

    The microstructure, morphology, and mechanical properties of diamond-like carbon (DLC) films deposited by direct current magnetron sputtering were investigated for microelectromechanical systems applications. Film properties were found to vary markedly with the ion energy (E ion ) and ion-to-carbon flux ratio (J ion /J C ). Cross-sectional high-resolution transmission electron microscopy revealed an amorphous microstructure. However, the presence of nanometer-sized domains at E ion ∼85 eV was detected. Film stresses, σ, which were compressive in all cases, ranged from 0.5 to 3.5 GPa and depended on the flux ratio as well as ion energy. The hardness (H), Young's moduli (ε), and elastic recovery (R) increased with E ion to maximum values of H=27 GPa, ε=250 GPa, and R=68% at E ion =85 eV and J ion /J C =4.4. However, near edge x-ray absorption fine structure and electron energy-loss spectrum analysis showed that the sp 2 /sp 3 content of the films does not change with E ion or J ion /J C . The measured change in mechanical properties without a corresponding change in sp 2 /sp 3 ratio is not consistent with any previously published models. We suggest that, in the ranges 5 eV ≤E ion ≤85 eV and 1.1 ≤J ion /J C ≤6.8, the presence of defective graphite formed by subplanted C and Ar atoms has the dominant influence on the mechanical properties of DLC films

  3. Coaxial carbon plasma gun deposition of amorphous carbon films

    Science.gov (United States)

    Sater, D. M.; Gulino, D. A.; Rutledge, S. K.

    1984-01-01

    A unique plasma gun employing coaxial carbon electrodes was used in an attempt to deposit thin films of amorphous diamond-like carbon. A number of different structural, compositional, and electrical characterization techniques were used to characterize these films. These included scanning electron microscopy, scanning transmission electron microscopy, X ray diffraction and absorption, spectrographic analysis, energy dispersive spectroscopy, and selected area electron diffraction. Optical absorption and electrical resistivity measurements were also performed. The films were determined to be primarily amorphous, with poor adhesion to fused silica substrates. Many inclusions of particulates were found to be present as well. Analysis of these particulates revealed the presence of trace impurities, such as Fe and Cu, which were also found in the graphite electrode material. The electrodes were the source of these impurities. No evidence of diamond-like crystallite structure was found in any of the film samples. Details of the apparatus, experimental procedure, and film characteristics are presented.

  4. Coaxial carbon plasma gun deposition of amorphous carbon films

    International Nuclear Information System (INIS)

    Sater, D.M.; Gulino, D.A.

    1984-03-01

    A unique plasma gun employing coaxial carbon electrodes was used in an attempt to deposit thin films of amorphous diamond-like carbon. A number of different structural, compositional, and electrical characterization techniques were used to characterize these films. These included scanning electron microscopy, scanning transmission electron microscopy, X ray diffraction and absorption, spectrographic analysis, energy dispersive spectroscopy, and selected area electron diffraction. Optical absorption and electrical resistivity measurements were also performed. The films were determined to be primarily amorphous, with poor adhesion to fused silica substrates. Many inclusions of particulates were found to be present as well. Analysis of these particulates revealed the presence of trace impurities, such as Fe and Cu, which were also found in the graphite electrode material. The electrodes were the source of these impurities. No evidence of diamond-like crystallite structure was found in any of the film samples. Details of the apparatus, experimental procedure, and film characteristics are presented

  5. Structure and Properties of Diamond-Like Carbon Films Deposited by PACVD Technique on Light Alloys

    Directory of Open Access Journals (Sweden)

    Tański T.

    2016-09-01

    Full Text Available The investigations presented in this paper describe surface treatment performed on samples of heat-treated cast magnesium and aluminium alloy. The structure and chemical composition as well as the functional and mechanical properties of the obtained gradient/monolithic films were analysed by high resolution transmission electron microscopy and scanning electron microscopy, Raman spectroscopy, the ball-on-disk tribotester and scratch testing. Moreover, investigation of the electrochemical corrosion behaviour of the samples was carried out by means of potentiodynamic polarisation curves in 1-M NaCl solution. The coatings produced by chemical vapour deposition did not reveal any delamination or defects and they adhere closely to the substrate. The coating thickness was in a range of up to 2.5 microns. Investigations using Raman spectra of the DLC films confirmed a multiphase character of the diamond-like carbon layer, revealing the sp2 and sp3 electron hybridisation responsible for both the hardness and the friction coefficient. The best wear resistance test results were obtained for the magnesium alloy substrate - AZ61, for which the measured value of the friction path length was equal to 630 m.

  6. Short-pulse-laser-induced optical damage and fracto-emission of amorphous, diamond-like carbon films

    Science.gov (United States)

    Sokolowski-Tinten, Klaus; Ziegler, Wolfgang; von der Linde, Dietrich; Siegal, Michael P.; Overmyer, D. L.

    2005-03-01

    Short-pulse-laser-induced damage and ablation of thin films of amorphous, diamond-like carbon have been investigated. Material removal and damage are caused by fracture of the film and ejection of large fragments. The fragments exhibit a delayed, intense and broadband emission of microsecond duration. Both fracture and emission are attributed to the laser-initiated relaxation of the high internal stresses of the pulse laser deposition-grown films.

  7. Diagnostics of capacitively-coupled hydrocarbon plasmas for deposition of diamond-like carbon films using quadrupole mass spectrometry and Langmuir probe

    Science.gov (United States)

    Oda, Akinori; Fukai, Shun; Kousaka, Hiroyuki; Ohta, Takayuki

    2015-09-01

    Diamond-like carbon (DLC) films are the hydrogenated amorphous carbon films, which contains a mixture of sp2- and sp3-bonded carbon. The DLC films have been widely used for various applications, such as automotive, semiconductors, medical devices, since have excellent material properties in lower friction, higher chemical stability, higher hardness, higher wear resistance. Until now, numerous investigations on the DLC films using plasma assisted chemical vapor deposition have been done. For precise control of coating technique of DLC films, it is enormously important to clarify the fundamental properties in hydrocarbon plasmas, as a source of hydrocarbon ions and radicals. In this paper, the fundamental properties in a low pressure radio-frequency hydrocarbon (Ar/CH4 (1 %) gas mixture) plasmas have been diagnosed using a quadrupole mass spectrometer (HIDEN ANARYTICAL Ltd., EQP-300) and Langmuir probe system (HIDEN ANARYTICAL Ltd., ESPion). This work was partly supported by KAKENHI (No.26420247), and a ``Grant for Advanced Industrial Technology Development (No.11B06004d)'' in 2011 from the New Energy and Industrial Technology Development Organization (NEDO) of Japan.

  8. Effect of boron incorporation on the structure and electrical properties of diamond-like carbon films deposited by femtosecond and nanosecond pulsed laser ablation

    Energy Technology Data Exchange (ETDEWEB)

    Sikora, A. [Laboratoire Hubert Curien, UMR 5516 CNRS, Universite Jean Monnet, 18 Rue Pr. Benoit Lauras, 42000 Saint-Etienne (France); Bourgeois, O. [Institut Neel, UPR 2940 CNRS, 25 Avenue des Martyrs, 38042 Grenoble Cedex 9 (France); Sanchez-Lopez, J.C. [Instituto de Ciencia de Materiales de Sevilla, Avda. Americo Vespucio, 49 41092 Sevilla (Spain); Rouzaud, J.-N. [Laboratoire de Geologie, UMR 8538 CNRS, Ecole Normale Superieure, 45 Rue d' Ulm, 75230 Paris Cedex 05 (France); Rojas, T.C. [Instituto de Ciencia de Materiales de Sevilla, Avda. Americo Vespucio, 49 41092 Sevilla (Spain); Loir, A.-S. [Laboratoire Hubert Curien, UMR 5516 CNRS, Universite Jean Monnet, 18 Rue Pr. Benoit Lauras, 42000 Saint-Etienne (France); Garden, J.-L. [Institut Neel, UPR 2940 CNRS, 25 Avenue des Martyrs, 38042 Grenoble Cedex 9 (France); Garrelie, F. [Laboratoire Hubert Curien, UMR 5516 CNRS, Universite Jean Monnet, 18 Rue Pr. Benoit Lauras, 42000 Saint-Etienne (France); Donnet, C., E-mail: christophe.donnet@univ-st-etienne.f [Laboratoire Hubert Curien, UMR 5516 CNRS, Universite Jean Monnet, 18 Rue Pr. Benoit Lauras, 42000 Saint-Etienne (France)

    2009-12-31

    The influence of the incorporation of boron in diamond-like carbon (DLC) films on the microstructure of the coatings has been investigated. The boron-containing DLC films (a-C:B) have been deposited by pulsed laser deposition (PLD) at room temperature in high vacuum conditions, by ablating graphite and boron targets either with a femtosecond pulsed laser (800 nm, 150 fs, fs-DLC) or with a nanosecond pulsed laser (248 nm, 20 ns, ns-DLC). Alternative ablation of the graphite and boron targets has been carried out to deposit the a-C:B films. The film structure and composition have been highlighted by coupling Field Emission Scanning Electron Microscopy, Electron Energy Loss Spectroscopy and High Resolution Transmission Electron Microscopy. Using the B K-edge, EELS characterization reveals the boron effect on the carbon bonding. Moreover, the plasmon energy reveals a tendency of graphitization associated to the boron doping. Pure boron particles have been characterized by HRTEM and reveal that those particles are amorphous or crystallized. The nanostructures of the boron-doped ns-DLC and the boron-doped fs-DLC are thus compared. In particular, the incorporation of boron in the DLC matrix is highlighted, depending on the laser used for deposition. Electrical measurements show that some of these films have potentialities to be used in low temperature thermometry, considering their conductivity and temperature coefficient of resistance (TCR) estimated within the temperature range 160-300 K.

  9. The versatility of hot-filament activated chemical vapor deposition

    International Nuclear Information System (INIS)

    Schaefer, Lothar; Hoefer, Markus; Kroeger, Roland

    2006-01-01

    In the field of activated chemical vapor deposition (CVD) of polycrystalline diamond films, hot-filament activation (HF-CVD) is widely used for applications where large deposition areas are needed or three-dimensional substrates have to be coated. We have developed processes for the deposition of conductive, boron-doped diamond films as well as for tribological crystalline diamond coatings on deposition areas up to 50 cm x 100 cm. Such multi-filament processes are used to produce diamond electrodes for advanced electrochemical processes or large batches of diamond-coated tools and parts, respectively. These processes demonstrate the high degree of uniformity and reproducibility of hot-filament CVD. The usability of hot-filament CVD for diamond deposition on three-dimensional substrates is well known for CVD diamond shaft tools. We also develop interior diamond coatings for drawing dies, nozzles, and thread guides. Hot-filament CVD also enables the deposition of diamond film modifications with tailored properties. In order to adjust the surface topography to specific applications, we apply processes for smooth, fine-grained or textured diamond films for cutting tools and tribological applications. Rough diamond is employed for grinding applications. Multilayers of fine-grained and coarse-grained diamond have been developed, showing increased shock resistance due to reduced crack propagation. Hot-filament CVD is also used for in situ deposition of carbide coatings and diamond-carbide composites, and the deposition of non-diamond, silicon-based films. These coatings are suitable as diffusion barriers and are also applied for adhesion and stress engineering and for semiconductor applications, respectively

  10. Iron, nitrogen and silicon doped diamond like carbon (DLC) thin films: A comparative study

    Energy Technology Data Exchange (ETDEWEB)

    Ray, Sekhar C., E-mail: Raysc@unisa.ac.za [Department of Physics, College of Science, Engineering and Technology, University of South Africa, Private Bag X6, Florida, 1710, Science Campus, Christiaan de Wet and Pioneer Avenue, Florida Park, Johannesburg (South Africa); Pong, W.F. [Department of Physics, Tamkang University, Tamsui 251, New Taipei City, Taiwan (China); Papakonstantinou, P. [Nanotechnology and Integrated Bio-Engineering Centre, University of Ulster, Shore Road, Newtownabbey BT37 0QB (United Kingdom)

    2016-07-01

    The X-ray absorption near edge structure (XANES), X-ray photoelectron spectroscopy (XPS), valence band photoemission (VB-PES) and Raman spectroscopy results show that the incorporation of nitrogen in pulsed laser deposited diamond like carbon (DLC) thin films, reverts the sp{sup 3} network to sp{sup 2} as evidenced by an increase of the sp{sup 2} cluster and I{sub D}/I{sub G} ratio in C K-edge XANES and Raman spectra respectively which reduces the hardness/Young's modulus into the film network. Si-doped DLC film deposited in a plasma enhanced chemical vapour deposition process reduces the sp{sup 2} cluster and I{sub D}/I{sub G} ratio that causes the decrease of hardness/Young's modulus of the film structure. The Fe-doped DLC films deposited by dip coating technique increase the hardness/Young's modulus with an increase of sp{sup 3}-content in DLC film structure. - Highlights: • Fe, N and Si doped DLC films deposited by dip, PLD and PECVD methods respectively • DLC:Fe thin films have higher hardness/Young's modulus than DLC:N(:Si) thin films. • sp{sup 3} and sp{sup 2} contents are estimated from C K-edge XANES and VB-PES measurements.

  11. Prospects for the synthesis of large single-crystal diamonds

    International Nuclear Information System (INIS)

    Khmelnitskiy, R A

    2015-01-01

    The unique properties of diamond have stimulated the study of and search for its applications in many fields, including optics, optoelectronics, electronics, biology, and electrochemistry. Whereas chemical vapor deposition allows the growth of polycrystalline diamond plates more than 200 mm in diameter, most current diamond application technologies require large-size (25 mm and more) single-crystal diamond substrates or films suitable for the photolithography process. This is quite a challenge, because the largest diamond crystals currently available are 10 mm or less in size. This review examines three promising approaches to fabricating large-size diamond single crystals: growing large-size single crystals, the deposition of heteroepitaxial diamond films on single-crystal substrates, and the preparation of composite diamond substrates. (reviews of topical problems)

  12. Repulsive effects of hydrophobic diamond thin films on biomolecule detection

    Energy Technology Data Exchange (ETDEWEB)

    Ruslinda, A. Rahim, E-mail: ruslindarahim@gmail.com [Institute of Nano Electronic Engineering, Universiti Malaysia Perlis, Jln Kgr-Alor Setar, Seriab, 01000 Kangar, Perlis (Malaysia); Department of Nano Science and Nano Engineering, School of Advance Science and Engineering, Ohkubo 3-4-1, Shinjuku, 169-8555 Tokyo (Japan); Ishiyama, Y. [Department of Nano Science and Nano Engineering, School of Advance Science and Engineering, Ohkubo 3-4-1, Shinjuku, 169-8555 Tokyo (Japan); Penmatsa, V. [Department of Mechanical and Materials Engineering, Florida International University, 10555 West Flagler Street, Miami, FL 33174 (United States); Ibori, S.; Kawarada, H. [Department of Nano Science and Nano Engineering, School of Advance Science and Engineering, Ohkubo 3-4-1, Shinjuku, 169-8555 Tokyo (Japan)

    2015-02-15

    Highlights: • We report the effect of fluorine plasma treatment on diamond thin film to resist the nonspecific adsorption of biomolecules. • The diamond thin film were highly hydrophobic with a surface energy value of ∼25 mN/m. • The repulsive effect shows excellent binding efficiency for both DNA and HIV-1 Tat protein. - Abstract: The repulsive effect of hydrophobic diamond thin film on biomolecule detection, such as single-nucleotide polymorphisms and human immunodeficiency virus type 1 trans-activator of transcription peptide protein detection, was investigated using a mixture of a fluorine-, amine-, and hydrogen-terminated diamond surfaces. These chemical modifications lead to the formation of a surface that effectively resists the nonspecific adsorption of proteins and other biomolecules. The effect of fluorine plasma treatment on elemental composition was also investigated via X-ray photoelectron spectroscopy (XPS). XPS results revealed a fluorocarbon layer on the diamond thin films. The contact angle measurement results indicated that the fluorine-treated diamond thin films were highly hydrophobic with a surface energy value of ∼25 mN/m.

  13. Repulsive effects of hydrophobic diamond thin films on biomolecule detection

    International Nuclear Information System (INIS)

    Ruslinda, A. Rahim; Ishiyama, Y.; Penmatsa, V.; Ibori, S.; Kawarada, H.

    2015-01-01

    Highlights: • We report the effect of fluorine plasma treatment on diamond thin film to resist the nonspecific adsorption of biomolecules. • The diamond thin film were highly hydrophobic with a surface energy value of ∼25 mN/m. • The repulsive effect shows excellent binding efficiency for both DNA and HIV-1 Tat protein. - Abstract: The repulsive effect of hydrophobic diamond thin film on biomolecule detection, such as single-nucleotide polymorphisms and human immunodeficiency virus type 1 trans-activator of transcription peptide protein detection, was investigated using a mixture of a fluorine-, amine-, and hydrogen-terminated diamond surfaces. These chemical modifications lead to the formation of a surface that effectively resists the nonspecific adsorption of proteins and other biomolecules. The effect of fluorine plasma treatment on elemental composition was also investigated via X-ray photoelectron spectroscopy (XPS). XPS results revealed a fluorocarbon layer on the diamond thin films. The contact angle measurement results indicated that the fluorine-treated diamond thin films were highly hydrophobic with a surface energy value of ∼25 mN/m

  14. The effectiveness of Ti implants as barriers to carbon diffusion in Ti implanted steel under CVD diamond deposition conditions

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P.S.; Prawer, S. [Melbourne Univ., Parkville, VIC (Australia). School of Physics; Hoffman, A. [Technion-Israel Inst. of Tech., Haifa (Israel). Dept. of Chemistry; Evan, P.J. [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Paterson, P.J.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1993-12-31

    The growth of chemical vapour deposited (CVD) diamond onto iron based substrates complicated by preferential soot formation and carbon diffusion into the substrate [1], leading to poor quality films and poor adhesion. In the initial stages of exposure to a microwave plasma, a layer of graphite is rapidly formed on an untreated Fe based substrate. Once this graphite layer reaches a certain thickness, reasonable quality diamond nucleates and grows upon it. However, the diamond film easily delaminates from the substrate, the weak link being the graphitic layer. Following an initial success in using a TiN barrier layer to inhibit the formation of such a graphitic layer the authors report on attempts to use an implanted Ti layer for the same purpose. This work was prompted by observation that, although the TiN proved to be an extremely effective diffusion barrier, adhesion may be further enhanced by the formation of a TiC interface layer between the diamond film and the Fe substrate. 3 refs., 6 figs.

  15. The effectiveness of Ti implants as barriers to carbon diffusion in Ti implanted steel under CVD diamond deposition conditions

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Paterson, P.J.K.

    1993-01-01

    The growth of chemical vapour deposited (CVD) diamond onto iron based substrates complicated by preferential soot formation and carbon diffusion into the substrate [1], leading to poor quality films and poor adhesion. In the initial stages of exposure to a microwave plasma, a layer of graphite is rapidly formed on an untreated Fe based substrate. Once this graphite layer reaches a certain thickness, reasonable quality diamond nucleates and grows upon it. However, the diamond film easily delaminates from the substrate, the weak link being the graphitic layer. Following an initial success in using a TiN barrier layer to inhibit the formation of such a graphitic layer the authors report on attempts to use an implanted Ti layer for the same purpose. This work was prompted by observation that, although the TiN proved to be an extremely effective diffusion barrier, adhesion may be further enhanced by the formation of a TiC interface layer between the diamond film and the Fe substrate. 3 refs., 6 figs

  16. The effectiveness of Ti implants as barriers to carbon diffusion in Ti implanted steel under CVD diamond deposition conditions

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P S; Prawer, S [Melbourne Univ., Parkville, VIC (Australia). School of Physics; Hoffman, A [Technion-Israel Inst. of Tech., Haifa (Israel). Dept. of Chemistry; Evan, P J [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Paterson, P J.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1994-12-31

    The growth of chemical vapour deposited (CVD) diamond onto iron based substrates complicated by preferential soot formation and carbon diffusion into the substrate [1], leading to poor quality films and poor adhesion. In the initial stages of exposure to a microwave plasma, a layer of graphite is rapidly formed on an untreated Fe based substrate. Once this graphite layer reaches a certain thickness, reasonable quality diamond nucleates and grows upon it. However, the diamond film easily delaminates from the substrate, the weak link being the graphitic layer. Following an initial success in using a TiN barrier layer to inhibit the formation of such a graphitic layer the authors report on attempts to use an implanted Ti layer for the same purpose. This work was prompted by observation that, although the TiN proved to be an extremely effective diffusion barrier, adhesion may be further enhanced by the formation of a TiC interface layer between the diamond film and the Fe substrate. 3 refs., 6 figs.

  17. Beta Radiation Enhanced Thermionic Emission from Diamond Thin Films

    Directory of Open Access Journals (Sweden)

    Alex Croot

    2017-11-01

    Full Text Available Diamond-based thermionic emission devices could provide a means to produce clean and renewable energy through direct heat-to-electrical energy conversion. Hindering progress of the technology are the thermionic output current and threshold temperature of the emitter cathode. In this report, we study the effects on thermionic emission caused by in situ exposure of the diamond cathode to beta radiation. Nitrogen-doped diamond thin films were grown by microwave plasma chemical vapor deposition on molybdenum substrates. The hydrogen-terminated nanocrystalline diamond was studied using a vacuum diode setup with a 63Ni beta radiation source-embedded anode, which produced a 2.7-fold increase in emission current compared to a 59Ni-embedded control. The emission threshold temperature was also examined to further assess the enhancement of thermionic emission, with 63Ni lowering the threshold temperature by an average of 58 ± 11 °C compared to the 59Ni control. Various mechanisms for the enhancement are discussed, with a satisfactory explanation remaining elusive. Nevertheless, one possibility is discussed involving excitation of preexisting conduction band electrons that may skew their energy distribution toward higher energies.

  18. Biomineralized diamond-like carbon films with incorporated titanium dioxide nanoparticles improved bioactivity properties and reduced biofilm formation.

    Science.gov (United States)

    Lopes, F S; Oliveira, J R; Milani, J; Oliveira, L D; Machado, J P B; Trava-Airoldi, V J; Lobo, A O; Marciano, F R

    2017-12-01

    Recently, the development of coatings to protect biomedical alloys from oxidation, passivation and to reduce the ability for a bacterial biofilm to form after implantation has emerged. Diamond-like carbon films are commonly used for implanted medical due to their physical and chemical characteristics, showing good interactions with the biological environment. However, these properties can be significantly improved when titanium dioxide nanoparticles are included, especially to enhance the bactericidal properties of the films. So far, the deposition of hydroxyapatite on the film surface has been studied in order to improve biocompatibility and bioactive behavior. Herein, we developed a new route to obtain a homogeneous and crystalline apatite coating on diamond-like carbon films grown on 304 biomedical stainless steel and evaluated its antibacterial effect. For this purpose, films containing two different concentrations of titanium dioxide (0.1 and 0.3g/L) were obtained by chemical vapor deposition. To obtain the apatite layer, the samples were soaked in simulated body fluid solution for up to 21days. The antibacterial activity of the films was evaluated by bacterial eradication tests using Staphylococcus aureus biofilm. Scanning electron microscopy, X-ray diffraction, Raman scattering spectroscopy, and goniometry showed that homogeneous, crystalline, and hydrophilic apatite films were formed independently of the titanium dioxide concentration. Interestingly, the diamond-like films containing titanium dioxide and hydroxyapatite reduced the biofilm formation compared to controls. A synergism between hydroxyapatite and titanium dioxide that provided an antimicrobial effect against opportunistic pathogens was clearly observed. Copyright © 2017 Elsevier B.V. All rights reserved.

  19. Deposition and properties of Al-containing diamond-like carbon films by a hybrid ion beam sources

    International Nuclear Information System (INIS)

    Dai Wei; Wang Aiying

    2011-01-01

    Research highlights: → Weak carbide former, Al element, was incorporated into DLC films using a hybrid ion beams system comprising an anode-layer ion source and a magnetron sputtering unit. → The structure disorder of the films tended to decrease with Al atoms doping, which resulted in the distinct reduction of the film internal stress and hardness, but the internal stress dropped faster than the hardness. → The DLC films with low internal stress and high hardness can be acquired by Al incorporation. - Abstract: Metal incorporation is one of the most effective methods for relaxing internal stress in diamond-like carbon (DLC) films. It was reported that the chemical state of the incorporated metal atoms has a significant influence on the film internal stress. The doped atoms embedding in the DLC matrix without bonding with C atoms can reduce the structure disorder of the DLC films through bond angle distortion and thus relax the internal stress of the films. In present paper, Al atoms, which are inert to carbon, were incorporated into the DLC films deposited by a hybrid ion beams system comprising an anode-layer ion source and a magnetron sputtering unit. The film composition, microstructure and atomic bond structure were characterized using X-ray photoelectron spectroscopy, transmission electron microscopy and Raman spectroscopy. The internal stress, mechanical properties and tribogoical behavior were studied as a function of Al concentration using a stress-tester, nanoindentation and ball-on-disc tribo-tester, respectively. The results indicated that the incorporated Al atoms were dissolved in the DLC matrix without bonding with C atoms and the films exhibited the feature of amorphous carbon. The structure disorder of the films tended to decrease with Al atoms incorporation. This resulted in the distinct reduction of the internal stress in the films. All Al-DLC films exhibited a lower friction coefficient compared with pure DLC film. The formation of the

  20. Effects of Surface Modification of Nanodiamond Particles for Nucleation Enhancement during Its Film Growth by Microwave Plasma Jet Chemical Vapour Deposition Technique

    Directory of Open Access Journals (Sweden)

    Chii-Ruey Lin

    2014-01-01

    Full Text Available The seedings of the substrate with a suspension of nanodiamond particles (NDPs were widely used as nucleation seeds to enhance the growth of nanostructured diamond films. The formation of agglomerates in the suspension of NDPs, however, may have adverse impact on the initial growth period. Therefore, this paper was aimed at the surface modification of the NDPs to enhance the diamond nucleation for the growth of nanocrystalline diamond films which could be used in photovoltaic applications. Hydrogen plasma, thermal, and surfactant treatment techniques were employed to improve the dispersion characteristics of detonation nanodiamond particles in aqueous media. The seeding of silicon substrate was then carried out with an optimized spin-coating method. The results of both Fourier transform infrared spectroscopy and dynamic light scattering measurements demonstrated that plasma treated diamond nanoparticles possessed polar surface functional groups and attained high dispersion in methanol. The nanocrystalline diamond films deposited by microwave plasma jet chemical vapour deposition exhibited extremely fine grain and high smooth surfaces (~6.4 nm rms on the whole film. These results indeed open up a prospect of nanocrystalline diamond films in solar cell applications.

  1. Carbon diffusion in uncoated and titanium nitride coated iron substrates during microwave plasma assisted chemical vapor deposition of diamond

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Manory, R.R.; Paterson, P.J.K.; Stuart, Sue-Anne

    1992-01-01

    Auger Electron Spectroscopy has been employed to investigate the effectiveness of thin films of TiN as barriers to carbon diffusion during Chemical Vapor Deposition (CVD) of diamond onto Fe substrates. Auger Depth Profiling was used to monitor the C concentration in the TiN layer, through the interface and into the substrate both before and after CVD diamond deposition. The results show that a layer of TiN only 250 Angstroems thick is sufficient to inhibit soot formation on the Fe surface and C diffusion into the Fe bulk. 14 refs., 4 figs

  2. The processing of heteroepitaxial thin-film diamond for electronic applications

    International Nuclear Information System (INIS)

    McGrath, J.

    1998-09-01

    Thin film diamond is of particular interest because of its wide applicability, including its potential use in high temperature electronics. This thesis describes a study of some of the processing stages required to exploit thin film diamond as an electronic device. Initial experiments were carried out to optimise bi-metallic contact schemes on orientated diamond film using electrical measurements and chemical analysis. Temperature stability was also investigated and it was concluded that the most favourable ohmic contact scheme is aluminium-on-titanium. Further electrical measurements confirmed that the contribution of resistance made by the contacts themselves to the metal/diamond/metal system overall was acceptably low, specifically 6 Ω.cm 2 for an undoped diamond system and less than 3 x 10 -6 Ω.cm 2 for boron doped diamond. To improve the as-grown resistivity of diamond films, an oxygen/argon plasma etch process was applied. The input parameters of the plasma system were optimised to give the maximum achievable resistivity of 4 x 10 11 Ω.cm. This was attained using a statistical design procedure via analysis of resistivity and etch rate outputs. Having optimised post growth treatment and contact metallisation, undoped and doped orientated diamond films were characterised via voltage and temperature dependencies. It was concluded that the dominant charge transport mechanisms for undoped diamond, nitrogen and boron doped diamond were variable range hopping at low temperatures up to 523 K and grain boundary effects. At higher temperatures, valence or impurity band conduction appeared to be the probable mechanisms with activation energies of 0.23 eV for nitrogen doped diamond and 0.08 eV for boron doped diamond. Preliminary experiments electrical properties of diamond and initial results suggested the presence of a high density of recombination centres. The final stage of experimental research initiated a study of direct electron beam writing lithography to

  3. Characteristics of diamond – like carbon(DLC film deposited by PACVD process

    Directory of Open Access Journals (Sweden)

    Krzysztof Lukaszkowicz

    2016-10-01

    Full Text Available Diamond – like carbon (DLC film is promising materials for many technical and engineering applications. DLC films are used in many different industries for example: in medicine, in electronics, in optics and the automotive industry. They have excellent tribological properties (low friction coefficient, chemical inertness and high mechanical hardness. This paper provides an analysis of the microstructure, mechanical and tribological properties of DLC films. In the study of the coating used several surface sensitive techniques and methods, i.e. High Resolution Transmission Electron Microscopy (HRTEM, Scanning Electron Microscopy (SEM, Raman spectroscopy and tribological tests like ball-on-disc. HRTEM investigation shows an amorphous character of DLC layer. In sliding dry friction conditions the friction coefficient for the investigated elements is set in the range between 0.02-0.03. The investigated coating reveals high wear resistance. The coating demonstrated a good adhesion to the substrate.

  4. Morphology modulating the wettability of a diamond film.

    Science.gov (United States)

    Tian, Shibing; Sun, Weijie; Hu, Zhaosheng; Quan, Baogang; Xia, Xiaoxiang; Li, Yunlong; Han, Dong; Li, Junjie; Gu, Changzhi

    2014-10-28

    Control of the wetting property of diamond surface has been a challenge because of its maximal hardness and good chemical inertness. In this work, the micro/nanoarray structures etched into diamond film surfaces by a maskless plasma method are shown to fix a surface's wettability characteristics, and this means that the change in morphology is able to modulate the wettability of a diamond film from weakly hydrophilic to either superhydrophilic or superhydrophobic. It can be seen that the etched diamond surface with a mushroom-shaped array is superhydrophobic following the Cassie mode, whereas the etched surface with nanocone arrays is superhydrophilic in accordance with the hemiwicking mechnism. In addition, the difference in cone densities of superhydrophilic nanocone surfaces has a significant effect on water spreading, which is mainly derived from different driving forces. This low-cost and convenient means of altering the wetting properties of diamond surfaces can be further applied to underlying wetting phenomena and expand the applications of diamond in various fields.

  5. Regression Analysis of the Effect of Bias Voltage on Nano- and Macrotribological Properties of Diamond-Like Carbon Films Deposited by a Filtered Cathodic Vacuum Arc Ion-Plating Method

    Directory of Open Access Journals (Sweden)

    Shojiro Miyake

    2014-01-01

    Full Text Available Diamond-like carbon (DLC films are deposited by bend filtered cathodic vacuum arc (FCVA technique with DC and pulsed bias voltage. The effects of varying bias voltage on nanoindentation and nanowear properties were evaluated by atomic force microscopy. DLC films deposited with DC bias voltage of −50 V exhibited the greatest hardness at approximately 50 GPa, a low modulus of dissipation, low elastic modulus to nanoindentation hardness ratio, and high nanowear resistance. Nanoindentation hardness was positively correlated with the Raman peak ratio Id/Ig, whereas wear depth was negatively correlated with this ratio. These nanotribological properties highly depend on the films’ nanostructures. The tribological properties of the FCVA-DLC films were also investigated using a ball-on-disk test. The average friction coefficient of DLC films deposited with DC bias voltage was lower than that of DLC films deposited with pulse bias voltage. The friction coefficient calculated from the ball-on-disk test was correlated with the nanoindentation hardness in dry conditions. However, under boundary lubrication conditions, the friction coefficient and specific wear rate had little correlation with nanoindentation hardness, and wear behavior seemed to be influenced by other factors such as adhesion strength between the film and substrate.

  6. Functionalization of nanocrystalline diamond films with phthalocyanines

    Energy Technology Data Exchange (ETDEWEB)

    Petkov, Christo [Institute of Nanostructure Technologies and Analytics (INA), Center for Interdisciplinary Nanostructure Science and Technology (CINSaT), University of Kassel (Germany); Reintanz, Philipp M. [Institute of Chemistry, Center for Interdisciplinary Nanostructure Science and Technology (CINSaT), University of Kassel (Germany); Kulisch, Wilhelm [Institute of Nanostructure Technologies and Analytics (INA), Center for Interdisciplinary Nanostructure Science and Technology (CINSaT), University of Kassel (Germany); Degenhardt, Anna Katharina [Institute of Chemistry, Center for Interdisciplinary Nanostructure Science and Technology (CINSaT), University of Kassel (Germany); Weidner, Tobias [Max Planck Institute for Polymer Research, Mainz (Germany); Baio, Joe E. [School of Chemical, Biological and Environmental Engineering, Oregon State University, Corvallis, OR (United States); Merz, Rolf; Kopnarski, Michael [Institut für Oberflächen- und Schichtanalytik (IFOS), Kaiserslautern (Germany); Siemeling, Ulrich [Institute of Chemistry, Center for Interdisciplinary Nanostructure Science and Technology (CINSaT), University of Kassel (Germany); Reithmaier, Johann Peter [Institute of Nanostructure Technologies and Analytics (INA), Center for Interdisciplinary Nanostructure Science and Technology (CINSaT), University of Kassel (Germany); Popov, Cyril, E-mail: popov@ina.uni-kassel.de [Institute of Nanostructure Technologies and Analytics (INA), Center for Interdisciplinary Nanostructure Science and Technology (CINSaT), University of Kassel (Germany)

    2016-08-30

    Highlights: • Grafting of phthalocyanines on nanocrystalline diamond films with different terminations. • Pc with different central atoms and side chains synthesized and characterized. • Attachment of Pc on H- and O-terminated NCD studied by XPS and NEXAFS spectroscopy. • Orientation order of phthalocyanine molecules on NCD surface. - Abstract: Phthalocyanine (Pc) derivatives containing different central metal atoms (Mn, Cu, Ti) and different peripheral chains were synthesized and comprehensively characterized. Their interaction with nanocrystalline diamond (NCD) films, as-grown by hot-filament chemical vapor deposition or after their modification with oxygen plasma to exchange the hydrogen termination with oxygen-containing groups, was studied by X-ray photoelectron spectroscopy (XPS) and near-edge X-ray absorption fine structure (NEXAFS) spectroscopy. The elemental composition as determined by XPS showed that the Pc were grafted on both as-grown and O-terminated NCD. Mn, Cu and Ti were detected together with N stemming from the Pc ring and S in case of the Ti-Pc from the peripheral ligands. The results for the elemental surface composition and the detailed study of the N 1s, S 2p and O 1s core spectra revealed that Ti-Pc grafted better on as-grown NCD but Cu-Pc and Mn-Pc on O-terminated films. Samples of Mn-Pc on as-grown and O-terminated NCD were further investigated by NEXAFS spectroscopy. The results showed ordering of the grafted molecules, laying flat on the H-terminated NCD surface while only the macrocycles were oriented parallel to the O-terminated surface with the peripheral chains perpendicular to it.

  7. Soft X-ray angle-resolved photoemission spectroscopy of heavily boron-doped superconducting diamond films

    Directory of Open Access Journals (Sweden)

    T. Yokoya, T. Nakamura, T. Matushita, T. Muro, H. Okazaki, M. Arita, K. Shimada, H. Namatame, M. Taniguchi, Y. Takano, M. Nagao, T. Takenouchi, H. Kawarada and T. Oguchi

    2006-01-01

    Full Text Available We have performed soft X-ray angle-resolved photoemission spectroscopy (SXARPES of microwave plasma-assisted chemical vapor deposition diamond films with different B concentrations in order to study the origin of the metallic behavior of superconducting diamond. SXARPES results clearly show valence band dispersions with a bandwidth of ~23 eV and with a top of the valence band at gamma point in the Brillouin zone, which are consistent with the calculated valence band dispersions of pure diamond. Boron concentration-dependent band dispersions near the Fermi level (EF exhibit a systematic shift of EF, indicating depopulation of electrons due to hole doping. These SXARPES results indicate that diamond bands retain for heavy boron doping and holes in the diamond band are responsible for the metallic states leading to superconductivity at low temperature. A high-resolution photoemission spectroscopy spectrum near EF of a heavily boron-doped diamond superconductor is also presented.

  8. Iron Oxide Nanoparticles Employed as Seeds for the Induction of Microcrystalline Diamond Synthesis

    Directory of Open Access Journals (Sweden)

    Resto Oscar

    2008-01-01

    Full Text Available AbstractIron nanoparticles were employed to induce the synthesis of diamond on molybdenum, silicon, and quartz substrates. Diamond films were grown using conventional conditions for diamond synthesis by hot filament chemical vapor deposition, except that dispersed iron oxide nanoparticles replaced the seeding. X-ray diffraction, visible, and ultraviolet Raman Spectroscopy, energy-filtered transmission electron microscopy , electron energy-loss spectroscopy, and X-ray photoelectron spectroscopy (XPS were employed to study the carbon bonding nature of the films and to analyze the carbon clustering around the seed nanoparticles leading to diamond synthesis. The results indicate that iron oxide nanoparticles lose the O atoms, becoming thus active C traps that induce the formation of a dense region of trigonally and tetrahedrally bonded carbon around them with the ensuing precipitation of diamond-type bonds that develop into microcrystalline diamond films under chemical vapor deposition conditions. This approach to diamond induction can be combined with dip pen nanolithography for the selective deposition of diamond and diamond patterning while avoiding surface damage associated to diamond-seeding methods.

  9. Nano-Impact (Fatigue Characterization of As-Deposited Amorphous Nitinol Thin Film

    Directory of Open Access Journals (Sweden)

    Rehan Ahmed

    2012-08-01

    Full Text Available This paper presents nano-impact (low cycle fatigue behavior of as-deposited amorphous nitinol (TiNi thin film deposited on Si wafer. The nitinol film was 3.5 µm thick and was deposited by the sputtering process. Nano-impact tests were conducted to comprehend the localized fatigue performance and failure modes of thin film using a calibrated nano-indenter NanoTest™, equipped with standard diamond Berkovich and conical indenter in the load range of 0.5 mN to 100 mN. Each nano-impact test was conducted for a total of 1000 fatigue cycles. Depth sensing approach was adapted to understand the mechanisms of film failure. Based on the depth-time data and surface observations of films using atomic force microscope, it is concluded that the shape of the indenter test probe is critical in inducing the localized indentation stress and film failure. The measurement technique proposed in this paper can be used to optimize the design of nitinol thin films.

  10. Diamond MEMS: wafer scale processing, devices, and technology insertion

    Science.gov (United States)

    Carlisle, J. A.

    2009-05-01

    Diamond has long held the promise of revolutionary new devices: impervious chemical barriers, smooth and reliable microscopic machines, and tough mechanical tools. Yet it's been an outsider. Laboratories have been effectively growing diamond crystals for at least 25 years, but the jump to market viability has always been blocked by the expense of diamond production and inability to integrate with other materials. Advances in chemical vapor deposition (CVD) processes have given rise to a hierarchy of carbon films ranging from diamond-like carbon (DLC) to vapor-deposited diamond coatings, however. All have pros and cons based on structure and cost, but they all share some of diamond's heralded attributes. The best performer, in theory, is the purest form of diamond film possible, one absent of graphitic phases. Such a material would capture the extreme hardness, high Young's modulus and chemical inertness of natural diamond. Advanced Diamond Technologies Inc., Romeoville, Ill., is the first company to develop a distinct chemical process to create a marketable phase-pure diamond film. The material, called UNCD® (for ultrananocrystalline diamond), features grain sizes from 3 to 300 nm in size, and layers just 1 to 2 microns thick. With significant advantages over other thin films, UNCD is designed to be inexpensive enough for use in atomic force microscopy (AFM) probes, microelectromechanical machines (MEMS), cell phone circuitry, radio frequency devices, and even biosensors.

  11. Interlayer utilization (including metal borides) for subsequent deposition of NSD films via microwave plasma CVD on 316 and 440C stainless steels

    Science.gov (United States)

    Ballinger, Jared

    Diamond thin films have promising applications in numerous fields due to the extreme properties of diamonds in conjunction with the surface enhancement of thin films. Biomedical applications are numerous including temporary implants and various dental and surgical instruments. The unique combination of properties offered by nanostructured diamond films that make it such an attractive surface coating include extreme hardness, low obtainable surface roughness, excellent thermal conductivity, and chemical inertness. Regrettably, numerous problems exist when attempting to coat stainless steel with diamond generating a readily delaminated film: outward diffusion of iron to the surface, inward diffusion of carbon limiting necessary surface carbon precursor, and the mismatch between the coefficients of thermal expansion yielding substantial residual stress. While some exotic methods have been attempted to overcome these hindrances, the most common approach is the use of an intermediate layer between the stainless steel substrate and the diamond thin film. In this research, both 316 stainless steel disks and 440C stainless steel ball bearings were tested with interlayers including discrete coatings and graded, diffusion-based surface enhancements. Titanium nitride and thermochemical diffusion boride interlayers were both examined for their effectiveness at allowing for the growth of continuous and adherent diamond films. Titanium nitride interlayers were deposited by cathodic arc vacuum deposition on 440C bearings. Lower temperature diamond processing resulted in improved surface coverage after cooling, but ultimately, both continuity and adhesion of the nanostructured diamond films were unacceptable. The ability to grow quality diamond films on TiN interlayers is in agreement with previous work on iron and low alloy steel substrates, and the similarly seen inadequate adhesion strength is partially a consequence of the lacking establishment of an interfacial carbide phase

  12. Fabrication of High Transparency Diamond-Like Carbon Film Coating on D263T Glass at Room Temperature as an Antireflection Layer

    Directory of Open Access Journals (Sweden)

    Chii-Ruey Lin

    2013-01-01

    Full Text Available This study intends to deposit high transmittance diamond-like carbon (DLC thin films on D263T glass substrate at room temperature via a diamond powder target using the radio frequency (RF magnetron sputtering technique. Moreover, various process parameters were used to tune the properties of the thin films by using the Taguchi method. Experimental results show that the content of sp3 bonded carbon decreases in accordance with the effect of the substrate temperature. In addition, the hardness of all as-deposited single-layer DLC films ranges from 13.2 to 22.5 GPa, and the RMS surface roughness was improved significantly with the decrease in sputtering pressure. The water repellent of the deposited DLC films improved significantly with the increase of the sp3 content, and its contact angle was larger than that of the noncoated one by 1.45 times. Furthermore, the refraction index (n of all as-deposited DLC films ranges from 1.95 to 2.1 at λ = 600 nm. These results demonstrate that the thickness increased as the reflectance increased. DLC film under an RF power of 150 W possesses high transmissive ability (>81% and low average reflectance ability (<9.5% in the visible wavelengths (at λ = 400–700 nm.

  13. Isotope analysis of diamond-surface passivation effect of high-temperature H{sub 2}O-grown atomic layer deposition-Al{sub 2}O{sub 3} films

    Energy Technology Data Exchange (ETDEWEB)

    Hiraiwa, Atsushi, E-mail: hiraiwa@aoni.waseda.jp, E-mail: qs4a-hriw@asahi-net.or.jp [Institute for Nanoscience and Nanotechnology, Waseda University, 513 Waseda-tsurumaki, Shinjuku, Tokyo 162-0041 (Japan); Saito, Tatsuya; Matsumura, Daisuke; Kawarada, Hiroshi, E-mail: kawarada@waseda.jp [Faculty of Science and Engineering, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan)

    2015-06-07

    The Al{sub 2}O{sub 3} film formed using an atomic layer deposition (ALD) method with trimethylaluminum as Al precursor and H{sub 2}O as oxidant at a high temperature (450 °C) effectively passivates the p-type surface conduction (SC) layer specific to a hydrogen-terminated diamond surface, leading to a successful operation of diamond SC field-effect transistors at 400 °C. In order to investigate this excellent passivation effect, we carried out an isotope analysis using D{sub 2}O instead of H{sub 2}O in the ALD and found that the Al{sub 2}O{sub 3} film formed at a conventional temperature (100 °C) incorporates 50 times more CH{sub 3} groups than the high-temperature film. This CH{sub 3} is supposed to dissociate from the film when heated afterwards at a higher temperature (550 °C) and causes peeling patterns on the H-terminated surface. The high-temperature film is free from this problem and has the largest mass density and dielectric constant among those investigated in this study. The isotope analysis also unveiled a relatively active H-exchange reaction between the diamond H-termination and H{sub 2}O oxidant during the high-temperature ALD, the SC still being kept intact. This dynamic and yet steady H termination is realized by the suppressed oxidation due to the endothermic reaction with H{sub 2}O. Additionally, we not only observed the kinetic isotope effect in the form of reduced growth rate of D{sub 2}O-oxidant ALD but found that the mass density and dielectric constant of D{sub 2}O-grown Al{sub 2}O{sub 3} films are smaller than those of H{sub 2}O-grown films. This is a new type of isotope effect, which is not caused by the presence of isotopes in the films unlike the traditional isotope effects that originate from the presence of isotopes itself. Hence, the high-temperature ALD is very effective in forming Al{sub 2}O{sub 3} films as a passivation and/or gate-insulation layer of high-temperature-operation diamond SC devices, and the knowledge of

  14. Ultra-high wear resistance of ultra-nanocrystalline diamond film: Correlation with microstructure and morphology

    Science.gov (United States)

    Rani, R.; Kumar, N.; Lin, I.-Nan

    2016-05-01

    Nanostructured diamond films are having numerous unique properties including superior tribological behavior which is promising for enhancing energy efficiency and life time of the sliding devices. High wear resistance is the principal criterion for the smooth functioning of any sliding device. Such properties are achievable by tailoring the grain size and grain boundary volume fraction in nanodiamond film. Ultra-nanocrystalline diamond (UNCD) film was attainable using optimized gas plasma condition in a microwave plasma enhanced chemical vapor deposition (MPECVD) system. Crystalline phase of ultra-nanodiamond grains with matrix phase of amorphous carbon and short range ordered graphite are encapsulated in nanowire shaped morphology. Film showed ultra-high wear resistance and frictional stability in micro-tribological contact conditions. The negligible wear of film at the beginning of the tribological contact was later transformed into the wearless regime for prolonged sliding cycles. Both surface roughness and high contact stress were the main reasons of wear at the beginning of sliding cycles. However, the interface gets smoothened due to continuous sliding, finally leaded to the wearless regime.

  15. Chemically vapor deposited diamond films as dosimetric material for potential clinical applications

    Directory of Open Access Journals (Sweden)

    Kabacińska Renata

    2018-03-01

    Full Text Available Thermally stimulated luminescence (TL, cathodoluminescence (CL and Raman spectroscopy of CVD diamond films grown on silicon substrates have been studied in order to obtain information on defects created during the growth, which induce the levels within the gap. TL between 300 K and 700 K, and CL from 200 nm to 1200 nm have been teasured. The glow curves show a peak located around 610 K with different intensities, depending on the sample thickness, associated with a trap of energy, equal to 0.83 eV and with attempt-to-escape-time of the order of 108 s-1. Broad CL bands observed at 428±1 nm (2.90 ±0.01 eV and 500±1 nm (2.47±0.004 eV are attributed to closely spaced and widely separated donor-acceptor (D-A pairs, respectively. The TL and CL results were correlated with diamond quality estimated from Raman spectroscopy measurements.

  16. Application of Chlorine-Assisted Chemical Vapor Deposition of Diamond at Low Temperatures

    Science.gov (United States)

    Pan, Chenyu; Altemir, David A.; Margrave, John L.; Hauge, Robert H.

    1994-01-01

    Low temperature deposition of diamond has been achieved by a chlorine-assisted diamond chemical vapor deposition (CA-CVD) process. This method begins with the thermal dissociation of molecular chlorine into atomic chlorine in a resistively heated graphite furnace at temperatures between 1300 and 1500 deg. C. The atomic chlorine, upon mixing, subsequently reacts with molecular hydrogen and hydrocarbons. The rapid exchange reactions between the atomic chlorine, molecular hydrogen, and hydrocarbons give rise to the atomic hydrogen and carbon precursors required for diamond deposition. Homoepitaxial diamond growth on diamond substrates has been studied over the substrate temperature range of 100-950 C. It was found that the diamond growth rates are approximately 0.2 microns/hr in the temperature range between 102 and 300 C and that the growth rates do not decrease significantly with a decrease in substrate temperature. This is unique because the traditional diamond deposition using H2/CH4 systems usually disappears at substrate temperatures below approx. 500 deg. C. This opens up a possible route to the deposition of diamond on low-melting point materials such as aluminum and its alloys.

  17. Tensile test of a silicon microstructure fully coated with submicrometer-thick diamond like carbon film using plasma enhanced chemical vapor deposition method

    Science.gov (United States)

    Zhang, Wenlei; Uesugi, Akio; Hirai, Yoshikazu; Tsuchiya, Toshiyuki; Tabata, Osamu

    2017-06-01

    This paper reports the tensile properties of single-crystal silicon (SCS) microstructures fully coated with sub-micrometer thick diamond like carbon (DLC) film using plasma enhanced chemical vapor deposition (PECVD). To minimize the deformations or damages caused by non-uniform coating of DLC, which has high compression residual stress, released SCS specimens with the dimensions of 120 µm long, 4 µm wide, and 5 µm thick were coated from the top and bottom side simultaneously. The thickness of DLC coating is around 150 nm and three different bias voltages were used for deposition. The tensile strength improved from 13.4 to 53.5% with the increasing of negative bias voltage. In addition, the deviation in strength also reduced significantly compared to bare SCS sample.

  18. Microstructure and property of diamond-like carbon films with Al and Cr co-doping deposited using a hybrid beams system

    International Nuclear Information System (INIS)

    Dai, Wei; Liu, Jingmao; Geng, Dongsen; Guo, Peng; Zheng, Jun; Wang, Qimin

    2016-01-01

    Highlights: • Diamond-like carbon films with Al and Cr doping were deposited. • Alternate multilayered structure consisted of Al-poor layer and Al-rich layer was formed. • The periodic Al-rich layers can greatly improve the residual stress and elastic resilience of the films. - Abstract: DLC films with weak carbide former Al and carbide former Cr co-doping (Al:Cr-DLC) were deposited by a hybrid beams system comprising an anode-layer linear ion beam source (LIS) and high power impulse magnetron sputtering using a gas mixture of C 2 H 2 and Ar as the precursor. The doped Al and Cr contents were controlled via adjusting the C 2 H 2 fraction in the gas mixture. The composition, microstructure, compressive stress, mechanical properties and tribological behaviors of the Al:Cr-DLC films were researched carefully using X-ray photoelectron spectroscopy, transmission electron microscopy, Raman spectroscopy, stress-tester, nanoindentation and ball-on-plate tribometer as function of the C 2 H 2 fraction. The results show that the Al and Cr contents in the films increased continuously as the C 2 H 2 fraction decreased. The doped Cr atoms preferred to bond with the carbon while the Al atoms mainly existed in metallic state. Structure modulation with alternate multilayer consisted of Al-poor DLC layer and Al-rich DLC layer was found in the films. Those periodic Al-rich DLC layers can effectively release the residual stress of the films. On the other hand, the formation of the carbide component due to Cr incorporation can help to increase the film hardness. Accordingly, the residual stress of the DLC films can be reduced without sacrificing the film hardness though co-doping Al and Cr atoms. Furthermore, it was found that the periodic Al-rich layer can greatly improve the elastic resilience of the DLC films and thus decreases the film friction coefficient and wear rate significantly. However, the existence of the carbide component would cause abrasive wear and thus

  19. Correlation of CVD Diamond Electron Emission with Film Properties

    Science.gov (United States)

    Bozeman, S. P.; Baumann, P. K.; Ward, B. L.; Nemanich, R. J.; Dreifus, D. L.

    1996-03-01

    Electron field emission from metals is affected by surface morphology and the properties of any dielectric coating. Recent results have demonstrated low field electron emission from p-type diamond, and photoemission measurements have identified surface treatments that result in a negative electron affinity (NEA). In this study, the field emission from diamond is correlated with surface treatment, surface roughness, and film properties (doping and defects). Electron emission measurements are reported on diamond films synthesized by plasma CVD. Ultraviolet photoemission spectroscopy indicates that the CVD films exhibit a NEA after exposure to hydrogen plasma. Field emission current-voltage measurements indicate "threshold voltages" ranging from approximately 20 to 100 V/micron.

  20. Novel diamond-coated tools for dental drilling applications.

    Science.gov (United States)

    Jackson, M J; Sein, H; Ahmed, W; Woodwards, R

    2007-01-01

    The application of diamond coatings on cemented tungsten carbide (WC-Co) tools has been the subject of much attention in recent years in order to improve cutting performance and tool life in orthodontic applications. WC-Co tools containing 6% Co metal and 94% WC substrate with an average grain size of 1 - 3 microm were used in this study. In order to improve the adhesion between diamond and WC substrates it is necessary to etch cobalt from the surface and prepare it for subsequent diamond growth. Alternatively, a titanium nitride (TiN) interlayer can be used prior to diamond deposition. Hot filament chemical vapour deposition (HFCVD) with a modified vertical filament arrangement has been employed for the deposition of diamond films to TiN and etched WC substrates. Diamond film quality and purity has been characterized using scanning electron microscopy (SEM) and micro Raman spectroscopy. The performances of diamond-coated WC-Co tools, uncoated WC-Co tools, and diamond embedded (sintered) tools have been compared by drilling a series of holes into various materials such as human tooth, borosilicate glass, and acrylic tooth materials. Flank wear has been used to assess the wear rates of the tools when machining biomedical materials such as those described above. It is shown that using an interlayer such as TiN prior to diamond deposition provides the best surface preparation for producing dental tools.

  1. Diamond coating deposition by synergy of thermal and laser methods-A problem revisited

    International Nuclear Information System (INIS)

    Ristic, Gordana S.; Trtica, Milan S.; Bogdanov, Zarko D.; Romcevic, Nebojsa Z.; Miljanic, Scepan S.

    2007-01-01

    Diamond coatings were deposited by synergy of the hot filament CVD method and the pulse TEA CO 2 laser, in spectroactive and spectroinactive diamond precursor atmospheres. Resulting diamond coatings are interpreted relying on evidence of scanning electron microscopy as well as microRaman spectroscopy. Thermal synergy component (hot filament) possesses an activating agent for diamond deposition, and contributes significantly to quality and extent of diamond deposition. Laser synergy component comprises a solid surface modification as well as the spectroactive gaseous atmosphere modification. Surface modification consists in changes of the diamond coating being deposited and, at the same time, in changes of the substrate surface structure. Laser modification of the spectroactive diamond precursor atmosphere means specific consumption of the precursor, which enables to skip the deposition on a defined substrate location. The resulting process of diamond coating elimination from certain, desired locations using the CO 2 laser might contribute to tailoring diamond coatings for particular applications. Additionally, the substrate laser modification could be optimized by choice of a proper spectroactive precursor concentration, or by a laser radiation multiple pass through an absorbing medium

  2. UV detectors based on epitaxial diamond films grown on single-crystal diamond substrates by vapor-phase synthesis

    International Nuclear Information System (INIS)

    Sharonov, G.V.; Petrov, S.A.; Bol'shakov, A.P.; Ral'chenko, V.G.; Kazyuchits, N.M.

    2010-01-01

    The prospects for use of CVD-technology for epitaxial growth of single-crystal diamond films of instrumental quality in UHF plasma for the production of optoelectronic devices are discussed. A technology for processing diamond single crystals that provides a perfect surface crystal structure with roughness less than 0,5 nm was developed. It was demonstrated that selective UV detectors based on synthetic single-crystal diamond substrates coated with single-crystal films can be produced. A criterion for selecting clean and structurally perfect single crystals of synthetic diamond was developed for the epitaxial growth technology. (authors)

  3. Diamond network: template-free fabrication and properties.

    Science.gov (United States)

    Zhuang, Hao; Yang, Nianjun; Fu, Haiyuan; Zhang, Lei; Wang, Chun; Huang, Nan; Jiang, Xin

    2015-03-11

    A porous diamond network with three-dimensionally interconnected pores is of technical importance but difficult to be produced. In this contribution, we demonstrate a simple, controllable, and "template-free" approach to fabricate diamond networks. It combines the deposition of diamond/β-SiC nanocomposite film with a wet-chemical selective etching of the β-SiC phase. The porosity of these networks was tuned from 15 to 68%, determined by the ratio of the β-SiC phase in the composite films. The electrochemical working potential and the reactivity of redox probes on the diamond networks are similar to those of a flat nanocrystalline diamond film, while their surface areas are hundreds of times larger than that of a flat diamond film (e.g., 490-fold enhancement for a 3 μm thick diamond network). The marriage of the unprecedented physical/chemical features of diamond with inherent advantages of the porous structure makes the diamond network a potential candidate for various applications such as water treatment, energy conversion (batteries or fuel cells), and storage (capacitors), as well as electrochemical and biochemical sensing.

  4. OSL and TL dosimeter characterization of boron doped CVD diamond films

    Science.gov (United States)

    Gonçalves, J. A. N.; Sandonato, G. M.; Meléndrez, R.; Chernov, V.; Pedroza-Montero, M.; De la Rosa, E.; Rodríguez, R. A.; Salas, P.; Barboza-Flores, M.

    2005-04-01

    Natural diamond is an exceptional prospect for clinical radiation dosimetry due to its tissue-equivalence properties and being chemically inert. The use of diamond in radiation dosimetry has been halted by the high market price; although recently the capability of growing high quality CVD diamond has renewed the interest in using diamond films as radiation dosimeters. In the present work we have characterized the dosimetric properties of diamond films synthesized by the HFCVD method. The thermoluminescence and the optically stimulated luminescence of beta exposed diamond sample containing a B/C 4000 ppm doping presents excellent properties suitable for dosimetric applications with β-ray doses up to 3.0 kGy. The observed OSL and TL performance is reasonable appropriate to justify further investigation of diamond films as dosimeters for ionizing radiation, specially in the radiotherapy field where very well localized and in vivo and real time radiation dose applications are essential.

  5. Thermal stability of diamond-like carbon–MoS{sub 2} thin films in different environments

    Energy Technology Data Exchange (ETDEWEB)

    Niakan, H., E-mail: hamid.niakan@usask.ca [Department of Mechanical Engineering, University of Saskatchewan, 57 Campus Drive, Saskatoon, SK S7N 5A9 (Canada); Zhang, C. [Department of Mechanical Engineering, University of Saskatchewan, 57 Campus Drive, Saskatoon, SK S7N 5A9 (Canada); Hu, Y. [Canadian Light Source, 101 Perimeter Road, Saskatoon, SK S7N 0X4 (Canada); Szpunar, J.A.; Yang, Q. [Department of Mechanical Engineering, University of Saskatchewan, 57 Campus Drive, Saskatoon, SK S7N 5A9 (Canada)

    2014-07-01

    Diamond-like carbon (DLC) based coatings are ideal for low friction and wear resistant applications. For those tribological applications, the coatings may expose to high temperature environments. Therefore, the thermal stability of the coating is very important for its long-term performance. In this work, DLC–MoS{sub 2} composite thin films were synthesized using biased target ion beam deposition technique in which MoS{sub 2} was produced by sputtering a MoS{sub 2} target using Ar ion beams while DLC was deposited by an ion source with CH{sub 4} gas as carbon source. DLC films without MoS{sub 2} deposited under similar conditions were used as reference samples. After the deposition, DLC and DLC–MoS{sub 2} thin films were heat-treated in ambient air and low pressure environments at different temperatures ranging from 100 to 600 °C for 2 h. The effect of annealing on the structure, mechanical and tribological properties of the resulting films were studied by means of Raman spectroscopy, X-ray absorption near edge structure, scanning electron microscopy, nanoindentation, and ball-on-disk testing. The results showed that the structure, hardness, Young's modulus, friction coefficient and wear coefficient of the DLC films were stable up to 200 °C annealing in air and 300 °C in low pressure. At higher temperature, the annealing led to the transformation of sp{sup 3} to sp{sup 2}, which degraded the mechanical and tribological properties of the thin films. Comparing with the DLC films, the DLC–MoS{sub 2} thin films showed a slower rate of graphitization and higher structure stability throughout the range of annealing temperatures, indicating a relatively higher thermal stability. - Highlights: • Thermal stability of diamond-like carbon (DLC) and DLC–MoS{sub 2} films were evaluated. • DLC–MoS{sub 2} films can be synthesized by biased target ion beam deposition technique. • Comparing with DLC films, the DLC–MoS{sub 2} thin films showed higher

  6. Investigation of laser ablation of CVD diamond film

    Science.gov (United States)

    Chao, Choung-Lii; Chou, W. C.; Ma, Kung-Jen; Chen, Ta-Tung; Liu, Y. M.; Kuo, Y. S.; Chen, Ying-Tung

    2005-04-01

    Diamond, having many advanced physical and mechanical properties, is one of the most important materials used in the mechanical, telecommunication and optoelectronic industry. However, high hardness value and extreme brittleness have made diamond extremely difficult to be machined by conventional mechanical grinding and polishing. In the present study, the microwave CVD method was employed to produce epitaxial diamond films on silicon single crystal. Laser ablation experiments were then conducted on the obtained diamond films. The underlying material removal mechanisms, microstructure of the machined surface and related machining conditions were also investigated. It was found that during the laser ablation, peaks of the diamond grains were removed mainly by the photo-thermal effects introduced by excimer laser. The diamond structures of the protruded diamond grains were transformed by the laser photonic energy into graphite, amorphous diamond and amorphous carbon which were removed by the subsequent laser shots. As the protruding peaks gradually removed from the surface the removal rate decreased. Surface roughness (Ra) was improved from above 1μm to around 0.1μm in few minutes time in this study. However, a scanning technique would be required if a large area was to be polished by laser and, as a consequence, it could be very time consuming.

  7. Understanding the chemical vapor deposition of diamond: recent progress

    International Nuclear Information System (INIS)

    Butler, J E; Mankelevich, Y A; Cheesman, A; Ma, Jie; Ashfold, M N R

    2009-01-01

    In this paper we review and provide an overview to the understanding of the chemical vapor deposition (CVD) of diamond materials with a particular focus on the commonly used microwave plasma-activated chemical vapor deposition (MPCVD). The major topics covered are experimental measurements in situ to diamond CVD reactors, and MPCVD in particular, coupled with models of the gas phase chemical and plasma kinetics to provide insight into the distribution of critical chemical species throughout the reactor, followed by a discussion of the surface chemical process involved in diamond growth.

  8. Nanocomposite metal amorphous-carbon thin films deposited by hybrid PVD and PECVD technique.

    Science.gov (United States)

    Teixeira, V; Soares, P; Martins, A J; Carneiro, J; Cerqueira, F

    2009-07-01

    Carbon based films can combine the properties of solid lubricating graphite structure and hard diamond crystal structure, i.e., high hardness, chemical inertness, high thermal conductivity and optical transparency without the crystalline structure of diamond. Issues of fundamental importance associated with nanocarbon coatings are reducing stress, improving adhesion and compatibility with substrates. In this work new nanocomposite coatings with improved toughness based in nanocrystalline phases of metals and ceramics embedded in amorphous carbon matrix are being developed within the frame of a research project: nc-MeNxCy/a-C(Me) with Me = Mo, Si, Al, Ti, etc. Carbide forming metal/carbon (Me/C) composite films with Me = Mo, W or Ti possess appropriate properties to overcome the limitation of pure DLC films. These novel coating architectures will be adopted with the objective to decrease residual stress, improve adherence and fracture toughness, obtain low friction coefficient and high wear-resistance. Nanocomposite DLC's films were deposited by hybrid technique using a PVD-Physically Vapor Deposition (magnetron sputtering) and Plasma Enhanced Chemical Vapor Deposition (PECVD), by the use of CH4 gas. The parameters varied were: deposition time, substrate temperature (180 degrees C) and dopant (Si + Mo) of the amorphous carbon matrix. All the depositions were made on silicon wafers and steel substrates precoated with a silicon inter-layer. The characterisation of the film's physico-mechanical properties will be presented in order to understand the influence of the deposition parameters and metal content used within the a-C matrix in the thin film properties. Film microstructure and film hybridization state was characterized by Raman Spectroscopy. In order to characterize morphology SEM and AFM will be used. Film composition was measured by Energy-Dispersive X-ray analysis (EDS) and by X-ray photoelectron spectroscopy (XPS). The contact angle for the produced DLC's on

  9. Diamond deposition using a planar radio frequency inductively coupled plasma

    Science.gov (United States)

    Bozeman, S. P.; Tucker, D. A.; Stoner, B. R.; Glass, J. T.; Hooke, W. M.

    1995-06-01

    A planar radio frequency inductively coupled plasma has been used to deposit diamond onto scratched silicon. This plasma source has been developed recently for use in large area semiconductor processing and holds promise as a method for scale up of diamond growth reactors. Deposition occurs in an annulus which coincides with the area of most intense optical emission from the plasma. Well-faceted diamond particles are produced when the substrate is immersed in the plasma.

  10. Single crystal diamond detectors grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Tuve, C.; Angelone, M.; Bellini, V.; Balducci, A.; Donato, M.G.; Faggio, G.; Marinelli, M.; Messina, G.; Milani, E.; Morgada, M.E.; Pillon, M.; Potenza, R.; Pucella, G.; Russo, G.; Santangelo, S.; Scoccia, M.; Sutera, C.; Tucciarone, A.; Verona-Rinati, G.

    2007-01-01

    The detection properties of heteropitaxial (polycrystalline, pCVD) and homoepitaxial (single crystal, scCVD) diamond films grown by microwave chemical vapor deposition (CVD) in the Laboratories of Roma 'Tor Vergata' University are reported. The pCVD diamond detectors were tested with α-particles from different sources and 12 C ions produced by 15MV Tandem accelerator at Southern National Laboratories (LNS) in Catania (Italy). pCVDs were also used to monitor 14MeV neutrons produced by the D-T plasma at Joint European Torus (JET), Culham, U.K. The limit of pCVDs is the poor energy resolution. To overcome this problem, we developed scCVD diamonds using the same reactor parameters that optimized pCVD diamonds. scCVD were grown on a low cost (100) HPHT single crystal substrate. A detector 110μm thick was tested under α-particles and under 14MeV neutron irradiation. The charge collection efficiency spectrum measured under irradiation with a triple α-particle source shows three clearly resolved peaks, with an energy resolution of about 1.1%. The measured spectra under neutron irradiation show a well separated C(n,α 0 ) 9 Be12 reaction peak with an energy spread of 0.5MeV for 14.8MeV neutrons and 0.3MeV for 14.1MeV neutrons, which are fully compatible with the energy spread of the incident neutron beams

  11. Laser plasma generation of hydrogen-free diamond-like carbon thin films on Zr-2.5Nb CANDU pressure tube materials and silicon wafers with a pulsed high-power CO2 laser

    International Nuclear Information System (INIS)

    Ebrahim, N.A.; Mouris, J.F.; Hoffmann, C.R.J.; Davis, R.W.

    1995-06-01

    We report the first experiments on the laser plasma deposition of hydrogen-free, diamond-like carbon (DLC) films on Zr-2.5Nb CANDU pressure-tube materials and silicon substrates, using the short-pulse, high-power, CO 2 laser in the High-Power Laser Laboratory at Chalk River Laboratories. The films were (AFM). The thin films show the characteristic signature of DLC films in the Raman spectra obtained using a krypton-ion (Kr + ) laser. The Vickers ultra-low-load microhardness tests show hardness of the coated surface of approximately 7000 Kg force mm -2 , which is consistent with the hardness associated with DLC films. AFM examination of the film morphology shows diamond-like crystals distributed throughout the film, with film thicknesses of up to 0.5 μm generated with 50 laser pulses. With significantly more laser pulses, it is expected that very uniform diamond-like films would be produced. These experiments suggest that it should be possible to deposit hydrogen-free, diamond-like films of relevance to nuclear reactor components with a high-power and high-repetition-rate laser facility. (author). 7 refs., 2 tabs., 15 figs

  12. Effect of magnetic and electric coupling fields on micro- and nano- structure of carbon films in the CVD diamond process and their electron field emission property

    Science.gov (United States)

    Wang, Yijia; Li, Jiaxin; Hu, Naixiu; Jiang, Yunlu; Wei, Qiuping; Yu, Zhiming; Long, Hangyu; Zhu, Hekang; Xie, Youneng; Ma, Li; Lin, Cheng-Te; Su, Weitao

    2018-03-01

    In this paper, both electric field and magnetic field were used to assist the hot filament chemical vapor deposition (HFCVD) and we systematically investigated the effects of which on the (1) phase composition, (2) grain size, (3) thickness and (4) preferred orientation of diamond films through SEM, Raman and XRD. The application of magnetic field in electric field, so called ‘the magnetic and electric coupling fields’, enhanced the graphitization and refinement of diamond crystals, slowed down the decrease of film thickness along with the increase of bias current, and suppressed diamond (100) orientation. During the deposition process, the electric field provided additional energy to HFCVD system and generated large number of energetic particles which might annihilate at the substrate and lose kinetic energy, while the Lorentz force, provided by magnetic field, could constrict charged particles (including electrons) to do spiral movement, which prolonged their moving path and life, thus the system energy increased. With the graphitization of diamond films intensified, the preferred orientation of diamond films completely evolved from (110) to (100), until the orientation and diamond phase disappeared, which can be attributed to (I) the distribution and concentration ratio of carbon precursors (C2H2 and CH3) and (II) graphitization sequence of diamond crystal facets. Since the electron field emission property of carbon film is sensitive to the phase composition, thickness and preferred orientation, nano- carbon cones, prepared by the negative bias current of 20 mA and magnetic field strength of 80 Gauss, exhibited the lowest turn-on field of 6.1 V -1 μm-1.

  13. Microwave plasma deposition of diamond like carbon coatings

    Indian Academy of Sciences (India)

    Abstract. The promising applications of the microwave plasmas have been appearing in the fields of chemical processes and semiconductor manufacturing. Applications include surface deposition of all types including diamond/diamond like carbon (DLC) coatings, etching of semiconductors, promotion of organic reactions, ...

  14. Influence of titanium-substrate roughness on Ca–P–O thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ananda Sagari, A.R., E-mail: arsagari@gmail.com [Department of Physics, P.O. Box 35 (YFL), FIN-40014 University of Jyväskylä (Finland); Malm, Jari [Department of Chemistry, P.O. Box 16100, FI-00076 Aalto University, Espoo (Finland); Laitinen, Mikko [Department of Physics, P.O. Box 35 (YFL), FIN-40014 University of Jyväskylä (Finland); Rahkila, Paavo [Department of Biology of Physical Activity, P.O. Box 35, FIN-40014 University of Jyväskylä (Finland); Hongqiang, Ma [Department of Health Sciences, P.O. Box 35 (L), FIN-40014 University of Jyväskylä (Finland); Putkonen, Matti [Department of Chemistry, P.O. Box 16100, FI-00076 Aalto University, Espoo (Finland); Beneq Oy, P.O. Box 262, FI-01511 Vantaa (Finland); Karppinen, Maarit [Department of Chemistry, P.O. Box 16100, FI-00076 Aalto University, Espoo (Finland); Whitlow, Harry J.; Sajavaara, Timo [Department of Physics, P.O. Box 35 (YFL), FIN-40014 University of Jyväskylä (Finland)

    2013-03-01

    Amorphous Ca–P–O films were deposited on titanium substrates using atomic layer deposition, while maintaining a uniform Ca/P pulsing ratio of 6/1 with varying number of atomic layer deposition cycles starting from 10 up to 208. Prior to film deposition the titanium substrates were mechanically abraded using SiC abrasive paper of 600, 1200, 2000 grit size and polished with 3 μm diamond paste to obtain surface roughness R{sub rms} values of 0.31 μm, 0.26 μm, 0.16 μm, and 0.10 μm, respectively. The composition and film thickness of as-deposited amorphous films were studied using Time-Of-Flight Elastic Recoil Detection Analysis. The results showed that uniform films could be deposited on rough metal surfaces with a clear dependence of substrate roughness on the Ca/P atomic ratio of thin films. The in vitro cell-culture studies using MC3T3 mouse osteoblast showed a greater coverage of cells on the surface polished with diamond paste in comparison to rougher surfaces after 24 h culture. No statistically significant difference was observed between Ca–P–O coated and un-coated Ti surfaces for the measured roughness value. The deposited 50 nm thick films did not dissolve during the cell culture experiment. - Highlights: ► Atomic layer deposition of Ca–P–O films on abraded Ti substrate ► Surface analysis using Time-Of-Flight Elastic Recoil Detection Analysis ► Dependence of substrate roughness on the Ca/P atomic ratio of thin films ► An increase in Ca/P atomic ratio with decreasing roughness ► Mouse osteoblast showed greater coverage of cells in polished surface.

  15. Experiment and equipment of depositing diamond films with CVD system

    International Nuclear Information System (INIS)

    Xie Erqing; Song Chang'an

    2002-01-01

    CVD (chemical vapor deposition) emerged in recent years is a new technique for thin film deposition, which play a key role in development of modern physics. It is important to predominate the principle and technology of CVD for studying modern physics. In this paper, a suit of CVD experimental equipment for teaching in college physics is presented, which has simple design and low cost. The good result was gained in past teaching practices

  16. Development of a templated approach to fabricate diamond patterns on various substrates.

    Science.gov (United States)

    Shimoni, Olga; Cervenka, Jiri; Karle, Timothy J; Fox, Kate; Gibson, Brant C; Tomljenovic-Hanic, Snjezana; Greentree, Andrew D; Prawer, Steven

    2014-06-11

    We demonstrate a robust templated approach to pattern thin films of chemical vapor deposited nanocrystalline diamond grown from monodispersed nanodiamond (mdND) seeds. The method works on a range of substrates, and we herein demonstrate the method using silicon, aluminum nitride (AlN), and sapphire substrates. Patterns are defined using photo- and e-beam lithography, which are seeded with mdND colloids and subsequently introduced into microwave assisted chemical vapor deposition reactor to grow patterned nanocrystalline diamond films. In this study, we investigate various factors that affect the selective seeding of different substrates to create high quality diamond thin films, including mdND surface termination, zeta potential, surface treatment, and plasma cleaning. Although the electrostatic interaction between mdND colloids and substrates is the main process driving adherence, we found that chemical reaction (esterification) or hydrogen bonding can potentially dominate the seeding process. Leveraging the knowledge on these different interactions, we optimize fabrication protocols to eliminate unwanted diamond nucleation outside the patterned areas. Furthermore, we have achieved the deposition of patterned diamond films and arrays over a range of feature sizes. This study contributes to a comprehensive understanding of the mdND-substrate interaction that will enable the fabrication of integrated nanocrystalline diamond thin films for microelectronics, sensors, and tissue culturing applications.

  17. Panel 2 - properties of diamond and diamond-like-carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Blau, P.J.; Clausing, R.E. [Oak Ridge National Lab., TN (United States); Ajayi, O.O.; Liu, Y.Y.; Purohit, A. [Argonne National Lab., IL (United States); Bartelt, P.F. [Deere & Co., Moline, IL (United States); Baughman, R.H. [Allied Signal, Morristown, NJ (United States); Bhushan, B. [Ohio State Univ., Columbus (United States); Cooper, C.V. [United Technologies Research Center, East Hartford, CT (United States); Dugger, M.T. [Sandia National Laboratories, Albuquerque, NM (United States); Freedman, A. [Aerodyne Research, Inc., Billerica, MA (United States); Larsen-Basse, J. [National Science Foundation, Washington, DC (United States); McGuire, N.R. [Caterpillar, Peoria, IL (United States); Messier, R.F. [Pennsylvania State Univ., University Park (United States); Noble, G.L.; Ostrowki, M.H. [John Crane, Inc., Morton Grove, IL (United States); Sartwell, B.D. [Naval Research Lab., Washington, DC (United States); Wei, R. [Colorado State Univ., Fort Collins (United States)

    1993-01-01

    This panel attempted to identify and prioritize research and development needs in determining the physical, mechanical and chemical properties of diamond and diamond-like-carbon films (D/DLCF). Three specific goals were established. They were: (1) To identify problem areas which produce concern and require a better knowledge of D/DLCF properties. (2) To identify and prioritize key properties of D/DLCF to promote transportation applications. (3) To identify needs for improvement in properties-measurement methods. Each of these goals is addressed subsequently.

  18. Deposition of DLC Film on Stainless Steel Substrates Coated by Nickel Using PECVD Method.

    Science.gov (United States)

    Khalaj, Zahra; Ghoranneviss, Mahmood; Vaghri, Elnaz; Saghaleini, Amir; Diudea, Mircea V

    2012-06-01

    Research on diamond-like carbon (DLC) films has been devoted to find both optimized conditions and characteristics of the deposited films on various substrates. In the present work, we investigate the quality of the DLC films grown on stainless steel substrates using different thickness of the nickel nanoparticle layers on the surface. Nickel nanoparticles were sputtered on the stainless steel substrates at 200 °C by a DC-sputtering system to make a good adherence between DLC coating and steel substrates. Atomic Force Microscopy was used to characterize the surface roughness and distribution function of the nickel nanoparticles on the substrate surface. Diamond like carbon films were deposited on stainless steel substrates coated by nickel using pure acetylene and C2H2/H2 with 15% flow ratio by DC-Plasma Enhanced Chemical Vapor Deposition (PECVD) systems. Microstructural analysis by Raman spectroscopy showed a low intensity ratio ID/IG for DLC films by increasing the Ni layer thickness on the stainless steel substrates. Fourier Transforms Infrared spectroscopy (FTIR) evidenced the peaks attributed to C-H bending and stretching vibration modes in the range of 1300-1700 cm-1 and 2700-3100 cm-1, respectively, in good agreement with the Raman spectroscopy and confirmed the DLC growth in all samples.

  19. Cell adhesion and growth on ultrananocrystalline diamond and diamond-like carbon films after different surface modifications

    Czech Academy of Sciences Publication Activity Database

    Mikšovský, Jan; Voss, A.; Kozarova, R.; Kocourek, Tomáš; Písařík, Petr; Ceccone, G.; Kulisch, W.; Jelínek, Miroslav; Apostolova, M.D.; Reithmaier, J.P.; Popov, C.

    2014-01-01

    Roč. 297, APR (2014), s. 95-102 ISSN 0169-4332 R&D Projects: GA MŠk LD12069 Institutional support: RVO:68378271 Keywords : ultrananocrystalline diamond films * diamond -like carbon films * surface modification * direct contact cell tests Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.711, year: 2014 http://www.sciencedirect.com/science/article/pii/S0169433214001251

  20. Grain boundaries and mechanical properties of nanocrystalline diamond films.

    Energy Technology Data Exchange (ETDEWEB)

    Busmann, H.-G.; Pageler, A.; Gruen, D. M.

    1999-08-06

    Phase-pure nanocrystalline diamond thin films grown from plasmas of a hydrogen-poor carbon argon gas mixture have been analyzed regarding their hardness and elastic moduli by means of a microindentor and a scanning acoustic microscope.The films are superhard and the moduli rival single crystal diamond. In addition, Raman spectroscopy with an excitation wavelength of 1064 nm shows a peak at 1438 l/cm and no peak above 1500 l/cm, and X-ray photoelectron spectroscopy a shake-up loss at 4.2 eV. This gives strong evidence for the existence of solitary double bonds in the films. The hardness and elasticity of the films then are explained by the assumption, that the solitary double bonds interconnect the nanocrystals in the films, leading to an intergrain boundary adhesion of similar strength as the intragrain diamond cohesion. The results are in good agreement with recent simulations of high-energy grain boundaries.

  1. CVD diamond substrates for electronic devices

    International Nuclear Information System (INIS)

    Holzer, H.

    1996-03-01

    In this study the applicability of chemical vapor deposition (CVD) diamond as a material for heat spreaders was investigated. Economical evaluations on the production of heat spreaders were also performed. For the diamond synthesis the hot-filament and microwave method were used respectively. The deposition parameters were varied in a way that free standing diamond layers with a thickness of 80 to 750 microns and different qualities were obtained. The influence of the deposition parameters on the relevant film properties was investigated and discussed. With both the hot-filament and microwave method it was possible to deposit diamond layers having a thermal conductivity exceeding 1200 W/mK and therefore to reach the quality level for commercial uses. The electrical resistivity was greater than 10 12 Ωcm. The investigation of the optical properties was done by Raman-, IR- and cathodoluminescence spectroscopy. Because of future applications of diamond-aluminium nitride composites as highly efficient heat spreaders diamond deposition an AIN was investigated. An improved substrate pretreatment prior to diamond deposition showed promising results for better performance of such composite heat spreaders. Both free standing layers and diamond-AIN composites could be cut by a CO2 Laser in Order to get an exact size geometry. A reduction of the diamond surface roughness was achieved by etching with manganese powder or cerium. (author)

  2. Protein-modified nanocrystalline diamond thin films for biosensor applications.

    Science.gov (United States)

    Härtl, Andreas; Schmich, Evelyn; Garrido, Jose A; Hernando, Jorge; Catharino, Silvia C R; Walter, Stefan; Feulner, Peter; Kromka, Alexander; Steinmüller, Doris; Stutzmann, Martin

    2004-10-01

    Diamond exhibits several special properties, for example good biocompatibility and a large electrochemical potential window, that make it particularly suitable for biofunctionalization and biosensing. Here we show that proteins can be attached covalently to nanocrystalline diamond thin films. Moreover, we show that, although the biomolecules are immobilized at the surface, they are still fully functional and active. Hydrogen-terminated nanocrystalline diamond films were modified by using a photochemical process to generate a surface layer of amino groups, to which proteins were covalently attached. We used green fluorescent protein to reveal the successful coupling directly. After functionalization of nanocrystalline diamond electrodes with the enzyme catalase, a direct electron transfer between the enzyme's redox centre and the diamond electrode was detected. Moreover, the modified electrode was found to be sensitive to hydrogen peroxide. Because of its dual role as a substrate for biofunctionalization and as an electrode, nanocrystalline diamond is a very promising candidate for future biosensor applications.

  3. Effect of Nano-Ni Catalyst on the Growth and Characterization of Diamond Films by HFCVD

    Directory of Open Access Journals (Sweden)

    Chien-Chung Teng

    2010-01-01

    Full Text Available Four different catalysts, nanodiamond seed, nano-Ni, diamond powder, and mixture of nano-Ni/diamond powder, were used to activate Si wafers for diamond film growth by hot-filament CVD (HFCVD. Diamond crystals were shown to grow directly on both large diamond powder and small nanodiamond seed, but a better crystallinity of diamond film was observed on the ultrasonicated nanodiamond seeded Si substrate. On the other hand, nano-Ni nanocatalysts seem to promote the formation of amorphous carbon but suppress transpolyacetylene (t-PA phases at the initial growth of diamond films. The subsequent nucleation and growth of diamond crystals on the amorphous carbon layer leads to generation of the spherical diamond particles and clusters prior to coalescence into continuous diamond films based on the CH3 addition mechanism as characterized by XRD, Raman, ATR/FT-IR, XPS, TEM, SEM, and AFM techniques. Moreover, a 36% reduction in surface roughness of diamond film assisted by nano-Ni catalyst is quite significant.

  4. Laser diagnostics of a diamond depositing chemical vapour deposition gas-phase environment

    International Nuclear Information System (INIS)

    Smith, James Anthony

    2002-01-01

    Studies have been carried out to understand the gas-phase chemistry underpinning diamond deposition in hot filament and DC-arcjet chemical vapour deposition (CVD) systems. Resonance enhanced Multiphoton lonisation (REMPI) techniques were used to measure the relative H atom and CH 3 radical number densities and local gas temperatures prevalent in a hot filament reactor, operating on Ch 4 /H 2 and C 2 H 2 /H 2 gas mixtures. These results were compared to a 3D-computer simulation, and hence provided an insight into the nature of the gas-phase chemistry with particular reference to C 2 →C 1 species conversion. Similar experimental and theoretical studies were also carried out to explain the chemistry involved in NH 3 /CH 4 /H 2 and N 2 /CH 4 /H 2 gas mixtures. It was demonstrated that the reactive nature of the filament surface was dependent on the addition of NH 3 , influencing atomic hydrogen production, and thus the H/C/N gas-phase chemistry. Studies of the DC-arcjet diamond CVD reactor consisted of optical emission spectroscopic studies of the plume during deposition from an Ar/H 2 /CH 4 /N 2 gas mixture. Spatially resolved species emission intensity maps were obtained for C 2 (d→a), CN(B→X) and H β from Abel-inverted datasets. The C 2 (d→a) and CN(B→X) emission intensity maps both show local maxima near the substrate surface. SEM and Laser Raman analyses indicate that N 2 additions lead to a reduction in film quality and growth rate. Photoluminescence and SIMS analyses of the grown films provide conclusive evidence of nitrogen incorporation (as chemically bonded CN). Absolute column densities of C 2 (a) in a DC-arcjet reactor operating on an Ar/H 2 /CH 4 gas mixture, were measured using Cavity ring down spectroscopy. Simulations of the measured C 2 (v=0) transition revealed a rotational temperature of ∼3300 K. This gas temperature is similar to that deduced from optical emission spectroscopy studies of the C 2 (d→a) transition. (author)

  5. Effect of sputtering power on structure, adhesion strength and corrosion resistance of nitrogen doped diamond-like carbon thin films.

    Science.gov (United States)

    Khun, N W; Liu, E

    2011-06-01

    Nitrogen doped diamond-like carbon (DLC:N) thin films were deposited on highly conductive p-Si substrates using a DC magnetron sputtering deposition system. The DLC:N films were characterized using X-ray photoelectron spectroscopy (XPS), micro-Raman spectroscopy, atomic force microscopy (AFM), contact angle measurement and micro-scratch test. The XPS and Raman results indicated that the sputtering power significantly influenced the properties of the films in terms of bonding configuration in the films. The corrosion performance of the DLC:N films was investigated in a 0.6 M NaCl solution by means of potentiodynamic polarization testing. It was found that the corrosion performance of the films could be enhanced by higher sputtering powers.

  6. Experimental studies of N~+ implantation into CVD diamond thin films

    Institute of Scientific and Technical Information of China (English)

    辛火平; 林成鲁; 王建新; 邹世昌; 石晓红; 林梓鑫; 周祖尧; 刘祖刚

    1997-01-01

    The effects of N+ implantation under various conditions on CVD diamond films were analyzed with Raman spectroscopy, four-point probe method, X-ray diffraction (XRD), Rutherford backseattering spectroscopy (RBS), ultraviolet photoluminescence spectroscopy (UV-PL), Fourier transformation infrared absorption spectroscopy (FTIR) and X-ray photoelectron spectroscopy (XPS). The results show that the N+ implantation doping without any graphitization has been successfully realized when 100 keV N+ ions at a dosage of 2 × 1016 cm-2 were implanted into diamond films at 550℃ . UV-PL spectra indicate that the implanted N+ ions formed an electrically inactive deep-level impurity in diamond films. So the sheet resistance of the sample after N+ implantation changed little. Carbon nitride containing C≡N covalent bond has been successfully synthesized by 100 keV, 1.2×1018 N/cm2 N+ implantation into diamond films. Most of the implanted N+ ions formed C≡N covalent bonds with C atoms. The others were free state nitroge

  7. Ferromagnetism appears in nitrogen implanted nanocrystalline diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Remes, Zdenek [Institute of Physics ASCR v.v.i., Cukrovarnicka 10, 162 00 Prague 6 (Czech Republic); Sun, Shih-Jye, E-mail: sjs@nuk.edu.tw [Department of Applied Physics, National University of Kaohsiung, Kaohsiung 811, Taiwan (China); Varga, Marian [Department of Applied Physics, National University of Kaohsiung, Kaohsiung 811, Taiwan (China); Chou, Hsiung [Department of Physics, National Sun Yat-Sen University, Kaohsiung 804, Taiwan (China); Hsu, Hua-Shu [Department of Applied Physics, National Pingtung University of Education, Pingtung 900, Taiwan (China); Kromka, Alexander [Department of Applied Physics, National University of Kaohsiung, Kaohsiung 811, Taiwan (China); Horak, Pavel [Nuclear Physics Institute, 250 68 Rez (Czech Republic)

    2015-11-15

    The nanocrystalline diamond films turn to be ferromagnetic after implanting various nitrogen doses on them. Through this research, we confirm that the room-temperature ferromagnetism of the implanted samples is derived from the measurements of magnetic circular dichroism (MCD) and superconducting quantum interference device (SQUID). Samples with larger crystalline grains as well as higher implanted doses present more robust ferromagnetic signals at room temperature. Raman spectra indicate that the small grain-sized samples are much more disordered than the large grain-sized ones. We propose that a slightly large saturated ferromagnetism could be observed at low temperature, because the increased localization effects have a significant impact on more disordered structure. - Highlights: • Nitrogen implanted nanocrystalline diamond films exhibit ferromagnetism at room temperature. • Nitrogen implants made a Raman deviation from the typical nanocrystalline diamond films. • The ferromagnetism induced from the structure distortion is dominant at low temperature.

  8. Mechanical pretreatment for improved adhesion of diamond coatings

    International Nuclear Information System (INIS)

    Toenshoff, H.K.; Mohlfeld, A.; Gey, C.; Winkler, J.

    1999-01-01

    Diamond coatings are mainly used in cutting processes due to their tribological characteristics. They show a high hardness, low friction coefficient, high wear resistance and good chemical inertness. In relation to polycrystalline diamond (PCD)-tipped cutting inserts, especially the advantageous chemical stability of diamond coatings is superior as no binder phases between diamond grains are used. However, the deposition of adherent high-quality diamond coatings has been found difficult. Thus, substrate pretreatment is utilised to improve film adhesion. This investigation is based on water peening of the substrate material before coating. The investigation revealed best results for diamond film adhesion on pretreated substrates compared to conventional diamond coatings on cemented carbide tools applied with the CVD hot-filament process. In final cutting tests with increased film adhesion trough water peened cutting tools an improved wear behavior was detected. (orig.)

  9. Study of the diamond and diamond like films formation and technology development for the films precipitation on solid surface for wear resistance increasing of tools, machine and mechanism parts

    International Nuclear Information System (INIS)

    Imanbekov, Z.; Bekmuhambetov, E.

    1996-01-01

    Purpose of the project: The purpose of the project is a development and a creation of an effective technology of the diamond and diamond like films precipitation on materials, including refractory metals, semiconductors and composite on the carbon fibers base. The study method includes the experimental investigation of the base surface structure and properties, preparation of the surface for diamond and diamond like coats growing. The precipitation of above mentioned films will be carried out from the plasma being formed from carbon gas medium at low pressure. The main purposes of the project are: - development of the technology for obtaining the films with specified properties; provision of required rate -of the precipitation; - decreasing of temperature; - manufacturing of the functioning laboratory stand with further developing of a pilot- - commercial plant for diamond and diamond like coating. It is supposed to develop a method of the monocrystal diamond films growing, that are useful for semiconductor devices manufacturing The methods: A thermo-emission and ECR methods will be used for investigation of the diamond and diamond like films formation and growth processes. The plant that will be used for the first method is a two electrode construction. Refractory metals (W,Re) being heated up to 2500 Kelvin degrees, are usually used as an actuating electrode. The second one is a base on which the precipitation is carrying out. Refractory metals, steel, silicon can be used as the base. Actuating medium is a mixture of carbon and inert gases. The second method is based on a principle of a plasma formation with use of 2.45 GHz Shf radiation power. An ECR-plasma is formed in conjunction with magnetic field in the actuating chamber. This method allows to precipitate high quality films at lower pressure. Expected results: The main purpose of the project is to assimilate the high effective technology of the diamond and diamond like films precipitation on different

  10. Investigation of corrosion behavior of nitrogen doped and platinum/ruthenium doped diamond-like carbon thin films in Hank's solution

    International Nuclear Information System (INIS)

    Khun, N.W.; Liu, E.

    2011-01-01

    Undoped (DLC), nitrogen-doped (N-DLC) and platinum/ruthenium doped diamond-like carbon (PtRu-DLC) thin films were deposited on p-Si (100) substrates using a DC magnetron sputtering deposition system. The chemical composition, bonding structure, surface morphology and adhesion strength of the films were characterized using X-ray photoelectron spectroscopy (XPS), micro-Raman spectroscopy, atomic force microscopy (AFM) and micro-scratch test, respectively. The corrosion behavior of the films in a Hank's solution was investigated using potentiodynamic polarization test. The corrosion results revealed that the PtRu-DLC film had the highest corrosion potential among the films used in this study. Highlights: → DLC thin films were deposited on Si substrates via dc magnetron sputtering. → Some DLC films were doped with N and/or Pt/Ru. → The film corrosion behavior was studied in a Hank solution with polarization test. → The PtRu-DLC film showed the highest corrosion potential among the films studied.

  11. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yu Shengwang, E-mail: bkdysw@yahoo.cn; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-11-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH{sub 3}){sub 4}) diluted in H{sub 2} as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co{sub 2}Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  12. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    International Nuclear Information System (INIS)

    Yu Shengwang; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-01-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH 3 ) 4 ) diluted in H 2 as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co 2 Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  13. Optically transparent boron-doped nanocrystalline diamond films for spectroelectrochemical measurements on different substrates

    International Nuclear Information System (INIS)

    Sobaszek, M.; Bogdanowicz, R.; Pluciński, J.; Siuzdak, K.; Skowroński, Ł.

    2016-01-01

    Fabrication process of optically transparent boron nanocrystalline diamond (B- NCD) electrode on silicon and quartz substrate was shown. The B-NCD films were deposited on the substrates using Microwave Plasma Assisted Chemical Vapor Deposition (MWPACVD) at glass substrate temperature of 475 °C. A homogenous, continuous and polycrystalline surface morphology with high sp 3 content in B-NCD films and film thickness depending from substrate in the range of 60-300 nm was obtained. The high refraction index and transparency in visible (VIS) wavelength range was achieved. Moreover, cyclic voltammograms (CV) were recorded to determine reaction reversibility at the B-NCD electrode. CV measurements in aqueous media consisting of 1 mM K 3 [Fe(CN) 6 ] in 0.5 M Na 2 SO 4 demonstrated relatively fast kinetics expressed by a redox peak splitting below 503 mV for B-NCD/silicon and 110 mv for B-NCD/quartz

  14. Abutment Coating With Diamond-Like Carbon Films to Reduce Implant-Abutment Bacterial Leakage.

    Science.gov (United States)

    Cardoso, Mayra; Sangalli, Jorgiana; Koga-Ito, Cristiane Yumi; Ferreira, Leandro Lameirão; da Silva Sobrinho, Argemiro Soares; Nogueira, Lafayette

    2016-02-01

    The influence of diamond-like carbon (DLC) films on bacterial leakage through the interface between abutments and dental implants of external hexagon (EH) and internal hexagon (IH) designs was evaluated. Film deposition was performed by plasma-enhanced chemical vapor deposition. Sets of implants and abutments (n = 30 per group, sets of 180 implants) were divided according to connection design and treatment of the abutment base: 1) no treatment (control); 2) DLC film deposition; and 3) Ag-DLC film deposition. Under sterile conditions, 1 μL Enterococcus faecalis was inoculated inside the implants, and abutments were tightened. The sets were tested for immediate external contamination, suspended in test tubes containing sterile culture broth, and followed for 5 days. Turbidity of the broth indicated bacterial leakage. At the end of the period, the abutments were removed and the internal content of the implants was collected with paper points and plated in Petri dishes. After 24-hour incubation, they were assessed for bacterial viability and colony-forming unit counting. Bacterial leakage was analyzed by χ(2) and Fisher exact tests (α = 5%). The percentage of bacterial leakage was 16.09% for EH implants and 80.71% for IH implants (P DLC and Ag-DLC films do not significantly reduce the frequency of bacterial leakage and bacteria load inside the implants.

  15. Fabrication and characterization of boron-doped nanocrystalline diamond-coated MEMS probes

    Science.gov (United States)

    Bogdanowicz, Robert; Sobaszek, Michał; Ficek, Mateusz; Kopiec, Daniel; Moczała, Magdalena; Orłowska, Karolina; Sawczak, Mirosław; Gotszalk, Teodor

    2016-04-01

    Fabrication processes of thin boron-doped nanocrystalline diamond (B-NCD) films on silicon-based micro- and nano-electromechanical structures have been investigated. B-NCD films were deposited using microwave plasma assisted chemical vapour deposition method. The variation in B-NCD morphology, structure and optical parameters was particularly investigated. The use of truncated cone-shaped substrate holder enabled to grow thin fully encapsulated nanocrystalline diamond film with a thickness of approx. 60 nm and RMS roughness of 17 nm. Raman spectra present the typical boron-doped nanocrystalline diamond line recorded at 1148 cm-1. Moreover, the change in mechanical parameters of silicon cantilevers over-coated with boron-doped diamond films was investigated with laser vibrometer. The increase of resonance to frequency of over-coated cantilever is attributed to the change in spring constant caused by B-NCD coating. Topography and electrical parameters of boron-doped diamond films were investigated by tapping mode AFM and electrical mode of AFM-Kelvin probe force microscopy (KPFM). The crystallite-grain size was recorded at 153 and 238 nm for boron-doped film and undoped, respectively. Based on the contact potential difference data from the KPFM measurements, the work function of diamond layers was estimated. For the undoped diamond films, average CPD of 650 mV and for boron-doped layer 155 mV were achieved. Based on CPD values, the values of work functions were calculated as 4.65 and 5.15 eV for doped and undoped diamond film, respectively. Boron doping increases the carrier density and the conductivity of the material and, consequently, the Fermi level.

  16. Microstructure and property of diamond-like carbon films with Al and Cr co-doping deposited using a hybrid beams system

    Energy Technology Data Exchange (ETDEWEB)

    Dai, Wei, E-mail: popdw@126.com [School of Electromechanical Engineering, Guangdong University of Technology, Guangzhou 510006 (China); Liu, Jingmao; Geng, Dongsen [School of Electromechanical Engineering, Guangdong University of Technology, Guangzhou 510006 (China); Guo, Peng [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Zheng, Jun [Science and Technology on Surface Engineering Laboratory, Lanzhou Institute of Physics, Lanzhou 730000 (China); Wang, Qimin, E-mail: qmwang@gdut.edu.cn [School of Electromechanical Engineering, Guangdong University of Technology, Guangzhou 510006 (China)

    2016-12-01

    Highlights: • Diamond-like carbon films with Al and Cr doping were deposited. • Alternate multilayered structure consisted of Al-poor layer and Al-rich layer was formed. • The periodic Al-rich layers can greatly improve the residual stress and elastic resilience of the films. - Abstract: DLC films with weak carbide former Al and carbide former Cr co-doping (Al:Cr-DLC) were deposited by a hybrid beams system comprising an anode-layer linear ion beam source (LIS) and high power impulse magnetron sputtering using a gas mixture of C{sub 2}H{sub 2} and Ar as the precursor. The doped Al and Cr contents were controlled via adjusting the C{sub 2}H{sub 2} fraction in the gas mixture. The composition, microstructure, compressive stress, mechanical properties and tribological behaviors of the Al:Cr-DLC films were researched carefully using X-ray photoelectron spectroscopy, transmission electron microscopy, Raman spectroscopy, stress-tester, nanoindentation and ball-on-plate tribometer as function of the C{sub 2}H{sub 2} fraction. The results show that the Al and Cr contents in the films increased continuously as the C{sub 2}H{sub 2} fraction decreased. The doped Cr atoms preferred to bond with the carbon while the Al atoms mainly existed in metallic state. Structure modulation with alternate multilayer consisted of Al-poor DLC layer and Al-rich DLC layer was found in the films. Those periodic Al-rich DLC layers can effectively release the residual stress of the films. On the other hand, the formation of the carbide component due to Cr incorporation can help to increase the film hardness. Accordingly, the residual stress of the DLC films can be reduced without sacrificing the film hardness though co-doping Al and Cr atoms. Furthermore, it was found that the periodic Al-rich layer can greatly improve the elastic resilience of the DLC films and thus decreases the film friction coefficient and wear rate significantly. However, the existence of the carbide component would

  17. Homo-epitaxial diamond film growth on ion implanted diamond substrates

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P S; Prawer, S; Nugent, K W; Bettiol, A A; Kostidis, L I; Jamieson, D N [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    The nucleation of CVD diamond is a complicated process, governed by many interrelated parameters. In the present work we attempt to elucidate the effect of strain on the growth of a homo-epitaxial CVD diamond. We have employed laterally confined high dose (MeV) Helium ion implantation to produce surface swelling of the substrate. The strain is enhanced by the lateral confinement of the implanted region to squares of 100 x 100 {mu}m{sup 2}. After ion implantation, micro-Raman spectroscopy was employed to map the surface strain. The substrates were then inserted into a CVD reactor and a CVD diamond film was grown upon them. Since the strained regions were laterally confined, it was then possible to monitor the effect of strain on diamond nucleation. The substrates were also analysed using Rutherford Backscattering Spectroscopy (RBS), Proton induced X-ray Emission (PIXE) and Ion Beam induced Luminescence (IBIL). 7 refs., 5 figs.

  18. Homo-epitaxial diamond film growth on ion implanted diamond substrates

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P.S.; Prawer, S.; Nugent, K.W.; Bettiol, A.A.; Kostidis, L.I.; Jamieson, D.N. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    The nucleation of CVD diamond is a complicated process, governed by many interrelated parameters. In the present work we attempt to elucidate the effect of strain on the growth of a homo-epitaxial CVD diamond. We have employed laterally confined high dose (MeV) Helium ion implantation to produce surface swelling of the substrate. The strain is enhanced by the lateral confinement of the implanted region to squares of 100 x 100 {mu}m{sup 2}. After ion implantation, micro-Raman spectroscopy was employed to map the surface strain. The substrates were then inserted into a CVD reactor and a CVD diamond film was grown upon them. Since the strained regions were laterally confined, it was then possible to monitor the effect of strain on diamond nucleation. The substrates were also analysed using Rutherford Backscattering Spectroscopy (RBS), Proton induced X-ray Emission (PIXE) and Ion Beam induced Luminescence (IBIL). 7 refs., 5 figs.

  19. Homo-epitaxial diamond film growth on ion implanted diamond substrates

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Nugent, K.W.; Bettiol, A.A.; Kostidis, L.I.; Jamieson, D.N.

    1996-01-01

    The nucleation of CVD diamond is a complicated process, governed by many interrelated parameters. In the present work we attempt to elucidate the effect of strain on the growth of a homo-epitaxial CVD diamond. We have employed laterally confined high dose (MeV) Helium ion implantation to produce surface swelling of the substrate. The strain is enhanced by the lateral confinement of the implanted region to squares of 100 x 100 μm 2 . After ion implantation, micro-Raman spectroscopy was employed to map the surface strain. The substrates were then inserted into a CVD reactor and a CVD diamond film was grown upon them. Since the strained regions were laterally confined, it was then possible to monitor the effect of strain on diamond nucleation. The substrates were also analysed using Rutherford Backscattering Spectroscopy (RBS), Proton induced X-ray Emission (PIXE) and Ion Beam induced Luminescence (IBIL). 7 refs., 5 figs

  20. Diamondlike carbon deposition on plastic films by plasma source ion implantation

    CERN Document Server

    Tanaka, T; Shinohara, M; Takagi, T

    2002-01-01

    Application of pulsed high negative voltage (approx 10 mu s pulse width, 300-900 pulses per second) to a substrate is found to induce discharge, thereby increasing ion current with an inductively coupled plasma source. This plasma source ion beam implantation (PSII) technique is investigated for the pretreatment and deposition of diamond-like carbon (DLC) thin layer on polyethylene terepthalate (PET) film. Pretreatment of PET with N sub 2 and Ar plasma is expected to provide added barrier effects when coupled with DLC deposition, with possible application to fabrication of PET beverage bottles. PSII treatment using N sub 2 and Ar in separate stages is found to change the color of the PET film, effectively increasing near-ultraviolet absorption. The effects of this pretreatment on the chemical bonding of C, H, and O are examined by x-ray photoelectron spectroscopy (XPS). DLC thin film was successfully deposited on the PET film. The surface of the DLC thin layer is observed to be smooth by scanning electron mic...

  1. Elastic nano-structure of diamond-like carbon (DLC)

    International Nuclear Information System (INIS)

    Ogiso, Hisato; Yoshida, Mikiko; Nakano, Shizuka; Yasui, Haruyuki; Awazu, Kaoru

    2006-01-01

    This research discusses the elastic nano-structure of diamond-like carbon (DLC) films. Two DLC film samples deposited by plasma based ion implantation (PBII) were prepared. The plasma generated by microwave (MW) was applied to one sample and the plasma by radio frequency (RF) to the other sample. The samples were evaluated for the elastic property image with nanometer resolution using scanning probe microscopy (SPM). The film surface deposited by RF-PBII was very flat and homogeneous in elastic property. In contrast, the film surface by MW-PBII was more uneven than that by RF-PBII and both the locally hard and the locally soft regions were found at the film surface. The size of the structure in elastic property is several tens nanometer. We conclude that the film probably contains nano-scale diamond phase

  2. Elastic nano-structure of diamond-like carbon (DLC)

    Energy Technology Data Exchange (ETDEWEB)

    Ogiso, Hisato [National Institute of Advanced Industrial Science and Technology (AIST), 1-2-1 Namiki, Tsukuba, Ibaraki 305-8564 (Japan); Yoshida, Mikiko [National Institute of Advanced Industrial Science and Technology (AIST), 1-2-1 Namiki, Tsukuba, Ibaraki 305-8564 (Japan); Nakano, Shizuka [National Institute of Advanced Industrial Science and Technology (AIST), 1-2-1 Namiki, Tsukuba, Ibaraki 305-8564 (Japan); Yasui, Haruyuki [Industrial Research Institute of Ishikawa (IRII), Ro-1, Tomizu-machi, Kanazawa, Ishikawa 920-0233 (Japan); Awazu, Kaoru [Industrial Research Institute of Ishikawa (IRII), Ro-1, Tomizu-machi, Kanazawa, Ishikawa 920-0233 (Japan)

    2006-01-15

    This research discusses the elastic nano-structure of diamond-like carbon (DLC) films. Two DLC film samples deposited by plasma based ion implantation (PBII) were prepared. The plasma generated by microwave (MW) was applied to one sample and the plasma by radio frequency (RF) to the other sample. The samples were evaluated for the elastic property image with nanometer resolution using scanning probe microscopy (SPM). The film surface deposited by RF-PBII was very flat and homogeneous in elastic property. In contrast, the film surface by MW-PBII was more uneven than that by RF-PBII and both the locally hard and the locally soft regions were found at the film surface. The size of the structure in elastic property is several tens nanometer. We conclude that the film probably contains nano-scale diamond phase.

  3. Modelling of diamond deposition microwave cavity generated plasmas

    International Nuclear Information System (INIS)

    Hassouni, K; Silva, F; Gicquel, A

    2010-01-01

    Some aspects of the numerical modelling of diamond deposition plasmas generated using microwave cavity systems are discussed. The paper mainly focuses on those models that allow (i) designing microwave cavities in order to optimize the power deposition in the discharge and (ii) estimating the detailed plasma composition in the vicinity of the substrate surface. The development of hydrogen plasma models that may be used for the self-consistent simulation of microwave cavity discharge is first discussed. The use of these models for determining the plasma configuration, composition and temperature is illustrated. Examples showing how to use these models in order to optimize the cavity structure and to obtain stable process operations are also given. A transport model for the highly reactive H 2 /CH 4 moderate pressure discharges is then presented. This model makes possible the determination of the time variation of plasma composition and temperature on a one-dimensional domain located on the plasma axis. The use of this model to analyse the transport phenomena and the chemical process in diamond deposition plasmas is illustrated. The model is also utilized to analyse pulsed mode discharges and the benefit they can bring as far as diamond growth rate and quality enhancement are concerned. We, in particular, show how the model can be employed to optimize the pulse waveform in order to improve the deposition process. Illustrations on how the model can give estimates of the species density at the growing substrate surface over a wide domain of deposition conditions are also given. This brings us to discuss the implication of the model prediction in terms of diamond growth rate and quality. (topical review)

  4. Experimental analysis and theoretical model for anomalously high ideality factors in ZnO/diamond p-n junction diode

    International Nuclear Information System (INIS)

    Wang Chengxin; Yang Guowei; Liu Hongwu; Han Yonghao; Luo Jifeng; Gao Chunxiao; Zou Guangtian

    2004-01-01

    High-quality heterojunctions between p-type diamond single-crystalline films and highly oriented n-type ZnO films were fabricated by depositing the p-type diamond single-crystal films on the I o -type diamond single crystal using a hot filament chemical vapor deposition, and later growing a highly oriented n-type ZnO film on the p-type diamond single-crystal film by magnetron sputtering. Interestingly, anomalously high ideality factors (n>>2.0) in the prepared ZnO/diamond p-n junction diode in the interim bias voltage range were measured. For this, detailed electronic characterizations of the fabricated p-n junction were conducted, and a theoretical model was proposed to clarify the much higher ideality factors of the special heterojunction diode

  5. Sticking non-stick: Surface and Structure control of Diamond-like Carbon in Plasma Enhanced Chemical Vapour Deposition

    Science.gov (United States)

    Jones, B. J.; Nelson, N.

    2016-10-01

    This short review article explores the practical use of diamond-like carbon (DLC) produced by plasma enhanced chemical vapour deposition (PECVD). Using as an example issues relating to the DLC coating of a hand-held surgical device, we draw on previous works using atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy, scanning electron microscopy, tensiometry and electron paramagnetic resonance. Utilising data from these techniques, we examine the surface structure, substrate-film interface and thin film microstructure, such as sp2/sp3 ratio (graphitic/diamond-like bonding ratio) and sp2 clustering. We explore the variations in parameters describing these characteristics, and relate these to the final device properties such as friction, wear resistance, and diffusion barrier integrity. The material and device characteristics are linked to the initial plasma and substrate conditions.

  6. Sticking non-stick: Surface and Structure control of Diamond-like Carbon in Plasma Enhanced Chemical Vapour Deposition

    International Nuclear Information System (INIS)

    Jones, B J; Nelson, N

    2016-01-01

    This short review article explores the practical use of diamond-like carbon (DLC) produced by plasma enhanced chemical vapour deposition (PECVD). Using as an example issues relating to the DLC coating of a hand-held surgical device, we draw on previous works using atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy, scanning electron microscopy, tensiometry and electron paramagnetic resonance. Utilising data from these techniques, we examine the surface structure, substrate-film interface and thin film microstructure, such as sp 2 /sp 3 ratio (graphitic/diamond-like bonding ratio) and sp 2 clustering. We explore the variations in parameters describing these characteristics, and relate these to the final device properties such as friction, wear resistance, and diffusion barrier integrity. The material and device characteristics are linked to the initial plasma and substrate conditions. (paper)

  7. CN distribution in flame deposition of diamond and its relation to the growth rate, morphology, and nitrogen incorporation of the diamond layer

    NARCIS (Netherlands)

    Klein-Douwel, R.J.H.; Schermer, J.J.; Meulen, ter J.J.

    1998-01-01

    Two-dimensional laser-induced fluorescence (2D-LIF) measurements areapplied to the chemical vapour deposition (CVD) of diamond by anoxyacetylene flame to visualize the distribution of CN in the gas phaseduring the diamond growth process. The obtained diamond deposits arecharacterized by optical as

  8. Physical properties of chemical vapour deposited nanostructured carbon thin films

    International Nuclear Information System (INIS)

    Mahadik, D.B.; Shinde, S.S.; Bhosale, C.H.; Rajpure, K.Y.

    2011-01-01

    Research highlights: In the present paper, nanostructured carbon films are grown using a natural precursor 'turpentine oil (C 10 H 16 )' as a carbon source in the simple thermal chemical vapour deposition method. The influence of substrate surface topography (viz. stainless steel, fluorine doped tin oxide coated quartz) and temperature on the evolution of carbon allotropes surfaces topography/microstructural and structural properties are investigated and discussed. - Abstract: A simple thermal chemical vapour deposition technique is employed for the deposition of carbon films by pyrolysing the natural precursor 'turpentine oil' on to the stainless steel (SS) and FTO coated quartz substrates at higher temperatures (700-1100 deg. C). In this work, we have studied the influence of substrate and deposition temperature on the evolution of structural and morphological properties of nanostructured carbon films. The films were characterized by using X-ray diffraction (XRD), scanning electron microscopy (SEM), contact angle measurements, Fourier transform infrared (FTIR) and Raman spectroscopy techniques. XRD study reveals that the films are polycrystalline exhibiting hexagonal and face-centered cubic structures on SS and FTO coated glass substrates respectively. SEM images show the porous and agglomerated surface of the films. Deposited carbon films show the hydrophobic nature. FTIR study displays C-H and O-H stretching vibration modes in the films. Raman analysis shows that, high ID/IG for FTO substrate confirms the dominance of sp 3 bonds with diamond phase and less for SS shows graphitization effect with dominant sp 2 bonds. It reveals the difference in local microstructure of carbon deposits leading to variation in contact angle and hardness, which is ascribed to difference in the packing density of carbon films, as observed also by Raman.

  9. Influence of grain boundaries on elasticity and thermal conductivity of nanocrystalline diamond films

    International Nuclear Information System (INIS)

    Mohr, Markus; Daccache, Layal; Horvat, Sebastian; Brühne, Kai; Jacob, Timo; Fecht, Hans-Jörg

    2017-01-01

    Diamond combines several outstanding material properties such as the highest thermal conductivity and highest elastic moduli of all materials. This makes diamond an interesting candidate for a multitude of applications. Nonetheless, nanocrystalline diamond films, layers and coatings, usually show properties different to those of single crystalline diamond. This is usually attributed to the larger volume fraction of the grain boundaries with atomic structure different from the single crystal. In this work we measured Young's modulus and thermal conductivity of nanocrystalline diamond films with average grain sizes ranging from 6 to 15 nm. The measured thermal conductivities are modeled considering the thermal boundary conductance between grains as well as a grain size effect on the phonon mean free path. We make a comparison between elastic modulus and thermal boundary conductance of the grain boundaries G_k for different nanocrystalline diamond films. We conclude that the grain boundaries thermal boundary conductance G_k is a measure of the cohesive energy of the grain boundaries and therefore also of the elastic modulus of the nanocrystalline diamond films.

  10. Response of CVD diamond detectors to alpha radiation

    Energy Technology Data Exchange (ETDEWEB)

    Souw, E.-K. [Brookhaven National Lab., Upton, NY (United States); Meilunas, R.J. [Northrop-Grumman Corporation, Bethpage, NY 11714-3582 (United States)

    1997-11-21

    This article describes some results from an experiment with CVD diamond films used as {alpha} particle detectors. It demonstrates that bulk polarization can be effectively stopped within a reasonable time interval. This will enable detector calibration and quantitative measurement. A possible mechanism for the observed polarization quenching is discussed. It involves two types of carrier traps and a tentative band-gap model derived from the results of photoconductive current measurements. The experiment was set up mainly to investigate {alpha} detection properties of polycrystalline diamond films grown by the technique of microwave plasma enhanced chemical vapor deposition. For comparison, two commercially purchased diamond wafers were also investigated, i.e., one grown by the DC arc jet method, and the other, a type-IIa natural diamond wafer (not preselected). The best response to {alpha} particles was obtained using diamond thin-films grown by the microwave PECVD method, followed by the type-IIa natural diamond, and finally, the CVD diamond grown by the DC arc jet technique. (orig.). 43 refs.

  11. Diamond-like carbon prepared by pulsed laser deposition with ion bombardment: physical properties

    Science.gov (United States)

    Písařík, P.; Mikšovský, J.; Remsa, J.; Zemek, J.; Tolde, Z.; Jelínek, M.

    2018-01-01

    Diamond-like carbon (DLC) and titanium-doped DLC thin films were prepared by unique hybrid system consisting of pulsed laser deposition, ion source (bombardment) and magnetron sputtering. The influence of deposition parameters (ion energies, deposition pressures and magnetron power) on composition and physical properties was studied. Composition and sp 3/ sp 2 ratio were determined by XPS. sp 3/ sp 2 ratio was in the range from 1.4 to 2.2 for undoped DLC and from 3.4 to 4.8 for Ti-DLC. AFM showed that the layers were smooth, but with small amounts of random droplets. The measurements of the contact angle and determination of surface free energy were made for water, diiodomethane and ethylene glycol. Hardness and reduced Young's modulus varied from 20 to 31 GPa and from 182 to 276 GPa, respectively. Film adhesion was determined by scratch test; L C3 reached 23 N for DLC and 27 N for TiDLC. Optimization of sp 3/ sp 2 ratio, hardness and adhesion to biomedical alloys will advance the DLC coatings usability in the field of implantology.

  12. Friction force microscopy study of annealed diamond-like carbon film

    International Nuclear Information System (INIS)

    Choi, Won Seok; Joung, Yeun-Ho; Heo, Jinhee; Hong, Byungyou

    2012-01-01

    In this paper we introduce mechanical and structural characteristics of diamond-like carbon (DLC) films which were prepared on silicon substrates by radio frequency (RF) plasma enhanced chemical vapor deposition (PECVD) method using methane (CH 4 ) and hydrogen (H 2 ) gas. The films were annealed at various temperatures ranging from 300 to 900 °C in steps of 200 °C using rapid thermal processor (RTP) in nitrogen ambient. Tribological properties of the DLC films were investigated by atomic force microscopy (AFM) in friction force microscopy (FFM) mode. The structural properties of the films were obtained by high resolution transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS). The wettability of the films was obtained using contact angle measurement. XPS analysis showed that the sp 3 content is decreased from 75.2% to 24.1% while the sp 2 content is increased from 24.8% to 75.9% when the temperature is changed from 300 to 900 °C. The contact angles of DLC films were higher than 70°. The FFM measurement results show that the highest friction coefficient value was achieved at 900 °C annealing temperature.

  13. Friction force microscopy study of annealed diamond-like carbon film

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Won Seok; Joung, Yeun-Ho [School of Electrical Engineering, Hanbat National University, Daejeon 305-719 (Korea, Republic of); Heo, Jinhee [Materials Safety Evaluation Group, Korea Institute of Materials Science, Changwon 641-831 (Korea, Republic of); Hong, Byungyou, E-mail: byhong@skku.edu [School of Information and Communication Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of)

    2012-10-15

    In this paper we introduce mechanical and structural characteristics of diamond-like carbon (DLC) films which were prepared on silicon substrates by radio frequency (RF) plasma enhanced chemical vapor deposition (PECVD) method using methane (CH{sub 4}) and hydrogen (H{sub 2}) gas. The films were annealed at various temperatures ranging from 300 to 900 °C in steps of 200 °C using rapid thermal processor (RTP) in nitrogen ambient. Tribological properties of the DLC films were investigated by atomic force microscopy (AFM) in friction force microscopy (FFM) mode. The structural properties of the films were obtained by high resolution transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS). The wettability of the films was obtained using contact angle measurement. XPS analysis showed that the sp{sup 3} content is decreased from 75.2% to 24.1% while the sp{sup 2} content is increased from 24.8% to 75.9% when the temperature is changed from 300 to 900 °C. The contact angles of DLC films were higher than 70°. The FFM measurement results show that the highest friction coefficient value was achieved at 900 °C annealing temperature.

  14. Gas barrier properties of diamond-like carbon films coated on PTFE

    International Nuclear Information System (INIS)

    Ozeki, K.; Nagashima, I.; Ohgoe, Y.; Hirakuri, K.K.; Mukaibayashi, H.; Masuzawa, T.

    2009-01-01

    Diamond-like carbon (DLC) films were deposited on polytetrafluoroethylene (PTFE) using radio frequency (RF) plasma-enhanced chemical vapour deposition (PE-CVD). Before the DLC coating, the PTFE substrate was modified with a N 2 plasma pre-treatment to enhance the adhesive strength of the DLC to the substrate. The influences of the N 2 plasma pre-treatment and process pressure on the gas permeation properties of these DLC-coated PTFE samples were investigated. In the Raman spectra, the G peak position shifted to a lower wave number with increasing process pressure. With scanning electron microscopy (SEM), a network of microcracks was observed on the surface of the DLC film without N 2 plasma pre-treatment. The density of these cracks decreased with increasing process pressure. In the film subjected to a N 2 plasma pre-treatment, no cracks were observed at any process pressure. In the gas barrier test, the gas permeation decreased drastically with increasing film thickness and saturated at a thickness of 0.2 μm. The DLC-coated PTFE with the N 2 plasma pre-treatment exhibited a greater reduction in gas permeation than did the samples without pre-treatment. For both sample types, gas permeation decreased with increasing process pressure.

  15. Spectroellipsometric and ion beam analytical investigation of nanocrystalline diamond layers

    Energy Technology Data Exchange (ETDEWEB)

    Lohner, T., E-mail: lohner@mfa.kfki.h [Research Institute for Technical Physics and Materials Science, H-1121 Budapest, Konkoly Thege Miklos ut 29-33 (Hungary); Csikvari, P. [Department of Atomic Physics, Budapest University of Technology and Economics, H-1111 Budapest, Budafoki ut 8 (Hungary); Khanh, N.Q. [Research Institute for Technical Physics and Materials Science, H-1121 Budapest, Konkoly Thege Miklos ut 29-33 (Hungary); David, S. [Department of Electronics Technology, Budapest University of Technology and Economics, H-1111 Budapest, Goldmann Gy. ter 3 (Hungary); Horvath, Z.E.; Petrik, P. [Research Institute for Technical Physics and Materials Science, H-1121 Budapest, Konkoly Thege Miklos ut 29-33 (Hungary); Hars, G. [Department of Atomic Physics, Budapest University of Technology and Economics, H-1111 Budapest, Budafoki ut 8 (Hungary)

    2011-02-28

    Optical properties of nanocrystalline and ultrananocrystalline diamond films were studied by ex situ variable angle spectroscopic ellipsometry. The films were prepared by Microwave Plasma Enhanced Chemical Vapor Deposition method. In the experiments Ar, CH{sub 4}, and H{sub 2} gases were used as source gases. Elastic recoil detection analysis was applied to measure the hydrogen content of the deposited layers. Three-layer optical models were constructed for the evaluation of the measured ellipsometric spectra. Besides the Cauchy relation, the effective medium approximation and the Tauc-Lorentz dispersion relation were also used for the modeling of the optical properties of the diamond films. Atomic force microscopy was applied to investigate the surface roughness in function of the deposition conditions.

  16. Spectroellipsometric and ion beam analytical investigation of nanocrystalline diamond layers

    International Nuclear Information System (INIS)

    Lohner, T.; Csikvari, P.; Khanh, N.Q.; David, S.; Horvath, Z.E.; Petrik, P.; Hars, G.

    2011-01-01

    Optical properties of nanocrystalline and ultrananocrystalline diamond films were studied by ex situ variable angle spectroscopic ellipsometry. The films were prepared by Microwave Plasma Enhanced Chemical Vapor Deposition method. In the experiments Ar, CH 4 , and H 2 gases were used as source gases. Elastic recoil detection analysis was applied to measure the hydrogen content of the deposited layers. Three-layer optical models were constructed for the evaluation of the measured ellipsometric spectra. Besides the Cauchy relation, the effective medium approximation and the Tauc-Lorentz dispersion relation were also used for the modeling of the optical properties of the diamond films. Atomic force microscopy was applied to investigate the surface roughness in function of the deposition conditions.

  17. Ultrathin diamond-like carbon films deposited by filtered carbon vacuum arcs

    International Nuclear Information System (INIS)

    Anders, Andre; Fong, Walton; Kulkarni, Ashok; Ryan, Francis W.; Bhatia, C. Singh

    2001-01-01

    Ultrathin ( and lt; 5 nm) hard carbon films are of great interest to the magnetic storage industry as the areal density approaches 100 Gbit/in(sup 2). These films are used as overcoats to protect the magnetic layers on disk media and the active elements of the read-write slider. Tetrahedral amorphous carbon films can be produced by filtered cathodic arc deposition, but the films will only be accepted by the storage industry only if the ''macroparticle'' issue has been solved. Better plasma filters have been developed over recent years. Emphasis is put on the promising twist filter system - a compact, open structure that operates with pulsed arcs and high magnetic field. Based on corrosion tests it is shown that the macroparticle reduction by the twist filter is satisfactory for this demanding application, while plasma throughput is very high. Ultrathin hard carbon films have been synthesized using S-filter and twist filter systems. Film properties such as hardness, elastic modulus, wear, and corrosion resistance have been tested

  18. Laser diagnostics of a diamond depositing chemical vapour deposition gas-phase environment

    Energy Technology Data Exchange (ETDEWEB)

    Smith, James Anthony

    2002-07-01

    Studies have been carried out to understand the gas-phase chemistry underpinning diamond deposition in hot filament and DC-arcjet chemical vapour deposition (CVD) systems. Resonance enhanced Multiphoton lonisation (REMPI) techniques were used to measure the relative H atom and CH{sub 3} radical number densities and local gas temperatures prevalent in a hot filament reactor, operating on Ch{sub 4}/H{sub 2} and C{sub 2}H{sub 2}/H{sub 2} gas mixtures. These results were compared to a 3D-computer simulation, and hence provided an insight into the nature of the gas-phase chemistry with particular reference to C{sub 2}{yields}C{sub 1} species conversion. Similar experimental and theoretical studies were also carried out to explain the chemistry involved in NH{sub 3}/CH{sub 4}/H{sub 2} and N{sub 2}/CH{sub 4}/H{sub 2} gas mixtures. It was demonstrated that the reactive nature of the filament surface was dependent on the addition of NH{sub 3}, influencing atomic hydrogen production, and thus the H/C/N gas-phase chemistry. Studies of the DC-arcjet diamond CVD reactor consisted of optical emission spectroscopic studies of the plume during deposition from an Ar/H{sub 2}/CH{sub 4}/N{sub 2} gas mixture. Spatially resolved species emission intensity maps were obtained for C{sub 2}(d{yields}a), CN(B{yields}X) and H{sub {beta}} from Abel-inverted datasets. The C{sub 2}(d{yields}a) and CN(B{yields}X) emission intensity maps both show local maxima near the substrate surface. SEM and Laser Raman analyses indicate that N{sub 2} additions lead to a reduction in film quality and growth rate. Photoluminescence and SIMS analyses of the grown films provide conclusive evidence of nitrogen incorporation (as chemically bonded CN). Absolute column densities of C{sub 2}(a) in a DC-arcjet reactor operating on an Ar/H{sub 2}/CH{sub 4} gas mixture, were measured using Cavity ring down spectroscopy. Simulations of the measured C{sub 2}(v=0) transition revealed a rotational temperature of {approx

  19. The microstructure, mechanical and friction properties of protective diamond like carbon films on magnesium alloy

    Science.gov (United States)

    Zou, Y. S.; Wu, Y. F.; Yang, H.; Cang, K.; Song, G. H.; Li, Z. X.; Zhou, K.

    2011-12-01

    Protective hard coatings deposited on magnesium alloys are believed to be effective for overcoming their poor wear properties. In this work, diamond-like carbon (DLC) films as hard protective films were deposited on AZ91 magnesium alloy by arc ion plating under negative pulse bias voltages ranging from 0 to -200 V. The microstructure, composition and mechanical properties of the DLC films were analyzed by scanning electron microscopy, Raman spectroscopy, X-ray photoelectron spectroscopy and nanoindentation. The tribological behavior of uncoated and coated AZ91 magnesium alloy was investigated using a ball-on-disk tribotester. The results show that the negative pulse bias voltage used for film deposition has a significant effect on the sp3 carbon content and mechanical properties of the deposited DLC films. A maximum sp3 content of 33.3% was obtained at -100 V, resulting in a high hardness of 28.6 GPa and elastic modulus of 300.0 GPa. The DLC films showed very good adhesion to the AZ91 magnesium alloy with no observable cracks and delamination even during friction testing. Compared with the uncoated AZ91 magnesium alloy, the magnesium alloy coated with DLC films exhibits a low friction coefficient and a narrow, shallow wear track. The wear resistance and surface hardness of AZ91 magnesium alloy can be significantly improved by coating a layer of DLC protective film due to its high hardness and low friction coefficient.

  20. The microstructure, mechanical and friction properties of protective diamond like carbon films on magnesium alloy

    International Nuclear Information System (INIS)

    Zou, Y.S.; Wu, Y.F.; Yang, H.; Cang, K.; Song, G.H.; Li, Z.X.; Zhou, K.

    2011-01-01

    Protective hard coatings deposited on magnesium alloys are believed to be effective for overcoming their poor wear properties. In this work, diamond-like carbon (DLC) films as hard protective films were deposited on AZ91 magnesium alloy by arc ion plating under negative pulse bias voltages ranging from 0 to -200 V. The microstructure, composition and mechanical properties of the DLC films were analyzed by scanning electron microscopy, Raman spectroscopy, X-ray photoelectron spectroscopy and nanoindentation. The tribological behavior of uncoated and coated AZ91 magnesium alloy was investigated using a ball-on-disk tribotester. The results show that the negative pulse bias voltage used for film deposition has a significant effect on the sp 3 carbon content and mechanical properties of the deposited DLC films. A maximum sp 3 content of 33.3% was obtained at -100 V, resulting in a high hardness of 28.6 GPa and elastic modulus of 300.0 GPa. The DLC films showed very good adhesion to the AZ91 magnesium alloy with no observable cracks and delamination even during friction testing. Compared with the uncoated AZ91 magnesium alloy, the magnesium alloy coated with DLC films exhibits a low friction coefficient and a narrow, shallow wear track. The wear resistance and surface hardness of AZ91 magnesium alloy can be significantly improved by coating a layer of DLC protective film due to its high hardness and low friction coefficient.

  1. The microstructure, mechanical and friction properties of protective diamond like carbon films on magnesium alloy

    Energy Technology Data Exchange (ETDEWEB)

    Zou, Y.S., E-mail: yshzou75@gmail.com [School of Materials Science and Engineering, Nanjing University of Science and Technology, Nanjing, Jiangsu, 210094 (China); Wu, Y.F.; Yang, H.; Cang, K. [School of Materials Science and Engineering, Nanjing University of Science and Technology, Nanjing, Jiangsu, 210094 (China); Song, G.H. [School of Materials Science and Engineering, Shenyang University of Technology, Shenyang, Liaoning, 110178 (China); Li, Z.X.; Zhou, K. [School of Materials Science and Engineering, Nanjing University of Science and Technology, Nanjing, Jiangsu, 210094 (China)

    2011-12-01

    Protective hard coatings deposited on magnesium alloys are believed to be effective for overcoming their poor wear properties. In this work, diamond-like carbon (DLC) films as hard protective films were deposited on AZ91 magnesium alloy by arc ion plating under negative pulse bias voltages ranging from 0 to -200 V. The microstructure, composition and mechanical properties of the DLC films were analyzed by scanning electron microscopy, Raman spectroscopy, X-ray photoelectron spectroscopy and nanoindentation. The tribological behavior of uncoated and coated AZ91 magnesium alloy was investigated using a ball-on-disk tribotester. The results show that the negative pulse bias voltage used for film deposition has a significant effect on the sp{sup 3} carbon content and mechanical properties of the deposited DLC films. A maximum sp{sup 3} content of 33.3% was obtained at -100 V, resulting in a high hardness of 28.6 GPa and elastic modulus of 300.0 GPa. The DLC films showed very good adhesion to the AZ91 magnesium alloy with no observable cracks and delamination even during friction testing. Compared with the uncoated AZ91 magnesium alloy, the magnesium alloy coated with DLC films exhibits a low friction coefficient and a narrow, shallow wear track. The wear resistance and surface hardness of AZ91 magnesium alloy can be significantly improved by coating a layer of DLC protective film due to its high hardness and low friction coefficient.

  2. Friction and wear performance of diamond-like carbon films grown in various source gas plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Erdemir, A.; Nilufer, I.B.; Eryilmaz, O.L.; Beschliesser, M.; Fenske, G.R. [Argonne National Lab., IL (United States). Energy Technology Div.

    1999-11-01

    In this study, we investigated the effects of various source gases (methane, ethane, ethylene, and acetylene) on the friction and wear performance of diamond-like carbon (DLC) films prepared in a plasma-enhanced chemical vapor deposition (PECVD) system. Films were deposited on AISI H13 steel substrates and tested in a pin-on-disk machine against DLC-coated M50 balls in dry nitrogen. We found a close correlation between friction coefficient and source gas composition. Specifically, films grown in source gases with higher hydrogen-to-carbon ratios exhibited lower friction coefficients and a higher wear resistance than films grown in source gases with lower hydrogen-to-carbon (H/C) ratios. The lowest friction coefficient (0.014) was achieved with a film derived from methane with an H/C ratio of 4, whereas the coefficient of films derived from acetylene (H/C=1) was 0.15. Similar correlations were observed for wear rates. Specifically, films derived from gases with lower H/C values were worn out, and the substrate material was exposed, whereas films from methane and ethane remained intact and wore at rates that were almost two orders of magnitude lower than films obtained from acetylene. (orig.)

  3. Investigation of corrosion behavior of nitrogen doped and platinum/ruthenium doped diamond-like carbon thin films in Hank's solution

    Energy Technology Data Exchange (ETDEWEB)

    Khun, N.W.; Liu, E., E-mail: MEJLiu@ntu.edu.sg

    2011-10-10

    Undoped (DLC), nitrogen-doped (N-DLC) and platinum/ruthenium doped diamond-like carbon (PtRu-DLC) thin films were deposited on p-Si (100) substrates using a DC magnetron sputtering deposition system. The chemical composition, bonding structure, surface morphology and adhesion strength of the films were characterized using X-ray photoelectron spectroscopy (XPS), micro-Raman spectroscopy, atomic force microscopy (AFM) and micro-scratch test, respectively. The corrosion behavior of the films in a Hank's solution was investigated using potentiodynamic polarization test. The corrosion results revealed that the PtRu-DLC film had the highest corrosion potential among the films used in this study. Highlights: {yields} DLC thin films were deposited on Si substrates via dc magnetron sputtering. {yields} Some DLC films were doped with N and/or Pt/Ru. {yields} The film corrosion behavior was studied in a Hank solution with polarization test. {yields} The PtRu-DLC film showed the highest corrosion potential among the films studied.

  4. Controlling Directional Liquid Motion on Micro- and Nanocrystalline Diamond/β-SiC Composite Gradient Films.

    Science.gov (United States)

    Wang, Tao; Handschuh-Wang, Stephan; Huang, Lei; Zhang, Lei; Jiang, Xin; Kong, Tiantian; Zhang, Wenjun; Lee, Chun-Sing; Zhou, Xuechang; Tang, Yongbing

    2018-01-30

    In this Article, we report the synthesis of micro- and nanocrystalline diamond/β-SiC composite gradient films, using a hot filament chemical vapor deposition (HFCVD) technique and its application as a robust and chemically inert means to actuate water and hazardous liquids. As revealed by scanning electron microscopy, the composition of the surface changed gradually from pure nanocrystalline diamond (hydrophobic) to a nanocrystalline β-SiC surface (hydrophilic). Transmission electron microscopy and Raman spectroscopy were employed to determine the presence of diamond, graphite, and β-SiC phases. The as-prepared gradient films were evaluated for their ability to actuate water. Indeed, water was transported via the gradient from the hydrophobic (hydrogen-terminated diamond) to the hydrophilic side (hydroxyl-terminated β-SiC) of the gradient surface. The driving distance and velocity of water is pivotally influenced by the surface roughness. The nanogradient surface showed significant promise as the lower roughness combined with the longer gradient yields in transport distances of up to 3.7 mm, with a maximum droplet velocity of nearly 250 mm/s measured by a high-speed camera. As diamond and β-SiC are chemically inert, the gradient surfaces can be used to drive hazardous liquids and reactive mixtures, which was signified by the actuation of hydrochloric acid and sodium hydroxide solution. We envision that the diamond/β-SiC gradient surface has high potential as an actuator for water transport in microfluidic devices, DNA sensors, and implants, which induce guided cell growth.

  5. Ellipsometric investigation of nitrogen doped diamond thin films grown in microwave CH{sub 4}/H{sub 2}/N{sub 2} plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ficek, Mateusz, E-mail: rbogdan@eti.pg.gda.pl [Department of Metrology and Optoelectronics, Faculty of Electronics, Telecommunications and Informatics, Gdansk University of Technology, 11/12 G. Narutowicza St., 80-233 Gdansk (Poland); Institute for Materials Research (IMO), Hasselt University, Wetenschapspark 1, B-3590 Diepenbeek (Belgium); Sankaran, Kamatchi J.; Haenen, Ken [Institute for Materials Research (IMO), Hasselt University, Wetenschapspark 1, B-3590 Diepenbeek (Belgium); IMOMEC, IMEC vzw, Wetenschapspark 1, B-3590 Diepenbeek (Belgium); Ryl, Jacek; Darowicki, Kazimierz [Department of Electrochemistry, Corrosion and Material Engineering, Gdansk University of Technology, 11/12 Narutowicza St., 80-233 Gdansk (Poland); Bogdanowicz, Robert [Department of Metrology and Optoelectronics, Faculty of Electronics, Telecommunications and Informatics, Gdansk University of Technology, 11/12 G. Narutowicza St., 80-233 Gdansk (Poland); Materials and Process Simulation Center, California Institute of Technology, Pasadena, California 91125 (United States); Lin, I-Nan [Department of Physics, Tamkang University, Tamsui 251, Taiwan (China)

    2016-06-13

    The influence of N{sub 2} concentration (1%–8%) in CH{sub 4}/H{sub 2}/N{sub 2} plasma on structure and optical properties of nitrogen doped diamond (NDD) films was investigated. Thickness, roughness, and optical properties of the NDD films in the VIS–NIR range were investigated on the silicon substrates using spectroscopic ellipsometry. The samples exhibited relatively high refractive index (2.6 ± 0.25 at 550 nm) and extinction coefficient (0.05 ± 0.02 at 550 nm) with a transmittance of 60%. The optical investigation was supported by the molecular and atomic data delivered by Raman studies, bright field transmission electron microscopy imaging, and X-ray photoelectron spectroscopy diagnostics. Those results revealed that while the films grown in CH{sub 4}/H{sub 2} plasma contained micron-sized diamond grains, the films grown using CH{sub 4}/H{sub 2}/(4%)N{sub 2} plasma exhibited ultranano-sized diamond grains along with n-diamond and i-carbon clusters, which were surrounded by amorphous carbon grain boundaries.

  6. Deposition and Characterization of Hermetic, Biocompatible Thin Film Coatings for Implantable, Electrically Active Devices

    Science.gov (United States)

    Sweitzer, Robyn K.

    Retinal prostheses may be used to support patients suffering from Age-related macular degeneration or retinitis pigmentosa. A hermetic encapsulation of the poly(imide )-based prosthesis is important in order to prevent the leakage of water and ions into the electric circuitry embedded in the poly(imide) matrix. The deposition of amorphous aluminum oxide (by sputtering) and diamond like carbon (by pulsed laser ablation and vacuum arc vapor deposition) were studied for the application in retinal prostheses. The resulting thin films were characterized for composition, thickness, adhesion and smoothness by scanning electron microscopy-energy dispersive spectroscopy, atomic force microscopy, profilometry and light microscopy. Electrical stability was evaluated and found to be good. The as-deposited films prevented incursion of salinated fluids into the implant over two (2) three month trials soaking in normal saline at body temperature, Biocompatibility was tested in vivo by implanting coated specimen subretinally in the eye of Yucatan pigs. While amorphous aluminum oxide is more readily deposited with sufficient adhesion quality, biocompatibility studies showed a superior behavior of diamond-like carbon. Amorphous aluminum oxide had more adverse effects and caused more severe damage to the retinal tissue.

  7. Panel 1 - comparative evaluation of deposition technologies

    Energy Technology Data Exchange (ETDEWEB)

    Fenske, G.R.; Stodolsky, F. [Argonne National Lab., IL (United States); Benson, D.K.; Pitts, R.J. [National Renewable Energy Lab., Golden, CO (United States); Bhat, D.G. [GTE Valenite Corp., Troy, MI (United States); Yulin Chen [Allison Gas Turbine Division, GM, Indianapolis, IN (United States); Gat, R.; Sunkara, M.K. [Case Western Reserve Univ., Cleveland, OH (United States); Kelly, M. [Stanford Univ., CA (United States); Lawler, J.E. [Univ. of Wisconsin, Madison (United States); Nagle, D.C. [Martin Marietta Labs., Baltimore, MD (United States); Outka, D. [Sandia National Laboratories, Livermore, CA (United States); Revankar, G.S. [Deere & Co., Moline, IL (United States); Subramaniam, V.V. [Ohio State Univ., Columbus (United States); Wilbur, P.J. [Colorado State Univ., Fort Collins (United States); Mingshow Wong [Northwestern Univ., Evanston, IL (United States); Woolam, W.E. [Southwest Research Inst., Arlington, VA (United States)

    1993-01-01

    This working group attempted to evaluate/compare the different types of deposition techniques currently under investigation for depositing diamond and diamond-like carbon films. A table lists the broad types of techniques that were considered for depositing diamond and diamond-like carbon films. After some discussion, it was agreed that any evaluation of the various techniques would be dependent on the end application. Thus the next action was to list the different areas where diamond and DLC films could find applications in transportation. These application areas are listed in a table. The table intentionally does not go into great detail on applications because that subject is dealt with specifically by Panel No. 4 - Applications To Transportation. The next action concentrated on identifying critical issues or limitations that need to be considered in evaluating the different processes. An attempt was then made to rank different broad categories of deposition techniques currently available or under development based on the four application areas and the limitations. These rankings/evaluations are given for diamond and DLC techniques. Finally, the working group tried to identify critical development and research issues that need to be incorporated into developing a long-term program that focuses on diamond/DLC coatings for transportation needs. 5 tabs.

  8. Effects of substrate pretreatments on diamond synthesis for Si{sub 3}N{sub 4} based ceramics

    Energy Technology Data Exchange (ETDEWEB)

    Shibuya, Y. [Prefectural Industrial Research Inst., Shizuoka (Japan); Takaya, M. [Chiba Institute of Technology, Tsudanuma 2-chome, Narashino-shi, 275 (Japan)

    1998-07-08

    Diamond synthesis for Si{sub 3}N{sub 4} ceramics after various substrate pretreatments has been carried out by the microwave-plasma enhanced chemical vapor deposition (CVD) method using a mixture of methane and hydrogen gases. Four types of pretreatments for various substrates were performed as follows: scratching with diamond powder (I), applying O{sub 2}-C{sub 2}H{sub 2} combustion flames (II), polishing with alumina (III), and platinum vapor deposition (IV). The products deposited on the substrate were examined with micro-Raman spectroscopy, scanning electron microscopy (SEM) and an X-ray diffractometer (XRD). It was found that the application of O{sub 2}-C{sub 2}H{sub 2} flames as a pretreatment of the substrate in diamond synthesis was suitable, because a higher density of diamond nucleation could be obtained, and a film-like diamond could be formed on the surface in a shorter time than without applying them. The diamond could be synthesized on the surface for all four types of substrate pretreatments performed in the present study. The effects of the substrate pretreatments on the surface morphology of grown diamond were that a film-like diamond for (I) or (II), a particle-like diamond for (III) and a particle and/or a film-like diamond for (IV) were formed on the surface. The surface morphology of grown diamond depended very much on the substrate temperature under deposition. (orig.) 18 refs.

  9. Plasma deposition of cubic boron nitride films from non-toxic material at low temperatures

    International Nuclear Information System (INIS)

    Karim, M.Z.; Cameron, D.C.; Murphy, M.J.; Hashmi, M.S.J.

    1991-01-01

    Boron nitride has become the focus of a considerable amount of interest because of its properties which relate closely to those of carbon. In particular, the cubic nitride phase has extreme hardness and very high thermal conductivity similar to the properties of diamond. The conventional methods of synthesis use the highly toxic and inflammable gas diborane (B 2 H 6 ) as the reactant material. A study has been made of the deposition of thin films of boron nitride (BN) using non-toxic material by the plasma-assisted chemical vapour deposition technique. The source material was borane-ammonia (BH 3 -NH 3 ) which is a crystalline solid at room temperature with a high vapour pressure. The BH 3 -NH 3 vapour was decomposed in a 13.56 MHz nitrogen plasma coupled either inductively or capacitively with the system. The composition of the films was assessed by measuring their IR absorption when deposited on silicon and KBr substrates. The hexagonal (graphitic) and cubic (diamond-like) allotropes can be distinguished by their characteristic absorption bands which occur at 1365 and 780 cm -1 (hexagonal) and 1070 cm -1 (cubic). We have deposited BN films consisting of a mixture of hexagonal and cubic phases; the relative content of the cubic phase was found to be directly dependent on r.f. power and substrate bias. (orig.)

  10. A diamond-like carbon film for wear protection of steel

    International Nuclear Information System (INIS)

    Harris, S.J.; Weiner, A.M.; Tung, S.C.; Simko, S.J.; Militello, M.C.

    1993-01-01

    We have deposited diamond-like carbon (DLC) and amorphous SiN films on a tool steel coupon. In order to make the DLC adhere to the metal, we used an interlayer of amorphous SiN, taking advantage of the fact that the SiN coating adheres to the metal and the DLC adheres to the SiN. The DLC/SiN-coated substrate showed a significant reduction in friction compared with either uncoated or SiN-coated substrates in our laboratory bench tester after lubricated sliding for 30 h. In addition, on the basis of surface profilometry analysis, the DLC/SiN-coated plate showed less wear and a much smoother surface. The films were analyzed using X-ray photo-electron spectroscopy and sputter depth profiling. Our results suggest that DLC is a promising coating for wear protection. (orig.)

  11. A Comparative Study of Three Different Chemical Vapor Deposition Techniques of Carbon Nanotube Growth on Diamond Films

    Directory of Open Access Journals (Sweden)

    Betty T. Quinton

    2013-01-01

    Full Text Available This paper compares between the methods of growing carbon nanotubes (CNTs on diamond substrates and evaluates the quality of the CNTs and the interfacial strength. One potential application for these materials is a heat sink/spreader for high-power electronic devices. The CNTs and diamond substrates have a significantly higher specific thermal conductivity than traditional heat sink/spreader materials making them good replacement candidates. Only limited research has been performed on these CNT/diamond structures and their suitability of different growth methods. This study investigates three potential chemical vapor deposition (CVD techniques for growing CNTs on diamond: thermal CVD (T-CVD, microwave plasma-enhanced CVD (MPE-CVD, and floating catalyst thermal CVD (FCT-CVD. Scanning electron microscopy (SEM and high-resolution transmission electron microscopy (TEM were used to analyze the morphology and topology of the CNTs. Raman spectroscopy was used to assess the quality of the CNTs by determining the ID/IG peak intensity ratios. Additionally, the CNT/diamond samples were sonicated for qualitative comparisons of the durability of the CNT forests. T-CVD provided the largest diameter tubes, with catalysts residing mainly at the CNT/diamond interface. The MPE-CVD process yielded non uniform defective CNTs, and FCT-CVD resulted in the smallest diameter CNTs with catalyst particles imbedded throughout the length of the nanotubes.

  12. Microwave plasma induced surface modification of diamond-like carbon films

    Science.gov (United States)

    Rao Polaki, Shyamala; Kumar, Niranjan; Gopala Krishna, Nanda; Madapu, Kishore; Kamruddin, Mohamed; Dash, Sitaram; Tyagi, Ashok Kumar

    2017-12-01

    Tailoring the surface of diamond-like carbon (DLC) film is technically relevant for altering the physical and chemical properties, desirable for useful applications. A physically smooth and sp3 dominated DLC film with tetrahedral coordination was prepared by plasma-enhanced chemical vapor deposition technique. The surface of the DLC film was exposed to hydrogen, oxygen and nitrogen plasma for physical and chemical modifications. The surface modification was based on the concept of adsorption-desorption of plasma species and surface entities of films. Energetic chemical species of microwave plasma are adsorbed, leading to desorbtion of the surface carbon atoms due to energy and momentum exchange. The interaction of such reactive species with DLC films enhanced the roughness, surface defects and dangling bonds of carbon atoms. Adsorbed hydrogen, oxygen and nitrogen formed a covalent network while saturating the dangling carbon bonds around the tetrahedral sp3 valency. The modified surface chemical affinity depends upon the charge carriers and electron covalency of the adsorbed atoms. The contact angle of chemically reconstructed surface increases when a water droplet interacts either through hydrogen or van dear Waals bonding. These weak interactions influenced the wetting property of the DLC surface to a great extent.

  13. TSC response of irradiated CVD diamond films

    CERN Document Server

    Borchi, E; Bucciolini, M; Guasti, A; Mazzocchi, S; Pirollo, S; Sciortino, S

    1999-01-01

    CVD diamond films have been irradiated with electrons, sup 6 sup 0 Co photons and protons in order to study the dose response to exposure to different particles and energies and to investigate linearity with dose. The Thermally Stimulated Current (TSC) has been studied as a function of the dose delivered to polymethilmetacrilate (PMMA) in the range from 1 to 12 Gy with 20 MeV electrons from a linear accelerator. The TSC spectrum has revealed the presence of two components with peak temperatures of about 470 and 520 K, corresponding to levels lying in the diamond band gap with activation energies of the order of 0.7 - 1 eV. After the subtraction of the exponential background the charge emitted during the heating scan has been evaluated and has been found to depend linearly on the dose. The thermally emitted charge of the CVD diamond films has also been studied using different particles. The samples have been irradiated with the same PMMA dose of about 2 Gy with 6 and 20 MeV electrons from a Linac, sup 6 sup 0 ...

  14. Performance and characterisation of CVD diamond coated, sintered diamond and WC-Co cutting tools for dental and micromachining applications

    International Nuclear Information System (INIS)

    Sein, Htet; Ahmed, Waqar; Jackson, Mark; Woodwards, Robert; Polini, Riccardo

    2004-01-01

    Diamond coatings are attractive for cutting processes due to their high hardness, low friction coefficient, excellent wear resistance and chemical inertness. The application of diamond coatings on cemented tungsten carbide (WC-Co) tools was the subject of much attention in recent years in order to improve cutting performance and tool life. WC-Co tools containing 6% Co and 94% WC substrate with an average grain size 1-3 μm were used in this study. In order to improve the adhesion between diamond and WC substrates, it is necessary to etch away the surface Co and prepare the surface for subsequent diamond growth. Hot filament chemical vapour deposition with a modified vertical filament arrangement has been employed for the deposition of diamond films. Diamond film quality and purity have been characterised using scanning electron microscopy and micro-Raman spectroscopy. The performance of diamond coated WC-Co bur, uncoated WC-Co bur, and diamond embedded (sintered) bur have been compared by drilling a series of holes into various materials such as human teeth, borosilicate glass and porcelain teeth. Flank wear has been used to assess the wear rates of the tools. The materials subjected to cutting processes have been examined to assess the quality of the finish. Diamond coated WC-Co microdrills and uncoated microdrills were also tested on aluminium alloys. Results show that there was a 300% improvement when the drills were coated with diamond compared to the uncoated tools

  15. Laser Cutting of Thick Diamond Films Using Low-Power Laser

    Energy Technology Data Exchange (ETDEWEB)

    Park, Y.J.; Baik, Y.J. [Korea Institute of Science and Technology, Seoul (Korea)

    2000-02-01

    Laser cutting of thick diamond films is studied rising a low-power(10 W) copper vapor laser. Due to the existence of the saturation depth in laser cutting, thick diamond films are not easily cut by low-power lasers. In this study, we have adopted a low thermal- conductivity underlayer of alumina and a heating stage (up to 500 deg. C in air) to prevent the laser energy from consuming-out and, in turn, enhance the cutting efficiency. Aspect ratio increases twice from 3.5 to 7 when the alumina underlayer used. Adopting a heating stage also increases aspect ratio and more than 10 is obtained at higher temperatures than 400 deg. C. These results show that thick diamond films can be cut, with low-power lasers, simply by modifying the thermal property of underlayer. (author). 13 refs., 5 figs.

  16. Diamond growth in oxygen-acetylene flame

    International Nuclear Information System (INIS)

    Haga, Mario S.; Nagai, Y. Ernesto; Suzuki, Carlos K.

    1995-01-01

    What was supposed to be a laboratory curiosity in the 80's, in recent years the low pressure process for the production of man-made diamond turned out to be a major target for research and development of many high-tech companies. The main reason for such an interest stems on the possibility of coating many materials with a diamond film possessing the same amazing properties of the bulk natural diamond. Polycrystalline diamond film has been deposited on Mo substrate by using oxygen-acetylene flame of a welding torch. The substrate temperature has been held constant about 700 d eg C by means of a water cooled mount designed properly. Precision flowmeters have been used to control the flow ratio oxygen/acetylene, a key parameter for the success in diamond growth. Diamond has been detected by X-ray diffraction, a fast foolproof technique for crystal identification. Another method of analysis often used in Raman spectroscopy, which is able to exhibit amorphous structure besides crystalline phase. (author)

  17. Tribological behavior of diamond-like carbon film with different tribo-pairs: A size effect study

    International Nuclear Information System (INIS)

    Xie Guoxin; Zheng Beirong; Li Wei; Xue Wei

    2008-01-01

    A friction force microscope (FFM) with different probes and a ball-on-disk (BOD) tribo-meter were used to investigate the tribological properties of diamond-like carbon (DLC) films. DLC films were prepared by chemical vapor deposition (CVD) method by altering the deposition parameters, and their morphologies and structural information were examined with an atomic force microscope (AFM) and the Raman spectrum. The wear traces of the DLC films after frictional tests were analyzed by an optical microscope. It is found that surface roughness and adhesion play important roles in characterizing the tribological properties of DLC films using FFM. Moreover, the debris accumulation is another significant factor affecting the frictional behavior of DLC films, especially for the sharp tip. The difference in coefficients of friction (COFs) obtained by the BOD method among different DLC films under water lubrication is much smaller than the case without water lubrication. The variation trends in COF for the flat tip and the BOD test are similar in comparison with the result obtained with the sharp tip. The wear traces after frictional tests suggest that DLC films under water lubrication are prone to be damaged more readily

  18. Tribological behavior of diamond-like carbon film with different tribo-pairs: A size effect study

    Energy Technology Data Exchange (ETDEWEB)

    Xie Guoxin [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China)], E-mail: xie-gx@163.com; Zheng Beirong [College of Mechanical and Electrical Engineering, Wenzhou University, Wenzhou 323035 (China); Li Wei [School of Science, Zhejiang University of Science and Technology, Hangzhou 310023 (China); Xue Wei [College of Mechanical and Electrical Engineering, Wenzhou University, Wenzhou 323035 (China)

    2008-08-30

    A friction force microscope (FFM) with different probes and a ball-on-disk (BOD) tribo-meter were used to investigate the tribological properties of diamond-like carbon (DLC) films. DLC films were prepared by chemical vapor deposition (CVD) method by altering the deposition parameters, and their morphologies and structural information were examined with an atomic force microscope (AFM) and the Raman spectrum. The wear traces of the DLC films after frictional tests were analyzed by an optical microscope. It is found that surface roughness and adhesion play important roles in characterizing the tribological properties of DLC films using FFM. Moreover, the debris accumulation is another significant factor affecting the frictional behavior of DLC films, especially for the sharp tip. The difference in coefficients of friction (COFs) obtained by the BOD method among different DLC films under water lubrication is much smaller than the case without water lubrication. The variation trends in COF for the flat tip and the BOD test are similar in comparison with the result obtained with the sharp tip. The wear traces after frictional tests suggest that DLC films under water lubrication are prone to be damaged more readily.

  19. Influence of chemical pretreatment of hard metal substrates for diamond deposition

    International Nuclear Information System (INIS)

    Buck, V.; Kluwe, H.; Schmiler, B.; Deuerler, F.

    2001-01-01

    Diamond coated cutting tools are of increasing importance in the fields of high speed cutting, dry machining or machining of special materials such as metal-matrix-composites. A well known problem is the poor adhesion of diamond films on hard metals due to the Co- or Ni-binder that catalyzes the formation of graphite. Several methods - such as the application of intermediate layers or mechanical or chemical pretreatment of the hard metal substrate - have been developed to overcome this effect. Usually chemical pretreatment is used in order to reduce the concentration of binder phase on the surface that is to be coated. Surprisingly pretreatment with agents such as Murakami's solution result in improved adhesion and nucleation of diamond films while the concentration of the binder phase on the surface is enhanced. This 'contradiction' can be explained by proving that the surface is converted into a very thin oxide/hydroxide film. (author)

  20. Low-macroscopic field emission from silicon-incorporated diamond-like carbon film synthesized by dc PECVD

    International Nuclear Information System (INIS)

    Ahmed, Sk.F.; Mitra, M.K.; Chattopadhyay, K.K.

    2007-01-01

    Silicon-incorporated diamond-like carbon (Si-DLC) films were deposited via dc plasma-enhanced chemical vapor deposition (PECVD), on glass and alumina substrates at a substrate temperature 300 deg. C. The precursor gas used was acetylene and for Si incorporation, tetraethyl orthosilicate dissolved in methanol was used. Si atomic percentage in the films was varied from 0% to 19.3% as measured from energy-dispersive X-ray analysis (EDX). The binding energies of C 1s, Si 2s and Si 2p were determined from X-ray photoelectron spectroscopic studies. We have observed low-macroscopic field electron emission from Si-DLC thin films deposited on glass substrates. The emission properties have been studied for a fixed anode-sample separation of 80 μm for different Si atomic percentages in the films. The turn-on field was also found to vary from 16.19 to 3.61 V/μm for a fixed anode-sample separation of 80 μm with a variation of silicon atomic percentage in the films 0% to 19.3%. The turn-on field and approximate work function are calculated and we have tried to explain the emission mechanism there from. It was found that the turn-on field and effective emission barrier were reduced by Si incorporation than undoped DLC

  1. Polycrystalline diamond film UV detectors for excimer lasers

    International Nuclear Information System (INIS)

    Ralchenko, V G; Savel'ev, A V; Konov, Vitalii I; Mazzeo, G; Spaziani, F; Conte, G; Polyakov, V I

    2006-01-01

    Photoresistive metal-semiconductor-metal detectors based on polycrystalline diamond films are fabricated for recording cw and pulsed UV radiation. The detectors have a high spectral selectivity (the UV-to-VIS response ratio is ∼10 5 ) and a temporal resolution of the order of 10 9 s. 'Solar-blind' photostable diamond detectors are promising for applications in UV lithography, laser micromachining, medicine, and space research. (letters)

  2. Computer Simulation of Temperature Parameter for Diamond Formation by Using Hot-Filament Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Chang Weon Song

    2017-12-01

    Full Text Available To optimize the deposition parameters of diamond films, the temperature, pressure, and distance between the filament and the susceptor need to be considered. However, it is difficult to precisely measure and predict the filament and susceptor temperature in relation to the applied power in a hot filament chemical vapor deposition (HF-CVD system. In this study, the temperature distribution inside the system was numerically calculated for the applied powers of 12, 14, 16, and 18 kW. The applied power needed to achieve the appropriate temperature at a constant pressure and other conditions was deduced, and applied to actual experimental depositions. The numerical simulation was conducted using the commercial computational fluent dynamics software ANSYS-FLUENT. To account for radiative heat-transfer in the HF-CVD reactor, the discrete ordinate (DO model was used. The temperatures of the filament surface and the susceptor at different power levels were predicted to be 2512–2802 K and 1076–1198 K, respectively. Based on the numerical calculations, experiments were performed. The simulated temperatures for the filament surface were in good agreement with the experimental temperatures measured using a two-color pyrometer. The results showed that the highest deposition rate and the lowest deposition of non-diamond was obtained at a power of 16 kW.

  3. Electrochemically grafted polypyrrole changes photoluminescence of electronic states inside nanocrystalline diamond

    Energy Technology Data Exchange (ETDEWEB)

    Galář, P., E-mail: pavel.galar@mff.cuni.cz; Malý, P. [Faculty of Mathematics and Physics, Charles University in Prague, Ke Karlovu 3, Prague 121 16 (Czech Republic); Čermák, J.; Kromka, A.; Rezek, B. [Institute of Physics ASCR v.v.i., Cukrovarnická 10, Prague 160 00 (Czech Republic)

    2014-12-14

    Hybrid diamond-organic interfaces are considered attractive for diverse applications ranging from electronics and energy conversion to medicine. Here we use time-resolved and time-integrated photoluminescence spectroscopy in visible spectral range (380–700 nm) to study electronic processes in H-terminated nanocrystalline diamond films (NCD) with 150 nm thin, electrochemically deposited polypyrrole (PPy) layer. We observe changes in dynamics of NCD photoluminescence as well as in its time-integrated spectra after polymer deposition. The effect is reversible. We propose a model where the PPy layer on the NCD surface promotes spatial separation of photo-generated charge carriers both in non-diamond carbon phase and in bulk diamond. By comparing different NCD thicknesses we show that the effect goes as much as 200 nm deep inside the NCD film.

  4. Corrosion behavior of aluminum doped diamond-like carbon thin films in NaCl aqueous solution.

    Science.gov (United States)

    Khun, N W; Liu, E

    2010-07-01

    Aluminum doped diamond-like carbon (DLC:Al) thin films were deposited on n-Si(100) substrates by co-sputtering a graphite target under a fixed DC power (650 W) and an aluminum target under varying DC power (10-90 W) at room temperature. The structure, adhesion strength and surface morphology of the DLC:Al films were characterized by X-ray photoelectron spectroscopy (XPS), micro-scratch testing and atomic force microscopy (AFM), respectively. The corrosion performance of the DLC:Al films was investigated by means of potentiodynamic polarization testing in a 0.6 M NaCl aqueous solution. The results showed that the polarization resistance of the DLC:Al films increased from about 18 to 30.7 k(omega) though the corrosion potentials of the films shifted to more negative values with increased Al content in the films.

  5. Numerical Prediction of the Influence of Process Parameters on Large Area Diamond Deposition by DC Arcjet with ARC Roots Rotating and Operating at Gas Recycling Mode

    Science.gov (United States)

    Lu, F. X.; Huang, T. B.; Tang, W. Z.; Song, J. H.; Tong, Y. M.

    A computer model have been set up for simulation of the flow and temperature field, and the radial distribution of atomic hydrogen and active carbonaceous species over a large area substrate surface for a new type dc arc plasma torch with rotating arc roots and operating at gas recycling mode A gas recycling radio of 90% was assumed. In numerical calculation of plasma chemistry, the Thermal-Calc program and a powerful thermodynamic database were employed. Numerical calculations to the computer model were performed using boundary conditions close to the experimental setup for large area diamond films deposition. The results showed that the flow and temperature field over substrate surface of Φ60-100mm were smooth and uniform. Calculations were also made with plasma of the same geometry but no arc roots rotation. It was clearly demonstrated that the design of rotating arc roots was advantageous for high quality uniform deposition of large area diamond films. Theoretical predictions on growth rate and film quality as well as their radial uniformity, and the influence of process parameters on large area diamond deposition were discussed in detail based on the spatial distribution of atomic hydrogen and the carbonaceous species in the plasma over the substrate surface obtained from thermodynamic calculations of plasma chemistry, and were compared with experimental observations.

  6. Recent Advances in the Deposition of Diamond Coatings on Co-Cemented Tungsten Carbides

    Directory of Open Access Journals (Sweden)

    R. Polini

    2012-01-01

    Full Text Available Co-cemented tungsten carbides, namely, hard metals are largely used to manufacture high wear resistant components in several manufacturing segments. Coating hard metals with superhard materials like diamond is of utmost interest as it can further extend their useful lifespan. The deposition of diamond coatings onto WC-Co can be extremely complicated as a result of poor adhesion. This can be essentially ascribed to (i the mismatch in thermal expansion coefficients between diamond and WC-Co, at the typical high temperatures inside the chemical vapour deposition (CVD chamber, generates large residual stresses at the interface; (ii the role of surface Co inside the WC-Co matrix during diamond CVD, which promotes carbon dissolution and diffusion. The present investigation reviews the techniques by which Co-cemented tungsten carbides can be treated to make them prone to receive diamond coatings by CVD. Further, it proposes interesting ecofriendly and sustainable alternatives to further improve the diamond deposition process as well as the overall performance of the coated hard metals.

  7. Study of Flux Ratio of C60 to Ar Cluster Ion for Hard DLC Film deposition

    International Nuclear Information System (INIS)

    Miyauchi, K.; Toyoda, N.; Kanda, K.; Matsui, S.; Kitagawa, T.; Yamada, I.

    2003-01-01

    To study the influence of the flux ratio of C60 molecule to Ar cluster ion on (diamond like carbon) DLC film characteristics, DLC films deposited under various flux ratios were characterized with Raman spectrometry and Near Edge X-ray Absorption Fine Structure (NEXAFS). From results of these measurements, hard DLC films were deposited when the flux ratio of C60 to Ar cluster ion was between 0.7 and 4. Furthermore the DLC film with constant sp2 content was obtained in the range of the ratio from 0.7 to 4, which contents are lower values than that of conventional films such as RF plasma. DLC films deposited under the ratio from 1 to 4 had hardness from 40 to 45GPa. It was shown that DLC films with stable properties of low sp2 content and high hardness were formed even when the fluxes were varied from 1 to 4 during deposition. It was indicated that this process was useful in the view of industrial application

  8. Modifying surface properties of diamond-like carbon films via nanotexturing

    Energy Technology Data Exchange (ETDEWEB)

    Corbella, C; Portal-Marco, S; Rubio-Roy, M; Bertran, E; Andujar, J L [FEMAN Group, IN2UB, Departament de Fisica Aplicada i Optica, Universitat de Barcelona, c/ Marti i Franques 1, 08028 Barcelona (Spain); Oncins, G [Serveis CientIfico-Tecnics, Universitat de Barcelona, c/ Marti i Franques s/n, 08028 Barcelona (Spain); Vallve, M A; Ignes-Mullol, J, E-mail: corberoc@hotmail.com [SOC and SAM Group, IN2UB, Departament de Quimica Fisica, Universitat de Barcelona, c/ Marti i Franques 1, 08028 Barcelona (Spain)

    2011-10-05

    Diamond-like amorphous carbon (DLC) films have been grown by pulsed-dc plasma-enhanced chemical vapour deposition on silicon wafers, which were previously patterned by means of colloidal lithography. The substrate conditioning comprised two steps: first, deposition of a self-assembled monolayer of silica sub-micrometre spheres ({approx}300 nm) on monocrystalline silicon ({approx}5 cm{sup 2}) by Langmuir-Blodgett technique, which acted as lithography template; second, substrate patterning via ion beam etching (argon) of the colloid samples (550 eV) at different incidence angles. The plasma deposition of a DLC thin film on the nanotextured substrates resulted in hard coatings with distinctly different surface properties compared with planar DLC. Also, in-plane anisotropy was generated depending on the etching angle. The samples were morphologically characterized by scanning electron microscopy and atomic force microscopy. The anisotropy introduced by the texture was evidenced in the surface properties, as shown by the directional dependences of wettability (water contact angle) and friction coefficient. The latter was measured using a nanotribometer and a lateral force microscope. These two techniques showed how the nanopatterns influenced the tribological properties at different scales of load and contact area. This fabrication technique finds applications in the industry of microelectromechanical systems, anisotropic tribological coatings, nanoimprint lithography, microfluidics, photonic crystals, and patterned surfaces for biomedicine.

  9. Laser Deposition of Polymer Nanocomposite Thin Films and Hard Materials and Their Optical Characterization

    Science.gov (United States)

    2013-12-05

    visible light on instruments such as microscope tips and micro- surgical tools. Hard carbon known as diamond-like carbon films produced by pulsed laser ...visible (610 nm) LED source and a supplemental infra-red 980-nm laser diode (for the studies of the upconversion fluorescence). The basic package...5/2013 Final Performance Report 15 Sep 2012- 14 Sep 2013 LASER DEPOSITION OF POLYMER NANOCOMPOSITE THIN FILMS AND HARD MATERIALS AND THEIR OPTICAL

  10. Chemical vapour deposition synthetic diamond: materials, technology and applications

    International Nuclear Information System (INIS)

    Balmer, R S; Brandon, J R; Clewes, S L; Dhillon, H K; Dodson, J M; Friel, I; Inglis, P N; Madgwick, T D; Markham, M L; Mollart, T P; Perkins, N; Scarsbrook, G A; Twitchen, D J; Whitehead, A J; Wilman, J J; Woollard, S M

    2009-01-01

    Substantial developments have been achieved in the synthesis of chemical vapour deposition (CVD) diamond in recent years, providing engineers and designers with access to a large range of new diamond materials. CVD diamond has a number of outstanding material properties that can enable exceptional performance in applications as diverse as medical diagnostics, water treatment, radiation detection, high power electronics, consumer audio, magnetometry and novel lasers. Often the material is synthesized in planar form; however, non-planar geometries are also possible and enable a number of key applications. This paper reviews the material properties and characteristics of single crystal and polycrystalline CVD diamond, and how these can be utilized, focusing particularly on optics, electronics and electrochemistry. It also summarizes how CVD diamond can be tailored for specific applications, on the basis of the ability to synthesize a consistent and engineered high performance product.

  11. Composition and morphology of metal-containing diamond-like carbon films obtained by reactive magnetron sputtering

    International Nuclear Information System (INIS)

    Corbella, C.; Pascual, E.; Oncins, G.; Canal, C.; Andujar, J.L.; Bertran, E.

    2005-01-01

    The addition of metal atoms within the matrix of diamond-like carbon films leads to the improvement of their mechanical properties. The present paper discusses the relationship between the composition and morphology of metal-containing (W, Nb, Mo, Ti) diamond-like carbon thin films deposited at room temperature by reactive magnetron sputtering from a metal target in an argon and methane atmosphere. Composition was measured either by electron microprobe technique or by X-ray photoelectron spectroscopy and shows a smooth variation with relative methane flow. High relative methane flows lead to a bulk saturation of carbon atoms, which leads to a lack of homogeneity in the films as confirmed by secondary ion mass spectrometry. Cross-section micrographs were observed by transmission electron microscopy and revealed a structure strongly influenced by the metal inserted and its abundance. The surface pattern obtained by scanning electrochemical potential microscopy provided the metallicity distribution. These measurements were completed with atomic force microscopy of the surface. Selected area electron diffraction and X-ray diffraction measurements provided data of the crystalline structure along with nano-crystallite size. High-resolution transmission electron microscopy provided images of these crystallites

  12. Investigation of Physical Properties and Electrochemical Behavior of Nitrogen-Doped Diamond-Like Carbon Thin Films

    Directory of Open Access Journals (Sweden)

    Rattanakorn Saensak

    2014-03-01

    Full Text Available This work reports characterizations of diamond-like carbon (DLC films used as electrodes for electrochemical applications. DLC thin films are prepared on glass slides and silicon substrates by radio frequency plasma enhanced chemical vapor deposition (RF-PECVD using a gas mixture of methane and hydrogen. In addition, the DLC films are doped with nitrogen in order to reduce electrical resistivity. Compared to the undoped DLC films, the electrical resistivity of nitrogen-doped (N-doped DLC films is decreased by three orders of magnitude. Raman spectroscopy and UV/Vis spectroscopy analyses show the structural transformation in N-doped DLC films that causes the reduction of band gap energy. Contact angle measurement at N-doped DLC films indicates increased hydrophobicity. The results obtained from the cyclic voltammetry measurements with Fe(CN63-/Fe(CN64- redox species exhibit the correlation between the physical properties and electrochemical behavior of DLC films.

  13. The study on diamond-coated insert by DC plasma jet CVD

    International Nuclear Information System (INIS)

    Zhou Kesong; Dai Mingjiang; Song Jinbing; Kuang Tongchun; Liu Zhengyi

    2001-01-01

    Diamond coating were deposited on cemented carbide inserts by DC plasma jet CVD. The cemented carbide inserts were pretreated by methods including chemical etching of Co, Ar/H 2 plasma etching. The characteristics of diamond film, interface structure, adhesion strength and film stress were analysized by different methods such as SEM, XRD, Raman spectrum etc. A comparing experiment of cutting Al - 22 % Si alloy was carried out with diamond-coated cemented carbide inserts and uncoated cemented carbide inserts. The results show that the diamond-coated cemented carbide insert has a great advantage for cutting abrasive high content Al - Si alloy. (author)

  14. SERS activity of Ag decorated nanodiamond and nano-β-SiC, diamond-like-carbon and thermally annealed diamond thin film surfaces.

    Science.gov (United States)

    Kuntumalla, Mohan Kumar; Srikanth, Vadali Venkata Satya Siva; Ravulapalli, Satyavathi; Gangadharini, Upender; Ojha, Harish; Desai, Narayana Rao; Bansal, Chandrahas

    2015-09-07

    In the recent past surface enhanced Raman scattering (SERS) based bio-sensing has gained prominence owing to the simplicity and efficiency of the SERS technique. Dedicated and continuous research efforts have been made to develop SERS substrates that are not only stable, durable and reproducible but also facilitate real-time bio-sensing. In this context diamond, β-SiC and diamond-like-carbon (DLC) and other related thin films have been promoted as excellent candidates for bio-technological applications including real time bio-sensing. In this work, SERS activities of nanodiamond, nano-β-SiC, DLC, thermally annealed diamond thin film surfaces were examined. DLC and thermally annealed diamond thin films were found to show SERS activity without any metal nanostructures on their surfaces. The observed SERS activities of the considered surfaces are explained in terms of the electromagnetic enhancement mechanism and charge transfer resonance process.

  15. Adherent diamond coatings on cemented tungsten carbide substrates with new Fe/Ni/Co binder phase

    International Nuclear Information System (INIS)

    Polini, Riccardo; Delogu, Michele; Marcheselli, Giancarlo

    2006-01-01

    WC-Co hard metals continue to gain importance for cutting, mining and chipless forming tools. Cobalt metal currently dominates the market as a binder because of its unique properties. However, the use of cobalt as a binder has several drawbacks related to its hexagonal close-packed structure and market price fluctuations. These issues pushed the development of pre-alloyed binder powders which contain less than 40 wt.% cobalt. In this paper we first report the results of extensive investigations of WC-Fe/Ni/Co hard metal sintering, surface pretreating and deposition of adherent diamond films by using an industrial hot filament chemical vapour deposition (HFCVD) reactor. In particular, CVD diamond was deposited onto WC-Fe/Ni/Co grades which exhibited the best mechanical properties. Prior to deposition, the substrates were submitted to surface roughening by Murakami's etching and to surface binder removal by aqua regia. The adhesion was evaluated by Rockwell indentation tests (20, 40, 60 and 100 kg) conducted with a Brale indenter and compared to the adhesion of diamond films grown onto Co-cemented tungsten carbide substrates, which were submitted to similar etching pretreatments and identical deposition conditions. The results showed that diamond films on medium-grained WC-6 wt.% Fe/Ni/Co substrates exhibited good adhesion levels, comparable to those obtained for HFCVD diamond on Co-cemented carbides with similar microstructure

  16. Rapid fabrication of transparent conductive films with controllable sheet resistance on glass substrates by laser annealing of diamond-like carbon films

    International Nuclear Information System (INIS)

    Lee, Keunhee; Ki, Hyungson

    2016-01-01

    We report a laser-based method for directly fabricating large-area, transparent conductive films with customizable electrical resistance on glass. In this method, a diamond-like carbon (DLC) film is deposited first on a glass substrate by pulsed laser deposition, which is then annealed in a helium shielding environment by a 2 kW continuous-wave fiber laser with a wavelength of 1070 nm, which is transparent to glass but is absorbed by DLC to transform the amorphous carbons to graphene. When a 510 nm thick film was annealed at a scanning speed of 1 m/s by a 200 μm top-hat laser beam, the sp 3 fraction was decreased from 43.1% to 8.1% after the annealing process, and the transformed film showed a transparency of ∼80% (at 550 nm) and a sheet resistance of ∼2050 Ω/sq. We also showed that sheet resistance and transparency can be controlled by changing processing parameters. To show the scalability of the method, a 15 mm wide line beam was used to produce a 15 mm × 15 mm film. This method is simple, fully scalable, transfer-free and catalyst-free, and we believe that the fabricated films can have many applications with further research, such as transparent heating films, electromagnetic shielding films, and transparent electrodes.

  17. Deposit of thin films of nitrided amorphous carbon using the laser ablation technique

    International Nuclear Information System (INIS)

    Rebollo, P.B.; Escobar A, L.; Camps C, E.; Haro P, E.; Camacho L, M.A.; Muhl S, S.

    2000-01-01

    It is reported the synthesis and characterization of thin films of amorphous carbon (a-C) nitrided, deposited by laser ablation in a nitrogen atmosphere at pressures which are from 4.5 x 10 -4 Torr until 7.5 x 10 -2 Torr. The structural properties of the films are studied by Raman spectroscopy obtaining similar spectra at the reported for carbon films type diamond. The study of behavior of the energy gap and the ratio nitrogen/carbon (N/C) in the films, shows that the energy gap is reduced when the nitrogen incorporation is increased. It is showed that the refraction index of the thin films diminish as nitrogen pressure is increased, indicating the formation of graphitic material. (Author)

  18. Graphene diamond-like carbon films heterostructure

    International Nuclear Information System (INIS)

    Zhao, Fang; Afandi, Abdulkareem; Jackman, Richard B.

    2015-01-01

    A limitation to the potential use of graphene as an electronic material is the lack of control over the 2D materials properties once it is deposited on a supporting substrate. Here, the use of Diamond-like Carbon (DLC) interlayers between the substrate and the graphene is shown to offer the prospect of overcoming this problem. The DLC films used here, more properly known as a-C:H with ∼25% hydrogen content, have been terminated with N or F moieties prior to graphene deposition. It is found that nitrogen terminations lead to an optical band gap shrinkage in the DLC, whilst fluorine groups reduce the DLC's surface energy. CVD monolayer graphene subsequently transferred to DLC, N terminated DLC, and F terminated DLC has then been studied with AFM, Raman and XPS analysis, and correlated with Hall effect measurements that give an insight into the heterostructures electrical properties. The results show that different terminations strongly affect the electronic properties of the graphene heterostructures. G-F-DLC samples were p-type and displayed considerably higher mobility than the other heterostructures, whilst G-N-DLC samples supported higher carrier densities, being almost metallic in character. Since it would be possible to locally pattern the distribution of these differing surface terminations, this work offers the prospect for 2D lateral control of the electronic properties of graphene layers for device applications

  19. Properties of planar structures based on Policluster films of diamond and AlN

    Science.gov (United States)

    Belyanin, A. F.; Luchnikov, A. P.; Nalimov, S. A.; Bagdasarian, A. S.

    2018-01-01

    AlN films doped with zinc were grown on Si substrates by RF magnetron reactive sputtering of a compound target. Policluster films of diamond doped with boron were formed on layered Si/AlN substrates from the gas phase hydrogen and methane, activated arc discharge. By electron microscopy, X-ray diffraction and Raman spectroscopy the composition and structure of synthetic policluster films of diamond and AlN films were studied. Photovoltaic devices based on the AlN/PFD layered structure are presented.

  20. Ohmic contacts to semiconducting diamond

    Science.gov (United States)

    Zeidler, James R.; Taylor, M. J.; Zeisse, Carl R.; Hewett, C. A.; Delahoussaye, Paul R.

    1990-10-01

    Work was carried out to improve the electron beam evaporation system in order to achieve better deposited films. The basic system is an ion pumped vacuum chamber, with a three-hearth, single-gun e-beam evaporator. Four improvements were made to the system. The system was thoroughly cleaned and new ion pump elements, an e-gun beam adjust unit, and a more accurate crystal monitor were installed. The system now has a base pressure of 3 X 10(exp -9) Torr, and can easily deposit high-melting-temperature metals such as Ta with an accurately controlled thickness. Improved shadow masks were also fabricated for better alignment and control of corner contacts for electrical transport measurements. Appendices include: A Thermally Activated Solid State Reaction Process for Fabricating Ohmic Contacts to Semiconducting Diamond; Tantalum Ohmic Contacts to Diamond by a Solid State Reaction Process; Metallization of Semiconducting Diamond: Mo, Mo/Au, and Mo/Ni/Au; Specific Contact Resistance Measurements of Ohmic Contracts to Diamond; and Electrical Activation of Boron Implanted into Diamond.

  1. Characterisation of DLC films deposited using titanium isopropoxide (TIPOT) at different flow rates.

    Science.gov (United States)

    Said, R; Ali, N; Ghumman, C A A; Teodoro, O M N D; Ahmed, W

    2009-07-01

    In recent years, there has been growing interest in the search for advanced biomaterials for biomedical applications, such as human implants and surgical cutting tools. It is known that both carbon and titanium exhibit good biocompatibility and have been used as implants in the human body. It is highly desirable to deposit biocompatible thin films onto a range of components in order to impart biocompatibility and to minimise wear in implants. Diamond like carbon (DLC) is a good candidate material for achieving biocompatibility and low wear rates. In this study, thin films of diamond-like-carbon DLC were deposited onto stainless steel (316) substrates using C2H2, argon and titanium isopropoxide (TIPOT) precursors. Argon was used to generate the plasma in the plasma enhanced vapour deposition (PECVD) system. A critical coating feature governing the performance of the component during service is film thickness. The as-grown films were in the thickness range 90-100 nm and were found to be dependent on TIPOT flow rate. Atomic force microscopy (AFM) was used to characterise the surface roughness of the samples. As the flow rate of TIPOT increased the average roughness was found to increase in conjunction with the film thickness. Raman spectroscopy was used to investigate the chemical structure of amorphous carbon matrix. Surface tension values were calculated using contact angle measurements. In general, the trend of the surface tension results exhibited an opposite trend to that of the contact angle. The elemental composition of the samples was characterised using a VG ToF SIMS (IX23LS) instrument and X-ray photoelectron spectroscopy (XPS). Surprisingly, SIMS and XPS results showed that the DLC samples did not show evidence of titanium since no peaks representing to titanium appeared on the SIMS/XPS spectra.

  2. Growth of carbon fibres, sheets and tubes on diamond films under high power plasma etching conditions

    Energy Technology Data Exchange (ETDEWEB)

    Villalpando, I. [Centro de Investigacion de los Recursos Naturales, Antigua Normal Rural, Salaices, Lopez, Chihuahua (Mexico); John, P.; Wilson, J. I. B., E-mail: isaelav@hotmail.com [School of Engineering and Physical Sciences, Heriot-Watt University, Riccarton, Edinburgh, EH14-4AS (United Kingdom)

    2017-11-01

    The application of diamond as a plasma facing material for fusion reactors can be limited by unknown reactions between diamond and the chamber materials transported by the plasma. Transformation of diamond to other structures can cause problems such as contamination of the plasma with loose particles or retention of gases. We have seen that diamond thin films are eroded under hydrogen plasma etching, but if silicon is present the growth of various carbon structures on diamond films is observed. We have produced carbon with different morphologies on diamond films including fibres, sheets with flower-like shapes and tubes and proposed growth mechanisms based on the results of scanning electron microscopy, X-ray photoelectron spectroscopy and Raman spectroscopy. Sample surfaces contain silicon and are oxidised having COO and CO groups as seen by XP S analysis. Raman analyses revealed a spectrum typical for graphite combined with that from diamond that remains on the surface after hydrogen bombardment. The results of this sturdy show the experimental conditions in which carbon fibres, sheets and tubes are produced under high-power hydrogen etching of diamond films and open the possibility to other applications such as catalysts, sensors and the production of electrodes. (Author)

  3. Growth of carbon fibres, sheets and tubes on diamond films under high power plasma etching conditions

    International Nuclear Information System (INIS)

    Villalpando, I.; John, P.; Wilson, J. I. B.

    2017-01-01

    The application of diamond as a plasma facing material for fusion reactors can be limited by unknown reactions between diamond and the chamber materials transported by the plasma. Transformation of diamond to other structures can cause problems such as contamination of the plasma with loose particles or retention of gases. We have seen that diamond thin films are eroded under hydrogen plasma etching, but if silicon is present the growth of various carbon structures on diamond films is observed. We have produced carbon with different morphologies on diamond films including fibres, sheets with flower-like shapes and tubes and proposed growth mechanisms based on the results of scanning electron microscopy, X-ray photoelectron spectroscopy and Raman spectroscopy. Sample surfaces contain silicon and are oxidised having COO and CO groups as seen by XP S analysis. Raman analyses revealed a spectrum typical for graphite combined with that from diamond that remains on the surface after hydrogen bombardment. The results of this sturdy show the experimental conditions in which carbon fibres, sheets and tubes are produced under high-power hydrogen etching of diamond films and open the possibility to other applications such as catalysts, sensors and the production of electrodes. (Author)

  4. Structural Analysis of Planar sp3 and sp2 Films: Diamond-Like Carbon and Graphene Overlayers

    KAUST Repository

    Mansour, Ahmed

    2011-07-07

    The special electronic configuration of carbon enables the existence of wide ranging allotropes taking all possible dimensionalities. The allotropes of carbon are characterized by the type of hybridized bonding forming its structure, ranging from pure sp2 as in graphene, carbon nanotubes and fullerenes, to pure sp3 as in diamond. Amorphous and diamond-like carbon consists of a mixture of both hybridizations. This variation in hybridization in carbon materials enables a wide spectrum of properties, ranging from high bulk mechanical hardness, tribological properties and chemical inertness made possible by moving towards pure sp3 bonding to the extraordinary electrical conductivity, optical properties and in-plane mechanical strength resulting from pure sp2 bonding. Two allotropes at the extremes of this spectrum, diamond like carbon (DLC) and graphene, are investigated in this thesis; the former is investigated as a protective coating in hard drive applications, while the latter is investigated in the context of chemically derived graphene as material for transparent conducting electrode applications. DLC thin films are a main component in computer hard drives, acting as a protective coating against corrosion and mechanical wear of the magnetic layer and read-write head. The thickness of DLC films greatly affects the storage density in such devices, as larger separation between the read/write head and the magnetic layer decreases the storage density. A targeted DLC thickness of 2 nm would increase the storage density towards 1 Tbits/inch2. However, difficulty achieving continuous films at such thicknesses by commonly used sputtering methods challenges the industry to investigate alternative methods. Filtered cathodic vacuum arc (FCVA) has been proposed as an efficient technique to provide continuous, smooth and ultra-thin DLC films. We investigate the influence of deposition angle, deposition time, and substrate biasing to define the optimum process window to obtain

  5. Processing of nanocrystalline diamond thin films for thermal management of wide-bandgap semiconductor power electronics

    International Nuclear Information System (INIS)

    Govindaraju, N.; Singh, R.N.

    2011-01-01

    Highlights: → Studied effect of nanocrystalline diamond (NCD) deposition on device metallization. → Deposited NCD on to top of High Electron Mobility Transistors (HEMTs) and Si devices. → Temperatures below 290 deg. C for Si devices and 320 deg. C for HEMTs prevent metal damage. → Development of novel NCD-based thermal management for power electronics feasible. - Abstract: High current densities in wide-bandgap semiconductor electronics operating at high power levels results in significant self-heating of devices, which necessitates the development thermal management technologies to effectively dissipate the generated heat. This paper lays the foundation for the development of such technology by ascertaining process conditions for depositing nanocrystalline diamond (NCD) on AlGaN/GaN High Electron Mobility Transistors (HEMTs) with no visible damage to device metallization. NCD deposition is carried out on Si and GaN HEMTs with Au/Ni metallization. Raman spectroscopy, optical and scanning electron microscopy are used to evaluate the quality of the deposited NCD films. Si device metallization is used as a test bed for developing process conditions for NCD deposition on AlGaN/GaN HEMTs. Results indicate that no visible damage occurs to the device metallization for deposition conditions below 290 deg. C for Si devices and below 320 deg. C for the AlGaN/GaN HEMTs. Possible mechanisms for metallization damage above the deposition temperature are enumerated. Electrical testing of the AlGaN/GaN HEMTs indicates that it is indeed possible to deposit NCD on GaN-based devices with no significant degradation in device performance.

  6. Characterization of the Diamond-like Carbon Based Functionally Gradient Film

    Institute of Scientific and Technical Information of China (English)

    2002-01-01

    Diamond-like carbon coatings have been used as solid lubricating coatings in vacuum technology for their goodphysical and chemical properties. In this paper, the hybrid technique of unbalanced magnetron sputtering and plasmaimmersion ion implantation (PIll) was adopted to fabricate diamond-like carbon-based functionally gradient film,N/TiN/Ti(N,C)/DLC, on the 304 stainless steel substrate. The film was characterized by using Raman spectroscopyand glancing X-ray diffraction (GXRD), and the topography and surface roughness of the film was observed usingAFM. The mechanical properties of the film were evaluated by nano-indentation. The results showed that the surfaceroughness of the film was approximately 0.732 nm. The hardness and elastic modulus, fracture toughness andinterfacial fracture toughness of N/TiN/Ti(N,C)/DLC functionally gradient film were about 19.84 GPa, 190.03 GPa,3.75 MPa.m1/2 and 5.68 MPa@m1/2, respectively. Compared with that of DLC monolayer and C/TiC/DLC multilayer,this DLC gradient film has better qualities as a solid lubricating coating.

  7. Raman Microscopic Analysis of Internal Stress in Boron-Doped Diamond

    Directory of Open Access Journals (Sweden)

    Kevin E. Bennet

    2015-05-01

    Full Text Available Analysis of the induced stress on undoped and boron-doped diamond (BDD thin films by confocal Raman microscopy is performed in this study to investigate its correlation with sample chemical composition and the substrate used during fabrication. Knowledge of this nature is very important to the issue of long-term stability of BDD coated neurosurgical electrodes that will be used in fast-scan cyclic voltammetry, as potential occurrence of film delaminations and dislocations during their surgical implantation can have unwanted consequences for the reliability of BDD-based biosensing electrodes. To achieve a more uniform deposition of the films on cylindrically-shaped tungsten rods, substrate rotation was employed in a custom-built chemical vapor deposition reactor. In addition to visibly preferential boron incorporation into the diamond lattice and columnar growth, the results also reveal a direct correlation between regions of pure diamond and enhanced stress. Definite stress release throughout entire film thicknesses was found in the current Raman mapping images for higher amounts of boron addition. There is also a possible contribution to the high values of compressive stress from sp2 type carbon impurities, besides that of the expected lattice mismatch between film and substrate.

  8. Ultrathin Nanocrystalline Diamond Films with Silicon Vacancy Color Centers via Seeding by 2 nm Detonation Nanodiamonds.

    Science.gov (United States)

    Stehlik, Stepan; Varga, Marian; Stenclova, Pavla; Ondic, Lukas; Ledinsky, Martin; Pangrac, Jiri; Vanek, Ondrej; Lipov, Jan; Kromka, Alexander; Rezek, Bohuslav

    2017-11-08

    Color centers in diamonds have shown excellent potential for applications in quantum information processing, photonics, and biology. Here we report chemical vapor deposition (CVD) growth of nanocrystalline diamond (NCD) films as thin as 5-6 nm with photoluminescence (PL) from silicon-vacancy (SiV) centers at 739 nm. Instead of conventional 4-6 nm detonation nanodiamonds (DNDs), we prepared and employed hydrogenated 2 nm DNDs (zeta potential = +36 mV) to form extremely dense (∼1.3 × 10 13 cm -2 ), thin (2 ± 1 nm), and smooth (RMS roughness < 0.8 nm) nucleation layers on an Si/SiO x substrate, which enabled the CVD growth of such ultrathin NCD films in two different and complementary microwave (MW) CVD systems: (i) focused MW plasma with an ellipsoidal cavity resonator and (ii) pulsed MW plasma with a linear antenna arrangement. Analytical ultracentrifuge, infrared and Raman spectroscopies, atomic force microscopy, and scanning electron microscopy are used for detailed characterization of the 2 nm H-DNDs and the nucleation layer as well as the ultrathin NCD films. We also demonstrate on/off switching of the SiV center PL in the NCD films thinner than 10 nm, which is achieved by changing their surface chemistry.

  9. UV-laser treatment of nanodiamond seeds - a valuable tool for modification of nanocrystalline diamond films properties

    International Nuclear Information System (INIS)

    Vlček, J; Fitl, P; Vrňata, M; Fekete, L; Taylor, A; Fendrych, F

    2013-01-01

    This work aimed to study the UV-laser treatment of precursor (i.e. nanodiamond (ND) seeds on silicon substrates) and its influence on the properties of grown nanocrystalline diamond (NCD) films. Pulsed Nd:YAG laser operating at the fourth harmonic frequency (laser fluence E L = 250 mJ cm -2 , pulse duration 5 ns) was used as a source, equipped with an optical system for focusing laser beam onto the sample, allowing exposure of a local spot and horizontal patterning. The variable parameters were: number of pulses (from 5 to 400) and the working atmosphere (He, Ar and O 2 ). Ablation and/or graphitization of seeded nanodiamond particles were observed. Further the microwave plasma-enhanced chemical vapour deposition was employed to grow NCD films on exposed and non-exposed areas of silicon substrates. The size, shape and density distribution of laser-treated nanodiamond seeds were observed by atomic force microscopy (AFM) and their chemical composition by x-ray photoelectron spectroscopy (XPS) analysis. The resulting NCD films (uniform thickness of 400 nm) were characterized by: Raman spectroscopy to analyse occurrence of graphitic phase, and AFM to observe morphology and surface roughness. The highest RMS roughness (∼85 nm) was achieved when treating the precursor in He atmosphere. Horizontal microstructures of diamond films were fabricated.

  10. Collision cascades enhanced hydrogen redistribution in cobalt implanted hydrogenated diamond-like carbon films

    International Nuclear Information System (INIS)

    Gupta, P.; Becker, H.-W.; Williams, G.V.M.; Hübner, R.; Heinig, K.-H.; Markwitz, A.

    2017-01-01

    Highlights: • This paper reports for the first time redistribution of hydrogen atoms in diamond like carbon thin films during ion implantation of low energy magnetic ions. • The results point towards new routes of controlling the composition and distribution of elements at the nanoscale within a base matrix without using any heat treatment methods. • Exploring these opportunities can lead to a new horizon of materials and device engineering needed for enabling advanced technologies and applications. - Abstract: Hydrogenated diamond-like carbon films produced by C_3H_6 deposition at 5 kV and implanted at room temperature with 30 keV Co atoms to 12 at.% show not only a bimodal distribution of Co atoms but also a massive redistribution of hydrogen in the films. Resonant nuclear reaction analysis was used to measure the hydrogen depth profiles (15N-method). Depletion of hydrogen near the surface was measured to be as low as 7 at.% followed by hydrogen accumulation from 27 to 35 at.%. A model is proposed considering the thermal energy deposited by collision cascade for thermal insulators. In this model, sufficient energy is provided for dissociated hydrogen to diffuse out of the sample from the surface and diffuse into the sample towards the interface which is however limited by the range of the incoming Co ions. At a hydrogen concentration of ∼35 at.%, the concentration gradient of the mobile unbounded hydrogen atoms is neutralised effectively stopping diffusion towards the interface. The results point towards new routes of controlling the composition and distribution of elements at the nanoscale within a base matrix without using any heat treatment methods. Exploring these opportunities can lead to a new horizon of materials and device engineering needed for enabling advanced technologies and applications.

  11. Collision cascades enhanced hydrogen redistribution in cobalt implanted hydrogenated diamond-like carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Gupta, P. [National Isotope Centre, GNS Science, Lower Hutt (New Zealand); The MacDiarmid Institute for Advanced Materials and Nanotechnology, School of Chemical and Physical Sciences, Victoria University of Wellington, Wellington (New Zealand); Becker, H.-W. [RUBION, Ruhr-University Bochum (Germany); Williams, G.V.M. [The MacDiarmid Institute for Advanced Materials and Nanotechnology, School of Chemical and Physical Sciences, Victoria University of Wellington, Wellington (New Zealand); Hübner, R.; Heinig, K.-H. [Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden-Rossendorf (Germany); Markwitz, A., E-mail: a.markwitz@gns.cri.nz [National Isotope Centre, GNS Science, Lower Hutt (New Zealand); The MacDiarmid Institute for Advanced Materials and Nanotechnology, School of Chemical and Physical Sciences, Victoria University of Wellington, Wellington (New Zealand)

    2017-03-01

    Highlights: • This paper reports for the first time redistribution of hydrogen atoms in diamond like carbon thin films during ion implantation of low energy magnetic ions. • The results point towards new routes of controlling the composition and distribution of elements at the nanoscale within a base matrix without using any heat treatment methods. • Exploring these opportunities can lead to a new horizon of materials and device engineering needed for enabling advanced technologies and applications. - Abstract: Hydrogenated diamond-like carbon films produced by C{sub 3}H{sub 6} deposition at 5 kV and implanted at room temperature with 30 keV Co atoms to 12 at.% show not only a bimodal distribution of Co atoms but also a massive redistribution of hydrogen in the films. Resonant nuclear reaction analysis was used to measure the hydrogen depth profiles (15N-method). Depletion of hydrogen near the surface was measured to be as low as 7 at.% followed by hydrogen accumulation from 27 to 35 at.%. A model is proposed considering the thermal energy deposited by collision cascade for thermal insulators. In this model, sufficient energy is provided for dissociated hydrogen to diffuse out of the sample from the surface and diffuse into the sample towards the interface which is however limited by the range of the incoming Co ions. At a hydrogen concentration of ∼35 at.%, the concentration gradient of the mobile unbounded hydrogen atoms is neutralised effectively stopping diffusion towards the interface. The results point towards new routes of controlling the composition and distribution of elements at the nanoscale within a base matrix without using any heat treatment methods. Exploring these opportunities can lead to a new horizon of materials and device engineering needed for enabling advanced technologies and applications.

  12. Barrier properties to surrogates of hydrogenated carbon nano-films deposited on PET by plasma-enhanced chemical vapour deposition.

    Science.gov (United States)

    Oliveira, Éder C; Echegoyen, Yolanda; Nerin, Cristina; Cruz, Sandra A

    2014-01-01

    Poly(ethylene terephthalate) resin was contaminated with a series of surrogates using a US Food and Drug Administration protocol. The contaminated samples were coated with two different kinds of hydrogenated amorphous carbon thin films (a-C:H): one with diamond-like hydrogenated amorphous carbon and another with polymer-like hydrogenated carbon (PLCH) phases. To evaluate the barrier properties of the a-C:H films, migration assays were performed using food simulants. After the tests, analysis by gas chromatography with different detectors was carried out. The appearance of the films before and after the migration experiments was studied by field emission scanning electron microscopy. The results showed that a-C:H films have good barrier properties for most of the evaluated compounds, mainly when they are deposited as PLCH phase.

  13. Selective formation of diamond-like carbon coating by surface catalyst patterning

    DEFF Research Database (Denmark)

    Palnichenko, A.V.; Mátéfi-Tempfli, M.; Mátéfi-Tempfli, Stefan

    2004-01-01

    The selective formation of diamond-like carbon coating by surface catalyst patterning was studied. DLC films was deposited using plasma enhanced chemical vapor deposition, filtered vacuum arc deposition, laser ablation, magnetron sputtering and ion-beam lithography methods. The DLC coatings were...

  14. Structural characteristics of single crystalline GaN films grown on (111) diamond with AlN buffer

    DEFF Research Database (Denmark)

    Pécz, Béla; Tóth, Lajos; Barna, Árpád

    2013-01-01

    Hexagonal GaN films with the [0001] direction parallel to the surface normal were grown on (111) oriented single crystalline diamond substrates by plasma-assisted molecular beam epitaxy. Pre-treatments of the diamond surface with the nitrogen plasma beam, prior the nucleation of a thin AlN layer......, eliminated the inversion domains and reduced the density of threading dislocations in the GaN epilayers. The films have an in-plane epitaxial relationship [1010]GaN//[110]diamond. Thus GaN (0001) thin films of single epitaxial relationship and of single polarity were realised on diamond with AlN buffer....

  15. Electrochemical characterization of doped diamond-coated carbon fibers at different boron concentrations

    Energy Technology Data Exchange (ETDEWEB)

    Almeida, E.C. [INPE, CP 515, Sao Jose dos Campos, SP 12201-970 (Brazil)]. E-mail: erica@las.inpe.br; Diniz, A.V. [INPE, CP 515, Sao Jose dos Campos, SP 12201-970 (Brazil); Trava-Airoldi, V.J. [INPE, CP 515, Sao Jose dos Campos, SP 12201-970 (Brazil); Ferreira, N.G. [CTA-Divisao de Materiais, Sao Jose dos Campos, SP 12228-904 (Brazil)

    2005-08-01

    Doped diamond films have been deposited on carbon fibers (felt) obtained from polyacrylonitrile at different levels of boron doping. For a successful coating of the fibers, an ultrasonic pretreatment in a bath of diamond powder dissolved in hexane was required. Films were grown on both sample sides, simultaneously, by hot filament-assisted chemical vapour deposition technique at 750 deg. C from a 0.5% H{sub 2}/CH{sub 4} mixture at a total pressure of 6.5 x 10{sup 3} Pa. Boron was obtained from H{sub 2} forced to pass through a bubbler containing B{sub 2}O{sub 3} dissolved in methanol. The doping level studied corresponds to films with acceptor concentrations in the range of 6.5 x 10{sup 18} to 1.5 x 10{sup 21} cm{sup -} {sup 3}, obtained from Mott-Schottky plots. Scanning electron microscopy analyses evidenced fibers totally covered with high quality polycrystalline boron-doped diamond film, also confirmed by Raman spectroscopy spectra. Diamond electrodes grown on carbon fibers demonstrated similar electrochemical behavior obtained from films on Si substrate, for ferri/ferrocyanide redox couple as a function of boron content. The boron content influences electrochemical surface area. A lower boron concentration provides a higher growth rate that results in a higher surface area.

  16. Thermoluminescence characterization of CVD diamond film exposed to UV and beta radiation

    International Nuclear Information System (INIS)

    Barboza-Flores, M.; Melendrez, R.; Gastelum, S.; Chernov, V.; Bernal, R.; Cruz-Vazquez, C.; Brown, F.; Pedroza-Montero, M.; Gan, B.; Ahn, J.; Zhang, Q.; Yoon, S.F.

    2003-01-01

    Thermoluminescence (TL) properties of diamond films grown by microwave and hot filament CVD techniques were studied. The main purpose of the present work was to characterize the thermoluminescence response of diamond films to ultraviolet and beta radiation. The thermoluminescence excitation spectrum exhibits maximum TL efficiency around 210-215 nm. All samples presented a glow curve composed of at least one TL peak and showed regions of linear as well as supralinear behavior as a function or irradiation dose. The linear dose dependence was found for up to sixteen minutes of monochromatic UV irradiation and 300 Gy for beta irradiated samples. The activation energy and the frequency factor were determined and found in the range of 0.33-1.7 eV and 5.44 x 10 2 -5.67 x 10 16 s -1 , respectively. The observed TL performance is reasonable appropriate to justify further investigation of diamond films as radiation dosimeters keeping in mind that diamond is an ideal TL dosemeter since it is tissue-equivalent and biological compatible. (copyright 2003 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Neutron detection at jet using artificial diamond detectors

    International Nuclear Information System (INIS)

    Pillon, M.; Angelone, M.; Lattanzi, D.; Marinelli, M.; Milani, E.; Tucciarone, A.; Verona-Rinati, G.; Popovichev, S.; Montereali, R.M.; Vincenti, M.A.; Murari, A.

    2007-01-01

    Artificial diamond neutron detectors recently proved to be promising devices to measure the neutron production on large experimental fusion machines. Diamond detectors are very promising detectors to be used in fusion environment due to their radiation hardness, low sensitivity to gamma rays, fast response and high energy resolution. High quality 'electronic grade' diamond films are produced through microwave chemical vapour deposition (CVD) technique. Two CVD diamond detectors have been installed and operated at joint European torus (JET), Culham Science Centre, UK. One of these detectors was a polycrystalline CVD diamond film; about 12 mm 2 area and 30 μm thickness while the second was a monocrystalline film of about 5 mm 2 area and 20 μm thick. Both diamonds were covered with 2 μm of lithium fluoride (LiF) 95% enriched in 6 Li. The LiF layer works as a neutron-to-charged particle converter so these detectors can measure thermalized neutrons. Their output signals were compared to JET total neutron yield monitors (KN1 diagnostic) realized with a set of uranium fission chambers. Despite their small active volumes the diamond detectors were able to measure total neutron yields with good reliability and stability during the recent JET experimental campaign of 2006

  18. Nanocrystalline diamond coatings for mechanical seals applications.

    Science.gov (United States)

    Santos, J A; Neto, V F; Ruch, D; Grácio, J

    2012-08-01

    A mechanical seal is a type of seal used in rotating equipment, such as pumps and compressors. It consists of a mechanism that assists the connection of the rotating shaft to the housings of the equipments, preventing leakage or avoiding contamination. A common cause of failure of these devices is end face wear out, thus the use of a hard, smooth and wear resistant coating such as nanocrystalline diamond would be of great importance to improve their working performance and increase their lifetime. In this paper, different diamond coatings were deposited by the HFCVD process, using different deposition conditions. Additionally, the as-grown films were characterized for, quality, morphology and microstructure using scanning electron microscopy (SEM) and Raman spectroscopy. The topography and the roughness of the films were characterized by atomic force microscopy (AFM).

  19. Beneficial effects of laser irradiation on the deposition process of diamond/Ni60 composite coating with cold spray

    Energy Technology Data Exchange (ETDEWEB)

    Yao, Jianhua, E-mail: laser@zjut.edu.cn; Yang, Lijing; Li, Bo; Li, Zhihong

    2015-03-01

    Graphical abstract: - Highlights: • The hard Ni-based alloy powder as matrix in diamond composite coating was studied. • The influence of laser on diamond distribution of composite coating was analyzed. • The graphitization of diamond was prohibited in supersonic laser deposition process. • The abrasion mechanisms of diamond/Ni60 composite coating were discussed. - Abstract: Although cold spray process has many unique advantages over other coating techniques, it has difficulties in depositing hard materials. This article presents a study in the beneficial effects of laser irradiation on the fabrication process of diamond/Ni60 composite coating using cold spray. The focus of this research is on the comparison between the composite coatings produced with laser cladding (LC) and with supersonic laser deposition (SLD), with respect to diamond graphitization and tribological properties, thus to demonstrate the beneficial effects of laser irradiation on the cold spray process. The influence of deposition temperature on the coating characteristics, such as deposition efficiency, diamond volume fraction, microstructure and phase is also investigated. The tribological properties of the diamond/Ni60 composite coating produced with SLD are determined using a pin-on-disc tribometer, along with the diamond/Ni60 coating produced using LC with the optimal process parameters for comparison. The experimental results show that with the assistance of laser irradiation, diamond/Ni60 composite coating can be successfully deposited using cold spray; the obtained coating is superior to that processed with LC, because SLD can suppress the graphitization of the diamond particles. The diamond/Ni60 composite coating fabricated with SLD has much better tribological properties than the LC coating.

  20. Room temperature pulsed laser deposition of Si{sub x} C thin films in different compositions

    Energy Technology Data Exchange (ETDEWEB)

    Hanyecz, I.; Budai, J. [University of Szeged, Department of Optics and Quantum Electronics, P.O. Box 406, Szeged (Hungary); Oszko, A. [University of Szeged, Department of Solid State and Radiochemistry, P.O. Box 168, Szeged (Hungary); Szilagyi, E. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, Budapest (Hungary); Toth, Z. [Research Group on Laser Physics of the Hungarian Academy of Sciences, P.O. Box 406, Szeged (Hungary)

    2010-09-15

    Amorphous silicon-carbon alloy films in different compositions were prepared by pulsed laser deposition from two-component targets containing pure silicon and carbon parts. The silicon-carbon ratio in the films was varied by adjusting the number of laser shots on the constituent silicon and carbon targets. The composition, optical properties, thickness, and bonding structure of the films were determined by backscattering spectrometry, spectroscopic ellipsometry, and X-ray photoelectron spectroscopy, respectively. Backscattering spectrometry data were used to determine the deposition rate of silicon and carbon. This enabled the calculation of the number of the shots onto each target to reach a predefined composition. As the film composition changed from carbon to silicon, it was shown that the microscopic and macroscopic properties of the films also changed from a diamond-like carbon phase to an amorphous silicon phase via graphite- and silicon-carbide-like composite. (orig.)

  1. Cyclic voltammetry response of an undoped CVD diamond electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Fabisiak, K., E-mail: kfab@ukw.edu.pl [Institute of Physics, Kazimierz Wielki University, Powstancow Wielkopolskich 2, 85-090 Bydgoszcz (Poland); Torz-Piotrowska, R. [Faculty of Chemical Technology and Engineering, UTLS Seminaryjna 3, 85-326 Bydgoszcz (Poland); Staryga, E. [Institute of Physics, Technical University of Lodz, Wolczanska 219, 90-924 Lodz (Poland); Szybowicz, M. [Faculty of Technical Physics, Poznan University of Technology, Nieszawska 13A, 60-965 Poznan (Poland); Paprocki, K.; Popielarski, P.; Bylicki, F. [Institute of Physics, Kazimierz Wielki University, Powstancow Wielkopolskich 2, 85-090 Bydgoszcz (Poland); Wrzyszczynski, A. [Institute of Physics, Technical University of Lodz, Wolczanska 219, 90-924 Lodz (Poland)

    2012-09-01

    Highlights: Black-Right-Pointing-Pointer Correlation was found between diamond quality and its electrochemical performance. Black-Right-Pointing-Pointer The electrode sensitivity depends on the content of sp{sup 2} carbon phase in diamond layer. Black-Right-Pointing-Pointer The sp{sup 2} carbon phase content has little influence on the CV peak separation ({Delta}E{sub p}). - Abstract: The polycrystalline undoped diamond layers were deposited on tungsten wire substrates by using hot filament chemical vapor deposition (HFCVD) technique. As a working gas the mixture of methanol in excess of hydrogen was used. The morphologies and quality of as-deposited films were monitored by means of scanning electron microscopy (SEM), X-ray diffraction (XRD) and Raman spectroscopy respectively. The electrochemical activity of the obtained diamond layers was monitored by using cyclic voltammetry measurements. Analysis of the ferrocyanide-ferricyanide couple at undoped diamond electrode suggests that electrochemical reaction at diamond electrode has a quasireversibile character. The ratio of the anodic and cathodic peak currents was always close to unity. In this work we showed that the amorphous carbon admixture in the CVD diamond layer has a crucial influence on its electrochemical performance.

  2. Effect of TiO2/Al2O3 film coated diamond abrasive particles by sol-gel technique

    Science.gov (United States)

    Hu, Weida; Wan, Long; Liu, Xiaopan; Li, Qiang; Wang, Zhiqi

    2011-04-01

    The diamond abrasive particles were coated with the TiO2/Al2O3 film by the sol-gel technique. Compared with the uncoated diamonds, the TiO2/Al2O3 film was excellent material for the protection of the diamonds. The results showed that the incipient oxidation temperature of the TiO2/Al2O3 film coated diamonds in air atmosphere was 775 °C, which was higher 175 °C than that of the uncoated diamonds. And the coated diamonds also had better the diamond's single particle compressive strength and the impact toughness than that of uncoated diamonds after sintering at 750 °C. For the vitrified bond grinding wheels, replacing the uncoated diamonds with the TiO2/Al2O3 film coated diamonds, the volume expansion of the grinding wheels decreased from 6.2% to 3.4%, the porosity decreased from 35.7% to 25.7%, the hardness increased from 61.2HRC to 66.5HRC and the grinding ratio of the vitrified bond grinding wheels to carbide alloy (YG8) increased from 11.5 to 19.1.

  3. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-01-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm 3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  4. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    Science.gov (United States)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-06-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  5. Thick Nano-Crystalline Diamond films for fusion applications

    Energy Technology Data Exchange (ETDEWEB)

    Dawedeit, Christoph [Technical Univ. of Munich (Germany)

    2010-06-30

    This Diplomarbeit deals with the characterization of 9 differently grown diamond samples. Several techniques were used to determine the quality of these specimens for inertial confinement fusion targets. The quality of chemical vapor deposition diamond is usually considered in terms of the proportion of sp3-bonded carbon to sp2-bonded carbon in the sample. For fusion targets smoothness, Hydrogen content and density of the diamonds are further important characteristics. These characteristics are analyzed in this thesis. The research for thesis was done at Lawrence Livermore National Laboratory in collaboration with the Fraunhofer Institut für angewandte Festkörperphysik Freiburg, Germany. Additionally the Lehrstuhl fuer Nukleartechnik at Technical University of Germany supported the work.

  6. Intrinsic stress modulation in diamond like carbon films with incorporation of gold nanoparticles by PLA

    Science.gov (United States)

    Panda, Madhusmita; Krishnan, R.; Krishna, Nanda Gopala; Madapu, Kishore K.; Kamruddin, M.

    2018-04-01

    Intrinsic stress modulation in the diamond-like carbon (DLC) coatings with incorporation of gold nanoparticles was studied qualitatively from Raman shift. The films were deposited on Si (1 0 0) substrates by using Pulsed laser ablation (PLA) of pure pyrolytic graphite target and with a gold foil on it. Films compositional and chemical behavior was studied by X-ray photoelectron spectroscopy (XPS) and Visible Raman spectroscopy, respectively. The sp3 content obtained from XPS shows dramatic variation in DLC, DLC-Au(100), DLC-Au(200) and DLC-Au(300) as 39%, 41%, 47% and 66% with various gold contentsas 0%, 12%, 7.3% and 4.7%, respectively. The Raman spectra of DLC/Au films showed G-peak shift towards lower wavenumber indicating the reduction of intrinsic stress (internal compressive stress). The sp2, sp3 fraction in the films are also determined from FWHM (G-Peak).

  7. Low-Energy, Hydrogen-Free Method of Diamond Synthesis

    Science.gov (United States)

    Varshney, Deepak (Inventor); Morell, Gerardo (Inventor); Weiner, Brad R. (Inventor); Makarov, Vladimir (Inventor)

    2013-01-01

    Diamond thin films were deposited on copper substrate by the Vapor Solid (VS) deposition method using a mixture of fullerene C(sub 60) and graphite as the source material. The deposition took place only when the substrate was kept in a narrow temperature range of approximately 550-650 C. Temperatures below and above this range results in the deposition of fullerenes and other carbon compounds, respectively.

  8. TL and LOE dosimetric evaluation of diamond films exposed to beta and ultraviolet radiation

    International Nuclear Information System (INIS)

    Preciado F, S.; Melendrez, R.; Chernov, V.; Barboza F, M.; Schreck, M.; Cruz Z, E.

    2005-01-01

    The diamond possesses a privileged position regarding other materials of great technological importance. Their applications go from the optics, microelectronics, metals industry, medicine and of course as dosemeter, in the registration and detection of ionizing and non ionizing radiation. In this work the results of TL/LOE obtained in two samples of diamond of 10 μm thickness grown by the chemical vapor deposition method (CVD) assisted by microwave plasma. The films were deposited in a silicon substrate (001) starting from a mixture of gases composed of CH 4 /H 2 and 750 ppm of molecular nitrogen as dopant. The samples were exposed to beta radiation (Sr 90 / Y 90 ) and ultraviolet, being stimulated later on thermal (TL) and optically (LOE) to evaluate their dosimetric properties. The sample without doping presented high response TL/LOE to the ultraviolet and beta radiation. The TL glow curve of the sample without doping showed two TL peaks with second order kinetics in the range of 520 to 550 K, besides a peak with first order kinetics of more intensity around 607 K. The TL efficiency of the non doped sample is bigger than the doped with nitrogen; however the LOE efficiency is similar in both samples. The results indicate that the CVD diamond possesses excellent perspectives for dosimetric applications, with special importance in radiotherapy due to it is biologically compatible with the human tissue. (Author)

  9. Surface and electron emission properties of hydrogen-free diamond-like carbon films investigated by atomic force microscopy

    International Nuclear Information System (INIS)

    Liu Dongping; Zhang, Sam; Ong, S.-E.; Benstetter, Guenther; Du Hejun

    2006-01-01

    In this study, we have deposited hydrogen-free diamond-like carbon (DLC) films by using DC magnetron sputtering of graphite target at various r.f. bias voltages. Surface and nanoscale emission properties of these DLC films have been investigated using a combination of atomic force microscopy (AFM)-based nanowear tests and conducting-AFM, by simultaneously measuring the topography and the conductivity of the samples. Nanowear tests show that these DLC films are covered with the thin (1.5-2.0 nm) graphite-like layers at surfaces. Compared to the film bulk structure, the graphite-like surface layers are more conductive. The graphite-like surface layers significantly influence the electron emission properties of these films. Low-energy carbon species can be responsible for the formation of graphite-like surface layers. Nanoscale electron emission measurements have revealed the inhomogeneous emission nature of these films. The low-field emission from these films can be attributed to the existence of sp 2 -configured nanoclusters inside the films

  10. Investigation of structure, adhesion strength, wear performance and corrosion behavior of platinum/ruthenium/nitrogen doped diamond-like carbon thin films with respect to film thickness

    Energy Technology Data Exchange (ETDEWEB)

    Khun, N.W. [School of Mechanical and Aerospace Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639798 (Singapore); Liu, E., E-mail: MEJLiu@ntu.edu.sg [School of Mechanical and Aerospace Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639798 (Singapore)

    2011-03-15

    Research highlights: {yields} Sputtered PtRuN-DLC thin films were fabricated with different film thicknesses. {yields} The graphitization of the films increased with increased film thickness. {yields} The wear resistance of the films increased though their adhesion strength decreased. {yields} The corrosion potentials of the films shifted to more negative values. {yields} However, the corrosion currents of the films decreased. - Abstract: In this study, the corrosion performance of platinum/ruthenium/nitrogen doped diamond-like carbon (PtRuN-DLC) thin films deposited on p-Si substrates using a DC magnetron sputtering deposition system in a 0.1 M NaCl solution was investigated using potentiodynamic polarization test in terms of film thickness. The effect of the film thickness on the chemical composition, bonding structure, surface morphology, adhesion strength and wear resistance of the PtRuN-DLC films was studied using X-ray photoelectron spectroscopy (XPS), micro-Raman spectroscopy, atomic force microscopy (AFM), micro-scratch test and ball-on-disc tribotest, respectively. It was found that the wear resistance of the PtRuN-DLC films apparently increased with increased film thickness though the adhesion strength of the films decreased. The corrosion results revealed that the increased concentration of sp{sup 2} bonds in the PtRuN-DLC films with increased film thickness shifted the corrosion potentials of the films to more negative values but the decreased porosity density in the films significantly decreased the corrosion currents of the films.

  11. Investigation of structure, adhesion strength, wear performance and corrosion behavior of platinum/ruthenium/nitrogen doped diamond-like carbon thin films with respect to film thickness

    International Nuclear Information System (INIS)

    Khun, N.W.; Liu, E.

    2011-01-01

    Research highlights: → Sputtered PtRuN-DLC thin films were fabricated with different film thicknesses. → The graphitization of the films increased with increased film thickness. → The wear resistance of the films increased though their adhesion strength decreased. → The corrosion potentials of the films shifted to more negative values. → However, the corrosion currents of the films decreased. - Abstract: In this study, the corrosion performance of platinum/ruthenium/nitrogen doped diamond-like carbon (PtRuN-DLC) thin films deposited on p-Si substrates using a DC magnetron sputtering deposition system in a 0.1 M NaCl solution was investigated using potentiodynamic polarization test in terms of film thickness. The effect of the film thickness on the chemical composition, bonding structure, surface morphology, adhesion strength and wear resistance of the PtRuN-DLC films was studied using X-ray photoelectron spectroscopy (XPS), micro-Raman spectroscopy, atomic force microscopy (AFM), micro-scratch test and ball-on-disc tribotest, respectively. It was found that the wear resistance of the PtRuN-DLC films apparently increased with increased film thickness though the adhesion strength of the films decreased. The corrosion results revealed that the increased concentration of sp 2 bonds in the PtRuN-DLC films with increased film thickness shifted the corrosion potentials of the films to more negative values but the decreased porosity density in the films significantly decreased the corrosion currents of the films.

  12. High performance diamond-like carbon layers obtained by pulsed laser deposition for conductive electrode applications

    Science.gov (United States)

    Stock, F.; Antoni, F.; Le Normand, F.; Muller, D.; Abdesselam, M.; Boubiche, N.; Komissarov, I.

    2017-09-01

    For the future, one of the biggest challenge faced to the technologies of flat panel display and various optoelectronic and photovoltaic devices is to find an alternative to the use of transparent conducting oxides like ITO. In this new approach, the objective is to grow high conductive thin-layer graphene (TLG) on the top of diamond-like carbon (DLC) layers presenting high performance. DLC prepared by pulsed laser deposition (PLD) have attracted special interest due to a unique combination of their properties, close to those of monocrystalline diamond, like its transparency, hardness and chemical inertia, very low roughness, hydrogen-free and thus high thermal stability up to 1000 K. In our future work, we plane to explore the synthesis of conductive TLG on top of insulating DLC thin films. The feasibility and obtained performances of the multi-layered structure will be explored in great details in the short future to develop an alternative to ITO with comparable performance (conductivity of transparency). To select the best DLC candidate for this purpose, we focus this work on the physicochemical properties of the DLC thin films deposited by PLD from a pure graphite target at two wavelengths (193 and 248 nm) at various laser fluences. A surface graphenization process, as well as the required efficiency of the complete structure (TLG/DLC) will clearly be related to the DLC properties, especially to the initial sp3/sp2 hybridization ratio. Thus, an exhaustive description of the physicochemical properties of the DLC layers is a fundamental step in the research of comparable performance to ITO.

  13. Deposition and micro electrical discharge machining of CVD-diamond layers incorporated with silicon

    Science.gov (United States)

    Kühn, R.; Berger, T.; Prieske, M.; Börner, R.; Hackert-Oschätzchen, M.; Zeidler, H.; Schubert, A.

    2017-10-01

    In metal forming, lubricants have to be used to prevent corrosion or to reduce friction and tool wear. From an economical and ecological point of view, the aim is to avoid the usage of lubricants. For dry deep drawing of aluminum sheets it is intended to apply locally micro-structured wear-resistant carbon based coatings onto steel tools. One type of these coatings are diamond layers prepared by chemical vapor deposition (CVD). Due to the high strength of diamond, milling processes are unsuitable for micro-structuring of these layers. In contrast to this, micro electrical discharge machining (micro EDM) is a suitable process for micro-structuring CVD-diamond layers. Due to its non-contact nature and its process principle of ablating material by melting and evaporating, it is independent of the hardness, brittleness or toughness of the workpiece material. In this study the deposition and micro electrical discharge machining of silicon incorporated CVD-diamond (Si-CVD-diamond) layers were presented. For this, 10 µm thick layers were deposited on molybdenum plates by a laser-induced plasma CVD process (LaPlas-CVD). For the characterization of the coatings RAMAN- and EDX-analyses were conducted. Experiments in EDM were carried out with a tungsten carbide tool electrode with a diameter of 90 µm to investigate the micro-structuring of Si-CVD-diamond. The impact of voltage, discharge energy and tool polarity on process speed and resulting erosion geometry were analyzed. The results show that micro EDM is a suitable technology for micro-structuring of silicon incorporated CVD-diamond layers.

  14. The study of adhesion and nanomechanical properties of DLC films deposited on tool steels

    International Nuclear Information System (INIS)

    Chen, Kuan-Wei; Lin, Jen-Fin

    2009-01-01

    In this study, thin diamond-like carbon (DLC) films were deposited onto a steel substrate. By using the plasma immersion ion implantation (PIII) technique, a nitrogen layer was formed on the steel surface before depositing the DLC films. This PIII formed nitrogen layer, which acts as the buffer layer, has apparently increased the adhesion between the DLC film and the steel substrate. The microstructures, the nanomechanical properties, and the adhesion of the DLC were investigated by the techniques of X-ray diffraction (XRD), transmission electron microscopy (TEM), nanoindentation, and nanoscratch. Results show that the hardness and Young's modulus were significantly improved, up to 2 to 9 times; while the implantation depth and the microstructure of the nitrogen layers vary with nitrogen/hydrogen ratio (N:H = 1:0, 1:1, 1:3). Raman analyses indicate that the I(D)/I(G) ratio increases with the thickness of DLC film. By using the PIII technique in the steel substrate, the adhesion of the DLC film onto the substrate is greatly enhanced, and wear resistance is elevated if the DLC film is sufficiently thick.

  15. Buckyball microwave plasmas: Fragmentation and diamond-film growth

    International Nuclear Information System (INIS)

    Gruen, D.M.; Liu, Shengzhong; Krauss, A.R.; Pan, Xianzheng.

    1993-08-01

    Microwave discharges (2.45 GHz) have been generated in C 60 -containing Ar produced by flowing Ar over fullerene-containing soot. Optical spectroscopy shows that the spectrum is dominated by the d 3 Πg-a 3 Πu Swan bands of C 2 and particularly the Δv = -2, -1, 0, +1, and +2 sequences. These results give direct evidence that C 2 is one of the products of C 60 fragmentation brought about, at least in part, by collisionally induced dissociation (CID). C 60 has been used as a precursor in a plasma-enhanced chemical vapor deposition (PECVD) experiment to grow diamond-thin films. The films, grown in an Ar/H 2 gas mixture (0.14% carbon content, 100 Torr, 20 sccm Ar, 4 sccm H 2 , 1500 W, 850 degree C substrate temperature), were characterized with SEM, XRD, and Raman spectroscopy. Growth rate was found to be ∼ 0.6 μ/hr. Assuming a linear dependence on carbon concentration, a growth rate at least six times higher than commonly observed using methane as a precursor, would be predicted at a carbon content of 1% based on C 60 . Energetic and mechanistic arguments are advanced to rationalize this result based on C 2 as the growth species

  16. Electrophoretic preparation and characterization of porous electrodes from diamond nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Riveros, Lyda La Torre; Soto, Keyla; Tryk, Donald A; Cabrera, Carlos R [Department of Chemistry and Center of Nanoscale Materials, University of Puerto Rico, Rio Piedras, PO Box 23346 San Juan, PR 00931-3346 (Puerto Rico)

    2007-04-15

    We carried out chemical purification of commercially available diamond nanoparticles by refluxing in aqueous HNO{sub 3} and characterized the samples by spectroscopic and surface techniques before and after purification. As a first step in the preparation of electrodes for electrochemistry, we have electrophoretically deposited thin, highly uniform films of controlled thickness (1-8 {mu}m) on silicon substrates using the purified diamond nanoparticles. These have been characterized by scanning electron microscopy (SEM). All films obtained were homogeneous in thickness and without macroscopic holes or cracks. Such structures could also be used in many other applications such as fuel cells or lithium batteries. We have performed cyclic voltammetry experiments with these electrodes. The voltammograms of diamond nanoparticles electrophoretically deposited on silicon indicate hydrogen evolution. This demonstrates that the material is useful as electrocatalitic support. This conclusion is supported by the cyclic voltammograms obtained using ferrycyanide (III) chloride and hexaamineruthenium (III) chloride complexes as redox probes. However, these redox probes showed very small peak currents. This behavior could be improved by doping the diamond nanoparticles with an impurity such as boron.

  17. Electrophoretic preparation and characterization of porous electrodes from diamond nanoparticles

    International Nuclear Information System (INIS)

    Riveros, Lyda La Torre; Soto, Keyla; Tryk, Donald A; Cabrera, Carlos R

    2007-01-01

    We carried out chemical purification of commercially available diamond nanoparticles by refluxing in aqueous HNO 3 and characterized the samples by spectroscopic and surface techniques before and after purification. As a first step in the preparation of electrodes for electrochemistry, we have electrophoretically deposited thin, highly uniform films of controlled thickness (1-8 μm) on silicon substrates using the purified diamond nanoparticles. These have been characterized by scanning electron microscopy (SEM). All films obtained were homogeneous in thickness and without macroscopic holes or cracks. Such structures could also be used in many other applications such as fuel cells or lithium batteries. We have performed cyclic voltammetry experiments with these electrodes. The voltammograms of diamond nanoparticles electrophoretically deposited on silicon indicate hydrogen evolution. This demonstrates that the material is useful as electrocatalitic support. This conclusion is supported by the cyclic voltammograms obtained using ferrycyanide (III) chloride and hexaamineruthenium (III) chloride complexes as redox probes. However, these redox probes showed very small peak currents. This behavior could be improved by doping the diamond nanoparticles with an impurity such as boron

  18. Morphology of Diamond Layers Grown on Different Facets of Single Crystal Diamond Substrates by a Microwave Plasma CVD in CH4-H2-N2 Gas Mixtures

    Directory of Open Access Journals (Sweden)

    Evgeny E. Ashkinazi

    2017-06-01

    Full Text Available Epitaxial growth of diamond films on different facets of synthetic IIa-type single crystal (SC high-pressure high temperature (HPHT diamond substrate by a microwave plasma CVD in CH4-H2-N2 gas mixture with the high concentration (4% of nitrogen is studied. A beveled SC diamond embraced with low-index {100}, {110}, {111}, {211}, and {311} faces was used as the substrate. Only the {100} face is found to sustain homoepitaxial growth at the present experimental parameters, while nanocrystalline diamond (NCD films are produced on other planes. This observation is important for the choice of appropriate growth parameters, in particular, for the production of bi-layer or multilayer NCD-on-microcrystalline diamond (MCD superhard coatings on tools when the deposition of continuous conformal NCD film on all facet is required. The development of the film morphology with growth time is examined with SEM. The structure of hillocks, with or without polycrystalline aggregates, that appear on {100} face is analyzed, and the stress field (up to 0.4 GPa within the hillocks is evaluated based on high-resolution mapping of photoluminescence spectra of nitrogen-vacancy NV optical centers in the film.

  19. Production and testing of a synthetic diamond film radiation dosimeter for radiotherapy

    CERN Document Server

    Fidanzio, A; Venanzi, C; Pinzari, F; Piermattei, A

    2002-01-01

    A detector, constituted by a polycrystalline chemical vapor deposited diamond film, has been made for on-line radiotherapy beam analysis in terms of dose distributions in water equivalent material. Preliminary results are reported which evidence that the leakage current can be a limiting parameter for an efficient collection of the charge carriers produced by the ionizing radiation. A signal to noise ratio near to 100 has been obtained. A priming effect similar to that found in natural diamond devices has also been evidenced, and a stable detector response was obtained after an accumulated dose of 5 Gy. The linearity has been achieved between the detector reading and the dose. The detector sensitivity resulted was equal to 77 nC/Gy per mm sup 3 of detector sensitive volume. A power law with exponent DELTA less than one has been found between detector reading and dose rate. However, when the dose rate dependence was corrected, the percentage depth doses, along an X-ray beam central axis, was in agreement with ...

  20. Fabrication and Characterization of FeNiCr Matrix-TiC Composite for Polishing CVD Diamond Film

    Institute of Scientific and Technical Information of China (English)

    Zhuji Jin; Zewei Yuan; Renke Kang; Boxian Dong

    2009-01-01

    Dynamic friction polishing (DFP) is one of the most promising methods appropriate for polishing CVD diamond film with high efficiency and low cost.By this method CVD diamond film is polished through being simply pressed against a metal disc rotating at a high speed utilizing the thermochemical reaction occurring as a result of dynamic friction between them in the atmosphere.However, the relatively soft materials such as stainless steel, cast iron and nickel alloy widely used for polishing CVD diamond film are easy to wear and adhere to diamond film surface, which may further lead to low efficiency and poor polishing quality.In this paper, FeNiCr matrix-TiC composite used as grinding wheel for polishing CVD diamond film was obtained by combination of mechanical alloying (MA) and spark plasma sintering (SPS).The process of ball milling,composition, density, hardness, high-temperature oxidation resistance and wear resistance of the sintered piece were analyzed.The results show that TiC was introduced in MA-SPS process and had good combination with FeNiCr matrix and even distribution in the matrix.The density of composite can be improved by mechanical alloying.The FeNiCr matrix-TiC composite obtained at 1273 K was found to be superior to at 1173 K sintering in hardness, high-temperature oxidation resistance and wearability.These properties are more favorable than SUS304 for the preparation of high-performance grinding wheel for polishing CVD diamond film.

  1. Tailoring nanocrystalline diamond coated on titanium for osteoblast adhesion.

    Science.gov (United States)

    Pareta, Rajesh; Yang, Lei; Kothari, Abhishek; Sirinrath, Sirivisoot; Xiao, Xingcheng; Sheldon, Brian W; Webster, Thomas J

    2010-10-01

    Diamond coatings with superior chemical stability, antiwear, and cytocompatibility properties have been considered for lengthening the lifetime of metallic orthopedic implants for over a decade. In this study, an attempt to tailor the surface properties of diamond films on titanium to promote osteoblast (bone forming cell) adhesion was reported. The surface properties investigated here included the size of diamond surface features, topography, wettability, and surface chemistry, all of which were controlled during microwave plasma enhanced chemical-vapor-deposition (MPCVD) processes using CH4-Ar-H2 gas mixtures. The hardness and elastic modulus of the diamond films were also determined. H2 concentration in the plasma was altered to control the crystallinity, grain size, and topography of the diamond coatings, and specific plasma gases (O2 and NH3) were introduced to change the surface chemistry of the diamond coatings. To understand the impact of the altered surface properties on osteoblast responses, cell adhesion tests were performed on the various diamond-coated titanium. The results revealed that nanocrystalline diamond (grain sizes diamond and, thus, should be further studied for improving orthopedic applications. Copyright 2010 Wiley Periodicals, Inc. J Biomed Mater Res Part A, 2010.

  2. Wafer bowing control of free-standing heteroepitaxial diamond (100) films grown on Ir(100) substrates via patterned nucleation growth

    International Nuclear Information System (INIS)

    Yoshikawa, Taro; Kodama, Hideyuki; Kono, Shozo; Suzuki, Kazuhiro; Sawabe, Atsuhito

    2015-01-01

    The potential of patterned nucleation growth (PNG) technique to control the wafer bowing of free-standing heteroepitaxial diamond films was investigated. The heteroepitaxial diamond (100) films were grown on an Ir(100) substrate via PNG technique with different patterns of nucleation regions (NRs), which were dot-arrays with 8 or 13 μm pitch aligned to < 100 > or < 110 > direction of the Ir(100) substrate. The wafer bows and the local stress distributions of the free-standing films were measured using a confocal micro-Raman spectrometer. For each NR pattern, the stress evolutions within the early stage of diamond growth were also studied together with a scanning electron microscopic observation of the coalescing diamond particles. These investigations revealed that the NR pattern, in terms of pitch and direction of dot-array, strongly affects the compressive stress on the nucleation side of the diamond film and dominantly contributes to the elastic deformation of the free-standing film. This indicates that the PNG technique with an appropriate NR pattern is a promising solution to fabricate free-standing heteroepitaxial diamond films with extremely small bows. - Highlights: • Wafer bowing control of free-standing heteroepitaxial diamond (100) films • Effect of patterned nucleation and growth (PNG) technique on wafer bowing reduction • Influence of nucleation region patterns of PNG on wafer bowing • Internal stress analysis of PNG films via confocal micro-Raman spectroscopy

  3. Ti:Pt:Au:Ni thin-film CVD diamond sensor ability for charged particle detection.

    Science.gov (United States)

    Kasiwattanawut, Haruetai; Tchouaso, Modeste Tchakoua; Prelas, Mark A

    2018-05-22

    This work demonstrates the development of diamond sensors with reliable contacts using a new metallization formula, which can operate under high-pressure gas environment. The metallization was created using thin film layers of titanium, platinum, gold and nickel deposited on a single crystal electronic grade CVD diamond chip. The contacts were 2 mm in diameter with thickness of 50/5/20/150 nm of Ti:Pt:Au:Ni. The optimum operating voltage of the sensor was determined from the current-voltage measurements. The sensor was calibrated with 239 Pu and 241 Am alpha radiation sources at 300 V. The energy resolution of the Ti:Pt:Au:Ni diamond sensor was determined to be 7.6% at 5.2 MeV of 239 Pu and 2.2% at 5.48 MeV of 241 Am. The high-pressure gas loading environment under which this sensor was used is discussed. Specifically, experimental observations are described using hydrogen loading of nickel as a means of initiating low energy nuclear reactions. No neutrons, electrons, ions or other ionizing radiations were observed in these experiments. Copyright © 2018 Elsevier Ltd. All rights reserved.

  4. Growth, characterization and properties of CVD diamond films for applications as radiation detectors

    International Nuclear Information System (INIS)

    Sciorti, S.

    1999-01-01

    The aim of the work is to give a picture of the current state of the art of CVD (chemical vapour deposition) diamond. The interest is due to the capability to grow over large areas a material with physical properties suitable for an impressive number of applications. The authors focuses on the potential of diamond as a radiation detector and gets into details of the huge field that extends from the thermochemistry of the deposition process to the test of a diamond-based tracker with a fast readout electronics

  5. Interlayers Applied to CVD Diamond Deposition on Steel Substrate: A Review

    Directory of Open Access Journals (Sweden)

    Djoille Denner Damm

    2017-09-01

    Full Text Available Academics and industry have sought after combining the exceptional properties of diamonds with the toughness of steel. Since the early 1990s several partial solutions have been found but chemical vapor deposition (CVD diamond deposition on steel substrate continues to be a persistent problem. The main drawbacks are the high carbon diffusion from gas phase into substrate, the transition metals on the material surface that catalyze sp2 bond formation, instead of sp3 bonds, and the high thermal expansion coefficient (TEC mismatch between diamond and steels. An intermediate layer has been found necessary to increase diamond adhesion. Literature has proposed many efficient intermediate layers as a diffusion barrier for both, carbon and iron, but most intermediate layers shown have not solved TEC mismatch. In this review, we briefly discuss the solutions that exclusively work as diffusion barrier and discuss in a broader way the ones that also solve, or may potentially solve, the TEC mismatch problem. We examine some multilayers, the iron borides, the chromium carbides, and vanadium carbides. We go through the most relevant results of the last two and a half decades, including recent advances in our group. Vanadium carbide looks promising since it has shown excellent diffusion barrier properties, its TEC is intermediary between diamond and steel and, it has been thickened to manage thermal stress relief. We also review a new deposition technique to set up intermediate layers: laser cladding. It is promising because of its versatility in mixing different materials and fusing and/or sintering them on a steel surface. We conclude by remarking on new perspectives.

  6. Deposition and Tribological Properties of Sulfur-Doped DLC Films Deposited by PBII Method

    Directory of Open Access Journals (Sweden)

    Nutthanun Moolsradoo

    2010-01-01

    Full Text Available Sulfur-doped diamond-like carbon films (S-DLC fabricated from C2H2 and SF6 mixtures were used to study the effects of sulfur content and negative pulse bias voltage on the deposition and tribological properties of films prepared by plasma-based ion implantation (PBII. The structure and relative concentration of the films were analyzed by Raman spectroscopy and Auger electron spectroscopy. Hardness and elastic modulus of films were measured by nanoindentation hardness testing. Tribological characteristics of films were performed using a ball-on-disk friction tester. The results indicate that with the increasing sulfur content, the hardness and elastic modulus decrease. Additionally, by changing the negative pulse bias voltage from 0 kV to −5 kV, the hardness and elastic modulus increase, while the friction coefficient and specific wear rate tends to decrease. Moreover, at a negative pulse bias voltage of −5 kV and flow-rate ratio of 1 : 2, there is considerable improvement in friction coefficient of 0.05 under ambient air is due to the formation of a transfer films on the interface. The decrease in the friction coefficient of films doped with 4.9 at.% sulfur is greater under high vacuum (0.03 than under ambient air (>0.1.

  7. Development of Single Crystal Chemical Vapor Deposition Diamonds for Detector Applications

    International Nuclear Information System (INIS)

    Kagan, Harris; Gan, K.K.; Kass, Richard

    2009-01-01

    Diamond was studied as a possible radiation hard technology for use in future high radiation environments. With the commissioning of the LHC expected in 2009, and the LHC upgrades expected in 2013, all LHC experiments are planning for detector upgrades which require radiation hard technologies. Chemical Vapor Deposition (CVD) diamond has now been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle and CDF and is installed in all LHC experiments. As a result, this material is now being discussed as an alternative sensor material for tracking very close to the interaction region of the super-LHC where the most extreme radiation conditions will exist. Our work addressed the further development of the new material, single-crystal Chemical Vapor Deposition diamond, towards reliable industrial production of large pieces and new geometries needed for detector applications.

  8. Comprehensive Evaluation of the Properties of Nanocrystalline Diamond Coatings Grown Using CVD with E/H Field Glow Discharge Stabilization

    Directory of Open Access Journals (Sweden)

    Iu. Nasieka

    2015-01-01

    Full Text Available The nanocrystalline diamond films (coatings were prepared using the plasma enhanced chemical vapor deposition (PECVD technique. In this method, direct current (DC glow discharge in the crossed E/H fields was used to activate the gas phase. The diamond coatings were deposited from the working gas mixture CH4/H2 with addition of nitrogen in various concentrations. It was ascertained that addition of N2 to the working gas mixture leads to reduction in the sizes of diamond grains as well as to the substantial decrease in the resistivity of the studied films. The electrophysical data are in good agreement with the changes induced by varying the N2 content in the Raman scattering spectra. The increase in the N2 concentration causes significant lowering of the crystalline diamond related peak and increase in the intensity of the peaks related to the sp2-bonded carbon. These changes in the spectra indicate significant disordering of the structure of prepared films and its uniformity in the nanodiamond film volume. With the great possibility, it is associated with a decrease in the sizes of diamond crystalline grains and tendency of NCD film to amorphization.

  9. Diamond-like carbon layers grown by electrochemical method-structural study

    International Nuclear Information System (INIS)

    Kulesza, S.; Szatkowski, J.; Lulinska, E.; Kozanecki, M.

    2008-01-01

    A simple method of production of diamond-like carbon (DLC) thin films on various substrates by means of electrolysis of liquid hydrocarbons under ambient conditions is described in the paper. The amount of sp 3 -hybridized carbon clusters within deposited films is a key parameter of their structural quality, and is investigated using scanning electron microscopy (SEM), and Raman spectroscopy. Obtained results indicate that although the electrolysis generally leads to granular DLC films contaminated with graphitic inclusions, providing current density larger than 520 mA cm -2 at 1700 V, sp 3 -rich microcrystals with sharp edges can be found as well. Micro-Raman spectroscopic data strongly suggest that these microcrystals are minute diamonds, which eventually opens up a new perspective for a low-temperature synthesis of diamond-related materials

  10. Modeling of diamond radiation detectors

    International Nuclear Information System (INIS)

    Milazzo, L.; Mainwood, A.

    2004-01-01

    We have built up a computer simulation of the detection mechanism in the diamond radiation detectors. The diamond detectors can be fabricated from a chemical vapour deposition polycrystalline diamond film. In this case, the trapping-detrapping and recombination at the defects inside the grains and at the grain boundaries degrade the transport properties of the material and the charge induction processes. These effects may strongly influence the device's response. Previous simulations of this kind of phenomena in the diamond detectors have generally been restricted to the simple detector geometries and homogeneous distribution of the defects. In our model, the diamond film (diamond detector) is simulated by a grid. We apply a spatial and time discretization, regulated by the grid resolution, to the equations describing the charge transport and, by using the Shockley-Ramo theorem, we calculate the signal induced on the electrodes. In this way, we can simulate the effects of the nonhomogeneous distributions of the trapping, recombination, or scattering centers and can investigate the differences observed when different particles, energies, and electrode configurations are used. The simulation shows that the efficiency of the detector increases linearly with the average grain size, that the charge collection distance is small compared to the dimensions of a single grain, and that for small grains, the trapping at the intragrain defects is insignificant compared to the effect of the grain boundaries

  11. Surface analytical investigation of diamond coatings and nucleation processes by secondary ion mass spectrometry

    International Nuclear Information System (INIS)

    Steiner, R.

    1993-10-01

    Imaging SIMS for the investigation of substrate surfaces: the influence of the substrate surface on diamond nucleation is a major topic in the investigation of the chemical vapour deposition (CVD) of diamond. It is well known that the nucleation density can be enhanced by scratching the substrate surface with abrasive powders. Diamond can nucleate at scratches or at residues of the polishing material. In the present work the surface of refractory metals (Mo, Nb, Ta, W) polished with silicon carbide and diamond powder is studied by imaging (2- or 3-D) secondary ion mass spectrometry (SIMS). In first experiments the distribution of SiC and/or diamond residues after polishing was determined. The reaction of diamond with the substrate during heating to deposition temperatures was investigated. Investigation of WC/Co hardmetal substrates: it is well known that Co contained in the binder phase of the hard metal inhibits a strong adhesion between the diamond film and the substrate, which is need for an application as cutting tool. Several attempts to improve the adhesion have been reported up to now. In this work a pre-treatment procedure leading to the formation of Co compounds (borides and silicides) which are stable under diamond deposition conditions were investigated. Furthermore, the application of intermediate sputter layers consisting of chromium and titanium were studied. Investigation of P-doped diamond coatings: in the quaternary phase diagram C-P-B-N exist some phases with diamond structure and superhard phases (e.g BP, c-BN). Also a hypothetical superhard phase of the composition C 3 N 4 is predicted. A scientific objective is the synthesis of such phases by chemical vapour deposition. An increase of the phosphorus concentration effects a distinct change in the morphology of the deposited coatings. A major advantage of SIMS is that the concentration profiles can be measured through the whole film, due to the sputter removal of the sample, and the interface

  12. Magnetic and cytotoxic properties of hot-filament chemical vapour deposited diamond

    Energy Technology Data Exchange (ETDEWEB)

    Zanin, Hudson, E-mail: hudsonzanin@gmail.com [Faculdade de Engenharia Eletrica e Computacao, Departamento de Semicondutores, Instrumentos e Fotonica, Universidade Estadual de Campinas, UNICAMP, Av. Albert Einstein N.400, CEP 13 083-852 Campinas, Sao Paulo (Brazil); Peterlevitz, Alfredo Carlos; Ceragioli, Helder Jose [Faculdade de Engenharia Eletrica e Computacao, Departamento de Semicondutores, Instrumentos e Fotonica, Universidade Estadual de Campinas, UNICAMP, Av. Albert Einstein N.400, CEP 13 083-852 Campinas, Sao Paulo (Brazil); Rodrigues, Ana Amelia; Belangero, William Dias [Laboratorio de Biomateriais em Ortopedia, Faculdade de Ciencias Medicas, Universidade Estadual de Campinas, Rua Cinco de Junho 350 CEP 13083970, Campinas, Sao Paulo (Brazil); Baranauskas, Vitor [Faculdade de Engenharia Eletrica e Computacao, Departamento de Semicondutores, Instrumentos e Fotonica, Universidade Estadual de Campinas, UNICAMP, Av. Albert Einstein N.400, CEP 13 083-852 Campinas, Sao Paulo (Brazil)

    2012-12-01

    Microcrystalline (MCD) and nanocrystalline (NCD) magnetic diamond samples were produced by hot-filament chemical vapour deposition (HFCVD) on AISI 316 substrates. Energy Dispersive X-ray Spectroscopy (EDS) measurements indicated the presence of Fe, Cr and Ni in the MCD and NCD samples, and all samples showed similar magnetisation properties. Cell viability tests were realised using Vero cells, a type of fibroblastic cell line. Polystyrene was used as a negative control for toxicity (NCT). The cells were cultured under standard cell culture conditions. The proliferation indicated that these magnetic diamond samples were not cytotoxic. - Highlights: Black-Right-Pointing-Pointer Polycrystalline diamonds doped with Fe, Cr and Ni acquire ferromagnetic properties. Black-Right-Pointing-Pointer CVD diamonds have been prepared with magnetic and semiconductor properties. Black-Right-Pointing-Pointer Micro/nanocrystalline diamonds show good cell viability with fibroblast proliferation.

  13. Rapid growth of diamond-like-carbon films by copper vapor laser ablation

    International Nuclear Information System (INIS)

    McLean, W.; Warner, B.E.; Havstad, M.A.

    1995-04-01

    Visible light from a copper vapor laser (CVL) operating with 510 and 578 nm radiation (intensity ratio approximately 2:1), an average power of 100 W, a pulse duration of 50 ns, and a repetition frequency of 4.4 kHz has been shown to produce high quality diamond-like-carbon (DLC) films at fluences between 2x10 8 and 5x10 10 W/cm 2 . Maximum deposition rates of 2000 μm·cm 2 /h were obtained at 5x10 8 W/cm 2 . DLC films with hardness values of approximately 60 GPa were characterized by a variety of techniques to confirm DLC character, hydrogen content, and surface morphology. The presence of C 2 in the vapor plume was confirmed by the presence of the C 2 Swan bands in emission spectra obtained during the process. Economic implications of process scale-up to industrially meaningful component sizes are presented

  14. Electrical properties of multilayer (DLC-TiC) films produced by pulsed laser deposition

    Science.gov (United States)

    Alawajji, Raad A.; Kannarpady, Ganesh K.; Nima, Zeid A.; Kelly, Nigel; Watanabe, Fumiya; Biris, Alexandru S.

    2018-04-01

    In this work, pulsed laser deposition was used to produce a multilayer diamond like carbon (ML (DLC-TiC)) thin film. The ML (DLC-TiC) films were deposited on Si (100) and glass substrates at various substrate temperatures in the range of 20-450 °C. Raman spectroscopy, x-ray photoelectron spectroscopy (XPS), and atomic force microscopy were utilized to characterize the prepared films. Raman analysis revealed that as the substrate temperature increased, the G-peak position shifted to a higher raman shift and the full width at half maximum of the G and D bands decreased. XPS analysis indicated a decrease in sp3/sp2 ratio and an increase in Ti-C bond intensity when the substrate temperature was increased. Additionally, the surface roughness of ML (DLC-TiC) filmswas affected by the type and temperature of the substrate. The electrical measurement results indicated that the electrical resistivity of the ML (DLC-TiC) film deposited on Si and glass substrates showed the same behavior-the resistivity decreased when substrate temperature increased. Furthermore, the ML (DLC-TiC) films deposited on silicon showed lower electrical resistivity, dropping from 8.39E-4 Ω-cm to 5.00E-4 Ω-cm, and, similarly, the films on the glass substrate displayed a drop in electrical resistivity from 1.8E-2 Ω-cm to 1.2E-3 Ω-cm. These enhanced electrical properties indicate that the ML (DLC-TiC) films have widespread potential as transducers for biosensors in biological research; electrochemical electrodes, because these films can be chemically modified; biocompatible coatings for medicals tools; and more.

  15. Zero bias thermally stimulated currents in synthetic diamond

    Science.gov (United States)

    Mori, R.; Miglio, S.; Bruzzi, M.; Bogani, F.; De Sio, A.; Pace, E.

    2009-06-01

    Zero bias thermally stimulated currents (ZBTSCs) have been observed in single crystal high pressure high temperature (HPHT) and polycrystalline chemical vapor deposited (pCVD) diamond films. The ZBTSC technique is characterized by an increased sensitivity with respect to a standard TSC analysis. Due to the absence of the thermally activated background current, new TSC peaks have been observed in both HPHT and pCVD diamond films, related to shallow activation energies usually obscured by the emission of the dominant impurities. The ZBTSC peaks are explained in terms of defect discharge in the nonequilibrium potential distribution created by a nonuniform traps filling at the metal-diamond junctions. The electric field due to the charged defects has been estimated in a quasizero bias TSC experiment by applying an external bias.

  16. Morphological and electrochemical properties of boron-doped diamond films on carbon cloths with enhanced surface area

    International Nuclear Information System (INIS)

    Silva, L.L.G.; Ferreira, N.G.; Corat, E.J.

    2008-01-01

    The electrochemical properties of doped diamond electrodes (10 17 -10 19 B cm -3 ) grown on carbon fiber cloths in H 2 SO 4 0.1 mol L -1 electrolyte were investigated. Cyclic voltammograms of B-doped diamond/carbon fiber cloth and carbon fiber cloth electrodes showed that both kinds of electrodes possess similar working potential windows of about 2.0 V. The electrode capacitance was determined by impedance spectroscopy and chronopotentiometry measurements and very close values were obtained. The capacitance values of the diamond film on carbon fiber cloths were 180 times higher than the ones of diamond films on Si. In this paper we have also discussed the capacitance frequency dependence of diamond/carbon cloth electrodes

  17. High collection efficiency CVD diamond alpha detectors

    International Nuclear Information System (INIS)

    Bergonzo, P.; Foulon, F.; Marshall, R.D.; Jany, C.; Brambilla, A.; McKeag, R.D.; Jackman, R.B.

    1998-01-01

    Advances in Chemical Vapor Deposited (CVD) diamond have enabled the routine use of this material for sensor device fabrication, allowing exploitation of its unique combination of physical properties (low temperature susceptibility (> 500 C), high resistance to radiation damage (> 100 Mrad) and to corrosive media). A consequence of CVD diamond growth on silicon is the formation of polycrystalline films which has a profound influence on the physical and electronic properties with respect to those measured on monocrystalline diamond. The authors report the optimization of physical and geometrical device parameters for radiation detection in the counting mode. Sandwich and co-planar electrode geometries are tested and their performances evaluated with regard to the nature of the field profile and drift distances inherent in such devices. The carrier drift length before trapping was measured under alpha particles and values as high as 40% of the overall film thickness are reported. Further, by optimizing the device geometry, they show that a gain in collection efficiency, defined as the induced charge divided by the deposited charge within the material, can be achieved even though lower bias values are used

  18. Characterization of diamond-like carbon coatings prepared by pulsed bias cathodic vacuum arc deposition

    International Nuclear Information System (INIS)

    Wu Jinbao; Chang, J.-J.; Li, M.-Y.; Leu, M.-S.; Li, A.-K.

    2007-01-01

    Hydrogen free diamond-like carbon (DLC) coatings have been deposited on Si(100) and stainless steel substrates by cathodic vacuum arc plasma deposition with pulse voltage. Adherent deposits on silicon can be obtained through applying gradient Ti/TiC/DLC layers. A pulse bias of - 100 V was applied to the substrate in order to obtain a denser structure of DLC coating approximately 1 μm thick. The microstructure and hardness value of DLC films were analyzed by using X-ray photoelectron spectroscopy and nano-indenter. The experimental results show that the duty cycle strongly influenced the hardness and sp 3 content of the DLC coatings. We observed that when the duty cycle was raised from 2.5% to 12.5%, the hardness increased from 26 GPa to 49 GPa, and the sp 3 fraction of the DLC films measured by XPS increased from 39% to 50.8 % as well. But at constant duty cycle, say 12.5%, the hardness is dropped from 49 to 14 GPa in proportion to the increase of residual gas pressure from 3 x 10 -3 Pa to 1 Pa. As the residual gas pressure increased, collisional phenomenon will decrease the energy of the ions. Ions with low energy make more graphitic carbon links and result in a low hardness value

  19. Boron-doped diamond electrode: synthesis, characterization, functionalization and analytical applications.

    Science.gov (United States)

    Luong, John H T; Male, Keith B; Glennon, Jeremy D

    2009-10-01

    In recent years, conductive diamond electrodes for electrochemical applications have been a major focus of research and development. The impetus behind such endeavors could be attributed to their wide potential window, low background current, chemical inertness, and mechanical durability. Several analytes can be oxidized by conducting diamond compared to other carbon-based materials before the breakdown of water in aqueous electrolytes. This is important for detecting and/or identifying species in solution since oxygen and hydrogen evolution do not interfere with the analysis. Thus, conductive diamond electrodes take electrochemical detection into new areas and extend their usefulness to analytes which are not feasible with conventional electrode materials. Different types of diamond electrodes, polycrystalline, microcrystalline, nanocrystalline and ultrananocrystalline, have been synthesized and characterized. Of particular interest is the synthesis of boron-doped diamond (BDD) films by chemical vapor deposition on various substrates. In the tetrahedral diamond lattice, each carbon atom is covalently bonded to its neighbors forming an extremely robust crystalline structure. Some carbon atoms in the lattice are substituted with boron to provide electrical conductivity. Modification strategies of doped diamond electrodes with metallic nanoparticles and/or electropolymerized films are of importance to impart novel characteristics or to improve the performance of diamond electrodes. Biofunctionalization of diamond films is also feasible to foster several useful bioanalytical applications. A plethora of opportunities for nanoscale analytical devices based on conducting diamond is anticipated in the very near future.

  20. Characterization of diamond-like carbon thin film synthesized by RF atmospheric pressure plasma Ar/CH4 jet

    Science.gov (United States)

    Sohbatzadeh, Farshad; Safari, Reza; Etaati, G. Reza; Asadi, Eskandar; Mirzanejhad, Saeed; Hosseinnejad, Mohammad Taghi; Samadi, Omid; Bagheri, Hanieh

    2016-01-01

    The growth of diamond like carbon (DLC) on a Pyrex glass was investigated by a radio frequency (RF) atmospheric pressure plasma jet (APPJ). The plasma jet with capacitive configuration ran by a radio frequency power supply at 13.56 MHz. Alumina ceramic was used as dielectric barrier. Ar and CH4 were used in atmospheric pressure as carrier and precursor gases, respectively. Diamond like carbon thin films were deposited on Pyrex glass at substrate temperature and applied power of 130 °C and 250 Watts, respectively. Performing field emission scanning electron microscope (FE-SEM) and laser Raman spectroscopy analysis resulted in deposition rate and the ID/IG ratio of 21.31 nm/min and 0.47, respectively. The ID/IG ratio indicated that the coating possesses relative high sp3 content The optical emission spectroscopy (OES) diagnostic was applied to diagnose plasma jet species. Estimating electron temperature and density of the RF-APPJ resulted in 1.36 eV and 2.75 × 1014 cm-3 at the jet exit, respectively.

  1. Synthesis and characterization of hard ternary AlMgB composite films prepared by sputter deposition

    Energy Technology Data Exchange (ETDEWEB)

    Yan Ce [Department of Physics and Materials Science and Center of Super-Diamond and Advanced Films, City University of Hong Kong (Hong Kong); Zhou, Z.F. [Department of Manufacturing Engineering and Engineering Management and Advanced Coatings Applied Research Laboratory, City University of Hong Kong (Hong Kong); Chong, Y.M.; Liu, C.P.; Liu, Z.T. [Department of Physics and Materials Science and Center of Super-Diamond and Advanced Films, City University of Hong Kong (Hong Kong); Li, K.Y., E-mail: mekyli@cityu.edu.h [Department of Manufacturing Engineering and Engineering Management and Advanced Coatings Applied Research Laboratory, City University of Hong Kong (Hong Kong); Bello, I., E-mail: apibello@cityu.edu.h [Department of Physics and Materials Science and Center of Super-Diamond and Advanced Films, City University of Hong Kong (Hong Kong); Kutsay, O.; Zapien, J.A.; Zhang, W.J. [Department of Physics and Materials Science and Center of Super-Diamond and Advanced Films, City University of Hong Kong (Hong Kong)

    2010-07-30

    Hard and superlight thin films laminated with boron carbide have been proposed as candidates for strategic use such as armor materials in military and space applications. Aluminum magnesium boride (AlMgB) films are excellent candidates for these purposes. We prepared AlMgB films by sputter deposition using multiple unbalanced planar magnetrons equipped with two boron and one AlMg targets. The film morphology changed and the film's root mean square (rms) roughness varied from 1.0 to 18 nm as the power density of the AlMg target increased from 0.2 to 1.0 W/cm{sup 2} while the power density of each boron target was maintained at 2 W/cm{sup 2}. Chemical analyses show dominating Al, Mg, B and trace elements of oxygen, carbon and argon. The film composition also varies with altering the power density supplied to the AlMg target. The film with an atomic ratio of Al:Mg:B = 1.38:0.64:1 exhibits the highest hardness ({approx} 30 GPa). This value surpasses the hardness of hydrogenated diamond-like carbon films (24-28 GPa) prepared by plasma enhanced chemical vapor deposition.

  2. Synthesis and characterization of hard ternary AlMgB composite films prepared by sputter deposition

    International Nuclear Information System (INIS)

    Yan Ce; Zhou, Z.F.; Chong, Y.M.; Liu, C.P.; Liu, Z.T.; Li, K.Y.; Bello, I.; Kutsay, O.; Zapien, J.A.; Zhang, W.J.

    2010-01-01

    Hard and superlight thin films laminated with boron carbide have been proposed as candidates for strategic use such as armor materials in military and space applications. Aluminum magnesium boride (AlMgB) films are excellent candidates for these purposes. We prepared AlMgB films by sputter deposition using multiple unbalanced planar magnetrons equipped with two boron and one AlMg targets. The film morphology changed and the film's root mean square (rms) roughness varied from 1.0 to 18 nm as the power density of the AlMg target increased from 0.2 to 1.0 W/cm 2 while the power density of each boron target was maintained at 2 W/cm 2 . Chemical analyses show dominating Al, Mg, B and trace elements of oxygen, carbon and argon. The film composition also varies with altering the power density supplied to the AlMg target. The film with an atomic ratio of Al:Mg:B = 1.38:0.64:1 exhibits the highest hardness (∼ 30 GPa). This value surpasses the hardness of hydrogenated diamond-like carbon films (24-28 GPa) prepared by plasma enhanced chemical vapor deposition.

  3. [Influence of deposition time on chromatics during nitrogen-doped diamond like carbon coating on pure titanium].

    Science.gov (United States)

    Yin, Lu; Yao, Jiang-wu; Xu, De-wen

    2010-10-01

    The aim of this study was to observed the influence of deposition time on chromatics during nitrogen-doped diamond like carbon coating (N-DLC) on pure titanium by multi impulse are plasma plating machine. Applying multi impulse are plasma plating machine to produce TiN coatings on pure titanium in nitrogen atmosphere, then filming with nitrogen-doped DLC on TiN in methane (10-80 min in every 5 min). The colors of N-DLC were evaluated in the CIE1976 L*a*b* uniform color scale and Mussell notation. The surface morphology of every specimen was analyzed using scanning electron microscope (SEM) and X-ray photoelectron spectroscopy (XPS). When changing the time of N-DLC coating deposition, N-DLC surface showed different color. Golden yellow was presented when deposition time was 30 min. SEM showed that crystallization was found in N-DLC coatings, the structure changed from stable to clutter by varying the deposition time. The chromatics of N-DLC coatings on pure titanium could get golden yellow when deposition time was 30 min, then the crystallized structure was stable.

  4. Electrochemical and morphological characterization of gold nanoparticles deposited on boron-doped diamond electrode

    Energy Technology Data Exchange (ETDEWEB)

    Limat, Meriadec; El Roustom, Bahaa [Ecole Polytechnique Federale de Lausanne (EPFL), Institute of Chemical Sciences and Engineering, CH-1015 Lausanne (Switzerland); Jotterand, Henri [Ecole Polytechnique Federale de Lausanne (EPFL), Institute of Physics of the Complex Matter, CH-1015 Lausanne (Switzerland); Foti, Gyoergy [Ecole Polytechnique Federale de Lausanne (EPFL), Institute of Chemical Sciences and Engineering, CH-1015 Lausanne (Switzerland)], E-mail: gyorgy.foti@epfl.ch; Comninellis, Christos [Ecole Polytechnique Federale de Lausanne (EPFL), Institute of Chemical Sciences and Engineering, CH-1015 Lausanne (Switzerland)

    2009-03-30

    A novel two-step method was employed to synthesize gold nanoparticles dispersed on boron-doped diamond (BDD) electrode. It consisted of sputter deposition at ambient temperature of maximum 15 equivalent monolayers of gold, followed by a heat treatment in air at 600 deg. C. Gold nanoparticles with an average diameter between 7 and 30 nm could be prepared by this method on polycrystalline BDD film electrode. The obtained Au/BDD composite electrode appeared stable under conditions of electrochemical characterization performed using ferri-/ferrocyanide and benzoquinone/hydroquinone redox couples in acidic medium. The electrochemical behavior of Au/BDD was compared to that of bulk Au and BDD electrodes. Finally, the Au/BDD composite electrode was regarded as an array of Au microelectrodes dispersed on BDD substrate.

  5. Electrochemical and morphological characterization of gold nanoparticles deposited on boron-doped diamond electrode

    International Nuclear Information System (INIS)

    Limat, Meriadec; El Roustom, Bahaa; Jotterand, Henri; Foti, Gyoergy; Comninellis, Christos

    2009-01-01

    A novel two-step method was employed to synthesize gold nanoparticles dispersed on boron-doped diamond (BDD) electrode. It consisted of sputter deposition at ambient temperature of maximum 15 equivalent monolayers of gold, followed by a heat treatment in air at 600 deg. C. Gold nanoparticles with an average diameter between 7 and 30 nm could be prepared by this method on polycrystalline BDD film electrode. The obtained Au/BDD composite electrode appeared stable under conditions of electrochemical characterization performed using ferri-/ferrocyanide and benzoquinone/hydroquinone redox couples in acidic medium. The electrochemical behavior of Au/BDD was compared to that of bulk Au and BDD electrodes. Finally, the Au/BDD composite electrode was regarded as an array of Au microelectrodes dispersed on BDD substrate

  6. Role of nucleation in nanodiamond film growth

    International Nuclear Information System (INIS)

    Lifshitz, Y.; Lee, C.H.; Wu, Y.; Zhang, W.J.; Bello, I.; Lee, S.T.

    2006-01-01

    Nanodiamond films were deposited using different microwave plasma chemical vapor deposition schemes following several nucleation pretreatment methods. The nucleation efficiency and the films structure were investigated using scanning and transmission electron microscopy and Raman spectroscopy. C 2 dimer growth (CH 4 and H 2 in 90% Ar) cannot nucleate diamond and works only on existing diamond surfaces. The methyl radical process (up to 20% CH 4 in H 2 ) allows some nucleation probability on appropriate substrates. Prolonged bias enhanced nucleation initiates both diamond nucleation and growth. C 2 dimer growth results in pure nanodiamond free of amorphous carbon, while prolonged bias enhanced nucleation forms an amorphous carbon/nanodiamond composite

  7. Nucleation of microwave plasma CVD diamond on molybdenum (Mo) substrate

    International Nuclear Information System (INIS)

    Inderjeet, K.; Ramesh, S.

    2000-01-01

    Molybdenum is a metal, which is gaining increasing significance in industrial applications. The main use of Mo is as all alloying element added in small amounts to steel, irons and non- ferrous alloys in order to enhance the strength, toughness and wear resistance. Mo is also vastly being employed in the automotive and aircraft industries, mainly due to its low coefficient of friction. Diamond, on be other hand, is a unique material for innumerable applications because of its usual combination of physical and chemical properties. Several potential applications can be anticipated for diamond in many sectors including electronics, optics, as protective corrosion resistant coatings, cutting tools, etc. With the enhancement in science and technology, diamond microcrystals and thin films are now being produced from the vapour phase by a variety of chemical vapour deposition (CVD) techniques; such as microwave plasma CVD. With such technology being made available, it is envisage that diamond-coated molybdenum would further enhance the performance and to open up new avenue for Mo in various industries. Therefore, it is the aim of the present work to study the nucleation and growth of diamond particles on Mo surface by employing microwave plasma CVD (MAPCVD). In the present work, diamond deposition was carried out in several stages by varying the deposition distance. The nucleation and growth rate were studied using scanning electron microscopy (SEM). In addition, the existence of diamond was verified by X-ray diffraction (XRD) analysis. It has been found that the nucleation and growth rate of diamond particles were influenced by the deposition height between the substrate and plasma. Under the optimum condition, well defined diamond crystallites distributed homogeneously throughout the surface, could be obtained. Some of the important parameters controlling the deposition and growth of diamond particles on Mo surface are discussed. (author)

  8. Effect of substrate bias voltage on tensile properties of single crystal silicon microstructure fully coated with plasma CVD diamond-like carbon film

    Science.gov (United States)

    Zhang, Wenlei; Hirai, Yoshikazu; Tsuchiya, Toshiyuki; Tabata, Osamu

    2018-06-01

    Tensile strength and strength distribution in a microstructure of single crystal silicon (SCS) were improved significantly by coating the surface with a diamond-like carbon (DLC) film. To explore the influence of coating parameters and the mechanism of film fracture, SCS microstructure surfaces (120 × 4 × 5 μm3) were fully coated by plasma enhanced chemical vapor deposition (PECVD) of a DLC at five different bias voltages. After the depositions, Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), thermal desorption spectrometry (TDS), surface profilometry, atomic force microscope (AFM) measurement, and nanoindentation methods were used to study the chemical and mechanical properties of the deposited DLC films. Tensile test indicated that the average strength of coated samples was 13.2-29.6% higher than that of the SCS sample, and samples fabricated with a -400 V bias voltage were strongest. The fracture toughness of the DLC film was the dominant factor in the observed tensile strength. Deviations in strength were reduced with increasingly negative bias voltage. The effect of residual stress on the tensile properties is discussed in detail.

  9. Interfacial chemical bonding state and band alignment of CaF2/hydrogen-terminated diamond heterojunction

    International Nuclear Information System (INIS)

    Liu, J. W.; Liao, M. Y.; Cheng, S. H.; Imura, M.; Koide, Y.

    2013-01-01

    CaF 2 films are deposited on hydrogen-terminated diamond (H-diamond) by a radio-frequency sputter-deposition technique at room temperature. Interfacial chemical bonding state and band alignment of CaF 2 /H-diamond heterojunction are investigated by X-ray photoelectron spectroscopy. It is confirmed that there are only C-Ca bonds at the CaF 2 /H-diamond heterointerface. Valence and conductance band offsets of the CaF 2 /H-diamond heterojunciton are determined to be 3.7 ± 0.2 and 0.3 ± 0.2 eV, respectively. It shows a type I straddling band configuration. The large valence band offset suggests advantage of the CaF 2 /H-diamond heterojunciton for the development of high power and high frequency field effect transistors.

  10. Synthesis and tribological behaviors of diamond-like carbon films by electrodeposition from solution of acetonitrile and water

    International Nuclear Information System (INIS)

    Zhang Jisheng; Huang Lina; Yu Laigui; Zhang Pingyu

    2008-01-01

    Diamond-like carbon (DLC) films were prepared on silicon substrates by liquid phase electrodeposition from a mixture of acetonitrile and deionized water. The deposition voltage was clearly reduced owing to the presence of deionized water in the electrolyte by changing the basic properties (dielectric constant and dipole moment) of the electrolyte. Raman spectra reveal that the ratio of sp 3 /sp 2 in the DLC films is related to the concentration of acetonitrile. The surface roughness and grain morphology determined by atomic force microscopy are also influenced by the concentration of the acetonitrile. The UMT-2 universal micro-tribometer was used to test the friction properties of the DLC films obtained from electrolytes with different concentration. The results convey that the DLC film prepared from the electrolyte containing 10 vol.% acetonitrile has the better surface morphology and friction behavior comparing with the other. In addition the growth mechanism of the film was also discussed

  11. Flexible diamond-like carbon film coated on rubber

    NARCIS (Netherlands)

    Pei, Y.T.; Bui, X.L.; Pal, J.P. van der; Martinez-Martinez, D.; Hosson, J.Th.M. De

    2013-01-01

    Dynamic rubber seals are major sources of friction of lubrication systems and bearings, which may take up to 70% of the total friction. The solution we present is to coat rubbers with diamond-like carbon (DLC) thin films by which the coefficient of friction is reduced to less than one tenth. Coating

  12. Effect of titanium incorporation on the structural, mechanical and biocompatible properties of DLC thin films prepared by reactive-biased target ion beam deposition method

    International Nuclear Information System (INIS)

    Bharathy, P. Vijai; Nataraj, D.; Chu, Paul K.; Wang, Huaiyu; Yang, Q.; Kiran, M.S.R.N.; Silvestre-Albero, J.; Mangalaraj, D.

    2010-01-01

    Amorphous diamond like carbon (DLC) and titanium incorporated diamond like carbon (Ti-DLC) thin films were deposited by using reactive-biased target ion beam deposition method. The effects of Ti incorporation and target bias voltage on the microstructure and mechanical properties of the as-deposited films were investigated by means of X-ray photoelectron spectroscopy, Raman spectroscopy, transmission electron microscopy and nano-indentation. It was found that the Ti content in Ti-DLC films gets increased with increasing target bias voltage. At about 4.2 at.% of Ti, uniform sized well dispersed nanocrystals were seen in the DLC matrix. Using FFT analysis, a facility available in the TEM, it was found that the nanocrystals are in cubic TiC phase. Though at the core, the incorporated Ti atoms react with carbon to form cubic TiC; most of the surface exposed Ti atoms were found to react with the atmospheric oxygen to form weakly bonded Ti-O. The presence of TiC nanocrystals greatly modified the sp 3 /sp 2 hybridized bonding ratio and is reflected in mechanical hardness of Ti-DLC films. These films were then tested for their biocompatibility by an invitro cell culturing test. Morphological observation and the cell proliferation test have demonstrated that the human osteoblast cells well attach and proliferate on the surface of Ti incorporated DLC films, suggesting possible applications in bone related implant coatings.

  13. Preparation of TiO{sub 2}/boron-doped diamond/Ta multilayer films and use as electrode materials for supercapacitors

    Energy Technology Data Exchange (ETDEWEB)

    Shi, Chao, E-mail: sc_sq1988@163.com [Tianjin Key Laboratory of Film Electronic and Communicate Devices, School of Electronics Information Engineering, Tianjin University of Technology, Tianjin 300384 (China); Li, Hongji, E-mail: hongjili@yeah.net [Tianjin Key Laboratory of Organic Solar Cells and Photochemical Conversion, School of Chemistry and Chemical Engineering, Tianjin University of Technology, Tianjin 300384 (China); Li, Cuiping, E-mail: licp226@126.com [Tianjin Key Laboratory of Film Electronic and Communicate Devices, School of Electronics Information Engineering, Tianjin University of Technology, Tianjin 300384 (China); Li, Mingji, E-mail: limingji@163.com [Tianjin Key Laboratory of Film Electronic and Communicate Devices, School of Electronics Information Engineering, Tianjin University of Technology, Tianjin 300384 (China); Qu, Changqing, E-mail: quchangqing@tjut.edu.cn [Tianjin Key Laboratory of Film Electronic and Communicate Devices, School of Electronics Information Engineering, Tianjin University of Technology, Tianjin 300384 (China); Yang, Baohe, E-mail: bhyang207@163.com [Tianjin Key Laboratory of Film Electronic and Communicate Devices, School of Electronics Information Engineering, Tianjin University of Technology, Tianjin 300384 (China)

    2015-12-01

    Highlights: • BDD film was deposited on Ta substrate by hot filament CVD method. • Ti layer was deposited on BDD film by radio frequency magnetron sputtering. • Nanostructured TiO{sub 2}/BDD/nanoporous Ta films were prepared. • The films exhibit good capacitance performance and excellent stability. - Abstract: We report nanostructured TiO{sub 2}/boron-doped diamond (BDD)/Ta multilayer films and their electrochemical performances as supercapacitor electrodes. The BDD films were grown on Ta substrates using electron-assisted hot filament chemical vapor deposition. Ti metal layers were deposited on the BDD surfaces by radio frequency magnetron sputtering, and nanostructured TiO{sub 2}/BDD/Ta thin films were prepared by electrochemical etching and thermal annealing. The successful formation of TiO{sub 2} and Ta layered nanostructures was demonstrated using scanning electron and transmission electron microscopies. The electrochemical responses of these electrodes were evaluated by examining their use as electrical double-layer capacitors, using cyclic voltammetry, and galvanostatic charge/discharge and impedance measurements. When the TiO{sub 2}/BDD/Ta film was used as the working electrode with 0.1 M Na{sub 2}SO{sub 4} as the electrolyte, the capacitor had a specific capacitance of 5.23 mF cm{sup −2} at a scan rate of 5 mV s{sup −1} for a B/C ratio of 0.1% w/w. Furthermore, the TiO{sub 2}/BDD/Ta film had improved electrochemical stability, with a retention of 89.3% after 500 cycles. This electrochemical behavior is attributed to the quality of the BDD, the surface roughness and electrocatalytic activities of the TiO{sub 2} layer and Ta nanoporous structures, and the synergies between them. These results show that TiO{sub 2}/BDD/Ta films are promising as capacitor electrodes for special applications.

  14. Modified diamond electrodes for electrolysis and electroanalysis applications

    International Nuclear Information System (INIS)

    Einaga, Yasuaki; Sato, Rika; Olivia, Herlambang; Shin, Dongchan; Ivandini, T.A.; Fujishima, Akira

    2004-01-01

    The outstanding properties of diamond make it a very attractive material for use in many potential applications. In particular, the superior electrochemical properties of highly boron-doped conductive diamond films, prepared by the chemical vapor deposition (CVD) process, have received attention from electrochemists. This paper reports several diversified applications of boron-doped diamond electrodes; highly sensitive and interference-free microfiber electrodes with over-oxidized polypyrrole modification, integrated electrochemical detector for microchip capillary electrophoresis (CE), and smoothing treatments of micro-polycrystalline surface. Studies have been made of the electrochemical properties of each system and their application in electroanalysis is discussed

  15. ZnO film deposition on Al film and effects of deposition temperature on ZnO film growth characteristics

    International Nuclear Information System (INIS)

    Yoon, Giwan; Yim, Munhyuk; Kim, Donghyun; Linh, Mai; Chai, Dongkyu

    2004-01-01

    The effects of the deposition temperature on the growth characteristics of the ZnO films were studied for film bulk acoustic wave resonator (FBAR) device applications. All films were deposited using a radio frequency magnetron sputtering technique. It was found that the growth characteristics of ZnO films have a strong dependence on the deposition temperature from 25 to 350 deg. C. ZnO films deposited below 200 deg. C exhibited reasonably good columnar grain structures with highly preferred c-axis orientation while those above 200 deg. C showed very poor columnar grain structures with mixed-axis orientation. This study seems very useful for future FBAR device applications

  16. The Barrier Properties of PET Coated DLC Film Deposited by Microwave Surface-Wave PECVD

    Science.gov (United States)

    Yin, Lianhua; Chen, Qiang

    2017-12-01

    In this paper we report the investigation of diamond-like carbon (DLC) deposited by microwave surface-wave plasma enhanced chemical vapor deposition (PECVD) on the polyethylene terephthalate (PET) web for the purpose of the barrier property improvement. In order to characterize the properties of DLC coatings, we used several substrates, silicon wafer, glass, and PET web and KBr tablet. The deposition rate was obtained by surface profiler based on the DLC deposited on glass substrates; Fourier transform infrared spectroscope (FTIR) was carried out on KBr tablets to investigate chemical composition and bonding structure; the morphology of the DLC coating was analyzed by atomic force microscope (AFM) on Si substrates. For the barrier properties of PET webs, we measured the oxygen transmission rate (OTR) and water vapor transmission rate (WVTR) after coated with DLC films. We addressed the film barrier property related to process parameters, such as microwave power and pulse parameter in this work. The results show that the DLC coatings can greatly improve the barrier properties of PET webs.

  17. Study of PECVD films containing flourine and carbon and diamond like carbon films for ultra low dielectric constant interlayer dielectric applications

    Science.gov (United States)

    Sundaram, Nandini Ganapathy

    precursors. Pre and post-anneal structural properties of the deposited thin film were studied using laser excitation of 633 nm in a Jobin Yvon Labram high-resolution micro-Raman spectrometer. The film was further characterized using AFM, FTIR, XRD, goniometry and electrical testing. Average film roughness as measured by AFM was less than 1 nm, the k-value was 2.5, and the contact angle with water was 42°. Lastly, layered dielectric films comprising of Diamond like Carbon (DLC) and Amorphous Fluorocarbon (a:C-F) were generated using three different stack configurations and subsequently evaluated. Seven unique process conditions generated promising stacks with k-values between 1.69 and 1.95. Of these, only one film exhibited very low shrinkage rates acceptable for semiconductor device processing. Annealed a:C-F films with DLC top coat are similar in bonding structure to as deposited FC films proving that DLC deposition significantly modified the bonding structure of the underlying annealed a:C-F film. Stacks comprised of a:C-F films with higher oxygen content, deposited using high FRRs exhibited both macro and microbuckling to a larger degree and extent. Film integrity was preserved by annealing the Fluorocarbon component or by providing a DLC base coat.

  18. Diamond and Diamond-Like Materials as Hydrogen Isotope Barriers

    International Nuclear Information System (INIS)

    Foreman, L.R.; Barbero, R.S.; Carroll, D.W.; Archuleta, T.; Baker, J.; Devlin, D.; Duke, J.; Loemier, D.; Trukla, M.

    1999-01-01

    This is the final report of a two-year, Laboratory Directed Research and Development (LDRD) project at Los Alamos National Laboratory (LANL). The purpose of this project was to develop diamond and diamond-like thin-films as hydrogen isotope permeation barriers. Hydrogen embrittlement limits the life of boost systems which otherwise might be increased to 25 years with a successful non-reactive barrier. Applications in tritium processing such as bottle filling processes, tritium recovery processes, and target filling processes could benefit from an effective barrier. Diamond-like films used for low permeability shells for ICF and HEDP targets were also investigated. Unacceptable high permeabilities for hydrogen were obtained for plasma-CVD diamond-like-carbon films

  19. CVD Diamond, DLC, and c-BN Coatings for Solid Film Lubrication

    Science.gov (United States)

    Miyoshi, Kazuhisa

    1998-01-01

    When the main criteria for judging coating performance were coefficient of friction and wear rate, which had to be less than 0.1 and 10(exp -6) mm(exp 3)/N-m, respectively, carbon- and nitrogen-ion-implanted, fine-grain CVD diamond and DLC ion beam deposited on fine-grain CVD diamond met the requirements regardless of environment (vacuum, nitrogen, and air).

  20. Performance of CVD diamond as an optically and thermally stimulated luminescence dosemeter

    International Nuclear Information System (INIS)

    Preciado-Flores, S.; Schreck, M.; Melendrez, R.; Chernov, V.; Bernal, R.; Cruz-Vazquez, C.; Cruz-Zaragoza, E.; Barboza-Flores, M.

    2006-01-01

    Diamond is a material with extreme physical properties. Its radiation hardness, chemical inertness and tissue equivalence qualify it as an ideal material for radiation dosimetry. In the present work, the optically stimulated luminescence (OSL) and thermoluminescence (TL) characteristics of a 10 μm thick CVD diamond (polycrystalline diamond films prepared by chemical vapor deposition) film were studied in order to test its performance as a beta radiation dosemeter. The TL response is composed of four main TL glow peaks; two of these are in the range of 150-200 deg. C and two additional peaks in the 250-400 deg. C temperature range. The integrated TL as a function of radiation dose is linear up to 100 Gy and increases with increasing dose exposure. The dose dependence of the integrated OSL exhibits a similar behavior. The observed OSL/TL behavior for the CVD diamond film clearly demonstrate its capability for applications in radiation dosimetry with special relevance in medical dosimetry owing to the diamond's intrinsic material properties. (authors)

  1. Biological responses of diamond-like carbon (DLC) films with different structures in biomedical application.

    Science.gov (United States)

    Liao, T T; Zhang, T F; Li, S S; Deng, Q Y; Wu, B J; Zhang, Y Z; Zhou, Y J; Guo, Y B; Leng, Y X; Huang, N

    2016-12-01

    Diamond-like carbon (DLC) films are potential candidates for artificial joint surface modification in biomedical applications, and the influence of the structural features of DLC surfaces on cell functions has attracted attention in recent decades. Here, the biocompatibility of DLC films with different structures was investigated using macrophages, osteoblasts and fibroblasts. The results showed that DLC films with a low ratio of sp(2)/sp(3), which tend to have a structure similar to that of diamond, led to less inflammatory, excellent osteogenic and fibroblastic reactions, with higher cell viability, better morphology, lower release of TNF-α (tumor necrosis factor-α) and IL-6 (interleukin-6), and higher release of IL-10 (interleukin-10). The results also demonstrated that the high-density diamond structure (low ratio of sp(2)/sp(3)) of DLC films is beneficial for cell adhesion and growth because of better protein adsorption without electrostatic repulsion. These findings provide valuable insights into the mechanisms underlying inhibition of an inflammatory response and the promotion of osteoblastogenesis and fibrous propagation, and effectively build a system for evaluating the biocompatibility of DLC films. Copyright © 2016 Elsevier B.V. All rights reserved.

  2. Ultrananocrystalline diamond film as a wear resistant and protective coating for mechanical seal applications

    International Nuclear Information System (INIS)

    Sumant, A.V.; Krauss, A.R.; Gruen, D.M.; Auciello, O.; Erdemir, A.; Williams, M.; Artiles, A.F.; Adams, W.

    2005-01-01

    Mechanical shaft seals used in pumps are critically important to the safe operation of the paper, pulp, and chemical process industry, as well as petroleum and nuclear power plants. Specifically, these seals prevent the leakage of toxic gases and hazardous chemicals to the environment and final products from the rotating equipment used in manufacturing processes. Diamond coatings have the potential to provide negligible wear, ultralow friction, and high corrosion resistance for the sliding surfaces of mechanical seals, because diamond exhibits outstanding tribological, physical, and chemical properties. However, diamond coatings produced by conventional chemical vapor deposition (CVD) exhibit high surface roughness (R a ≥ 1 μm), which results in high wear of the seal counterface, leading to premature seal failure. To avoid this problem, we have developed an ultrananocrystalline diamond (UNCD) film formed by a unique CH 4 /Ar microwave plasma CVD method. This method yields extremely smooth diamond coatings with surface roughness R a = 20-30 nm and an average grain size of 2-5 nm. We report the results of a systematic test program involving uncoated and UNCD-coated SiC shaft seals. Results confirmed that the UNCD-coated seals exhibited neither measurable wear nor any leakage during long-duration tests that took 21 days to complete. In addition, the UNCD coatings reduced the frictional torque for seal rotation by five to six times compared with the uncoated seals. This work promises to lead to rotating shaft seals with much improved service life, reduced maintenance cost, reduced leakage of environmentally hazardous materials, and increased energy savings. This technology may also have many other tribological applications involving rolling or sliding contacts.

  3. Application of printed nanocrystalline diamond film for electron emission cathode

    International Nuclear Information System (INIS)

    Zhang Xiuxia; Wei Shuyi; Lei Chongmin; Wei Jie; Lu Bingheng; Ding Yucheng; Zhu Changchun

    2011-01-01

    The low-cost and large area screen-printed nano-diamond film (NDF) for electronic emission was fabricated. The edges and corners of nanocrystalline diamond are natural field-emitters. The nano-diamond paste for screen-printing was fabricated of mixing nano-graphite and other inorganic or organic vehicles. Through enough disperse in isopropyl alcohol by ultrasonic nano-diamond paste was screen-printed on the substrates to form NDF. SEM images showed that the surface morphology of NDF was improved, and the nano-diamond emitters were exposed from NDF through the special thermal-sintering technique and post-treatment process. The field emission characteristics of NDF were measured under all conditions with 10 -6 Pa pressure. The results indicated that the field emission stability and emission uniformity of NDF were improved through hydrogen plasma post-treatment process. The turn-on field decreased from 1.60 V/μm to 1.25 V/μm. The screen-printed NDF can be applied to the displays electronic emission cathode for low-cost outdoor in large area.

  4. Effect of doping on electronic states in B-doped polycrystalline CVD diamond films

    International Nuclear Information System (INIS)

    Elsherif, O S; Vernon-Parry, K D; Evans-Freeman, J H; May, P W

    2012-01-01

    High-resolution Laplace deep-level transient spectroscopy (LDLTS) and thermal admittance spectroscopy (TAS) have been used to determine the effect of boron (B) concentration on the electronic states in polycrystalline chemical vapour deposition diamond thin films grown on silicon by the hot filament method. A combination of high-resolution LDLTS and direct-capture cross-sectional measurements was used to investigate whether the deep electronic states present in the layers originated from point or extended defects. There was good agreement between data on deep electronic levels obtained from DLTS and TAS experiments. Two hole traps, E1 (0.29 eV) and E2 (0.53 eV), were found in a film with a boron content of 1 × 10 19 cm −3 . Both these levels and an additional level, E3 (0.35 eV), were found when the B content was increased to 4 × 10 19 cm −3 . Direct capture cross-sectional measurements of levels E1 and E2 show an unusual dependence on the fill-pulse duration which is interpreted as possibly indicating that the levels are part of an extended defect. The E3 level found in the more highly doped film consisted of two closely spaced levels, both of which show point-like defect characteristics. The E1 level may be due to B-related extended defects within the grain boundaries, whereas the ionization energy of the E2 level is in agreement with literature values from ab initio calculations for B–H complexes. We suggest that the E3 level is due to isolated B-related centres in bulk diamond. (paper)

  5. Coating NiTi archwires with diamond-like carbon films: reducing fluoride-induced corrosion and improving frictional properties.

    Science.gov (United States)

    Huang, S Y; Huang, J J; Kang, T; Diao, D F; Duan, Y Z

    2013-10-01

    This study aims to coat diamond-like carbon (DLC) films onto nickel-titanium (NiTi) orthodontic archwires. The film protects against fluoride-induced corrosion and will improve orthodontic friction. 'Mirror-confinement-type electron cyclotron resonance plasma sputtering' was utilized to deposit DLC films onto NiTi archwires. The influence of a fluoride-containing environment on the surface topography and the friction force between the brackets and archwires were investigated. The results confirmed the superior nature of the DLC coating, with less surface roughness variation for DLC-coated archwires after immersion in a high fluoride ion environment. Friction tests also showed that applying a DLC coating significantly decreased the fretting wear and the coefficient of friction, both in ambient air and artificial saliva. Thus, DLC coatings are recommended to reduce fluoride-induced corrosion and improve orthodontic friction.

  6. High-Current Cold Cathode Employing Diamond and Related Materials

    Energy Technology Data Exchange (ETDEWEB)

    Hirshfield, Jay L. [Omega-P, Inc., New Haven, CT (United States)

    2014-10-22

    The essence of this project was for diamond films to be deposited on cold cathodes to improve their emission properties. Films with varying morphology, composition, and size of the crystals were deposited and the emission properties of the cathodes that utilize such films were studied. The prototype cathodes fabricated by the methods developed during Phase I were tested and evaluated in an actual high-power RF device during Phase II. These high-power tests used the novel active RF pulse compression system and the X-band magnicon test facility at US Naval Research Laboratory. In earlier tests, plasma switches were employed, while tests under this project utilized electron-beam switching. The intense electron beams required in the switches were supplied from cold cathodes embodying diamond films with varying morphology, including uncoated molybdenum cathodes in the preliminary tests. Tests with uncoated molybdenum cathodes produced compressed X-band RF pulses with a peak power of 91 MW, and a maximum power gain of 16.5:1. Tests were also carried out with switches employing diamond coated cathodes. The pulse compressor was based on use of switches employing electron beam triggering to effect mode conversion. In experimental tests, the compressor produced 165 MW in a ~ 20 ns pulse at ~18× power gain and ~ 140 MW at ~ 16× power gain in a 16 ns pulse with a ~ 7 ns flat-top. In these tests, molybdenum blade cathodes with thin diamond coatings demonstrated good reproducible emission uniformity with a 100 kV, 100 ns high voltage pulse. The new compressor does not have the limitations of earlier types of active pulse compressors and can operate at significantly higher electric fields without breakdown.

  7. Optical characterization of hydrogen-free CeO2 doped DLC films deposited by unbalanced magnetron sputtering

    International Nuclear Information System (INIS)

    Zhang Zhenyu; Zhou Hongxiu; Guo Dongming; Gao Hang; Kang Renke

    2008-01-01

    A novel kind of hydrogen-free CeO 2 doped diamond-like carbon (DLC) films with thickness of 180-200 nm were deposited on silicon by unbalanced magnetron sputtering. Reduced reflectance and increased lifetime are expected with respect to pure DLC films, making these coatings good candidates as optical protective coatings for IR windows and solar cells. X-ray photoelectron spectroscopy confirms that CeO 2 is formed within the DLC films. Auger electron spectroscopy exhibits that the C, O, and Ce elements distribute uniformly across the film thickness, and C element diffuses into the Si substrate at the interface between the substrate and film. AFM shows that nanoparticles with diameter of around 50 nm are formed on the surface of deposited films, whose surface roughness is in the range of 1.3-2.3 nm. Raman spectra show the CeO 2 doped DLC films are amorphous DLC films, and both the G frequency and relative intensity ratio I D /I G are higher than those of pure DLC films. The photoluminescence of CeO 2 doped DLC films is obviously more intense than that of a pure DLC film, which indicates a promising potential as optical protective films for solar cells and IR window

  8. Tribological behavior of diamond-like carbon: effects of preparation conditions and annealing

    International Nuclear Information System (INIS)

    Grill, A.; Patel, V.; Meyerson, B.

    1991-01-01

    Diamond-like carbon (DLC) films are characterized by, among other properties, very low friction coefficients, high wear resistance, and high corrosion resistance. Depending upon the testing environment, the coefficient of friction can be as low as 0.01. As-deposited films are wear resistant in vacuum as well as in atmospheric ambient. This paper will discuss the general tribological behavior, in different environments, of DLC films deposited under a variety of conditions, and proposed mechanisms explaining the very low friction coefficients observed. The specific properties of DLC films deposited from acetylene by r.f. plasma-enhanced chemical vapor deposition will then be presented. The films were deposited at substrate temperatures between 100degC and 250degC, at various substrate biases. The films were annealed in vacuum at temperatures up to 590degC. The tribological properties of the as-deposited as well as annealed DLC films will be presented as a function of the deposition parameters. The observed behavior will be discussed and related to other physical properties of the films. (orig.)

  9. Electrical current at micro-/macro-scale of undoped and nitrogen-doped MWPECVD diamond films

    Science.gov (United States)

    Cicala, G.; Velardi, L.; Senesi, G. S.; Picca, R. A.; Cioffi, N.

    2017-12-01

    Chemical, structural, morphological and micro-/macro-electrical properties of undoped and nitrogen-(N-)doped diamond films are determined by X-ray photoelectron spectroscopy, Raman and photoluminescence spectroscopies, field emission scanning electron microscopy, atomic force microscopy, scanning capacitance microscopy (SCM) and two points technique for I-V characteristics, respectively. The characterization results are very useful to examine and understand the relationship among these properties. The effect of the nitrogen incorporation in diamond films is investigated through the evolution of the chemical, structural, morphological and topographical features and of the electrical behavior. The distribution of the electrical current is first assessed at millimeter scale on the surface of diamond films and then at micrometer scale on small regions in order to establish the sites where the carriers preferentially move. Specifically, the SCM images indicate a non-uniform distribution of carriers on the morphological structures mainly located along the grain boundaries. A good agreement is found by comparing the electrical currents at the micro- and macro-scale. This work aims to highlight phenomena such as photo- and thermionic emission from N-doped diamond useful for microelectronic engineering.

  10. Bias-enhanced post-treatment process for enhancing the electron field emission properties of ultrananocrystalline diamond films

    International Nuclear Information System (INIS)

    Saravanan, A.; Huang, B. R.; Sankaran, K. J.; Tai, N. H.; Dong, C. L.; Lin, I. N.

    2015-01-01

    The electron field emission (EFE) properties of ultrananocrystalline diamond films were markedly improved via the bias-enhanced plasma post-treatment (bep) process. The bep-process induced the formation of hybrid-granular structure of the diamond (bep-HiD) films with abundant nano-graphitic phase along the grain boundaries that increased the conductivity of the films. Moreover, the utilization of Au-interlayer can effectively suppress the formation of resistive amorphous-carbon (a-C) layer, thereby enhancing the transport of electrons crossing the diamond-to-Si interface. Therefore, bep-HiD/Au/Si films exhibit superior EFE properties with low turn-on field of E 0  = 2.6 V/μm and large EFE current density of J e  = 3.2 mA/cm 2 (at 5.3 V/μm)

  11. Impact of laser power density on tribological properties of Pulsed Laser Deposited DLC films

    Science.gov (United States)

    Gayathri, S.; Kumar, N.; Krishnan, R.; AmirthaPandian, S.; Ravindran, T. R.; Dash, S.; Tyagi, A. K.; Sridharan, M.

    2013-12-01

    Fabrication of wear resistant and low friction carbon films on the engineered substrates is considered as a challenging task for expanding the applications of diamond-like carbon (DLC) films. In this paper, pulsed laser deposition (PLD) technique is used to deposit DLC films on two different types of technologically important class of substrates such as silicon and AISI 304 stainless steel. Laser power density is one of the important parameter used to tailor the fraction of sp2 bonded amorphous carbon (a-C) and tetrahedral amorphous carbon (ta-C) made by sp3 domain in the DLC film. The I(D)/I(G) ratio decreases with the increasing laser power density which is associated with decrease in fraction of a-C/ta-C ratio. The fraction of these chemical components is quantitatively analyzed by EELS which is well supported to the data obtained from the Raman spectroscopy. Tribological properties of the DLC are associated with chemical structure of the film. However, the super low value of friction coefficient 0.003 is obtained when the film is predominantly constituted by a-C and sp2 fraction which is embedded within the clusters of ta-C. Such a particular film with super low friction coefficient is measured while it was deposited on steel at low laser power density of 2 GW/cm2. The super low friction mechanism is explained by low sliding resistance of a-C/sp2 and ta-C clusters. Combination of excellent physical and mechanical properties of wear resistance and super low friction coefficient of DLC films is desirable for engineering applications. Moreover, the high friction coefficient of DLC films deposited at 9GW/cm2 is related to widening of the intergrain distance caused by transformation from sp2 to sp3 hybridized structure.

  12. Microwave plasma chemical synthesis of nanocrystalline carbon film structures and study their properties

    Science.gov (United States)

    Bushuev, N.; Yafarov, R.; Timoshenkov, V.; Orlov, S.; Starykh, D.

    2015-08-01

    The self-organization effect of diamond nanocrystals in polymer-graphite and carbon films is detected. The carbon materials deposition was carried from ethanol vapors out at low pressure using a highly non-equilibrium microwave plasma. Deposition processes of carbon film structures (diamond, graphite, graphene) is defined. Deposition processes of nanocrystalline structures containing diamond and graphite phases in different volume ratios is identified. The solid film was obtained under different conditions of microwave plasma chemical synthesis. We investigated the electrical properties of the nanocrystalline carbon films and identified it's from various factors. Influence of diamond-graphite film deposition mode in non-equilibrium microwave plasma at low pressure on emission characteristics was established. This effect is justified using the cluster model of the structure of amorphous carbon. It was shown that the reduction of bound hydrogen in carbon structures leads to a decrease in the threshold electric field of emission from 20-30 V/m to 5 V/m. Reducing the operating voltage field emission can improve mechanical stability of the synthesized film diamond-graphite emitters. Current density emission at least 20 A/cm2 was obtained. Nanocrystalline carbon film materials can be used to create a variety of functional elements in micro- and nanoelectronics and photonics such as cold electron source for emission in vacuum devices, photonic devices, cathodoluminescent flat display, highly efficient white light sources. The obtained graphene carbon net structure (with a net size about 6 μm) may be used for the manufacture of large-area transparent electrode for solar cells and cathodoluminescent light sources

  13. Deposition, characterization, and tribological applications of near-frictionless carbon films on glass and ceramic substrates

    International Nuclear Information System (INIS)

    Eryilmaz, O L; Johnson, J A; Ajayi, O O; Erdemir, A

    2006-01-01

    As an element, carbon is rather unique and offers a range of rare opportunities for the design and fabrication of zero-, one-, two-, and three-dimensional nanostructured novel materials and coatings such as fullerenes, nanotubes, thin films, and free-standing nano-to-macroscale structures. Among these, carbon-based two-dimensional thin films (such as diamond and diamond-like carbon (DLC)) have attracted an overwhelming interest in recent years, mainly because of their exceptional physical, chemical, mechanical, electrical, and tribological properties. In particular, certain DLC films were found to provide extremely low friction and wear coefficients to sliding metallic and ceramic surfaces. Since the early 1990s, carbon has been used at Argonne National Laboratory to synthesize a class of novel DLC films that now provide friction and wear coefficients as low as 0.001 and 10 -11 -10 -10 mm 3 N -1 m -1 , respectively, when tested in inert or vacuum test environments. Over the years, we have optimized these films and applied them successfully to all kinds of metallic and ceramic substrates and evaluated their friction and wear properties under a wide range of sliding conditions. In this paper, we will provide details of our recent work on the deposition, characterization, and tribological applications of near-frictionless carbon films on glass and ceramic substrates. We will also provide chemical and structural information about these films and describe the fundamental tribological mechanisms that control their unusual friction and wear behaviour

  14. A comparative machining study of diamond-coated tools made by ...

    Indian Academy of Sciences (India)

    R. Narasimhan (Krishtel eMaging) 1461 1996 Oct 15 13:05:22

    adherent diamond films on WC–CO tools by all three deposition models and has allowed completion of the ..... cesses with hard turning machining will affect future demand for PCBN (and cBN coated) tools. 6. ... Business Communication Co.

  15. Reliability assessment of ultra-thin HfO2 films deposited on silicon wafer

    International Nuclear Information System (INIS)

    Fu, Wei-En; Chang, Chia-Wei; Chang, Yong-Qing; Yao, Chih-Kai; Liao, Jiunn-Der

    2012-01-01

    Highlights: ► Nano-mechanical properties on annealed ultra-thin HfO 2 film are studied. ► By AFM analysis, hardness of the crystallized HfO 2 film significantly increases. ► By nano-indention, the film hardness increases with less contact stiffness. ► Quality assessment on the annealed ultra-thin films can thus be achieved. - Abstract: Ultra-thin hafnium dioxide (HfO 2 ) is used to replace silicon dioxide to meet the required transistor feature size in advanced semiconductor industry. The process integration compatibility and long-term reliability for the transistors depend on the mechanical performance of ultra-thin HfO 2 films. The criteria of reliability including wear resistance, thermal fatigue, and stress-driven failure rely on film adhesion significantly. The adhesion and variations in mechanical properties induced by thermal annealing of the ultra-thin HfO 2 films deposited on silicon wafers (HfO 2 /SiO 2 /Si) are not fully understood. In this work, the mechanical properties of an atomic layer deposited HfO 2 (nominal thickness ≈10 nm) on a silicon wafer were characterized by the diamond-coated tip of an atomic force microscope and compared with those of annealed samples. The results indicate that the annealing process leads to the formation of crystallized HfO 2 phases for the atomic layer deposited HfO 2 . The HfSi x O y complex formed at the interface between HfO 2 and SiO 2 /Si, where the thermal diffusion of Hf, Si, and O atoms occurred. The annealing process increases the surface hardness of crystallized HfO 2 film and therefore the resistance to nano-scratches. In addition, the annealing process significantly decreases the harmonic contact stiffness (or thereafter eliminate the stress at the interface) and increases the nano-hardness, as measured by vertically sensitive nano-indentation. Quality assessments on as-deposited and annealed HfO 2 films can be thereafter used to estimate the mechanical properties and adhesion of ultra-thin HfO 2

  16. Thermoluminescent behavior of diamond thin films exposed to ultraviolet radiation

    International Nuclear Information System (INIS)

    Barboza F, M.; Gastelum, S.; Melendrez, R.; Chernov, V.; Bernal, R.; Cruz V, C.; Brown, F.

    2002-01-01

    In this work the thermoluminescent properties of diamond thin films are discussed which are grown up through the chemical vapor method exposed to ultraviolet radiation of 200-280 nm. The films with thickness 3, 6, 9, 12, 180 and 500 microns were grown up using a precursor gas formed of H 2 -CH 4 -CO excited through microwave energy or hot filament.The structure and morphology of the films were examined through scanning electron microscopy, indicating the formation of different diamond polycrystal structures which depend on the type of heating of the precursor gas used as well as the film dimensions. In general, the brilliance curve depends on the sample and the wavelength of the irradiation ultraviolet light, however it presents clearly thermoluminescence bands in 148, 160, 272, 304, 320 and 324 C degrees. The maximum of the thermoluminescence efficiency is obtained for the case of sample exposure with light of 214 nm. The sample of 500 microns is what exhibits greater thermoluminescent efficiency of those studied samples. The thermoluminescent behavior in function of radiation dose presents regions of linearity and supra linearity for higher and small doses respectively. The disappearance of the thermoluminescent signal depends on the characteristics of the film and it can reach until a 30 % of loss before to reach the stability. (Author)

  17. Effect of titanium incorporation on the structural, mechanical and biocompatible properties of DLC thin films prepared by reactive-biased target ion beam deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Bharathy, P. Vijai [Thin Films and Nanomaterials Lab, School of Physical Sciences, Bharathiar University, Coimbatore 641046 (India); Department of Mechanical Engineering, University of Saskatchewan, Saskatoon (Canada); Nataraj, D., E-mail: de.natraj@gmail.com [Thin Films and Nanomaterials Lab, School of Physical Sciences, Bharathiar University, Coimbatore 641046 (India); Chu, Paul K.; Wang, Huaiyu [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon (Hong Kong); Yang, Q. [Department of Mechanical Engineering, University of Saskatchewan, Saskatoon (Canada); Kiran, M.S.R.N. [School of Physics, University of Hyderabad, Hyderabad, Andra Pradesh (India); Silvestre-Albero, J. [Laboratorio de Materiales Avanzados, Departmento de Quimica Inorganica, Universidad de Alicante, Ap 99, E-03080 Alicante (Spain); Mangalaraj, D. [Thin Films and Nanomaterials Lab, School of Physical Sciences, Bharathiar University, Coimbatore 641046 (India)

    2010-10-15

    Amorphous diamond like carbon (DLC) and titanium incorporated diamond like carbon (Ti-DLC) thin films were deposited by using reactive-biased target ion beam deposition method. The effects of Ti incorporation and target bias voltage on the microstructure and mechanical properties of the as-deposited films were investigated by means of X-ray photoelectron spectroscopy, Raman spectroscopy, transmission electron microscopy and nano-indentation. It was found that the Ti content in Ti-DLC films gets increased with increasing target bias voltage. At about 4.2 at.% of Ti, uniform sized well dispersed nanocrystals were seen in the DLC matrix. Using FFT analysis, a facility available in the TEM, it was found that the nanocrystals are in cubic TiC phase. Though at the core, the incorporated Ti atoms react with carbon to form cubic TiC; most of the surface exposed Ti atoms were found to react with the atmospheric oxygen to form weakly bonded Ti-O. The presence of TiC nanocrystals greatly modified the sp{sup 3}/sp{sup 2} hybridized bonding ratio and is reflected in mechanical hardness of Ti-DLC films. These films were then tested for their biocompatibility by an invitro cell culturing test. Morphological observation and the cell proliferation test have demonstrated that the human osteoblast cells well attach and proliferate on the surface of Ti incorporated DLC films, suggesting possible applications in bone related implant coatings.

  18. Friction and wear properties of diamonds and diamond coatings

    International Nuclear Information System (INIS)

    Hayward, I.P.

    1991-01-01

    The recent development of chemical vapor deposition techniques for diamond growth enables bearings to be designed which exploit diamond's low friction and extreme resistance to wear. However, currently produced diamond coatings differ from natural diamond surfaces in that they are polycrystalline and faceted, and often contain appreciable amounts of non-diamond material (i.e. graphitic or amorphous carbon). Roughness, in particular, influences the friction and wear properties; rough coatings severely abrade softer materials, and can even wear natural diamond sliders. Nevertheless, the best available coatings exhibit friction coefficients as low as those of natural diamond and are highly resistant to wear. This paper reviews the tribological properties of natural diamond, and compares them with those of chemical vapor deposited diamond coatings. Emphasis is placed on the roles played by roughness and material transfer in controlling frictional behavior. (orig.)

  19. Laser deposition of HTSC films

    International Nuclear Information System (INIS)

    Sobol', Eh.N.; Bagratashvili, V.N.; Zherikhin, A.N.; Sviridov, A.P.

    1990-01-01

    Studies of the high-temperature superconducting (HTSC) films fabrication by the laser deposition are reviewed. Physical and chemical processes taking place during laser deposition are considered, such as the target evaporation, the material transport from the target to the substrate, the film growth on the substrate, thermochemical reactions and mass transfer within the HTSC films and their stability. The experimental results on the laser deposition of different HTSC ceramics and their properties investigations are given. The major technological issues are discussed including the deposition schemes, the oxygen supply, the target compositions and structure, the substrates and interface layers selection, the deposition regimes and their impact on the HTSC films properties. 169 refs.; 6 figs.; 2 tabs

  20. Antithrombogenicity of Fluorinated Diamond-Like Carbon Films Coated Nano Porous Polyethersulfone (PES) Membrane

    Science.gov (United States)

    Prihandana, Gunawan S.; Sanada, Ippei; Ito, Hikaru; Noborisaka, Mayui; Kanno, Yoshihiko; Suzuki, Tetsuya; Miki, Norihisa

    2013-01-01

    A nano porous polyethersulfone (PES) membrane is widely used for aspects of nanofiltration, such as purification, fractionation and dialysis. However, the low-blood-compatibility characteristic of PES membrane causes platelets and blood cells to stick to the surface of the membrane and degrades ions diffusion through membrane, which further limits its application for dialysis systems. In this study, we deposited the fluorinated-diamond-like-carbon (F-DLC) onto the finger like structure layer of the PES membrane. By doing this, we have the F-DLC films coating the membrane surface without sacrificing the membrane permeability. In addition, we examined antithrombogenicity of the F-DLC/PES membranes using a microfluidic device, and experimentally found that F-DLC drastically reduced the amount of blood cells attached to the surface. We have also conducted long-term experiments for 24 days and the diffusion characteristics were found to be deteriorated due to fouling without any surface modification. On the other hand, the membranes coated by F-DLC film gave a consistent diffusion coefficient of ions transfer through a membrane porous. Therefore, F-DLC films can be a great candidate to improve the antithrombogenic characteristics of the membrane surfaces in hemodialysis systems. PMID:28788333

  1. Structural, chemical and nanomechanical investigations of SiC/polymeric a-C:H films deposited by reactive RF unbalanced magnetron sputtering

    Science.gov (United States)

    Tomastik, C.; Lackner, J. M.; Pauschitz, A.; Roy, M.

    2016-03-01

    Amorphous carbon (or diamond-like carbon, DLC) films have shown a number of important properties usable for a wide range of applications for very thin coatings with low friction and good wear resistance. DLC films alloyed with (semi-)metals show some improved properties and can be deposited by various methods. Among those, the widely used magnetron sputtering of carbon targets is known to increase the number of defects in the films. Therefore, in this paper an alternative approach of depositing silicon-carbide-containing polymeric hydrogenated DLC films using unbalanced magnetron sputtering was investigated. The influence of the C2H2 precursor concentration in the deposition chamber on the chemical and structural properties of the deposited films was investigated by Raman spectroscopy, X-ray photoelectron spectroscopy and elastic recoil detection analysis. Roughness, mechanical properties and scratch response of the films were evaluated with the help of atomic force microscopy and nanoindentation. The Raman spectra revealed a strong correlation of the film structure with the C2H2 concentration during deposition. A higher C2H2 flow rate results in an increase in SiC content and decrease in hydrogen content in the film. This in turn increases hardness and elastic modulus and decreases the ratio H/E and H3/E2. The highest scratch resistance is exhibited by the film with the highest hardness, and the film having the highest overall sp3 bond content shows the highest elastic recovery during scratching.

  2. ITO thin films deposited by advanced pulsed laser deposition

    International Nuclear Information System (INIS)

    Viespe, Cristian; Nicolae, Ionut; Sima, Cornelia; Grigoriu, Constantin; Medianu, Rares

    2007-01-01

    Indium tin oxide thin films were deposited by computer assisted advanced PLD method in order to obtain transparent, conductive and homogeneous films on a large area. The films were deposited on glass substrates. We studied the influence of the temperature (room temperature (RT)-180 deg. C), pressure (1-6 x 10 -2 Torr), laser fluence (1-4 J/cm 2 ) and wavelength (266-355 nm) on the film properties. The deposition rate, roughness, film structure, optical transmission, electrical conductivity measurements were done. We deposited uniform ITO thin films (thickness 100-600 nm, roughness 5-10 nm) between RT and 180 deg. C on a large area (5 x 5 cm 2 ). The films have electrical resistivity of 8 x 10 -4 Ω cm at RT, 5 x 10 -4 Ω cm at 180 deg. C and an optical transmission in the visible range, around 89%

  3. Influence of flow rate on different properties of diamond-like nanocomposite thin films grown by PECVD

    Directory of Open Access Journals (Sweden)

    T. S. Santra

    2012-06-01

    Full Text Available Diamond-like nanocomposite (DLN thin films were deposited on pyrex glass substrate using different flow rate of haxamethyldisiloxane (HMDSO based liquid precursor with nitrogen gas as a glow discharged decomposition by plasma enhanced chemical vapor deposition (PECVD technique. The significant influence of different precursor flow rates on refractive index and thickness of the DLN films was measured by using spectroscopic filmatrics and DEKTAK profilometer. Optical transparency of the DLN thin films was analyzed by UV-VIS-NIR spectrometer. FTIR spectroscopy, provides the information about shifted bonds like SiC2, Si-C, Si-O, C-C, Si-H, C-H, N-H, and O-H with different precursor flow rate. We have estimated the hardness of the DLN films from Raman spectroscopy using Gaussian deconvolution method and tried to investigate the correlation between hardness, refractive index and thickness of the films with different precursor flow rates. The composition and surface morphology of the DLN films were investigated by X-ray photo electron spectroscopy (XPS and atomic force microscopy (AFM respectively. We have analyzed the hardness by intensity ratio (ID/IG of D and G peaks and correlates with hardness measurement by nanoindentation test where hardness increases from 27.8 μl/min to 80.6μl/min and then decreases with increase of flow rate from 80.6μl/min to 149.5μl/min. Finally, we correlates different parameters of structural, optical and tribological properties like film-thickness, refractive index, light transmission, hardness, surface roughness, modulus of elasticity, contact angle etc. with different precursor flow rates of DLN films.

  4. Surface plasmon effect in electrodeposited diamond-like carbon films for photovoltaic application

    Science.gov (United States)

    Ghosh, B.; Ray, Sekhar C.; Espinoza-González, Rodrigo; Villarroel, Roberto; Hevia, Samuel A.; Alvarez-Vega, Pedro

    2018-04-01

    Diamond-like carbon (DLC) films and nanocrystalline silver particles containing diamond-like carbon (DLC:Ag) films were electrodeposited on n-type silicon substrate (n-Si) to prepare n-Si/DLC and n-Si/DLC:Ag heterostructures for photovoltaic (PV) applications. Surface plasmon resonance (SPR) effect in this cell structure and its overall performance have been studied in terms of morphology, optical absorption, current-voltage characteristics, capacitance-voltage characteristics, band diagram and external quantum efficiency measurements. Localized surface plasmon resonance effect of silver nanoparticles (Ag NPs) in n-Si/DLC:Ag PV structure exhibited an enhancement of ∼28% in short circuit current density (JSC), which improved the overall efficiency of the heterostructures.

  5. Fabrication and Characterization of N-Type Zinc Oxide/P-Type Boron Doped Diamond Heterojunction

    Science.gov (United States)

    Marton, Marián; Mikolášek, Miroslav; Bruncko, Jaroslav; Novotný, Ivan; Ižák, Tibor; Vojs, Marian; Kozak, Halyna; Varga, Marián; Artemenko, Anna; Kromka, Alexander

    2015-09-01

    Diamond and ZnO are very promising wide-bandgap materials for electronic, photovoltaic and sensor applications because of their excellent electrical, optical, physical and electrochemical properties and biocompatibility. In this contribution we show that the combination of these two materials opens up the potential for fabrication of bipolar heterojunctions. Semiconducting boron doped diamond (BDD) thin films were grown on Si and UV grade silica glass substrates by HFCVD method with various boron concentration in the gas mixture. Doped zinc oxide (ZnO:Al, ZnO:Ge) thin layers were deposited by diode sputtering and pulsed lased deposition as the second semiconducting layer on the diamond films. The amount of dopants within the films was varied to obtain optimal semiconducting properties to form a bipolar p-n junction. Finally, different ZnO/BDD heterostructures were prepared and analyzed. Raman spectroscopy, SEM, Hall constant and I-V measurements were used to investigate the quality, structural and electrical properties of deposited heterostructures, respectively. I-V measurements of ZnO/BDD diodes show a rectifying ratio of 55 at ±4 V. We found that only very low dopant concentrations for both semiconducting materials enabled us to fabricate a functional p-n junction. Obtained results are promising for fabrication of optically transparent ZnO/BDD bipolar heterojunction.

  6. Spray-loading: A cryogenic deposition method for diamond anvil cell

    Science.gov (United States)

    Scelta, Demetrio; Ceppatelli, Matteo; Ballerini, Riccardo; Hajeb, Ahmed; Peruzzini, Maurizio; Bini, Roberto

    2018-05-01

    An efficient loading technique has been developed for flammable, toxic, or explosive gases which can be condensed at liquid nitrogen temperature and ambient pressure in membrane diamond anvil cells (DACs). This cryogenic technique consists in a deposition of small quantities of the desired gas directly into the sample chamber. The deposition is performed using a capillary that reaches the space between the diamond anvils. The DAC is kept under inert gas overpressure during the whole process, in order to avoid contamination from atmospheric O2, CO2, and H2O. This technique provides significant advantages over standard cryo-loading and gas-loading when the condensation of dangerous samples at liquid nitrogen temperature raises safety concerns because it allows dealing with minimum quantities of condensed gases. The whole procedure is particularly fast and efficient. The "spray-loading" has been successfully used in our laboratory to load several samples including acetylene, ammonia, ethylene, and carbon dioxide/water or red phosphorus/NH3 mixtures.

  7. Effect of relative humidity on the tribological properties of hydrogenated diamond-like carbon films in a nitrogen environment

    International Nuclear Information System (INIS)

    Li Hongxuan; Xu Tao; Wang Chengbing; Chen Jianmin; Zhou Huidi; Liu Huiwen

    2005-01-01

    Hydrogenated diamond-like carbon (DLC) films were deposited on Si (100) wafers by a plasma enhanced chemical vapour deposition technique using CH 4 plus Ar as the feedstock. The friction and wear properties of the resulting films under different relative humidities, ranging from 5% to 100%, in a nitrogen environment, were measured using a ball-on-disc tribometer, with Si 3 N 4 balls as the counterparts. The friction surfaces of the films and Si 3 N 4 balls were observed on a scanning electron microscope, and investigated by x-ray photoelectron spectroscopy. The results showed that the friction coefficient increased continuously from 0.025 to 0.09 with increase in relative humidity from 5% to 100%, while the wear rate of the films sharply decreased and reached a minimum at a relative humidity of 40%, then it increased with further increase of the relative humidity. The interruption of the transferred carbon-rich layer on the Si 3 N 4 ball, and the friction-induced oxidation of the films at higher relative humidity were proposed as the main reasons for the increase in the friction coefficient. Moreover, the oxidation and hydrolysis of the Si 3 N 4 ball at higher relative humidity, leading to the formation of a tribochemical film, which mainly consists of silica gel, on the friction surface, are also thought to influence the friction and wear behaviour of the hydrogenated DLC films

  8. Growth, characterization, and device development in monocrystalline diamond films

    Science.gov (United States)

    Davis, Robert F.

    1991-12-01

    The nucleation of diamond grains on an unscratched silicon wafer is enhanced by four order of magnitude relative to scratched substrates by using negative bias enhanced microwave plasma CVD in a 2 percent methane/hydrogen plasma for an initial period. In vacuo surface analysis has revealed that the actual nucleation occurs on the amorphous C coating present on the thin SiC layer which forms as the product of the initial reaction with the Si surface. It is believed that the C forms critical clusters which are favorable for diamond nucleation. Similar enhancement was observed together with the occurrence of textured diamond films in the use of bias pretreatment of cubic Beta SiC substrates. Approximately 50 percent of the initial diamond nuclei were aligned with the SiC substrate. In contrast, the use of the biasing pretreatment for one hour on polycrystalline substrates resulted in only about 7 percent coverage with diamond particles. Numerous techniques have been used to analyze the nucleation and growth phenomena, especially micro Raman and scanning tunneling microscopy. The latter technique has shown that the morphology of doped and undoped diamond nuclei are similar, as well as the fact that significant concentrations of vacancy related defects are present. In device related-studies, UV-photoemission studies have shown that TiC occurs at the Ti-diamond (100) interface after a 400 C anneal. The Schottky barrier height from this metal on p-type diamond was determined to be 1.0 eV. Indications of negative electron affinity (NEA) was observed and attributed to emission of electrons that are quasi-thermalized to the bottom of the conduction band. A disordered surface removes the NEA. The microwave performance of p-type (beta-doped) diamond MESFET's at 10 GHz has been further investigated. Elevated temperatures may be necessary to obtain sufficient free charge densities in the conducting channel but this will result in degraded device performance. Each of these

  9. Patterned hydrophobic and hydrophilic surfaces of ultra-smooth nanocrystalline diamond layers

    Energy Technology Data Exchange (ETDEWEB)

    Mertens, M., E-mail: michael.mertens@uni-ulm.de [Institute of Micro and Nanomaterials, Ulm University, 89081 Ulm (Germany); Mohr, M.; Brühne, K.; Fecht, H.J. [Institute of Micro and Nanomaterials, Ulm University, 89081 Ulm (Germany); Łojkowski, M.; Święszkowski, W. [Faculty of Materials Science and Engineering, Warsaw University of Technology, Warsaw (Poland); Łojkowski, W. [Institute of High Pressure Physics, Polish Academy of Sciences, Warsaw (Poland)

    2016-12-30

    Highlights: • Hydrophobic and hydrophilic properties on fluorine-, hydrogen- and oxygen- terminated ultra-nanocrystalline diamond films. • Micropatterned - multi-terminated layers with both hydrophobic and hydrophilic areas on one sample. • Visualization of multi-terminated surfaces by e.g. SEM and LFM. • Roughness and friction investigations on different terminated surfaces. • Smooth and biocompatible surfaces with same roughness regardless of hydrophobicity for microbiological investigations. - Abstract: In this work, we show that ultra nanocrystalline diamond (UNCD) surfaces have been modified to add them hydrophobic and hydrophilic properties. The nanocrystalline diamond films were deposited using the hot filament chemical vapor deposition (HFCVD) technique. This allows growing diamond on different substrates which can be even 3D or structured. Silicon and, for optical applications, transparent quartz glass are the preferred substrates for UNCD layers growth. Fluorine termination leads to strong hydrophobic properties as indicated by a high contact angle for water of more than 100°. Hydrogen termination shows lesser hydrophobic behavior. Hydrophilic characteristics has been realised with oxygen termination. X-ray photoelectron spectroscopy (XPS) and energy dispersive X-ray spectroscopy (EDX) measurements confirm the oxygen and fluorine- termination on the nanocrystalline diamond surface. Further, by micropatterning using photolithography, multi-terminated layers have been created with both hydrophobic and hydrophilic areas. In addition, we have shown that retermination is achieved, and the properties of the surface have been changed from hydrophobic to hydrophilic and vice versa. Micro- roughness and stress in the grown film influences slightly the wetting angle as well. The opportunity to realize local differences in hydrophobicity on nanocrystalline diamond layers, in any size or geometry, offers interesting applications for example in

  10. Gold nanoparticle formation in diamond-like carbon using two different methods: Gold ion implantation and co-deposition of gold and carbon

    International Nuclear Information System (INIS)

    Salvadori, M. C.; Teixeira, F. S.; Araújo, W. W. R.; Sgubin, L. G.; Cattani, M.; Spirin, R. E.; Brown, I. G.

    2012-01-01

    We describe work in which gold nanoparticles were formed in diamond-like carbon (DLC), thereby generating a Au-DLC nanocomposite. A high-quality, hydrogen-free DLC thin film was formed by filtered vacuum arc plasma deposition, into which gold nanoparticles were introduced using two different methods. The first method was gold ion implantation into the DLC film at a number of decreasing ion energies, distributing the gold over a controllable depth range within the DLC. The second method was co-deposition of gold and carbon, using two separate vacuum arc plasma guns with suitably interleaved repetitive pulsing. Transmission electron microscope images show that the size of the gold nanoparticles obtained by ion implantation is 3-5 nm. For the Au-DLC composite obtained by co-deposition, there were two different nanoparticle sizes, most about 2 nm with some 6-7 nm. Raman spectroscopy indicates that the implanted sample contains a smaller fraction of sp 3 bonding for the DLC, demonstrating that some sp 3 bonds are destroyed by the gold implantation.

  11. Evaluation of the adhesion strength of diamond films brazed on K-10 type hard metal

    Directory of Open Access Journals (Sweden)

    Santos Sérgio Ivan dos

    2004-01-01

    Full Text Available The coating of cutting tools with diamond films considerably increases the tool performance due to the combination of the unique tribological properties of diamond with the bulk properties of the substrate (toughness. The tool performance, however, is strongly related to the adhesion strength between the film and the substrate. In this work our main goal was to propose and to test a procedure, based on a tensile strength test, to evaluate the adhesion strength of a diamond wafer brazed on a hard metal substrate, taking into account the effect of the brazing temperature and time. The temperature range studied was from 800 to 980 °C and the brazing time ranged from 3 to 40 min. The obtained results could be used to optimize the costs and time required to the production of high performance cutting tools with brazed diamond wafers.

  12. Effect of enhanced C2 growth chemistry on nanodiamond film deposition

    International Nuclear Information System (INIS)

    Teii, Kungen; Ikeda, Tomohiro

    2007-01-01

    A route to high-purity nanocrystalline diamond films from C 2 dimers and related mechanisms have been investigated by enhancing C 2 growth chemistry in Ar-rich microwave plasmas. Efficient C 2 production by direct dissociation from acetylene causes the micro- to nanocrystal transition with a low threshold Ar concentration of ∼70% and produces films of ∼20 nm grains with a distinct visible-Raman peak of diamond. C 2 grows nanodiamond on diamond surfaces but rarely initiates nucleation on foreign surfaces. The phase purity can be improved by increasing the dominance of nanodiamond growth from C 2 over nondiamond growth from CH x (x=0-3) and large radicals

  13. Effects of the shape anisotropy and biasing field on the magnetization reversal process of the diamond-shaped NiFe nano films

    Science.gov (United States)

    Xu, Sichen; Yin, Jianfeng; Tang, Rujun; Zhang, Wenxu; Peng, Bin; Zhang, Wanli

    2017-11-01

    The effects of the planar shape anisotropy and biasing field on the magnetization reversal process (MRP) of the diamond-shaped NiFe nano films have been investigated by micromagnetic simulations. Results show that when the length to width ratio (LWR) of the diamond-shaped film is small, the MRP of the diamond-shaped films are sensitive to LWR. But when LWR is larger than 2, a stable domain switching mode is observed which nucleates from the center of the diamond and then expands to the edges. At a fixed LWR, the magnitude of the switching fields decrease with the increase of the biasing field, but the domain switching mode is not affected by the biasing field. Further analysis shows that demagnetization energy dominates over the MRP of the diamond-shaped films. The above LWR dependence of MRP can be well explained by a variation of the shape anisotropic factor with LWR.

  14. Polycrystalline Diamond Schottky Diodes and Their Applications.

    Science.gov (United States)

    Zhao, Ganming

    In this work, four-hot-filament CVD techniques for in situ boron doped diamond synthesis on silicon substrates were extensively studied. A novel tungsten filament shape and arrangement used to obtain large-area, uniform, boron doped polycrystalline diamond thin films. Both the experimental results and radiative heat transfer analysis showed that this technique improved the uniformity of the substrate temperature. XRD, Raman and SEM studies indicate that large area, uniform, high quality polycrystalline diamond films were obtained. Schottky diodes were fabricated by either sputter deposition of silver or thermal evaporation of aluminum or gold, on boron doped diamond thin films. High forward current density and a high forward-to-reverse current ratio were exhibited by silver on diamond Schottky diodes. Schottky barrier heights and the majority carrier concentrations of both aluminum and gold contacted diodes were determined from the C-V measurements. Furthermore, a novel theoretical C-V-f analysis of deep level boron doped diamond Schottky diodes was performed. The analytical results agree well with the experimental results. Compressive stress was found to have a large effect on the forward biased I-V characteristics of the diamond Schottky diodes, whereas the effect on the reverse biased characteristics was relatively small. The stress effect on the forward biased diamond Schottky diode was attributed to piezojunction and piezoresistance effects. The measured force sensitivity of the diode was as high as 0.75 V/N at 1 mA forward bias. This result shows that CVD diamond device has potential for mechanical transducer applications. The quantitative photoresponse characteristics of the diodes were studied in the spectral range of 300 -1050 nm. Semi-transparent gold contacts were used for better photoresponse. Quantum efficiency as high as 50% was obtained at 500 nm, when a reverse bias of over 1 volt was applied. The Schottky barrier heights between either gold or

  15. Frictional and Optical Properties of Diamond-Like-Carbon Coatings on Polycarbonate

    International Nuclear Information System (INIS)

    Lin Zeng; Gao Ding; Ba Dechun; Wang Feng; Liu Chunming

    2013-01-01

    In this work, diamond-like-carbon (DLC) films were deposited onto polycarbonate (PC) substrates by radio-frequency plasma-enhanced chemical vapor deposition (RF PECVD), and silicon films were prepared between DLC and PC substrates by magnetron sputtering deposition so as to improve the adhesion of the DLC films. The deposited films were investigated by means of field-emission scanning electron microscopy (FE-SEM), X-ray photoelectron spectroscopy (XPS) and Raman spectroscopy. Subsequently, the following frictional and optical properties of the films were measured: the friction coefficient by using a ball-on-disk tribometer, the scratch hardness by using a nano-indenter, the optical transmittance by using a UV/visible spectrometer. The effects of incident power upon the frictional and optical properties of the films were investigated. Films deposited at low incident powers showed large optical gaps, which decreased with increasing incident power. The optical properties of DLC films correlated to the sp 2 content of the coatings. High anti-scratch properties were obtained at higher values of incident power. The anti-scratch properties of DLC films correlated to the sp 3 content of the coatings

  16. Thermoluminescent dosimetry and of optically stimulated luminescence of diamond films grown up by the chemical vapor deposition technique exposed to beta radiation

    International Nuclear Information System (INIS)

    Melendrez A, R.; Barboza F, M.

    2002-01-01

    A study of the dosimetric properties through the thermoluminescence (Tl) and Optically stimulated luminescence (Lobe) in diamond films grown up by the chemical vapor deposition (Dq) techniques was realized.The films under study have thickness of 6, 12, 180 and 500 microns. The dose range was from 0 to 1.5 KGy, observing for the case of the thermoluminescent dosimetry a linear behavior in the range 0-300 Gy and a supra linearity effect in the range from 300-1500 Gy. For the case of the dosimetry by means of LOE a linear behavior in the range (0-300 Gy) without be enough for the saturation was observed, although some samples exhibit a linear behavior until 1500 Gy (6 microns). The irradiation was realized with a source of Strontium 90 of (40 mCi) and the photoestimulation for realizing the measures of LOE was realized using diodes emitting of laser light (470 nm) which generate until 50 MW/cm 2 . The Tl peak which was used to realize the dosimetry such Tl as LOE was that located around 340 C degrees in the brilliance curve which presents another peaks centered around of 110, 190, and 340 C degrees, depending on the film. It was realized a study of the Tl signal drop and it was observed that after 3 hours the signal was stable reaching a decay of 15 %. the analysis of the drop in the Tl signal, immediately after to irradiate and after to photoestimulate with the blue light laser for observing the LOE, indicated that exists a fall in all the Tl peaks, decaying in greater proportion those of more low temperature. (Author)

  17. Microwave plasma-assisted photoluminescence enhancement in nitrogen-doped ultrananocrystalline diamond film

    Directory of Open Access Journals (Sweden)

    Yu Lin Liu

    2012-06-01

    Full Text Available Optical properties and conductivity of nitrogen-doped ultrananocrystal diamond (UNCD films were investigated following treatment with low energy microwave plasma at room temperature. The plasma also generated vacancies in UNCD films and provided heat for mobilizing the vacancies to combine with the impurities, which formed the nitrogen-vacancy defect centers. The generated color centers were distributed uniformly in the samples. The conductivity of nitrogen-doped UNCD films treated by microwave plasma was found to decrease slightly due to the reduced grain boundaries. The photoluminescence emitted by the plasma treated nitrogen-doped UNCD films was enhanced significantly compared to the untreated films.

  18. On the integration of ultrananocrystalline diamond (UNCD with CMOS chip

    Directory of Open Access Journals (Sweden)

    Hongyi Mi

    2017-03-01

    Full Text Available A low temperature deposition of high quality ultrananocrystalline diamond (UNCD film onto a finished Si-based CMOS chip was performed to investigate the compatibility of the UNCD deposition process with CMOS devices for monolithic integration of MEMS on Si CMOS platform. DC and radio-frequency performances of the individual PMOS and NMOS devices on the CMOS chip before and after the UNCD deposition were characterized. Electrical characteristics of CMOS after deposition of the UNCD film remained within the acceptable ranges, namely showing small variations in threshold voltage Vth, transconductance gm, cut-off frequency fT and maximum oscillation frequency fmax. The results suggest that low temperature UNCD deposition is compatible with CMOS to realize monolithically integrated CMOS-driven MEMS/NEMS based on UNCD.

  19. Lunar Production and Application of Solar Cells, and Synthesis of Diamond Film

    Science.gov (United States)

    Fang, P. H.

    1991-01-01

    Two projects which are carried out under the Summer Faculty Fellowship Program-1991 are discussed. A conceptual design of a solar cell manufacturing plant on a lunar base is discussed. This is a large program that requires a continuous and expanded effort, the present status of which is reflected here. An experiment on the synthesis of diamond film is discussed. Encouraging, but not yet conclusive evidence has been obtained on a new method to synthesize diamond film. The procedures and observations are presented. A third project is an analysis of the solar cell performance over five years on the moon based on Apollo missions. A paper has been completed and will be submitted to the journal Solar Cells for publication.

  20. Preparation of TiO2/boron-doped diamond/Ta multilayer films and use as electrode materials for supercapacitors

    Science.gov (United States)

    Shi, Chao; Li, Hongji; Li, Cuiping; Li, Mingji; Qu, Changqing; Yang, Baohe

    2015-12-01

    We report nanostructured TiO2/boron-doped diamond (BDD)/Ta multilayer films and their electrochemical performances as supercapacitor electrodes. The BDD films were grown on Ta substrates using electron-assisted hot filament chemical vapor deposition. Ti metal layers were deposited on the BDD surfaces by radio frequency magnetron sputtering, and nanostructured TiO2/BDD/Ta thin films were prepared by electrochemical etching and thermal annealing. The successful formation of TiO2 and Ta layered nanostructures was demonstrated using scanning electron and transmission electron microscopies. The electrochemical responses of these electrodes were evaluated by examining their use as electrical double-layer capacitors, using cyclic voltammetry, and galvanostatic charge/discharge and impedance measurements. When the TiO2/BDD/Ta film was used as the working electrode with 0.1 M Na2SO4 as the electrolyte, the capacitor had a specific capacitance of 5.23 mF cm-2 at a scan rate of 5 mV s-1 for a B/C ratio of 0.1% w/w. Furthermore, the TiO2/BDD/Ta film had improved electrochemical stability, with a retention of 89.3% after 500 cycles. This electrochemical behavior is attributed to the quality of the BDD, the surface roughness and electrocatalytic activities of the TiO2 layer and Ta nanoporous structures, and the synergies between them. These results show that TiO2/BDD/Ta films are promising as capacitor electrodes for special applications.

  1. CVD diamond for nuclear detection applications

    CERN Document Server

    Bergonzo, P; Tromson, D; Mer, C; Guizard, B; Marshall, R D; Foulon, F

    2002-01-01

    Chemically vapour deposited (CVD) diamond is a remarkable material for the fabrication of radiation detectors. In fact, there exist several applications where other standard semiconductor detectors do not fulfil the specific requirements imposed by corrosive, hot and/or high radiation dose environments. The improvement of the electronic properties of CVD diamond has been under intensive investigations and led to the development of a few applications that are addressing specific industrial needs. Here, we report on CVD diamond-based detector developments and we describe how this material, even though of a polycrystalline nature, is readily of great interest for applications in the nuclear industry as well as for physics experiments. Improvements in the material synthesis as well as on device fabrication especially concern the synthesis of films that do not exhibit space charge build up effects which are often encountered in CVD diamond materials and that are highly detrimental for detection devices. On a pre-i...

  2. Thermal plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Heberlein, J.; Pfender, E.

    1993-01-01

    Thermal plasmas, with temperatures up to and even exceeding 10 4 K, are capable of producing high density vapor phase precursors for the deposition of relatively thick films. Although this technology is still in its infancy, it will fill the void between the relatively slow deposition processes such as physical vapor deposition and the high rate thermal spray deposition processes. In this chapter, the present state-of-the-art of this field is reviewed with emphasis on the various types of reactors proposed for this emerging technology. Only applications which attracted particular attention, namely diamond and high T c superconducting film deposition, are discussed in greater detail. (orig.)

  3. Tribological Characteristics and Applications of Superhard Coatings: CVD Diamond, DLC, and c-BN

    Science.gov (United States)

    Miyoshi, Kazuhisa; Murakawa, Masao; Watanabe, Shuichi; Takeuchi, Sadao; Wu, Richard L. C.

    1999-01-01

    Results of fundamental research on the tribological properties of chemical-vapor-deposited (CVD) diamond, diamondlike carbon, and cubic boron nitride films in sliding contact with CVD diamond in ultrahigh vacuum, dry nitrogen, humid air, and water are discussed. Furthermore, the actual and potential applications of the three different superhard coatings in the field of tribology technology, particularly for wear parts and tools, are reviewed.

  4. Piezoelectric actuated micro-resonators based on the growth of diamond on aluminum nitride thin films

    International Nuclear Information System (INIS)

    Hees, J; Heidrich, N; Pletschen, W; Sah, R E; Wolfer, M; Lebedev, V; Nebel, C E; Ambacher, O; Williams, O A

    2013-01-01

    Unimorph heterostructures based on piezoelectric aluminum nitride (AlN) and diamond thin films are highly desirable for applications in micro- and nanoelectromechanical systems. In this paper, we present a new approach to combine thin conductive boron-doped as well as insulating nanocrystalline diamond (NCD) with sputtered AlN films without the need for any buffer layers between AlN and NCD or polishing steps. The zeta potentials of differently treated nanodiamond (ND) particles in aqueous colloids are adjusted to the zeta potential of AlN in water. Thereby, the nucleation density for the initial growth of diamond on AlN can be varied from very low (10 8 cm −2 ), in the case of hydrogen-treated ND seeding particles, to very high values of 10 11 cm −2 for oxidized ND particles. Our approach yielding high nucleation densities allows the growth of very thin NCD films on AlN with thicknesses as low as 40 nm for applications such as microelectromechanical beam resonators. Fabricated piezo-actuated micro-resonators exhibit enhanced mechanical properties due to the incorporation of boron-doped NCD films. Highly boron-doped NCD thin films which replace the metal top electrode offer Young’s moduli of more than 1000 GPa. (paper)

  5. Diamond growth on Fe-Cr-Al alloy by H2-plasma enhanced graphite etching

    International Nuclear Information System (INIS)

    Li, Y. S.; Hirose, A.

    2007-01-01

    Without intermediate layer and surface pretreatment, adherent diamond films with high initial nucleation density have been deposited on Fe-15Cr-5Al (wt. %) alloy substrate. The deposition was performed using microwave hydrogen plasma enhanced graphite etching in a wide temperature range from 370 to 740 degree sign C. The high nucleation density and growth rate of diamond are primarily attributed to the unique precursors used (hydrogen plasma etched graphite) and the chemical nature of the substrate. The improvement in diamond adhesion to steel alloys is ascribed to the important role played by Al, mitigation of the catalytic function of iron by suppressing the preferential formation of loose graphite intermediate phase on steel surface

  6. Impact of the difference in power frequency on diamond-like carbon thin film coating over 3-dimensional objects

    Energy Technology Data Exchange (ETDEWEB)

    Nakaya, Masaki, E-mail: m-nakaya@kirin.co.jp [Packaging Technology Development Center, Technology Development Department, Kirin Brewery Co., Ltd., 1-17-1 Namamugi, Tsurumi-ku, Yokohama, Kanagawa 230-8682 (Japan); Shimizu, Mari [Packaging Technology Development Center, Technology Development Department, Kirin Brewery Co., Ltd., 1-17-1 Namamugi, Tsurumi-ku, Yokohama, Kanagawa 230-8682 (Japan); Uedono, Akira [Division of Applied Physics, Faculty of Pure and Applied Science, University of Tsukuba, Tsukuba, Ibaraki 305-8573 (Japan)

    2014-08-01

    With a type of capacitatively coupled plasma enhanced chemical vapor deposition (PECVD) technique, where two specially designed electrodes face to each other, the inner surface of hollow 3-dimensional objects such as poly(ethylene terephthalate) (PET) bottles can be coated with diamond-like carbon (DLC) thin film. DLC-coated PET bottles obtained with this technique have an enhanced gas barrier property, and therefore are applicable to industrial use such as for the extension of the shelf-life of contents sensitive to gas permeation. In this paper, the impact of power frequency ranging from 2.5 to 13.56 MHz was studied in order to research the behavior of plasma inside PET bottles and resultant properties. Different power frequency turned out to be influential on gas barrier property, the overall and distribution of tint, and adhesion between DLC and PET substrate. In addition, positron annihilation turned out to be powerful tool for the comparison of different coating conditions because it clarifies the homogeneity of DLC thin films through providing information on overall structure and thickness of them. These findings can be used for the optimization not only in the beverage PET bottle application, but also in other capacitatively coupled PECVD devices. - Highlights: • We demonstrated an effective methodology for the homogeneity of thin films. • We described the influence of power frequency on plasma and resultant thin film. • Diamond-like carbon coated on poly(ethylene terephthalate) bottles was used. • Different frequency provided homogenous thin films based on the above methodology. • For the industrial performance of the bottles, optimization was found at 6 MHz.

  7. Impact of the difference in power frequency on diamond-like carbon thin film coating over 3-dimensional objects

    International Nuclear Information System (INIS)

    Nakaya, Masaki; Shimizu, Mari; Uedono, Akira

    2014-01-01

    With a type of capacitatively coupled plasma enhanced chemical vapor deposition (PECVD) technique, where two specially designed electrodes face to each other, the inner surface of hollow 3-dimensional objects such as poly(ethylene terephthalate) (PET) bottles can be coated with diamond-like carbon (DLC) thin film. DLC-coated PET bottles obtained with this technique have an enhanced gas barrier property, and therefore are applicable to industrial use such as for the extension of the shelf-life of contents sensitive to gas permeation. In this paper, the impact of power frequency ranging from 2.5 to 13.56 MHz was studied in order to research the behavior of plasma inside PET bottles and resultant properties. Different power frequency turned out to be influential on gas barrier property, the overall and distribution of tint, and adhesion between DLC and PET substrate. In addition, positron annihilation turned out to be powerful tool for the comparison of different coating conditions because it clarifies the homogeneity of DLC thin films through providing information on overall structure and thickness of them. These findings can be used for the optimization not only in the beverage PET bottle application, but also in other capacitatively coupled PECVD devices. - Highlights: • We demonstrated an effective methodology for the homogeneity of thin films. • We described the influence of power frequency on plasma and resultant thin film. • Diamond-like carbon coated on poly(ethylene terephthalate) bottles was used. • Different frequency provided homogenous thin films based on the above methodology. • For the industrial performance of the bottles, optimization was found at 6 MHz

  8. Diamond pixel modules

    International Nuclear Information System (INIS)

    Asner, D.; Barbero, M.; Bellini, V.; Belyaev, V.; Brom, J-M.; Bruzzi, M.; Chren, D.; Cindro, V.; Claus, G.; Cristinziani, M.; Costa, S.; D'Alessandro, R.; Boer, W. de; Dobos, D.; Dolenc, I.; Dulinski, W.; Duris, J.; Eremin, V.; Eusebi, R.; Frais-Koelbl, H.

    2011-01-01

    With the commissioning of the LHC in 2010 and upgrades expected in 2015, ATLAS and CMS are planning to upgrade their innermost tracking layers with radiation hard technologies. Chemical Vapor Deposition diamond has been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle, CDF and all LHC experiments. This material is now being considered as a sensor material for use very close to the interaction region where the most extreme radiation conditions exist. Recently the RD42 collaboration constructed, irradiated and tested polycrystalline and single-crystal chemical vapor deposition diamond sensors to the highest fluences expected at the super-LHC. We present beam test results of chemical vapor deposition diamond up to fluences of 1.8x10 16 protons/cm 2 illustrating that both polycrystalline and single-crystal chemical vapor deposition diamonds follow a single damage curve. We also present beam test results of irradiated complete diamond pixel modules.

  9. Diamond pixel modules

    Energy Technology Data Exchange (ETDEWEB)

    Asner, D. [Carleton University, Ottawa (Canada); Barbero, M. [Universitaet Bonn (Germany); Bellini, V. [INFN/University of Catania (Italy); Belyaev, V. [MEPHI Institute, Moscow (Russian Federation); Brom, J-M. [IPHC, Strasbourg (France); Bruzzi, M. [INFN/University of Florence (Italy); Chren, D. [Czech Technical University, Prague (Czech Republic); Cindro, V. [Jozef Stefan Institute, Ljubljana (Slovenia); Claus, G. [IPHC, Strasbourg (France); Cristinziani, M. [Universitaet Bonn (Germany); Costa, S. [INFN/University of Catania (Italy); D' Alessandro, R. [Department of Energetics/INFN Florence (Italy); Boer, W. de [Universitaet Karlsruhe, Karlsruhe (Germany); Dobos, D. [CERN, Geneva (Switzerland); Dolenc, I. [Jozef Stefan Institute, Ljubljana (Slovenia); Dulinski, W. [IPHC, Strasbourg (France); Duris, J. [UCLA, Los Angeles, CA (United States); Eremin, V. [Ioffe Institute, St. Petersburg (Russian Federation); Eusebi, R. [FNAL, Batavia (United States); Frais-Koelbl, H. [Fachhochschule fuer Wirtschaft und Technik, Wiener Neustadt (Austria)

    2011-04-21

    With the commissioning of the LHC in 2010 and upgrades expected in 2015, ATLAS and CMS are planning to upgrade their innermost tracking layers with radiation hard technologies. Chemical Vapor Deposition diamond has been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle, CDF and all LHC experiments. This material is now being considered as a sensor material for use very close to the interaction region where the most extreme radiation conditions exist. Recently the RD42 collaboration constructed, irradiated and tested polycrystalline and single-crystal chemical vapor deposition diamond sensors to the highest fluences expected at the super-LHC. We present beam test results of chemical vapor deposition diamond up to fluences of 1.8x10{sup 16} protons/cm{sup 2} illustrating that both polycrystalline and single-crystal chemical vapor deposition diamonds follow a single damage curve. We also present beam test results of irradiated complete diamond pixel modules.

  10. Phosphorylated nano-diamond/ Polyimide Nanocomposites

    International Nuclear Information System (INIS)

    Beyler-Çiǧil, Asli; Çakmakçi, Emrah; Kahraman, Memet Vezir

    2014-01-01

    In this study, a novel route to synthesize polyimide (PI)/phosphorylated nanodiamond films with improved thermal and mechanical properties was developed. Surface phosphorylation of nano-diamond was performed in dichloromethane. Phosphorylation dramatically enhanced the thermal stability of nano-diamond. Poly(amic acid) (PAA), which is the precursor of PI, was successfully synthesized with 3,3',4,4'-Benzophenonetetracarboxylic dianhydride (BTDA) and 4,4'-oxydianiline (4,4'-ODA) in the solution of N,N- dimethylformamide (DMF). Pure BTDA-ODA polyimide films and phosphorylated nanodiamond containing BTDA-ODA PI films were prepared. The PAA displayed good compatibility with phosphorylated nano-diamond. The morphology of the polyimide (PI)/phosphorylated nano-diamond was characterized by scanning electron microscopy (SEM). Chemical structure of polyimide and polyimide (PI)/phosphorylated nano-diamond was characterized by FTIR. SEM and FTIR results showed that the phosphorylated nano-diamond was successfully prepared. Thermal properties of the polyimide (PI)/phosphorylated nanodiamond was characterized by thermogravimetric analysis (TGA). TGA results showed that the thermal stability of (PI)/phosphorylated nano-diamond film was increased

  11. A comparative study of the thermoluminescent response to beta irradiation of CVD diamond and LiF dosimeters

    Energy Technology Data Exchange (ETDEWEB)

    Bogani, F. [Florence Univ. (Italy). Dipt. di Energetica; Borchi, E. [Florence Univ. (Italy). Dipt. di Energetica; Bruzzi, M. [Florence Univ. (Italy). Dipt. di Energetica; Leroy, C. [Florence Univ. (Italy). Dipt. di Energetica; Sciortino, S. [Florence Univ. (Italy). Dipt. di Energetica

    1997-04-01

    The thermoluminescent (TL) response of chemical vapour deposited (CVD) diamond films to beta irradiation has been investigated. A numerical curve-fitting procedure, calibrated by means of a set of LiF TLD100 experimental spectra, has been developed to deconvolute the complex structured TL glow curves. The values of the activation energy and of the frequency factor related to each of the TL peaks involved have been determined. The TL response of the CVD diamond films to beta irradiation has been compared with the TL response of a set of LiF TLD100 and TLD700 dosimeters. The results have been discussed and compared in view of an assessment of the efficiency of CVD diamond films in future applications as in vivo dosimeters. (orig.).

  12. A comparative study of the thermoluminescent response to beta irradiation of CVD diamond and LiF dosimeters

    Science.gov (United States)

    Bogani, F.; Borchi, E.; Bruzzi, M.; Leroy, C.; Sciortino, S.

    1997-02-01

    The thermoluminescent (TL) response of Chemical Vapour Deposited (CVD) diamond films to beta irradiation has been investigated. A numerical curve-fitting procedure, calibrated by means of a set of LiF TLD100 experimental spectra, has been developed to deconvolute the complex structured TL glow curves. The values of the activation energy and of the frequency factor related to each of the TL peaks involved have been determined. The TL response of the CVD diamond films to beta irradiation has been compared with the TL response of a set of LiF TLD100 and TLD700 dosimeters. The results have been discussed and compared in view of an assessment of the efficiency of CVD diamond films in future applications as in vivo dosimeters.

  13. A comparative study of the thermoluminescent response to beta irradiation of CVD diamond and LiF dosimeters

    International Nuclear Information System (INIS)

    Bogani, F.; Borchi, E.; Bruzzi, M.; Leroy, C.; Sciortino, S.

    1997-01-01

    The thermoluminescent (TL) response of chemical vapour deposited (CVD) diamond films to beta irradiation has been investigated. A numerical curve-fitting procedure, calibrated by means of a set of LiF TLD100 experimental spectra, has been developed to deconvolute the complex structured TL glow curves. The values of the activation energy and of the frequency factor related to each of the TL peaks involved have been determined. The TL response of the CVD diamond films to beta irradiation has been compared with the TL response of a set of LiF TLD100 and TLD700 dosimeters. The results have been discussed and compared in view of an assessment of the efficiency of CVD diamond films in future applications as in vivo dosimeters. (orig.)

  14. Antithrombogenicity of Fluorinated Diamond-Like Carbon Films Coated Nano Porous Polyethersulfone (PES Membrane

    Directory of Open Access Journals (Sweden)

    Norihisa Miki

    2013-09-01

    Full Text Available A nano porous polyethersulfone (PES membrane is widely used for aspects of nanofiltration, such as purification, fractionation and dialysis. However, the low-blood-compatibility characteristic of PES membrane causes platelets and blood cells to stick to the surface of the membrane and degrades ions diffusion through membrane, which further limits its application for dialysis systems. In this study, we deposited the fluorinated-diamond-like-carbon (F-DLC onto the finger like structure layer of the PES membrane. By doing this, we have the F-DLC films coating the membrane surface without sacrificing the membrane permeability. In addition, we examined antithrombogenicity of the F-DLC/PES membranes using a microfluidic device, and experimentally found that F-DLC drastically reduced the amount of blood cells attached to the surface. We have also conducted long-term experiments for 24 days and the diffusion characteristics were found to be deteriorated due to fouling without any surface modification. On the other hand, the membranes coated by F-DLC film gave a consistent diffusion coefficient of ions transfer through a membrane porous. Therefore, F-DLC films can be a great candidate to improve the antithrombogenic characteristics of the membrane surfaces in hemodialysis systems.

  15. Toward deep blue nano hope diamonds: heavily boron-doped diamond nanoparticles.

    Science.gov (United States)

    Heyer, Steffen; Janssen, Wiebke; Turner, Stuart; Lu, Ying-Gang; Yeap, Weng Siang; Verbeeck, Jo; Haenen, Ken; Krueger, Anke

    2014-06-24

    The production of boron-doped diamond nanoparticles enables the application of this material for a broad range of fields, such as electrochemistry, thermal management, and fundamental superconductivity research. Here we present the production of highly boron-doped diamond nanoparticles using boron-doped CVD diamond films as a starting material. In a multistep milling process followed by purification and surface oxidation we obtained diamond nanoparticles of 10-60 nm with a boron content of approximately 2.3 × 10(21) cm(-3). Aberration-corrected HRTEM reveals the presence of defects within individual diamond grains, as well as a very thin nondiamond carbon layer at the particle surface. The boron K-edge electron energy-loss near-edge fine structure demonstrates that the B atoms are tetrahedrally embedded into the diamond lattice. The boron-doped diamond nanoparticles have been used to nucleate growth of a boron-doped diamond film by CVD that does not contain an insulating seeding layer.

  16. The Role of Ambient Gas and Pressure on the Structuring of Hard Diamond-Like Carbon Films Synthesized by Pulsed Laser Deposition

    Directory of Open Access Journals (Sweden)

    Andrei C. Popescu

    2015-06-01

    Full Text Available Hard carbon thin films were synthesized on Si (100 and quartz substrates by the Pulsed Laser Deposition (PLD technique in vacuum or methane ambient to study their suitability for applications requiring high mechanical resistance. The deposited films’ surface morphology was investigated by scanning electron microscopy, crystalline status by X-ray diffraction, packing and density by X-ray reflectivity, chemical bonding by Raman and X-ray photoelectron spectroscopy, adherence by “pull-out” measurements and mechanical properties by nanoindentation tests. Films synthesized in vacuum were a-C DLC type, while films synthesized in methane were categorized as a-C:H. The majority of PLD films consisted of two layers: one low density layer towards the surface and a higher density layer in contact with the substrate. The deposition gas pressure played a crucial role on films thickness, component layers thickness ratio, structure and mechanical properties. The films were smooth, amorphous and composed of a mixture of sp3-sp2 carbon, with sp3 content ranging between 50% and 90%. The thickness and density of the two constituent layers of a film directly determined its mechanical properties.

  17. Investigation of the HA film deposited on the porous Ti6Al4V alloy prepared via additive manufacturing

    International Nuclear Information System (INIS)

    Surmeneva, M; Chudinova, E; Syrtanov, M; Surmenev, R; Koptioug, A

    2015-01-01

    This study is focused on the use of radio frequency magnetron sputtering to modify the surface of porous Ti6Al4V alloy fabricated via additive manufacturing technology. The hydroxyapatite (HA) coated porous Ti6Al4V alloy was studied in respect with its chemical and phase composition, surface morphology, water contact angle and hysteresis, and surface free energy. Thin nanocrystalline HA film was deposited while its structure with diamond-shaped cells remained unchanged. Hysteresis and water contact angle measurements revealed an effect of the deposited HA films, namely an increased water contact angle and contact angle hysteresis. The increase of the contact angle of the coating-substrate system compared to the uncoated substrate was attributed to the multiscale structure of the resulted surfaces. (paper)

  18. Surface treatment of diamond-like carbon films by reactive Ar/CF{sub 4} high-power pulsed magnetron sputtering plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Kimura, Takashi, E-mail: t-kimura@nitech.ac.jp [Graduate School of Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Nishimura, Ryotaro [Graduate School of Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Azuma, Kingo [Department of Electrical Engineering and Computer Sciences, University of Hyogo, 2167 Shosha, Himeji, Hyogo 671-2280 (Japan); Nakao, Setsuo; Sonoda, Tsutomu; Kusumori, Takeshi; Ozaki, Kimihiro [National Institute of Advanced Industrial Science and Technology (AIST) - Chubu, 2266-98 Anagahora, Moriyama, Nagoya 463-8560 (Japan)

    2015-12-15

    Surface modification of diamond-like carbon films deposited by a high-power pulsed magnetron sputtering (HPPMS) of Ar was carried out by a HPPMS of Ar/CF{sub 4} mixture, changing a CF{sub 4} fraction from 2.5% to 20%. The hardness of the modified films markedly decreased from about 13 to about 3.5 GPa with increasing CF{sub 4} fraction, whereas the water contact angle of the modified films increased from 68° to 109° owing to the increase in the CF{sub x} content on the film surface. C 1s spectra in X-ray photoelectron spectroscopy indicated that a graphitic structure of modified films was formed at CF{sub 4} fractions less than 5%, above which the modified films possessed a polymer-like structure. Influence of treatment time on the properties of the modified films was also investigated in the range of treatment time from 5 to 30 min. The properties of the modified films did not depend on the treatment time in the range of treatment time longer than 10 min, whereas the water contact angle was not sensitive to the treatment time at any treatment time.

  19. Sequential Electrodeposition of Platinum-Ruthenium at Boron-Doped Diamond Electrodes for Methanol Oxidation

    Directory of Open Access Journals (Sweden)

    Ileana González-González

    2011-01-01

    Full Text Available Sequential electrodeposition of Pt and Ru on boron-doped diamond (BDD films, in 0.5 M H2SO4 by cyclic voltammetry, has been prepared. The potential cycling, in the aqueous solutions of the respective metals, was between 0.00 and 1.00 V versus Ag/AgCl. The catalyst composites, Pt and PtRu, deposited on BDD film substrates, were tested for methanol oxidation. The modified diamond surfaces were also characterized by scanning electron microscopy-X-ray fluorescence-energy dispersive spectroscopy, X-ray photoelectron spectroscopy, and Auger electron spectroscopy. The scanning Auger electron spectroscopy mapping showed the ruthenium signal only in areas where platinum was electrodeposited. Ruthenium does not deposit on the oxidized diamond surface of the boron-doped diamond. Particles with 5–10% of ruthenium with respect to platinum exhibited better performance for methanol oxidation in terms of methanol oxidation peak current and chronoamperometric current stability. The electrogenerated •OH radicals on BDD may interact with Pt surface, participating in the methanol oxidation as shown in oxidation current and the shift in the peak position. The conductive diamond surface is a good candidate as the support for the platinum electrocatalyst, because it ensures catalytic activity, which compares with the used carbon, and higher stability under severe anodic and cathodic conditions.

  20. Finestructures study of the diamond/titanium interface by transmission electron microscopy

    International Nuclear Information System (INIS)

    Li, X.J.; Li, Y.S.; He, L.L.; Yang, Q.; Hirose, A.

    2014-01-01

    It is well known that a TiC layer can be formed and should act as a buffer layer in diamond films deposited on Ti alloy. Through our cross-sectional investigation in HRTEM, a thin layer (20–30 nm) was first identified between the outermost diamond film and the inner reactive TiC layer adjacent to the substrate. This layer consists of numerous crystalline nanoparticles with grain sizes of 5–20 nm. Through electron nanodiffraction patterns combined with EDS and EELS analysis, these nanoparticles can be identified as a TiC 1−x O x phase with a similar structure to cubic TiC. Besides, C atoms and O atoms in TiC 1−x O x randomly occupy the vacancies of C in TiC. The thickness of this TiC 1−x O x layer does not change significantly with increasing deposition time, and the diamond phase directly nucleates and grows on it. - Highlights: • The diamond/Ti6Al4V interfacial finestructures have been investigated by HRTEM. • A thin layer composed of many crystalline TiC 1−x O x nanoparticles is first identified. • The TiC 1−x O x phase has a similar structure to cubic TiC. • In TiC 1−x O x , C atoms and O atoms randomly occupy the vacancies of C in TiC. • The TiC 1−x O x layer maintains the thickness of 20–30 nm as increasing deposition time