Developing a database management system to support birth defects surveillance in Florida.
Salemi, Jason L; Hauser, Kimberlea W; Tanner, Jean Paul; Sampat, Diana; Correia, Jane A; Watkins, Sharon M; Kirby, Russell S
2010-01-01
The value of any public health surveillance program is derived from the ways in which data are managed and used to improve the public's health. Although birth defects surveillance programs vary in their case volume, budgets, staff, and objectives, the capacity to operate efficiently and maximize resources remains critical to long-term survival. The development of a fully-integrated relational database management system (DBMS) can enrich a surveillance program's data and improve efficiency. To build upon the Florida Birth Defects Registry--a statewide registry relying solely on linkage of administrative datasets and unconfirmed diagnosis codes-the Florida Department of Health provided funding to the University of South Florida to develop and pilot an enhanced surveillance system in targeted areas with a more comprehensive approach to case identification and diagnosis confirmation. To manage operational and administrative complexities, a DBMS was developed, capable of managing transmission of project data from multiple sources, tracking abstractor time during record reviews, offering tools for defect coding and case classification, and providing reports to DBMS users. Since its inception, the DBMS has been used as part of our surveillance projects to guide the receipt of over 200 case lists and review of 12,924 fetuses and infants (with associated maternal records) suspected of having selected birth defects in over 90 birthing and transfer facilities in Florida. The DBMS has provided both anticipated and unexpected benefits. Automation of the processes for managing incoming case lists has reduced clerical workload considerably, while improving accuracy of working lists for field abstraction. Data quality has improved through more effective use of internal edits and comparisons with values for other data elements, while simultaneously increasing abstractor efficiency in completion of case abstraction. We anticipate continual enhancement to the DBMS in the future
Areva solutions for management of defective fuel
International Nuclear Information System (INIS)
Morlaes, I.; Vo Van, V.
2014-01-01
Defective fuel management is a major challenge for nuclear operators when all fuel must be long-term managed. This paper describes AREVA solutions for managing defective fuel. Transport AREVA performs shipments of defective fuel in Europe and proposes casks that are licensed for that purpose in Europe and in the USA. The paper presents the transport experience and the new European licensing approach of defective fuel transport. Dry Interim Storage AREVA is implementing the defective fuel storage in the USA, compliant with the Safety Authority's requirements. In Europe, AREVA is developing a new, more long-term oriented storage solution for defective fuel, the best available technology regarding safety requirements. The paper describes these storage solutions. Treatment Various types of defective fuel coming from around the world have been treated in the AREVA La Hague plant. Specific treatment procedures were developed when needed. The paper presents operational elements related to this experience. (authors)
International Nuclear Information System (INIS)
Utzel, N.
1991-06-01
An optimized management of Tore Supra Tokamak requires a computerized defect management. The aim is the analysis of an inhibited situation not corrected by automatisms of the process and that can be handled only by human intervention. The operator should understand, make a diagnosis and act to restore the system. In this report are studied an expert system helping the operator to analyze defects of the two main cooling loops (decarbonated water and pressurized water), management of the history of malfunction and recording of diagnosises, elaboration of an adapted expert model and installation of a methodology for defect management in other processes of Tore Supra [fr
Zhu, Jun; Chen, Lijun; Ma, Lantao; Li, Dejian; Jiang, Wei; Pan, Lihong; Shen, Huiting; Jia, Hongmin; Hsiang, Chingyun; Cheng, Guojie; Ling, Li; Chen, Shijie; Wang, Jun; Liao, Wenkui; Zhang, Gary
2014-04-01
Defect review is a time consuming job. Human error makes result inconsistent. The defects located on don't care area would not hurt the yield and no need to review them such as defects on dark area. However, critical area defects can impact yield dramatically and need more attention to review them such as defects on clear area. With decrease in integrated circuit dimensions, mask defects are always thousands detected during inspection even more. Traditional manual or simple classification approaches are unable to meet efficient and accuracy requirement. This paper focuses on automatic defect management and classification solution using image output of Lasertec inspection equipment and Anchor pattern centric image process technology. The number of mask defect found during an inspection is always in the range of thousands or even more. This system can handle large number defects with quick and accurate defect classification result. Our experiment includes Die to Die and Single Die modes. The classification accuracy can reach 87.4% and 93.3%. No critical or printable defects are missing in our test cases. The missing classification defects are 0.25% and 0.24% in Die to Die mode and Single Die mode. This kind of missing rate is encouraging and acceptable to apply on production line. The result can be output and reloaded back to inspection machine to have further review. This step helps users to validate some unsure defects with clear and magnification images when captured images can't provide enough information to make judgment. This system effectively reduces expensive inline defect review time. As a fully inline automated defect management solution, the system could be compatible with current inspection approach and integrated with optical simulation even scoring function and guide wafer level defect inspection.
Directory of Open Access Journals (Sweden)
P Syamasundar Rao
2018-04-01
Full Text Available This review discusses the management of ventricular septal defects (VSDs and atrioventricular septal defects (AVSDs. There are several types of VSDs: perimembranous, supracristal, atrioventricular septal, and muscular. The indications for closure are moderate to large VSDs with enlarged left atrium and left ventricle or elevated pulmonary artery pressure (or both and a pulmonary-to-systemic flow ratio greater than 2:1. Surgical closure is recommended for large perimembranous VSDs, supracristal VSDs, and VSDs with aortic valve prolapse. Large muscular VSDs may be closed by percutaneous techniques. A large number of devices have been used in the past for VSD occlusion, but currently Amplatzer Muscular VSD Occluder is the only device approved by the US Food and Drug Administration for clinical use. A hybrid approach may be used for large muscular VSDs in small babies. Timely intervention to prevent pulmonary vascular obstructive disease (PVOD is germane in the management of these babies. There are several types of AVSDs: partial, transitional, intermediate, and complete. Complete AVSDs are also classified as balanced and unbalanced. All intermediate and complete balanced AVSDs require surgical correction, and early repair is needed to prevent the onset of PVOD. Surgical correction with closure of atrial septal defect and VSD, along with repair and reconstruction of atrioventricular valves, is recommended. Palliative pulmonary artery banding may be considered in babies weighing less than 5 kg and those with significant co-morbidities. The management of unbalanced AVSDs is more complex, and staged single-ventricle palliation is the common management strategy. However, recent data suggest that achieving two-ventricle repair may be a better option in patients with suitable anatomy, particularly in patients in whom outcomes of single-ventricle palliation are less than optimal. The majority of treatment modes in the management of VSDs and AVSDs are safe
Institute of Scientific and Technical Information of China (English)
Xu-huai HU
2007-01-01
The paper expounds the community maternity service system against birth defects,from the viewpoint of individualized service in family planning. We have utilized modern information technology to develop health management information (HMI) network with individualized maternity, and to establish the community service system for intervention of birth defects. The service system applied the concept of modern health management information to implementing informational management for screening,treatment, following up, outcome monitoring, so as to provide a base for promotion of health, diagnosis, treatment as well as scientific research, with the prenatal screening of Down's syndrome as a model. The introduction to informational network during the processes of service has been carried out with regards to its composition, function and application, while introducing the effects of computerized case record individualized in prevention, management and research of Down's syndrome.
Prosthetic management of an ocular defect
Directory of Open Access Journals (Sweden)
Siddesh Kumar Chintal
2010-01-01
Full Text Available The disfigurement associated with the loss of an eye can cause significant physical and emotional problems. Various treatment modalities are available, one of which is implants. Although implant has a superior outcome, it may not be advisable in all patients due to economic factors. The present article describes the prosthetic management of an ocular defect with a custom-made ocular prosthesis.
Short, medium and long term consequences of inadequate defect fuel management
Energy Technology Data Exchange (ETDEWEB)
Roberts, J.G. [CANTECH Associates Limited, Burlington, Ontario (Canada); Nashiem, R.; McQueen, M.; Ma, G. [Bruce Power, Tiverton, Ontario (Canada)
2011-07-01
Defect fuel pencils result in short, medium and long term consequences to the environment within and external to the nuclear power station. The paper will describe these consequences and specify the Defect Fuel Management Practices required to avoid these consequences. (author)
Short, medium and long term consequences of inadequate defect fuel management
International Nuclear Information System (INIS)
Roberts, J.G.; Nashiem, R.; McQueen, M.; Ma, G.
2011-01-01
Defect fuel pencils result in short, medium and long term consequences to the environment within and external to the nuclear power station. The paper will describe these consequences and specify the Defect Fuel Management Practices required to avoid these consequences. (author)
Short, medium and long term consequences of inadequate defect fuel management
International Nuclear Information System (INIS)
Roberts, J.G.; McQueen, M.; Nashiem, R.; Ma, G.
2011-01-01
Defect fuel pencils result in short, medium and long term consequences to the environment within and external to the nuclear power station. The paper will describe these consequences and specify the Defect Fuel Management Practices required to avoid these consequences.
Short, medium and long term consequences of inadequate defect fuel management
Energy Technology Data Exchange (ETDEWEB)
Roberts, J.G. [CANTECH Associates Ltd., Burlington, ON (Canada); McQueen, M.; Nashiem, R.; Ma, G. [Bruce Power, Tiverton, ON (Canada)
2011-07-01
Defect fuel pencils result in short, medium and long term consequences to the environment within and external to the nuclear power station. The paper will describe these consequences and specify the Defect Fuel Management Practices required to avoid these consequences.
Short, medium and long term consequences of inadequate defect fuel management
Energy Technology Data Exchange (ETDEWEB)
Roberts, J.G., E-mail: alchemy@tnt21.com [CANTECH Associates Ltd., Burlington, Ontario (Canada); Nashiem, R.; McQueen, M.; Ma, G., E-mail: Rod.nashiem@brucepower.com, E-mail: Maureen.mcqueen@brucepower.com, E-mail: guoping.ma@brucepower.com [Bruce Power, Tiverton (Canada)
2010-07-01
Defect fuel pencils result in short, medium and long term consequences to the environment within and external to the nuclear power station. The paper will describe these consequences and specify the Defect Fuel Management Practices required to avoid these consequences. (author)
Short, medium and long term consequences of inadequate defect fuel management
International Nuclear Information System (INIS)
Roberts, J.G.; Nashiem, R.; McQueen, M.; Ma, G.
2010-01-01
Defect fuel pencils result in short, medium and long term consequences to the environment within and external to the nuclear power station. The paper will describe these consequences and specify the Defect Fuel Management Practices required to avoid these consequences. (author)
Management of penile defects: a review.
Guizhong, Li; Feng, He; Guangling, Huang; Libo, Man; Kun, Liu; Yuming, Shen
2012-06-01
Penile amputation is a rare injury. Although, in principle, penile replantation can be performed using a variety of methods, few, if any, standardized procedures exist to deal with this medical emergency. The value of the various microsurgical techniques for replantation of the penis remains uncertain. This article provides a review of the management of penile defects and complications. Thieme Medical Publishers 333 Seventh Avenue, New York, NY 10001, USA.
The use of large bilobed flap in the management of cheek defect: a ...
African Journals Online (AJOL)
Introduction: bilobed flaps are versatile reconstructive tools which have been used extensively in the management of nasal, shoulder and neck defects. Although its use in the cheek has been reported, it is uncommonly utilized. Case report: This is a case report of a 22yr old lady with traumatic left cheek defect managed with ...
A new approach to reticle haze defect management in the fab
Gau, Yeu-Dong; Hsiao, Kevin; Hsu, Wen-Hao; Lu, Yu-Min; Chen, Chun-Chieh; Liu, Chen Min; Van Riet, Mike; Gaspar, Noah; Yu, Chien-Chun; Chan, Phillip
2009-04-01
The present practice of managing reticle haze defectivity involves reticle inspection at regular intervals, coupled with inspection of print-down wafers in between reticle inspections. The sensitivity of the reticle inspection tool allows it to detect haze defects before they are large enough to print on the wafer. Cleaning the reticle as soon as the reticle inspector detects haze defects could result in a shorter reticle lifetime. Thus there is strong motivation to develop a methodology to determine what size defect on the reticle results in a printable defect on the wafer. Printability depends upon several variables in the litho process as well as whether the defect resides in a high-MEEF (Mask Error Enhancement Factor) or low-MEEF area of the die.1 Trying to use wafer inspection to identify the first appearance of haze defects may require inspector recipe settings that are not suited to a practical wafer scan. A novel method of managing such defects is to map the coordinates of the defects from the reticle onto the wafer, and apply a separate, hyper-sensitive threshold to a small area surrounding the given coordinates. With this method, one can start to correlate the size of the defects printed on the wafer to the light transmission rate from the corresponding site on the reticle scan, and thus can predict the starting point at which the haze defects on the reticle are likely to print on the wafer. The experiment described in this paper is a first step in exploring the feasibility of this method to help track the growth of nascent haze defects and optimize the timing to rework the reticles. The methodology may have extendibility to other applications in which hyper-sensitive wafer inspection at localized areas within the die would be beneficial, such as monitoring weak spots found by Optical Rule Check, Process Window Qualification, electrical test or failure analysis.
Pullout Performances of Grouted Rockbolt Systems with Bond Defects
Xu, Chang; Li, Zihan; Wang, Shanyong; Wang, Shuren; Fu, Lei; Tang, Chunan
2018-03-01
This paper presents a numerical study on the pullout behaviour of fully grouted rockbolts with bond defects. The cohesive zone model (CZM) is adopted to model the bond-slip behaviour between the rockbolt and grout material. Tensile tests were also conducted to validate the numerical model. The results indicate that the defect length can obviously influence the load and stress distributions along the rockbolt as well as the load-displacement response of the grouted system. Moreover, a plateau in the stress distribution forms due to the bond defect. The linear limit and peak load of the load-displacement response decrease as the defect length increases. A bond defect located closer to the loaded end leads to a longer nonlinear stage in the load-displacement response. However, the peak loads measured from the specimens made with various defect locations are almost approximately the same. The peak load for a specimen with the defects equally spaced along the bolt is higher than that for a specimen with defects concentrated in a certain zone, even with the same total defect length. Therefore, the dispersed pattern of bond defects would be much safer than the concentrated pattern. For the specimen with dispersed defects, the peak load increases with an increase in the defect spacing, even if the total defect length is the same. The peak load for a grouted rockbolt system with defects increases with an increases in the bolt diameter. This work leads to a better understanding of the load transfer mechanism for grouted rockbolt systems with bond defects, and paves the way towards developing a general evaluation method for damaged rockbolt grouted systems.
AN ANALYSIS OF THE CAUSES OF PRODUCT DEFECTS USING QUALITY MANAGEMENT TOOLS
Directory of Open Access Journals (Sweden)
Katarzyna MIDOR
2014-10-01
Full Text Available To stay or strengthen its position on the market, a modern business needs to follow the principles of quality control in its actions. Especially important is the Zero Defects concept developed by Philip Crosby, which means flawless production. The concept consists in preventing the occurrence of defects and flaws in all production stages. To achieve that, we must, among other things, make use of quality management tools. This article presents an analysis of the reasons for the return of damaged or faulty goods in the automotive industry by means of quality management tools such as the Ishikawa diagram and Pareto analysis, which allow us to identify the causes of product defectiveness. Based on the results, preventive measures have been proposed. The actions presented in this article and the results of the analysis prove the effectiveness of the aforementioned quality management tools.
Pattern and Management of acquired Facial defects in Imo State ...
African Journals Online (AJOL)
Alasia Datonye
the pattern, aetiology and management approach for facial defects in our centre and highlights the challenges faced in managing these patients. Method: A review of clinical records of consecutive patients ..... sleeves and trousers or long skirts. They should wear hats with broad rims, limit their outdoor activities out in the sun.
Directory of Open Access Journals (Sweden)
Dalim Kumar Baidya
2011-01-01
Full Text Available Blepharophimosis syndrome is an autosomal dominant disorder characterized by eyelid malformation, involvement of reproductive system and abnormal facial morphology leading to difficult airway. We report a rare association of blepharophimosis syndrome and atrial septal defect in a 10-year-old girl who came for reconstruction surgery of eyelid. The child had dyspnea on exertion. Atrial septal defect was identified preoperatively by clinical examination and echocardiography. Anesthesia management was complicated by failure in laryngeal mask airway placement and Cobra perilaryngeal airway was subsequently used.
Management of apicomarginal defect in esthetic region associated with a tooth with anomalies
Directory of Open Access Journals (Sweden)
Vinayak Venkoosa Meharwade
2015-11-01
Full Text Available Tooth related factors such as palatoradicular groove can be one of the causes for localized periodontal destruction. Such pathological process may result in apicomarginal defect along with inflammation of pulp. This creates challenging situation which clinician must be capable of performing advanced periodontal regenerative procedures for the successful management. This case report discusses clinical management of apicomarginal defect associated with extensive periradicular destruction in a maxillary lateral incisor, along with histopathologic aspect of the lesion.
A novel inspection system for cosmetic defects
Hazra, S.; Roy, R.; Williams, D.; Aylmore, R.; Hollingdale, D.
2013-12-01
The appearance of automotive skin panels creates desirability for a product and differentiates it from the competition. Because of the importance of skin panels, considerable care is taken in minimizing defects such as the 'hollow' defect that occur around door-handle depressions. However, the inspection process is manual, subjective and time-consuming. This paper describes the development of an objective and inspection scheme for the 'hollow' defect. In this inspection process, the geometry of a panel is captured using a structured lighting system. The geometry data is subsequently analyzed by a purpose-built wavelet-based algorithm to identify the location of any defects that may be present and to estimate the perceived severity of the defects without user intervention. This paper describes and critically evaluates the behavior of this physically-based algorithm on an ideal and real geometry and compares its result to an actual audit. The results show that the algorithm is capable of objectively locating and classifying 'hollow' defects in actual panels.
Fuel defect detection, localization and removal in Bruce Power units 3 through 8
International Nuclear Information System (INIS)
Stone, R.; Armstrong, J.; Iglesias, F.; Oduntan, R.; Lewis, B.
2005-01-01
Fuel element defects are occurring in Bruce 'A' and Bruce 'B' Units. A root-cause investigation is ongoing, however, a solution is not yet in-hand. Fuel defect management efforts have been undertaken, therefore, in the interim. Fuel defect management tools are in-place for all Bruce Units. These tools can be categorized as analysis-based or operations-based. Analysis-based tools include computer codes used primarily for fuel defect characterization, while operations-based tools include Unit-specific delayed-neutron ('DN') monitoring systems and gaseous fission product ('GFP') monitoring systems. Operations-based tools are used for fuel defect detection, localization and removal activities. Fuel and Physics staff use defect detection, localization and removal methodologies and guidelines to disposition fuel defects. Methodologies are 'standardized' or 'routine' procedures for implementing analysis-based and operations-based tools to disposition fuel defects during Unit start-up operation and during operation at high steady-state power levels. Guidelines at present serve to supplement fuel defect management methodologies during Unit power raise. (author)
Defect design of insulation systems for photovoltaic modules
Mon, G. R.
1981-01-01
A defect-design approach to sizing electrical insulation systems for terrestrial photovoltaic modules is presented. It consists of gathering voltage-breakdown statistics on various thicknesses of candidate insulation films where, for a designated voltage, module failure probabilities for enumerated thickness and number-of-layer film combinations are calculated. Cost analysis then selects the most economical insulation system. A manufacturing yield problem is solved to exemplify the technique. Results for unaged Mylar suggest using fewer layers of thicker films. Defect design incorporates effects of flaws in optimal insulation system selection, and obviates choosing a tolerable failure rate, since the optimization process accomplishes that. Exposure to weathering and voltage stress reduces the voltage-withstanding capability of module insulation films. Defect design, applied to aged polyester films, promises to yield reliable, cost-optimal insulation systems.
Key Questions in Building Defect Prediction Models in Practice
Ramler, Rudolf; Wolfmaier, Klaus; Stauder, Erwin; Kossak, Felix; Natschläger, Thomas
The information about which modules of a future version of a software system are defect-prone is a valuable planning aid for quality managers and testers. Defect prediction promises to indicate these defect-prone modules. However, constructing effective defect prediction models in an industrial setting involves a number of key questions. In this paper we discuss ten key questions identified in context of establishing defect prediction in a large software development project. Seven consecutive versions of the software system have been used to construct and validate defect prediction models for system test planning. Furthermore, the paper presents initial empirical results from the studied project and, by this means, contributes answers to the identified questions.
Digital detection system of surface defects for large aperture optical elements
International Nuclear Information System (INIS)
Fan Yong; Chen Niannian; Gao Lingling; Jia Yuan; Wang Junbo; Cheng Xiaofeng
2009-01-01
Based on the light defect images against the dark background in a scattering imaging system, a digital detection system of surface defects for large aperture optical elements has been presented. In the system, the image is segmented by a multi-area self-adaptive threshold segmentation method, then a pixel labeling method based on replacing arrays is adopted to extract defect features quickly, and at last the defects are classified through back-propagation neural networks. Experiment results show that the system can achieve real-time detection and classification. (authors)
Managing scalp defects in sub-Saharan Africa | Legbo | East African ...
African Journals Online (AJOL)
Conclusions: Management of scalp defects remains a major challenge in our environment. The importance of continuing education of colleagues and other health workers in peripheral health units on the importance of proper initial wound debridement and early referral cannot be overemphasised. East African Medical ...
An assessment of maintainability of elevator system to improve facilities management knowledge-base
Siti, N. A.; Asmone, A. S.; Chew, M. Y. L.
2018-02-01
Elevator system is a highly specialized machinery that requires technicians that have a wider array of knowledge in maintaining the system to be safe and reliable. While attaining reliable data of elevator malfunction become challenges, this study has filled the gap by gathering the management-maintenance issues and operational defects of elevator system. Forty-three types of operation defects were found and the consequence defects and their possible causes of occurrences were discussed. To respond to the prime challenges of maintaining elevator system provided by the industry players’ perspective, a theoretical framework is established as a recommendation to improve knowledge base of defects in elevator system which comprises good practices, and solutions to rectify each defects found. Hence, this research paper has theoretically improved the knowledge base of maintainability of elevator system and provide meaningful guidelines in practical senses to the industry professionals.
Substitution and defect chemistry of La-Cu-O systems
International Nuclear Information System (INIS)
Gai, P.L.; McCarron, E.M.; Kunchur, M.
1991-01-01
In this paper substitutional effects of strontium in La-Cu-O system and defects accommodating stoichiometric deviations is investigated. The extended shear defects are analyzed using electron microscopy and the role in superconducting transport properties has been examined by magnetic measurements. The initial results suggest that the defects enhance flux pinning
Defect and Innovation of Water Rights System
Institute of Scientific and Technical Information of China (English)
Zhou Bin
2008-01-01
The rare deposition of water resources conflicts with its limitless demand. This determined the existence of the water rights transaction system. The implementation of the water rights transaction system requires clarifying the definition of water re-source fight above all distinctly. At present, it is a kind of common right system arrangement which needs the Chinese government to dispose of water resources. Though a series of management sys-tems guaranteed the government's supply of water resource, it hindered the development of the water market seriously and caused the utilization of water resources to stay in the inefficient or low efficient state for a long time. Thus, we should change the government's leading role in the resource distribution and really rely on the market to carry on the water rights trade and transac-tion. In this way, the water rights could become a kind of private property right relatively, and circulate freely in the market. As a result of this, we should overcome the defects of common right, make its external performance internalized maximally and achieve the optimized water resource disposition and use it more effec-tively.
Management of segmental bony defects: the role of osteoconductive orthobiologics.
McKee, Michael D
2006-01-01
Our knowledge about, and the availability of, orthobiologic materials has increased exponentially in the last decade. Although previously confined to the experimental or animal-model realm, several orthobiologics have been shown to be useful in a variety of clinical situations. As surgical techniques in vascular anastomosis, soft-tissue coverage, limb salvage, and fracture stabilization have improved, the size and frequency of bony defects (commensurate with the severity of the initial injury) have increased, as well. Because all methods of managing segmental bony defects have drawbacks, a need remains for a readily available, void-filling, inexpensive bone substitute. Such a bone substitute fulfills a permissive role in allowing new bone to grow into a given defect. Such potential osteoconductive materials include ceramics, calcium sulfate or calcium phosphate compounds, hydroxyapatite, deproteinized bone, corals, and recently developed polymers. Some materials that have osteoinductive properties, such as demineralized bone matrix, also display prominent osteoconductive properties.
Pattern and Management of acquired Facial defects in Imo State ...
African Journals Online (AJOL)
Imo State University Teaching Hospital Orlu, has the bulk of its patients drawn from neigbouring rural communities and are mainly of a low socioeconomic group. They therefore tend to present late with relatively complicated pathologies. This article looks at the pattern, aetiology and management approach for facial defects ...
Directory of Open Access Journals (Sweden)
Rao Srinivas
2005-03-01
Full Text Available PURPOSE: To describe the preoperative characteristics, intraoperative details, management, and postoperative in patients with bilateral epithelial defects after laser in situ keratomileusis (LASIK. METHODS: Retrospective non-comparative case series. RESULTS: Six patients with bilateral epithelial defects after LAISK were part of a cohort of 605 patients undergoing bilateral LASIK at our center from December 2001 to April 2003. The mean age of the patients (5M:1F was 28.5 7.9 years, and the average pretreatment myopic spherical equivalent (SE refraction was 7.3 0.7 D (-4, -12.25D. An epithelial flap was present in 6 eyes and an epithelial defect with a mean diameter of 3 mm (2mm, 6mm was seen in 6 eyes. In four patients the epithelial disturbance was bilaterally similar. All defects occurred in the inferior cornea and the epithelial flaps had the hinge positioned superiorly. None of the patients had ocular or systemic risk factors that could have resulted in this complication. A bandage contact lens was used in 6 eyes. At last follow-up of 5.5 9.5 months (0.25, 21 months, unaided visual acuity was 6/9 or better in 10 eyes. Best spectacle-corrected visual acuity (BSCVA was maintained in 8 eyes, while 4 eyes lost one line of BSCVA. Recurrent corneal erosions were not reported in the follow-up period. CONCLUSIONS: These patients represent a hitherto unrecognised group of individuals who appear to have a subclinical weakness of adhesion of the corneal epithelium to the underlying structures, which is not evident on clinical examination. This results in bilateral epithelial disturbances after LASIK. Appropriate management results in satisfactory clinical outcomes. Other options for treatment of the fellow eye of such patients include the use of a different microkeratome, release of suction during the reverse pass of the Hansatome microkeratome, and photorefractive keratectomy if the refractive error is low.
Study on on-machine defects measuring system on high power laser optical elements
Luo, Chi; Shi, Feng; Lin, Zhifan; Zhang, Tong; Wang, Guilin
2017-10-01
The influence of surface defects on high power laser optical elements will cause some harm to the performances of imaging system, including the energy consumption and the damage of film layer. To further increase surface defects on high power laser optical element, on-machine defects measuring system was investigated. Firstly, the selection and design are completed by the working condition analysis of the on-machine defects detection system. By designing on processing algorithms to realize the classification recognition and evaluation of surface defects. The calibration experiment of the scratch was done by using the self-made standard alignment plate. Finally, the detection and evaluation of surface defects of large diameter semi-cylindrical silicon mirror are realized. The calibration results show that the size deviation is less than 4% that meet the precision requirement of the detection of the defects. Through the detection of images the on-machine defects detection system can realize the accurate identification of surface defects.
Reliability-based management of buried pipelines considering external corrosion defects
Miran, Seyedeh Azadeh
Corrosion is one of the main deteriorating mechanisms that degrade the energy pipeline integrity, due to transferring corrosive fluid or gas and interacting with corrosive environment. Corrosion defects are usually detected by periodical inspections using in-line inspection (ILI) methods. In order to ensure pipeline safety, this study develops a cost-effective maintenance strategy that consists of three aspects: corrosion growth model development using ILI data, time-dependent performance evaluation, and optimal inspection interval determination. In particular, the proposed study is applied to a cathodic protected buried steel pipeline located in Mexico. First, time-dependent power-law formulation is adopted to probabilistically characterize growth of the maximum depth and length of the external corrosion defects. Dependency between defect depth and length are considered in the model development and generation of the corrosion defects over time is characterized by the homogenous Poisson process. The growth models unknown parameters are evaluated based on the ILI data through the Bayesian updating method with Markov Chain Monte Carlo (MCMC) simulation technique. The proposed corrosion growth models can be used when either matched or non-matched defects are available, and have ability to consider newly generated defects since last inspection. Results of this part of study show that both depth and length growth models can predict damage quantities reasonably well and a strong correlation between defect depth and length is found. Next, time-dependent system failure probabilities are evaluated using developed corrosion growth models considering prevailing uncertainties where three failure modes, namely small leak, large leak and rupture are considered. Performance of the pipeline is evaluated through failure probability per km (or called a sub-system) where each subsystem is considered as a series system of detected and newly generated defects within that sub-system
Surface Casting Defects Inspection Using Vision System and Neural Network Techniques
Directory of Open Access Journals (Sweden)
Świłło S.J.
2013-12-01
Full Text Available The paper presents a vision based approach and neural network techniques in surface defects inspection and categorization. Depending on part design and processing techniques, castings may develop surface discontinuities such as cracks and pores that greatly influence the material’s properties Since the human visual inspection for the surface is slow and expensive, a computer vision system is an alternative solution for the online inspection. The authors present the developed vision system uses an advanced image processing algorithm based on modified Laplacian of Gaussian edge detection method and advanced lighting system. The defect inspection algorithm consists of several parameters that allow the user to specify the sensitivity level at which he can accept the defects in the casting. In addition to the developed image processing algorithm and vision system apparatus, an advanced learning process has been developed, based on neural network techniques. Finally, as an example three groups of defects were investigated demonstrates automatic selection and categorization of the measured defects, such as blowholes, shrinkage porosity and shrinkage cavity.
Investigating the impact of poor utilisation of quality management system in a South African foundry
CSIR Research Space (South Africa)
Mpanza, Z
2013-07-01
Full Text Available . This research paper focusses on the impact of defects on productivity and monetary losses due to poor utilisation of the quality management system in sand casting. Data was gathered on defects and productivity and the company’s quality control records were used...
49 CFR 215.109 - Defective plain bearing box: Journal lubrication system.
2010-10-01
... 49 Transportation 4 2010-10-01 2010-10-01 false Defective plain bearing box: Journal lubrication... Freight Car Components Suspension System § 215.109 Defective plain bearing box: Journal lubrication system...) Metal parts contacting the journal; or (e) Is— (1) Missing; or (2) Not in contact with the journal. ...
International Nuclear Information System (INIS)
Tarasov, V.A.; Borikov, T.L.; Kryzhanovskaya, T.V.; Chernezhenko, S.A.; Rusov, V.D.
2007-01-01
The kinetic system for defects of physical nonlinear system 'metal + load + irradiation' is specified [1, 2, 3]. Developing the approaches offered in [4], where distinctions of mechanisms of radiating creep and areas of their applicability are formalized (depending on external parameters) for fuel and constructional metals, division of kinetic systems for defects of constructional and fuel metals is carrying out. Thus the accent on the autocatalytic features of kinetic system for defects of reactor fuel metals, resulting from the exoenergic autocatalytic character of nuclear fission reactions being the main point defect source is done. In this part of the article the basic attention is given to the kinetic of sink drains for point defects. For kinetic systems of sinks-sources new approaches for the task of boundary conditions are offered. The possible structure of the computer program modelling kinetic system for defects of nonlinear physical system 'metal + load + irradiation' is considered
Automatic cross-sectioning and monitoring system locates defects in electronic devices
Jacobs, G.; Slaughter, B.
1971-01-01
System consists of motorized grinding and lapping apparatus, sample holder, and electronic control circuit. Low power microscope examines device to pinpoint location of circuit defect, and monitor displays output signal when defect is located exactly.
Real-time portable system for fabric defect detection using an ARM processor
Fernandez-Gallego, J. A.; Yañez-Puentes, J. P.; Ortiz-Jaramillo, B.; Alvarez, J.; Orjuela-Vargas, S. A.; Philips, W.
2012-06-01
Modern textile industry seeks to produce textiles as little defective as possible since the presence of defects can decrease the final price of products from 45% to 65%. Automated visual inspection (AVI) systems, based on image analysis, have become an important alternative for replacing traditional inspections methods that involve human tasks. An AVI system gives the advantage of repeatability when implemented within defined constrains, offering more objective and reliable results for particular tasks than human inspection. Costs of automated inspection systems development can be reduced using modular solutions with embedded systems, in which an important advantage is the low energy consumption. Among the possibilities for developing embedded systems, the ARM processor has been explored for acquisition, monitoring and simple signal processing tasks. In a recent approach we have explored the use of the ARM processor for defects detection by implementing the wavelet transform. However, the computation speed of the preprocessing was not yet sufficient for real time applications. In this approach we significantly improve the preprocessing speed of the algorithm, by optimizing matrix operations, such that it is adequate for a real time application. The system was tested for defect detection using different defect types. The paper is focused in giving a detailed description of the basis of the algorithm implementation, such that other algorithms may use of the ARM operations for fast implementations.
Prosthetic rehabilitation of severe Siebert′s Class III defect with modified Andrews bridge system
Directory of Open Access Journals (Sweden)
Manu Rathee
2015-01-01
Full Text Available Prosthetic dentistry involves the replacement of missing and contiguous tissues with artificial substitutes to restore and maintain the oral functions, appearance, and health of the patient. The treatment of edentulous areas with ridge defects poses a challenging task for the dentist. Management of such cases involves a wide range of treatment options comprising mainly of surgical interventions and non surgical techniques such as use of removable, fixed or fixed- removable partial dentures. But each treatment plan undertaken should be customized according to patient needs. A variety of factors such as quality and quantity of existing contiguous hard and soft tissues, systemic condition and economic status of the patient play an important role in treatment planning, clinical outcome and prognosis. This case report presents the restoration of a Seibert′s Class III ridge defect by an economical modification of Andrews Bridge in a 32 Year old patient.
Reliability analysis of digital radiography systems in the testing of real material defects
International Nuclear Information System (INIS)
Kanzler, Daniel
2016-01-01
Nondestructive testing (ndt) systems are essential for areas in our lives, in which there is a high risk for failures that would induce high costs or even damage to people and the environment (i.e. transportation, energy production, chemical industry). It is necessary to find and to characterise every defect in the material which might jeopardise the functionality of the tested part. But in the praxis the testing system will be used at their limits, i.e. for detecting small defects. Thus, there is a probability that critical defects might be overseen, which must be quantified. The evaluation is especially important for safety-relevant areas. The probability of detection (POD) characteristic is an objective number, which is widely used in these cases. It is used to provide a statement about the tested ndt system. The POD can provide the statement whether the system is working well enough to be accepted to find the defects. The original POD method was developed for one-dimensional defects in thin parts used in the aircraft industry. In reality, the evaluation is a compromise between statistics and costs. On the one hand, the real testing situation should be evaluated for the later use. On the other hand, the evaluation of real defects including the metallography and the comparison with the signals is a complex and expensive task. To find a coordinate system to compare the data is, therefore, an important prerequisite, before starting to evaluate. Therefore, this thesis will present a practical approach. The research community, as well, sees the POD of the real defects as a challenge. It is necessary to extend the one-parametric POD approach by evaluating the whole NDT indication. The area of the NDT indication is one important fact which should be included. The thesis will introduce two new aspects to the calculation of the POD: 1. The area of the indication will be introduced by using a smoothing algorithm, which is based on the known Observer-POD. The Observer
Teunter, R.; Flapper, S.D.P.
2003-01-01
We consider a single-stage single-product production system. Produced units may be non-defective, reworkable defective, or non-reworkable defective. The system switches between production and rework. After producing a fixed number (N) of units, all reworkable defective units are reworked. Reworkable
Maxillectomy defects: a suggested classification scheme.
Akinmoladun, V I; Dosumu, O O; Olusanya, A A; Ikusika, O F
2013-06-01
The term "maxillectomy" has been used to describe a variety of surgical procedures for a spectrum of diseases involving a diverse anatomical site. Hence, classifications of maxillectomy defects have often made communication difficult. This article highlights this problem, emphasises the need for a uniform system of classification and suggests a classification system which is simple and comprehensive. Articles related to this subject, especially those with specified classifications of maxillary surgical defects were sourced from the internet through Google, Scopus and PubMed using the search terms maxillectomy defects classification. A manual search through available literature was also done. The review of the materials revealed many classifications and modifications of classifications from the descriptive, reconstructive and prosthodontic perspectives. No globally acceptable classification exists among practitioners involved in the management of diseases in the mid-facial region. There were over 14 classifications of maxillary defects found in the English literature. Attempts made to address the inadequacies of previous classifications have tended to result in cumbersome and relatively complex classifications. A single classification that is based on both surgical and prosthetic considerations is most desirable and is hereby proposed.
Influence of defects on the vibrations of rotating systems
International Nuclear Information System (INIS)
Lazarus, A.
2008-01-01
For high rotation speeds, the imperfections (cracks, anisotropy...) of rotating machinery of the energy sector lead to a specific vibratory behavior which can damage the machine. The simulation of rotating machinery are usually realized for systems without defect. The aim of this thesis is to understand the influence of defects and to propose an algorithm to predict the dynamical behavior. In a first part the author studies the simplified rotating oscillators to propose a numerical method in order to taking into account the dynamic of these systems. This method is then applied to real rotating machinery with the Cast3m software. The numerical results are validated with experiments. (A.L.B.)
Detecting Topological Defect Dark Matter Using Coherent Laser Ranging System
Yang, Wanpeng; Leng, Jianxiao; Zhang, Shuangyou; Zhao, Jianye
2016-01-01
In the last few decades, optical frequency combs with high intensity, broad optical bandwidth, and directly traceable discrete wavelengths have triggered rapid developments in distance metrology. However, optical frequency combs to date have been limited to determine the absolute distance to an object (such as satellite missions). We propose a scheme for the detection of topological defect dark matter using a coherent laser ranging system composed of dual-combs and an optical clock via nongravitational signatures. The dark matter field, which comprises a defect, may interact with standard model particles, including quarks and photons, resulting in the alteration of their masses. Thus, a topological defect may function as a dielectric material with a distinctive frequency-depend index of refraction, which would cause the time delay of a periodic extraterrestrial or terrestrial light. When a topological defect passes through the Earth, the optical path of long-distance vacuum path is altered, this change in optical path can be detected through the coherent laser ranging system. Compared to continuous wavelength(cw) laser interferometry methods, dual-comb interferometry in our scheme excludes systematic misjudgement by measuring the absolute optical path length. PMID:27389642
Current concepts in the management of pelvic fracture urethral distraction defects
Directory of Open Access Journals (Sweden)
Ramanitharan Manikandan
2011-01-01
Full Text Available Objectives : Pelvic fracture urethral distraction defect (PFUDD may be associated with disabling complications, such as recurrent stricture, urinary incontinence, and erectile dysfunction. In this article we review the current concepts in the evaluation and surgical management of PFUDD, including redo urethroplasty. Materials and Methods : A PubMedTM search was performed using the keywords "pelvic fracture urethral distraction defect, anastomotic urethroplasty, pelvic fracture urethral stricture, pelvic fracture urethral injuries, and redo-urethroplasty." The search was limited to papers published from 1980 to March 2010 with special focus on those published in the last 15 years. The relevant articles were reviewed with regard to etiology, role of imaging, and the techniques of urethroplasty. Results : Pelvic fracture due to accidents was the most common etiology of PFUDD that usually involved the membranous urethra. Modern cross-sectional imaging, such as sonourethrography and magnetic resonance imaging help assess stricture pathology better, but their precise role in PFUDD management remains undefined. Surgical treatment with perineal anastomotic urethroplasty yields a success rate of more than 90% in most studies. The most important complication of surgical reconstruction is restenosis, occurring in less than 10% cases, most of which can be corrected by a redo anastomotic urethroplasty. The most common complication associated with this condition is erectile dysfunction. Urinary incontinence is a much rarer complication of this surgery in the present day. Conclusions : Anastomotic urethroplasty remains the cornerstone in the management of PFUDD, even in previously failed repairs. Newer innovations are needed to address the problem of erectile dysfunction associated with this condition.
System reliability evaluation of a touch panel manufacturing system with defect rate and reworking
International Nuclear Information System (INIS)
Lin, Yi-Kuei; Huang, Cheng-Fu; Chang, Ping-Chen
2013-01-01
In recent years, portable consumer electronic products, such as cell phone, GPS, digital camera, tablet PC, and notebook are using touch panel as interface. With the demand of touch panel increases, performance assessment is essential for touch panel production. This paper develops a method to evaluate system reliability of a touch panel manufacturing system (TPMS) with defect rate of each workstation and takes reworking actions into account. The system reliability which evaluates the possibility of demand satisfaction can provide to managers with an understanding of the system capability and can indicate possible improvements. First, we construct a capacitated manufacturing network (CMN) for a TPMS. Second, a decomposition technique is developed to determine the input flow of each workstation based on the CMN. Finally, we generate the minimal capacity vectors that should be provided to satisfy the demand. The system reliability is subsequently evaluated in terms of the minimal capacity vectors. A further decision making issue is discussed to decide a reliable production strategy. -- Graphical abstract: The proposed procedure to evaluate system reliability of the touch panel manufacturing system (TPMS). Highlights: • The system reliability of a touch panel manufacturing system (TPMS) is evaluated. • The reworking actions are taken into account in the TPMS. • A capacitated manufacturing network is constructed for the TPMS. • A procedure is proposed to evaluate system reliability of TPMS
Risk of central nervous system defects in offspring of women with and without mental illness.
Ayoub, Aimina; Fraser, William D; Low, Nancy; Arbour, Laura; Healy-Profitós, Jessica; Auger, Nathalie
2018-02-22
We sought to determine the relationship between maternal mental illness and the risk of having an infant with a central nervous system defect. We analyzed a cohort of 654,882 women aged less than 20 years between 1989 and 2013 who later delivered a live born infant in any hospital in Quebec, Canada. The primary exposure was mental illness during pregnancy or hospitalization for mental illness before pregnancy. The outcomes were neural and non-neural tube defects of the central nervous system in any offspring. We computed risk ratios (RR) and 95% confidence intervals (CI) for the association between mental disorders and risk of central nervous system defects in log-binomial regression models adjusted for age at delivery, total parity, comorbidity, socioeconomic deprivation, place of residence, and time period. Maternal mental illness was associated with an increased risk of nervous system defects in offspring (RR 1.76, 95% CI 1.64-1.89). Hospitalization for any mental disorder was more strongly associated with non-neural tube (RR 1.84, 95% CI 1.71-1.99) than neural tube defects (RR 1.31, 95% CI 1.08-1.59). Women at greater risk of nervous system defects in offspring tended to be diagnosed with multiple mental disorders, have more than one hospitalization for mental disease, or be 17 or older at first hospitalization. A history of mental illness is associated with central nervous system defects in offspring. Women hospitalized for mental illness may merit counseling at first symptoms to prevent central nervous system defects at pregnancy.
Interdisciplinary Management of an Isolated Intrabony Defect
Directory of Open Access Journals (Sweden)
Sheetal Ghivari
2014-01-01
Full Text Available The treatment of intrabony defects is a real challenge in molar teeth as it is chronic, slowly progressing disease which needs timely intervention. Periodontal inflammation associated with intrabony defect is not a separate entity as it secondarily affects the pulp causing retrograde pulpitis. However, treatment of these lesions will be complicated due to extensive bone loss. The tooth was endodontically treated followed by periodontal surgery to eliminate the deep periodontal pocket and promote bone fill in osseous defect. PepGen P-15 composited with platelet rich plasma was utilized for enhancing bone formation. The combination of these graft materials provides synergistic effect on bone regeneration.
The Decision Support System in the Domain of Casting Defects Diagnosis
Directory of Open Access Journals (Sweden)
Wilk-Kołodziejczyk D.
2014-08-01
Full Text Available This article presents a computer system for the identification of casting defects using the methodology of Case-Based Reasoning. The system is a decision support tool in the diagnosis of defects in castings and is designed for small and medium-sized plants, where it is not possible to take advantage of multi-criteria data. Without access to complete process data, the diagnosis of casting defects requires the use of methods which process the information based on the experience and observations of a technologist responsible for the inspection of ready castings. The problem, known and studied for a long time, was decided to be solved with a computer system using a CBR (Case-Based Reasoning methodology. The CBR methodology not only allows using expert knowledge accumulated in the implementation phase, but also provides the system with an opportunity to “learn” by collecting new cases solved earlier by this system. The authors present a solution to the system of inference based on the accumulated cases, in which the main principle of operation is searching for similarities between the cases observed and cases stored in the knowledge base.
Singh, Prabhjeet; Shukla, Sagrika; Singh, Kuldeep
2018-01-01
A patient undergoing orthodontic treatment presented with multiple recession defects in maxillary anterior region. After thorough clinical examination and assessment, measurements were recorded. Maxillary anterior teeth with recession defects of 3-4 mm were treated with coronally advanced flap and platelet-rich fibrin (PRF) membrane. Regular follow-up was maintained for the patient at 3, 6 , 12, 18, 24, 30, and 36 months. After 36 months, significant root coverage of 100 percent was observed in four defects and 50% coverage in one defect. This shows that PRF membrane along with coronally advanced provides a predictable and significant result for management of recession defects.
Directory of Open Access Journals (Sweden)
Tirthankar Debnath
2014-01-01
Full Text Available Background: In periodontal regeneration, several alloplastic materials are being used with a goal to reconstruct new osseous tissue in the infrabony defect sites. The present study was undertaken to evaluate the efficacy of hydroxyapatite-bioactive glass (HA:BG composite granules in the management of periodontal bony defects. Materials and Methods: A randomized control study was conducted. Subjects with infrabony defects were divided into three groups. Test Group 1 (n = 10: Defect site was treated with HA:BG, with a biodegradable membrane. Test Group 2 (n = 10: Defect site was treated with HAP, with a biodegradable membrane. Control group (n = 10: Defect site was treated with open flap debridement with a biodegradable membrane Results: The healing of defects was uneventful and free of any biological complications. The gain in clinical attachment level, reduction of probing pocket depth, and defect fill were statistically significant in all three groups. TG1 sites showed significant defect fill than TG2 and CG sites. Conclusion: The performance of HA:BG was better compared to HAP and open flap debridement for the reconstruction of infrabony defects.
Prenatal screening, diagnosis, and pregnancy management of fetal neural tube defects.
Wilson, R Douglas
2014-10-01
To provide obstetrical and genetic health care practitioners with guidelines and recommendations for prenatal screening, diagnosis, and obstetrical management of fetal open and closed neural tube defects (OCNTD). This review includes prenatal screening and diagnostic techniques currently being used for the detection of OCNTD including maternal serum alpha fetoprotein screening, ultrasound, fetal magnetic resonance imaging, and amniocentesis. To improve prenatal screening, diagnosis, and obstetrical management of OCNTD while taking into consideration patient care, efficacy, cost, and care procedures. Published literature was retrieved through searches of PubMed or MEDLINE, CINAHL, and The Cochrane Library in November, 2013, using appropriate controlled vocabulary and key words (e.g., prenatal screening, congenital anomalies, neural tube defects, alpha fetoprotein, ultrasound scan, magnetic resonance imaging). Results were restricted to systematic reviews, randomized control trials/controlled clinical trials, and observational studies published in English from 1977 to 2012. Searches were updated on a regular basis and incorporated in the guideline to November 30, 2013. Grey (unpublished) literature was identified through searching the websites of health technology assessment and health technology-related agencies, clinical practice guideline collections, clinical trial registries, and national and international medical specialty societies. An online survey of health care practitioners was also reviewed. The quality of evidence in this document was rated using the criteria described in the Report of the Canadian Task Force on Preventive Health Care (Table). This review will provide health care practitioners with a better understanding of the available prenatal screening methods for OCNTD and the benefits and risks associated with each technique to allow evidenced-based decisions on OCNTD screening, diagnosis, and obstetrical management.
Predicting Defects Using Information Intelligence Process Models in the Software Technology Project.
Selvaraj, Manjula Gandhi; Jayabal, Devi Shree; Srinivasan, Thenmozhi; Balasubramanie, Palanisamy
2015-01-01
A key differentiator in a competitive market place is customer satisfaction. As per Gartner 2012 report, only 75%-80% of IT projects are successful. Customer satisfaction should be considered as a part of business strategy. The associated project parameters should be proactively managed and the project outcome needs to be predicted by a technical manager. There is lot of focus on the end state and on minimizing defect leakage as much as possible. Focus should be on proactively managing and shifting left in the software life cycle engineering model. Identify the problem upfront in the project cycle and do not wait for lessons to be learnt and take reactive steps. This paper gives the practical applicability of using predictive models and illustrates use of these models in a project to predict system testing defects thus helping to reduce residual defects.
The Modern Elements of the Management System of the Agrarian Business Enterprises
Directory of Open Access Journals (Sweden)
Fostolovych Valentyna A.
2017-05-01
Full Text Available The article provides an operation mechanism for the integrated management system that is recommended for the introduction at the enterprises of the agrarian business by means of principles, methods and tools of management system. An example of the modern element of the management system of the agricultural enterprises is the software product Soft.Farm. The article considers improving of the organizational structure of enterprises in the direction of formation of clusters of different forms and active implementing of an integrated management system with wide application of its principles (Deming principles, principle of continuous improvement of processes, zero defects principle, principle of collaboration, methods (teamwork method, quality function deployment (QFD, value analysis, failure modes and effects analysis (FMEA, SKO, SPC and structural elements (flowchart, Ishikawa diagram, Pareto chart, column chart, checklists, and control maps.
Implementation of Bridge Management System on Interurban Bridge in Maluku Province
Directory of Open Access Journals (Sweden)
Erwin Marasabessy
2015-05-01
Full Text Available Bridges as transport infrastructures play a vital role in smoothing traffic flows. The success of a bridge in playing its role and serving its function depends on its management. The Directorate General of Highways of the Ministry of Public Work has used a system to manage bridges known as the Bridge Management System (BMS. The system allows a systematic plan and provides a uniform procedure for all bridge operation activities on the national and provincial level. Data from Implementation Agency of National Inter-Urban Roads of Area IX, Northern Maluku in 2011 indicates that the total length of national roads in Maluku Province is 15,238.01 M, with a total of 562 bridges. In Ambon Island, especially, there are 52 bridges totaling 1,176.25 m in length. The study was conducted at several inter-urban bridges in Maluku Province of Ambon Island: Wai Batu Merah, Wai Ruhu, Wai Lawa, Wai Yari and Wai Tua bridges. Assessment of bridge structure conditions was conducted visually to determine the conditions of the existing bridges comprehensively by referring to the Bridge Management System (BMS complemented with a computer-based Bridge Management Information System (BMIS. Condition scores for the five bridges—Wai Batu Merah, Wai Ruhu, Wai Lawa, Wai Yari, and Wai Tua, according to Bridge Management System, are 2, 1, 2, 1, and 2 respectively. The scores of the five bridges indicate that their physical condition can be categorized as good or with minor defects. Based on technical screening, the proposed treatment for Wai Batu Merah, Wai Ruhu, Wai Lawa, dan Wai Tua bridges is the rehabilitation of their sub-elements. As for the Wai Yari Bridge, the treatment will be maintained regularly. The defect repair costs are IDR 149,138,238.00, IDR 81,048,000.00, IDR 174,579,106.10, IDR 79,233,324.01 and IDR 238,323,258.60 for Wai Batu, Wai Ruhu, Wai Lawa, Wai Yari, and Wai Tua Bridges, respectively.
Gastrointestinal system malformations in children are associated with congenital heart defects.
Orün, Utku Arman; Bilici, Meki; Demirçeken, Fulya G; Tosun, Mahya; Ocal, Burhan; Cavuşoğlu, Yusuf Hakan; Erdoğan, Derya; Senocak, Filiz; Karademir, Selmin
2011-03-01
To determine the frequency of congenital heart defects (CHD) in children with gastrointestinal malformations (GISM) and mortality rates in patients with GISM. Two hundred and forty two consecutive children patients with GISM followed up in Pediatric Surgery Clinics of our hospital were examined for cardiovascular anomaly by the Department of Pediatric Cardiology, and the CHD incidence was investigated by examining the records of the patients retrospectively. Chi-square test was used for the statistical analysis of data. Two hundred and forty two patients with gastrointestinal system malformations were included in the study. Of 242 patients, 135 (55.8%) were male and 107 (44.2%) were female, and their age range was 0-15 years. The most frequent GISM were anorectal malformations (43.2%), atresia involving stomach, ileum or colon (21%) and esophageal atresia/tracheoesophageal fistula (18.3%). Congenital heart defects were observed in 28.5% of the participants. The most frequent defects were as follows; atrial septal defect (31 patients, 44.9%) a, ventricular septal defect (17 patients, 24.6%) and patent ductus arteriosus (5 patients, 7.2%). There was no significant difference (p>0.05) in mortality rate in patients with CHD (16.7%) and without CHD (13.3%) undergoing operations for GISM. We would like to emphasize the importance of the earliest possible cardiological evaluation of all patients with gastrointestinal system malformations.
Energy Technology Data Exchange (ETDEWEB)
Friedmann, Thomas Aquinas; Czaplewski, David A.; Sullivan, John Patrick; Modine, Normand Arthur; Wendt, Joel Robert; Aslam, Dean (Michigan State University, Lansing, MI); Sepulveda-Alancastro, Nelson (University of Puerto Rico, Mayaguez, PR)
2007-01-01
Understanding internal dissipation in resonant mechanical systems at the micro- and nanoscale is of great technological and fundamental interest. Resonant mechanical systems are central to many sensor technologies, and microscale resonators form the basis of a variety of scanning probe microscopies. Furthermore, coupled resonant mechanical systems are of great utility for the study of complex dynamics in systems ranging from biology to electronics to photonics. In this work, we report the detailed experimental study of internal dissipation in micro- and nanomechanical oscillators fabricated from amorphous and crystalline diamond materials, atomistic modeling of dissipation in amorphous, defect-free, and defect-containing crystalline silicon, and experimental work on the properties of one-dimensional and two-dimensional coupled mechanical oscillator arrays. We have identified that internal dissipation in most micro- and nanoscale oscillators is limited by defect relaxation processes, with large differences in the nature of the defects as the local order of the material ranges from amorphous to crystalline. Atomistic simulations also showed a dominant role of defect relaxation processes in controlling internal dissipation. Our studies of one-dimensional and two-dimensional coupled oscillator arrays revealed that it is possible to create mechanical systems that should be ideal for the study of non-linear dynamics and localization.
Zakaria, Zakaria; Surbakti, Muhammad Syukri; Syahreza, Saumi; Mat Jafri, Mohd. Zubir; Tan, Kok Chooi
2017-10-01
It has been already made, calibrated and tested a geometry normalized electromagnetic system (GNES) for metal defect examination. The GNES has an automatic data acquisition system which supporting the efficiency and accuracy of the measurement. The data will be displayed on the computer monitor as a graphic display then saved automatically in the Microsoft Excel format. The transmitter will transmit the frequency pair (FP) signals i.e. 112.5 Hz and 337.5 Hz; 112.5 Hz and 1012.5 Hz; 112.5 Hz and 3037.5 Hz; 337.5 Hz and 1012.5 Hz; 337.5 Hz and 3037.5 Hz. Simultaneous transmissions of two electromagnetic waves without distortions by the transmitter will induce an eddy current in the metal. This current, in turn, will produce secondary electromagnetic fields which are measured by the receiver together with the primary fields. Measurement of percent change of a vertical component of the fields will give the percent response caused by the metal or the defect. The response examinations were performed by the models with various type of defect for the master curves. The materials of samples as a plate were using Aluminum, Brass, and Copper. The more of the defects is the more reduction of the eddy current response. The defect contrasts were tended to decrease when the more depth of the defect position. The magnitude and phase of the eddy currents will affect the loading on the coil thus its impedance. The defect must interrupt the surface eddy current flow to be detected. Defect lying parallel to the current path will not cause any significant interruption and may not be detected. The main factors which affect the eddy current response are metal conductivity, permeability, frequency, and geometry.
Management of Leaks in Hydrogen Production, Delivery, and Storage Systems
Energy Technology Data Exchange (ETDEWEB)
Rawls, G
2006-04-27
A systematic approach to manage hydrogen leakage from components is presented. Methods to evaluate the quantity of hydrogen leakage and permeation from a system are provided by calculation and testing sensitivities. The following technology components of a leak management program are described: (1) Methods to evaluate hydrogen gas loss through leaks; (2) Methods to calculate opening areas of crack like defects; (3) Permeation of hydrogen through metallic piping; (4) Code requirements for acceptable flammability limits; (5) Methods to detect flammable gas; (6) Requirements for adequate ventilation in the vicinity of the hydrogen system; (7) Methods to calculate dilution air requirements for flammable gas mixtures; and (8) Concepts for reduced leakage component selection and permeation barriers.
Sambhav, Jain; Rohit, Rai; Ranjana, Mohan; Shalabh, Mehrotra
2014-07-01
Multirooted teeth offer unique and challenging problems due to the furcation area, creates situations in which routine periodontal procedures are somewhat limited and special procedures are generally required. The present case was showing the management of grade II furcation defect by platelet rich fibrin (PRF) and β-Tricalcium phosphate with coronally advanced flap. Platelet rich fibrin and β-Tricalcium phosphate with coronally advanced flap have been shown to be a promising and successful approach for the treatment of furcation defect. Its gaining clinical attachment significantly manages both the gingival recession and furcation involvement simultaneously.
Development and improvement of the integrated system of management
Directory of Open Access Journals (Sweden)
Lukmanova Inessa Galeevna
2014-07-01
Full Text Available Integrated management system construction can be considered a way that transforms using business processes and information resources into final construction products. Autonomous system of quality management, environmental, health and safety organizations introduction usually lead to the accumulation of duplicate documents, which increase the time spent on processing and analysis as well as increase the financial costs of maintaining the operation of each of them separately. System integration allows reducing these costs and entailing the most obvious benefits: reduced volumes of documents; reduction of time spent on analysis; reducing the cost of audit and certification; involvement of all the employees of the organization; productivity growth; increasing the attractiveness for consumers; reducing the burden on administrative and executive devices; ensuring a high level of flexibility in the organization's management system in case of internal and external changes. Integration of quality management systems, environmental management and safety management systems, production and safety led to the possibility to establish such integrated management system in developing building companies, which allows establishing a unified management system, as an element of management of organization. The main drawback of the currently used standards and specifications is the lack of flexibility of their application, as well as the basic principles and approaches to their implementation. Thus, knowing about what the system should be, but not knowing how to create it, many organizations have to operate without methodological support. Absence of mandatory standards concerning integration of management systems creates opportunities for the existence of multiple options for interaction systems. Economic effects on the integrated management system is formed from three sources: the reduction of production costs by improving product quality and reducing defects and
An intelligent system for real time automatic defect inspection on specular coated surfaces
Li, Jinhua; Parker, Johné M.; Hou, Zhen
2005-07-01
Product visual inspection is still performed manually or semi automatically in most industries from simple ceramic tile grading to complex automotive body panel paint defect and surface quality inspection. Moreover, specular surfaces present additional challenge to conventional vision systems due to specular reflections, which may mask the true location of objects and lead to incorrect measurements. There are some sophisticated visual inspection methods developed in recent years. Unfortunately, most of them are highly computational. Systems built on those methods are either inapplicable or very costly to achieve real time inspection. In this paper, we describe an integrated low-cost intelligent system developed to automatically capture, extract, and segment defects on specular surfaces with uniform color coatings. The system inspects and locates regular surface defects with lateral dimensions as small as a millimeter. The proposed system is implemented on a group of smart cameras using its on-board processing ability to achieve real time inspection. The experimental results on real test panels demonstrate the effectiveness and robustness of proposed system.
Electronic transport properties of 1D-defects in graphene and other 2D-systems
Energy Technology Data Exchange (ETDEWEB)
Willke, P.; Wenderoth, M. [IV. Physical Institute, Solids and Nanostructures, Georg-August-University Goettingen (Germany); Schneider, M.A. [Lehrstuhl fuer Festkoerperphysik, Universitaet Erlangen-Nuernberg, Erlangen (Germany)
2017-11-15
The continuous progress in device miniaturization demands a thorough understanding of the electron transport processes involved. The influence of defects - discontinuities in the perfect and translational invariant crystal lattice - plays a crucial role here. For graphene in particular, they limit the carrier mobility often demanded for applications by contributing additional sources of scattering to the sample. Due to its two-dimensional nature graphene serves as an ideal system to study electron transport in the presence of defects, because one-dimensional defects like steps, grain boundaries and interfaces are easy to characterize and have profound effects on the transport properties. While their contribution to the resistance of a sample can be extracted by carefully conducted transport experiments, scanning probe methods are excellent tools to study the influence of defects locally. In this letter, the authors review the results of scattering at local defects in graphene and other 2D systems by scanning tunneling potentiometry, 4-point-probe microscopy, Kelvin probe force microscopy and conventional transport measurements. Besides the comparison of the different defect resistances important for device fabrication, the underlying scattering mechanisms are discussed giving insight into the general physics of electron scattering at defects. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)
Holographic Chern-Simons defects
International Nuclear Information System (INIS)
Fujita, Mitsutoshi; Melby-Thompson, Charles M.; Meyer, René; Sugimoto, Shigeki
2016-01-01
We study SU(N) Yang-Mills-Chern-Simons theory in the presence of defects that shift the Chern-Simons level from a holographic point of view by embedding the system in string theory. The model is a D3-D7 system in Type IIB string theory, whose gravity dual is given by the AdS soliton background with probe D7 branes attaching to the AdS boundary along the defects. We holographically renormalize the free energy of the defect system with sources, from which we obtain the correlation functions for certain operators naturally associated to these defects. We find interesting phase transitions when the separation of the defects as well as the temperature are varied. We also discuss some implications for the Fractional Quantum Hall Effect and for 2-dimensional QCD.
Audit as Key Element of System Management Improvement in Company
Directory of Open Access Journals (Sweden)
L. Wojtynek
2007-07-01
Full Text Available This article presents what is an audit for a company, tries to regularize the quality audits, presents main differences between separate types of audits and between audit and control. There are also some exemplary types of nonconformance in a company. Each system has a risk of deficiencies. They can result from material defects or human imperfection. A good quality system should allow the company to detect them in an organized and systematical manner. It guarantees that the irregularities will be removed before they cause a defective production. Quality management consists of planning the quality via quality plans, control and research plans, and supervision and verification by audits and controls. The objective of this paper is to present a special message of audit for organizations which is the improvement of management system in company. Quality audit is used with reference to quality system and its elements, to process, products and services. It compares the real values with expected ones with reference to the activities connected with quality and their results, and planned data. Thanks to quality audits, it is possible to state if the quality management instruments achieve the desired effect. Quality audit can be conducted for the internal and external purposes. One of the quality audit purposes is to estimate if the improvement is necessary or to take corrective actions. The universality of audit, which is completed by periodic system review preformed by head management, allows the use of its application effects both on the strategic and operational effects. Audit is often misled with quality monitoring or control, i.e. activities which aim to control the process or accept the product. Therefore this paper shows the differences between the notions. The information used to conduct an audit is only based on facts (evidence objective, which really exist, independent on emotions or prejudice. They can be documented, declared, based on observed
Staged management of giant traumatic abdominal wall defect: A rare case report
Directory of Open Access Journals (Sweden)
Somendra Bansal
2013-12-01
Full Text Available Blunt traumatic abdominal wall disruptions associated with evisceration (abdominal wall injury grade type VI are very rare. We describe a case of large traumatic abdominal wall disruption with bowel evisceration and complete transection of jejunum and sigmoid colon that occurred after a 30-year-old male sustained run over injury to abdomen. Abdominal exploration and primary end to end jejuno-jejunal and colo-colic anastomosis were done. Staged management of giant abdominal wall defect was performed without any plastic reconstruction with good clinical outcome.
49 CFR 232.609 - Handling of defective equipment with ECP brake systems.
2010-10-01
... (ECP) Braking Systems § 232.609 Handling of defective equipment with ECP brake systems. (a) Ninety-five... systems. 232.609 Section 232.609 Transportation Other Regulations Relating to Transportation (Continued) FEDERAL RAILROAD ADMINISTRATION, DEPARTMENT OF TRANSPORTATION BRAKE SYSTEM SAFETY STANDARDS FOR FREIGHT...
Management of Anterior Abdominal Wall Defect Using a Pedicled Tensor Fascia Lata Flap: A Case Report
Directory of Open Access Journals (Sweden)
K. D. Ojuka
2012-01-01
Full Text Available Degloving injuries to anterior abdominal wall are rare due to the mechanism of injury. Pedicled tensor fascia lata is known to be a versatile flap with ability to reach the lower anterior abdomen. A 34-year-old man who was involved in a road traffic accident presented with degloving injury and defect at the left inguinal region, sigmoid colon injury, and scrotal bruises. At investigation, he was found to have pelvic fracture. The management consisted of colostomy and tensor fascia lata to cover the defect at reversal. Though he developed burst abdomen on fifth postoperative day, the flap healed with no complications.
Bonacuse, Peter J.; Kantzos, Peter T.
2002-01-01
An automated two-degree-of-freedom specimen positioning stage has been developed at the NASA Glenn Research Center to map and monitor defects in fatigue specimens. This system expedites the examination of the entire gauge section of fatigue specimens so that defects can be found using scanning electron microscopy (SEM). Translation and rotation stages are driven by microprocessor-based controllers that are, in turn, interfaced to a computer running custom-designed software. This system is currently being used to find and record the location of ceramic inclusions in powder metallurgy materials. The mapped inclusions are periodically examined during interrupted fatigue experiments. The number of cycles to initiate cracks from these inclusions and the rate of growth of initiated cracks can then be quantified. This information is necessary to quantify the effect of this type of defect on the durability of powder metallurgy materials. This system was developed with support of the Ultra Safe program.
International Nuclear Information System (INIS)
Kuniewski, Sebastian P.; Weide, Johannes A.M. van der; Noortwijk, Jan M. van
2009-01-01
The paper presents a sampling-inspection strategy for the evaluation of time-dependent reliability of deteriorating systems, where the deterioration is assumed to initiate at random times and at random locations. After initiation, defects are weakening the system's resistance. The system becomes unacceptable when at least one defect reaches a critical depth. The defects are assumed to initiate at random times modeled as event times of a non-homogeneous Poisson process (NHPP) and to develop according to a non-decreasing time-dependent gamma process. The intensity rate of the NHPP is assumed to be a combination of a known time-dependent shape function and an unknown proportionality constant. When sampling inspection (i.e. inspection of a selected subregion of the system) results in a number of defect initiations, Bayes' theorem can be used to update prior beliefs about the proportionality constant of the NHPP intensity rate to the posterior distribution. On the basis of a time- and space-dependent Poisson process for the defect initiation, an adaptive Bayesian model for sampling inspection is developed to determine the predictive probability distribution of the time to failure. A potential application is, for instance, the inspection of a large vessel or pipeline suffering pitting/localized corrosion in the oil industry. The possibility of imperfect defect detection is also incorporated in the model.
Thermal conductivity of graphene with defects induced by electron beam irradiation
Malekpour, Hoda; Ramnani, Pankaj; Srinivasan, Srilok; Balasubramanian, Ganesh; Nika, Denis L.; Mulchandani, Ashok; Lake, Roger K.; Balandin, Alexander A.
2016-07-01
We investigate the thermal conductivity of suspended graphene as a function of the density of defects, ND, introduced in a controllable way. High-quality graphene layers are synthesized using chemical vapor deposition, transferred onto a transmission electron microscopy grid, and suspended over ~7.5 μm size square holes. Defects are induced by irradiation of graphene with the low-energy electron beam (20 keV) and quantified by the Raman D-to-G peak intensity ratio. As the defect density changes from 2.0 × 1010 cm-2 to 1.8 × 1011 cm-2 the thermal conductivity decreases from ~(1.8 +/- 0.2) × 103 W mK-1 to ~(4.0 +/- 0.2) × 102 W mK-1 near room temperature. At higher defect densities, the thermal conductivity reveals an intriguing saturation-type behavior at a relatively high value of ~400 W mK-1. The thermal conductivity dependence on the defect density is analyzed using the Boltzmann transport equation and molecular dynamics simulations. The results are important for understanding phonon - point defect scattering in two-dimensional systems and for practical applications of graphene in thermal management.We investigate the thermal conductivity of suspended graphene as a function of the density of defects, ND, introduced in a controllable way. High-quality graphene layers are synthesized using chemical vapor deposition, transferred onto a transmission electron microscopy grid, and suspended over ~7.5 μm size square holes. Defects are induced by irradiation of graphene with the low-energy electron beam (20 keV) and quantified by the Raman D-to-G peak intensity ratio. As the defect density changes from 2.0 × 1010 cm-2 to 1.8 × 1011 cm-2 the thermal conductivity decreases from ~(1.8 +/- 0.2) × 103 W mK-1 to ~(4.0 +/- 0.2) × 102 W mK-1 near room temperature. At higher defect densities, the thermal conductivity reveals an intriguing saturation-type behavior at a relatively high value of ~400 W mK-1. The thermal conductivity dependence on the defect density is
Ion beam deposition system for depositing low defect density extreme ultraviolet mask blanks
Jindal, V.; Kearney, P.; Sohn, J.; Harris-Jones, J.; John, A.; Godwin, M.; Antohe, A.; Teki, R.; Ma, A.; Goodwin, F.; Weaver, A.; Teora, P.
2012-03-01
Extreme ultraviolet lithography (EUVL) is the leading next-generation lithography (NGL) technology to succeed optical lithography at the 22 nm node and beyond. EUVL requires a low defect density reflective mask blank, which is considered to be one of the top two critical technology gaps for commercialization of the technology. At the SEMATECH Mask Blank Development Center (MBDC), research on defect reduction in EUV mask blanks is being pursued using the Veeco Nexus deposition tool. The defect performance of this tool is one of the factors limiting the availability of defect-free EUVL mask blanks. SEMATECH identified the key components in the ion beam deposition system that is currently impeding the reduction of defect density and the yield of EUV mask blanks. SEMATECH's current research is focused on in-house tool components to reduce their contributions to mask blank defects. SEMATECH is also working closely with the supplier to incorporate this learning into a next-generation deposition tool. This paper will describe requirements for the next-generation tool that are essential to realize low defect density EUV mask blanks. The goal of our work is to enable model-based predictions of defect performance and defect improvement for targeted process improvement and component learning to feed into the new deposition tool design. This paper will also highlight the defect reduction resulting from process improvements and the restrictions inherent in the current tool geometry and components that are an impediment to meeting HVM quality EUV mask blanks will be outlined.
International Nuclear Information System (INIS)
Runkel, M; Hawley-Fedder, R; Widmayer, C; Williams, W; Weinzapfel, C; Roberts, D
2005-01-01
A multi-wavelength laser based system has been constructed to measure defect induced beam modulation (diffraction) from ICF class laser optics. The Nd:YLF-based modulation measurement system (MMS) uses simple beam collimation and imaging to capture diffraction patterns from optical defects onto an 8-bit digital camera at 1053, 527 and 351 nm. The imaging system has a field of view of 4.5 x 2.8 mm 2 and is capable of imaging any plane from 0 to 30 cm downstream from the defect. The system is calibrated using a 477 micron chromium dot on glass for which the downstream diffraction patterns were calculated numerically. Under nominal conditions the system can measure maximum peak modulations of approximately 7:1. An image division algorithm is used to calculate the peak modulation from the diffracted and empty field images after the baseline residual light background is subtracted from both. The peak modulation can then be plotted versus downstream position. The system includes a stage capable of holding optics up to 50 pounds with x and y translation of 40 cm and has been used to measure beam modulation due to solgel coating defects, surface digs on KDP crystals, lenslets in bulk fused silica and laser damage sites mitigated with CO 2 lasers
Energy Technology Data Exchange (ETDEWEB)
Runkel, M; Hawley-Fedder, R; Widmayer, C; Williams, W; Weinzapfel, C; Roberts, D
2005-10-18
A multi-wavelength laser based system has been constructed to measure defect induced beam modulation (diffraction) from ICF class laser optics. The Nd:YLF-based modulation measurement system (MMS) uses simple beam collimation and imaging to capture diffraction patterns from optical defects onto an 8-bit digital camera at 1053, 527 and 351 nm. The imaging system has a field of view of 4.5 x 2.8 mm{sup 2} and is capable of imaging any plane from 0 to 30 cm downstream from the defect. The system is calibrated using a 477 micron chromium dot on glass for which the downstream diffraction patterns were calculated numerically. Under nominal conditions the system can measure maximum peak modulations of approximately 7:1. An image division algorithm is used to calculate the peak modulation from the diffracted and empty field images after the baseline residual light background is subtracted from both. The peak modulation can then be plotted versus downstream position. The system includes a stage capable of holding optics up to 50 pounds with x and y translation of 40 cm and has been used to measure beam modulation due to solgel coating defects, surface digs on KDP crystals, lenslets in bulk fused silica and laser damage sites mitigated with CO{sub 2} lasers.
An Implementation Model for Production Quality Management in ...
African Journals Online (AJOL)
Generally speaking, the quality management philosophy emphasizes on preventive practices instead of treatment efforts. In the quality management system, there is an exciting interest on why defects and flaws occur in the operation process in spite of all efforts made to prevent defected products from proceeding in the ...
Oxygen defects in Fe-substituted Tl-system superconductors
Institute of Scientific and Technical Information of China (English)
李阳; 曹国辉; 王耘波; 马庆珠; 熊小涛; 陈宁; 马如璋; 郭应焕; 许祝安; 王劲松; 张小俊; 焦正宽; 彭获田; 周思海
1996-01-01
For Fe-doped T1-1223 phase,the excess oxygen defects induced by Fe dopants are studied by means of Hall coefficient,thermogravimetric measurements,Mossbauer spectroscopy,and the model calculation of the effective bond valence.The extra oxygen defects have effects on carrier density and microstructure of the superconductors.In the light doping level of Fe (x=0-0.05),the superconducting transition and carrier density have significant corresponding relation--the zero resistance temperature Tco and carrier densities decrease linearly with Fe dopants increasing.The thermogravimetric measurements show that the Fe3+ ions’ substituting for Cu2+ ions can bring the extra oxygen into the lattice to form extra oxygen defects.The calculation of the effective bond valence shows that the decrease of carrier density originates the strongly localized binding of the extra oxygen defects.The distortion of Cu-O layer induced by the extra oxygen defects decreases the superconductive transition temperature.The microstructure
Management practice and innovation in digital I and C system maintenance of nuclear power plant
International Nuclear Information System (INIS)
Huang Qian; Shi Qingwei; Huang Yaning
2012-01-01
This essay introduces the application situation of new ideas and methods in aspects of risk analysis, equipment status monitoring, defect tracing and maintenance management network in the course of maintaining the digital I and C system of Tianwan Nuclear Power Station, gives a detail description about the enhancement of the enterprise culture and scientific innovation in the field of digital I and C system maintenance. The practices in the past several years show that the management practice and the innovation means in the field of digital I and C system maintenance of Tianwan Nuclear Power Station are effective, and can provide reference for the other projects in this regard. (authors)
Nevin, N C; McDonald, J R; Walby, A L
1978-12-01
The efficiency of two systems for recording congenital malformations has been compared; one system, the Registrar General's Congenital Malformation Notification, is based on registering all malformed infants, and the other, the Child Health System, records all births. In Northern Ireland for three years [1974--1976], using multiple sources of ascertainment, a total of 686 infants with neural tube defects was identified among 79 783 live and stillbirths. The incidence for all neural tube defects in 8 60 per 1 000 births. The Registrar General's Congenital Malformation Notification System identified 83.6% whereas the Child Health System identified only 63.3% of all neural tube defects. Both systems together identified 86.2% of all neural tube defects. The two systems are suitable for monitoring of malformations and the addition of information from the Genetic Counselling Clinics would enhance the data for epidemiological studies.
Tan, J. K.; Abas, N.
2017-07-01
Managing electricity breakdown is vital since an outage causes economic losses for customers and the utility companies. However, electricity breakdown is unavoidable due to some internal or external factors beyond our control. Electricity breakdown on overhead lines tend occur more frequently because it is prone to external disturbances such as animal, overgrown vegetation and defective pole top accessories. In Sarawak Energy Berhad (SEB), majority of the network are composed of overhead lines and hence, is more prone to failure. Conventional method of equipment inspection and fault finding are not effective to quickly identify the root cause of failure. SEB has engaged the use of corona discharge camera as condition-based monitoring equipment to carry out condition based inspection on the line in order to diagnose the condition of the lines prior to failure. Experimental testing has been carried out to determine the correlation between the corona discharge count and the level of defect on line insulator. The result shall be tabulated and will be used as reference for future scanning and diagnostic on any defect on the lines.
Sultan, Torky; Khedr, Ayman E; Sayed, Mostafa
2013-01-01
NONE DECLARED Defect tracking systems play an important role in the software development organizations as they can store historical information about defects. There are many research in defect tracking models and systems to enhance their capabilities to be more specifically tracking, and were adopted with new technology. Furthermore, there are different studies in classifying bugs in a step by step method to have clear perception and applicable method in detecting such bugs. This paper shows a new proposed defect tracking model for the purpose of classifying the inserted defects reports in a step by step method for more enhancement of the software quality.
Quantum control of topological defects in magnetic systems
Takei, So; Mohseni, Masoud
2018-02-01
Energy-efficient classical information processing and storage based on topological defects in magnetic systems have been studied over the past decade. In this work, we introduce a class of macroscopic quantum devices in which a quantum state is stored in a topological defect of a magnetic insulator. We propose noninvasive methods to coherently control and read out the quantum state using ac magnetic fields and magnetic force microscopy, respectively. This macroscopic quantum spintronic device realizes the magnetic analog of the three-level rf-SQUID qubit and is built fully out of electrical insulators with no mobile electrons, thus eliminating decoherence due to the coupling of the quantum variable to an electronic continuum and energy dissipation due to Joule heating. For a domain wall size of 10-100 nm and reasonable material parameters, we estimate qubit operating temperatures in the range of 0.1-1 K, a decoherence time of about 0.01-1 μ s , and the number of Rabi flops within the coherence time scale in the range of 102-104 .
Neutron diffraction and lattice defects
International Nuclear Information System (INIS)
Hamaguchi, Yoshikazu
1974-01-01
Study on lattice defects by neutron diffraction technique is described. Wave length of neutron wave is longer than that of X-ray, and absorption cross-section is small. Number of defects observed by ESR is up to several defects, and the number studied with electron microscopes is more than 100. Information obtained by neutron diffraction concerns the number of defects between these two ranges. For practical analysis, several probable models are selected from the data of ESR or electron microscopes, and most probable one is determined by calculation. Then, defect concentration is obtained from scattering cross section. It is possible to measure elastic scattering exclusively by neutron diffraction. Minimum detectable concentration estimated is about 0.5% and 10 20 - 10 21 defects per unit volume. A chopper and a time of flight system are used as a measuring system. Cold neutrons are obtained from the neutron sources inserted into reactors. Examples of measurements by using similar equipments to PTNS-I system of Japan Atomic Energy Research Institute are presented. Interstitial concentration in the graphite irradiated by fast neutrons is shown. Defects in irradiated MgO were also investigated by measuring scattering cross section. Study of defects in Ge was made by measuring total cross section, and model analysis was performed in comparison with various models. (Kato, T.)
Energy Technology Data Exchange (ETDEWEB)
Lee, Mu Sung
2009-08-15
This book deals with ISO9001 quality management system which includes summary of this system such as classification of quality, principle of quality management, and definition, requirement and procedure of quality management system, introduction of ISO9001 system like model of ISO9001 quality management system, ISO certificate system, structure of ISO9001 standard, requirement of ISO9001 quality management system, process approach and documentation of system, propel cases of ISO9001 quality management system.
International Nuclear Information System (INIS)
Lee, Mu Sung
2009-08-01
This book deals with ISO9001 quality management system which includes summary of this system such as classification of quality, principle of quality management, and definition, requirement and procedure of quality management system, introduction of ISO9001 system like model of ISO9001 quality management system, ISO certificate system, structure of ISO9001 standard, requirement of ISO9001 quality management system, process approach and documentation of system, propel cases of ISO9001 quality management system.
Management of Anterior Skull Base Defect Depending on Its Size and Location
Bernal-Sprekelsen, Manuel; Rioja, Elena; Enseñat, Joaquim; Enriquez, Karla; Viscovich, Liza; Agredo-Lemos, Freddy Enrique; Alobid, Isam
2014-01-01
Introduction. We present our experience in the reconstruction of these leaks depending on their size and location. Material and Methods. Fifty-four patients who underwent advanced skull base surgery (large defects, >20 mm) and 62 patients with CSF leaks of different origin (small, 2–10 mm, and midsize, 11–20 mm, defects) were included in the retrospective study. Large defects were reconstructed with a nasoseptal pedicled flap positioned on fat and fascia lata. In small and midsized leaks. Fascia lata in an underlay position was used for its reconstruction covered with mucoperiosteum of either the middle or the inferior turbinate. Results. The most frequent etiology for small and midsized defects was spontaneous (48.4%), followed by trauma (24.2%), iatrogenic (5%). The success rate after the first surgical reconstruction was 91% and 98% in large skull base defects and small/midsized, respectively. Rescue surgery achieved 100%. Conclusions. Endoscopic surgery for any type of skull base defect is the gold standard. The size of the defects does not seem to play a significant role in the success rate. Fascia lata and mucoperiosteum of the turbinate allow a two-layer reconstruction of small and midsized defects. For larger skull base defects, a combination of fat, fascia lata, and nasoseptal pedicled flaps provides a successful reconstruction. PMID:24895567
Stereomicroscopic evaluation of dentinal defects induced by new rotary system: "ProTaper NEXT".
Shori, Deepa Deepak; Shenoi, Pratima Ramakrishna; Baig, Arshia R; Kubde, Rajesh; Makade, Chetana; Pandey, Swapnil
2015-01-01
The objective of this study was to evaluate dentinal defects formed by new rotary system - Protaper next™ (PTN). Sixty single-rooted premolars were selected. All specimens were decoronated and divided into four groups, each group having 15 specimens. Group I specimens were prepared by Hand K-files (Mani), Group II with ProTaper Universal (PT; Dentsply Maillefer), Group III with Hero Shaper (HS; Micro-Mega, Besancon, France), and Group IV with PTN (Dentsply Maillefer). Roots of each specimen were sectioned at 3, 6, and 9mm from the apex and were then viewed under a stereomicroscope to evaluate presence or absence of dentinal defects. In roots prepared with hand files (HFs) showed lowest percentage of dentinal defects (6.7%); whereas in roots prepared with PT, HS, and PTN it was 40, 66.7, and 26.7%, respectively. There was significant difference between the HS group and the PTN group (P hand instruments induced minimal defects.
ON-POWER DETECTION OF PIPE WALL-THINNED DEFECTS USING IR THERMOGRAPHY IN NPPS
Directory of Open Access Journals (Sweden)
JU HYUN KIM
2014-04-01
Full Text Available Wall-thinned defects caused by accelerated corrosion due to fluid flow in the inner pipe appear in many structures of the secondary systems in nuclear power plants (NPPs and are a major factor in degrading the integrity of pipes. Wall-thinned defects need to be managed not only when the NPP is under maintenance but also when the NPP is in normal operation. To this end, a test technique was developed in this study to detect such wall-thinned defects based on the temperature difference on the surface of a hot pipe using infrared (IR thermography and a cooling device. Finite element analysis (FEA was conducted to examine the tendency and experimental conditions for the cooling experiment. Based on the FEA results, the equipment was configured before the cooling experiment was conducted. The IR camera was then used to detect defects in the inner pipe of the pipe specimen that had artificially induced defects. The IR thermography developed in this study is expected to help resolve the issues related to the limitations of non-destructive inspection techniques that are currently conducted for NPP secondary systems and is expected to be very useful on the NPPs site.
Energy Technology Data Exchange (ETDEWEB)
NONE
1984-03-01
The automatic sewing system technique research association has been commissioned by the Agency of Industrial Science and Technology for (research and development of automatic sewing systems). This program covers R and D of the elementary techniques for total systems and sewing preparation/processing, sewing/assembling, cloth handling, and system management/control. This report describes the results of the R and D efforts for the system management/control techniques. The program for the system management/control techniques involves, first of all, the basic designs for the overall system management, centered by the optimum process configuration and control for reducing lead time of an automatic sewing plant by at least 50% from the current level, based on the production schedules of an apparel maker. The basic designs are then extended to cover examination of defective products at each step, examination and failure diagnosis for prediction, detection and exchange of failed machine parts, systemisation of necessary information to be provided for automatic operation of a sewing plant and providing control-related information, including selection of information media, and information recognition by processing images of cloth and surface conditions/shapes of machine parts. (NEDO)
Management of Labor and Delivery After Fetoscopic Repair of an Open Neural Tube Defect.
Kohn, Jaden R; Rao, Vibha; Sellner, Allison A; Sharhan, Dina; Espinoza, Jimmy; Shamshirsaz, Alireza A; Whitehead, William E; Belfort, Michael A; Sanz Cortes, Magdalena
2018-06-01
To report labor, delivery, and neonatal outcomes in a cohort of women delivering neonates who had undergone fetoscopic neural tube defect repair. We conducted a retrospective cohort study from April 2014 to January 2018. All patients met Management of Myelomeningocele Study eligibility criteria. We included patients with completed second-trimester fetoscopic neural tube defect repair (laparotomy, uterine exteriorization, and minimally invasive access through two or three uterine ports) followed by standardized management of labor and delivery at our institution. Outcomes included rates of vaginal delivery, term delivery, and intrapartum cesarean delivery as well as obstetric and neonatal outcomes after oxytocin. Complications of interest included preterm prelabor rupture of membranes, chorioamnionitis, uterine dehiscence or rupture, 5-minute Apgar score less than 7, and neonatal acidosis (umbilical artery pH less than 7.15). Thirty-four patients had fetoscopic repair, followed by 17 vaginal deliveries (50%, 95% CI 32-68%). Median gestational age was 38 1/7 weeks at vaginal delivery (range 26 0/7-40 2/7 weeks of gestation) and 37 1/7 weeks of gestation at cesarean delivery (range 25 5/7-40 5/7 weeks of gestation); 62% of deliveries occurred at term. Eight patients had prelabor cesarean delivery: three nonurgent and five urgent (for nonreassuring fetal heart tracings). Twenty-six patients labored; six were induced and 20 labored spontaneously. Of the latter, five were augmented. Of 26 laboring patients, 17 delivered vaginally and nine underwent urgent cesarean delivery (35%, 95% CI 17-56%; seven nonreassuring fetal heart tracings and two breech). There were no cases of uterine rupture or dehiscence. Most (94%, 95% CI 80-99%) had normal 5-minute Apgar scores; one neonate (3%, 95% CI 0-15%) had acidosis but normal Apgar scores. Our data regarding trial of labor, use of low-dose oxytocin, and vaginal delivery after prenatal fetoscopic neural tube defect repair are
Polydispersity-driven topological defects as order-restoring excitations.
Yao, Zhenwei; Olvera de la Cruz, Monica
2014-04-08
The engineering of defects in crystalline matter has been extensively exploited to modify the mechanical and electrical properties of many materials. Recent experiments on manipulating extended defects in graphene, for example, show that defects direct the flow of electric charges. The fascinating possibilities offered by defects in two dimensions, known as topological defects, to control material properties provide great motivation to perform fundamental investigations to uncover their role in various systems. Previous studies mostly focus on topological defects in 2D crystals on curved surfaces. On flat geometries, topological defects can be introduced via density inhomogeneities. We investigate here topological defects due to size polydispersity on flat surfaces. Size polydispersity is usually an inevitable feature of a large variety of systems. In this work, simulations show well-organized induced topological defects around an impurity particle of a wrong size. These patterns are not found in systems of identical particles. Our work demonstrates that in polydispersed systems topological defects play the role of restoring order. The simulations show a perfect hexagonal lattice beyond a small defective region around the impurity particle. Elasticity theory has demonstrated an analogy between the elementary topological defects named disclinations to electric charges by associating a charge to a disclination, whose sign depends on the number of its nearest neighbors. Size polydispersity is shown numerically here to be an essential ingredient to understand short-range attractions between like-charge disclinations. Our study suggests that size polydispersity has a promising potential to engineer defects in various systems including nanoparticles and colloidal crystals.
Microvascular free flaps in the management of war wounds with tissue defects
Directory of Open Access Journals (Sweden)
Kozarski Jefta
2003-01-01
Full Text Available Background. War wounds caused by modern infantry weapons or explosive devices are very often associated with the defects of soft and bone tissue. According to their structure, tissue defects can be simple or complex. In accordance with war surgical doctrine, at the Clinic for Plastic Surgery and Burns of the Military Medical Academy, free flaps were used in the treatment of 108 patients with large tissue defects. With the aim of closing war wounds, covering deep structures, or making the preconditions for reconstruction of deep structures, free flaps were applied in primary, delayed, or secondary term. The main criteria for using free flaps were general condition of the wounded, extent, location, and structure of tissue defects. The aim was also to point out the advantages and disadvantages of the application of free flaps in the treatment of war wounds. Methods. One hundred and eleven microvascular free flaps were applied, both simple and complex, for closing the war wounds with extensive tissue defects. The main criteria for the application of free flaps were: general condition of the wounded, size, localization, and structure of tissue defects. For the extensive defects of the tissue, as well as for severely contaminated wounds latissimus dorsi free flaps were used. For tissue defects of distal parts of the lower extremities, scapular free flaps were preferred. While using free tissue transfer for recompensation of bone defects, free vascularized fibular grafts were applied, and in skin and bone defects complex free osteoseptocutaneous fibular, free osteoseptocutaneous radial forearm, and free skin-bone scapular flaps were used. Results. After free flap transfer 16 (14,4% revisions were performed, and after 8 unsuccessful revisions another free flaps were utilized in 3 (37,5% patients, and cross leg flaps in 5 (62,5% patients. Conclusion. The treatment of war wounds with large tissue defects by the application of free microvascular flaps
Accurate defect die placement and nuisance defect reduction for reticle die-to-die inspections
Wen, Vincent; Huang, L. R.; Lin, C. J.; Tseng, Y. N.; Huang, W. H.; Tuo, Laurent C.; Wylie, Mark; Chen, Ellison; Wang, Elvik; Glasser, Joshua; Kelkar, Amrish; Wu, David
2015-10-01
Die-to-die reticle inspections are among the simplest and most sensitive reticle inspections because of the use of an identical-design neighboring-die for the reference image. However, this inspection mode can have two key disadvantages: (1) The location of the defect is indeterminate because it is unclear to the inspector whether the test or reference image is defective; and (2) nuisance and false defects from mask manufacturing noise and tool optical variation can limit the usable sensitivity. The use of a new sequencing approach for a die-to-die inspection can resolve these issues without any additional scan time, without sacrifice in sensitivity requirement, and with a manageable increase in computation load. In this paper we explore another approach for die-to-die inspections using a new method of defect processing and sequencing. Utilizing die-to-die double arbitration during defect detection has been proven through extensive testing to generate accurate placement of the defect in the correct die to ensure efficient defect disposition at the AIMS step. The use of this method maintained the required inspection sensitivity for mask quality as verified with programmed-defectmask qualification and then further validated with production masks comparing the current inspection approach to the new method. Furthermore, this approach can significantly reduce the total number of defects that need to be reviewed by essentially eliminating the nuisance and false defects that can result from a die-to-die inspection. This "double-win" will significantly reduce the effort in classifying a die-to-die inspection result and will lead to improved cycle times.
Valach, J.; Cacciotti, R.; Kuneš, P.; ČerÅanský, M.; Bláha, J.
2012-04-01
The paper presents a project aiming to develop a knowledge-based system for documentation and analysis of defects of cultural heritage objects and monuments. The MONDIS information system concentrates knowledge on damage of immovable structures due to various causes, and preventive/remedial actions performed to protect/repair them, where possible. The currently built system is to provide for understanding of causal relationships between a defect, materials, external load, and environment of built object. Foundation for the knowledge-based system will be the systemized and formalized knowledge on defects and their mitigation acquired in the process of analysis of a representative set of cases documented in the past. On the basis of design comparability, used technologies, materials and the nature of the external forces and surroundings, the developed software system has the capacity to indicate the most likely risks of new defect occurrence or the extension of the existing ones. The system will also allow for a comparison of the actual failure with similar cases documented and will propose a suitable technical intervention plan. The system will provide conservationists, administrators and owners of historical objects with a toolkit for defect documentation for their objects. Also, advanced artificial intelligence methods will offer accumulated knowledge to users and will also enable them to get oriented in relevant techniques of preventive interventions and reconstructions based on similarity with their case.
Liya Thomas; R. Edward. Thomas
2011-01-01
We have developed an automated defect detection system and a state-of-the-art Graphic User Interface (GUI) for hardwood logs. The algorithm identifies defects at least 0.5 inch high and at least 3 inches in diameter on barked hardwood log and stem surfaces. To summarize defect features and to build a knowledge base, hundreds of defects were measured, photographed, and...
Prosthetic rehabilitation of severe Siebert's Class III defect with modified Andrews bridge system
Rathee, Manu; Sikka, Neha; Jindal, Sahil; Kaushik, Ashutosh
2015-01-01
Prosthetic dentistry involves the replacement of missing and contiguous tissues with artificial substitutes to restore and maintain the oral functions, appearance, and health of the patient. The treatment of edentulous areas with ridge defects poses a challenging task for the dentist. Management of such cases involves a wide range of treatment options comprising mainly of surgical interventions and non surgical techniques such as use of removable, fixed or fixed- removable partial dentures. B...
Directory of Open Access Journals (Sweden)
S Das
2016-01-01
Full Text Available Percutaneous device closure of atrial septal defect (ASD is an alternative treatment to surgery with advantages of avoidance of surgery, short procedure time, early discharge from hospital, and lower rates of complications. However, percutaneous device closure is associated with infrequent life-threatening complications such as device embolization. We report a case device embolization of the ASD occlude device into right ventricular outflow tract resulting progressive hypoxia. The role of anesthesiologist as a team leader in managing such emergency is discussed.
Modeling of Powder Bed Manufacturing Defects
Mindt, H.-W.; Desmaison, O.; Megahed, M.; Peralta, A.; Neumann, J.
2018-01-01
Powder bed additive manufacturing offers unmatched capabilities. The deposition resolution achieved is extremely high enabling the production of innovative functional products and materials. Achieving the desired final quality is, however, hampered by many potential defects that have to be managed in due course of the manufacturing process. Defects observed in products manufactured via powder bed fusion have been studied experimentally. In this effort we have relied on experiments reported in the literature and—when experimental data were not sufficient—we have performed additional experiments providing an extended foundation for defect analysis. There is large interest in reducing the effort and cost of additive manufacturing process qualification and certification using integrated computational material engineering. A prerequisite is, however, that numerical methods can indeed capture defects. A multiscale multiphysics platform is developed and applied to predict and explain the origin of several defects that have been observed experimentally during laser-based powder bed fusion processes. The models utilized are briefly introduced. The ability of the models to capture the observed defects is verified. The root cause of the defects is explained by analyzing the numerical results thus confirming the ability of numerical methods to provide a foundation for rapid process qualification.
Risk and integrity management system for PETRONAS Gas Berhad's gas and liquid hydrocarbon pipelines
Energy Technology Data Exchange (ETDEWEB)
Khalid, Tuan Hj. Ahmad Nadzri bin; Nasir, Osman; Napiah, Mohd Nazmi Mohd Ali [PETRONAS Gas Berhad, Johor (Malaysia); Choong, Evelyn
2005-07-01
PETRONAS Gas Berhad (PGB), Malaysia currently operates one of Southeast Asia's largest onshore pipeline systems comprising more than 2,500 km of large diameter high pressure gas and liquid transmission, supply and lateral pipelines. Recognizing the value of a risk based approach to pipeline integrity management program, in 2002 PGB implemented a customized and fully integrated Risk and Integrity Management System (RIMS) which included software modules for: data management; semi-quantitative risk assessment; risk control cost benefit analyses; defect assessment; corrosion growth modeling; and reporting. As part of this project, a benchmarking study performed jointly with the contractor, PGB's pipeline integrity programs were also compared with a broad group of international pipeline operators. This study compared the relative ranking position of PGB pre- and post implementation of RIMS. It demonstrated that implementation of RIMS places PGB in a select group of first quartile international pipeline operators, with respect to the implementation of pipeline integrity management best practice. This paper describes the functionalities of RIMS system and how it has benefited PGB, which have been realized to date from its implementation. (author)
Development of a Fibre-Phased Array Laser-EMAT Ultrasonic System for Defect Inspection
International Nuclear Information System (INIS)
Pei, C; Demachi, K; Koyama, K; Uesaka, M; Fukuchi, T; Chen, Z
2014-01-01
In this work, a phased array laser ultrasound system with using fibre optic delivery and a custom-designed focusing objective lens has been developed for enhancing the ultrasound generation. The fibre-phased array method is applied to improve the sensitivity and detecting ability of the laser-EMAT system for defect inspection
Stereomicroscopic evaluation of dentinal defects induced by new rotary system: “ProTaper NEXT”
Shori, Deepa Deepak; Shenoi, Pratima Ramakrishna; Baig, Arshia R; Kubde, Rajesh; Makade, Chetana; Pandey, Swapnil
2015-01-01
Introduction: The objective of this study was to evaluate dentinal defects formed by new rotary system — Protaper next™ (PTN). Materials and Methods: Sixty single-rooted premolars were selected. All specimens were decoronated and divided into four groups, each group having 15 specimens. Group I specimens were prepared by Hand K-files (Mani), Group II with ProTaper Universal (PT; Dentsply Maillefer), Group III with Hero Shaper (HS; Micro-Mega, Besancon, France), and Group IV with PTN (Dentsply Maillefer). Roots of each specimen were sectioned at 3, 6, and 9mm from the apex and were then viewed under a stereomicroscope to evaluate presence or absence of dentinal defects. Results: In roots prepared with hand files (HFs) showed lowest percentage of dentinal defects (6.7%); whereas in roots prepared with PT, HS, and PTN it was 40, 66.7, and 26.7%, respectively. There was significant difference between the HS group and the PTN group (P hand instruments induced minimal defects. PMID:26069406
Environmental Management System
Goals Recycling Green Purchasing Pollution Prevention Reusing Water Resources Environmental Management Stewardship » Environmental Protection » Environmental Management System Environmental Management System An Environmental Management System is a systematic method for assessing mission activities, determining the
Implementation of an integrity management program in a crude oil pipeline system
Energy Technology Data Exchange (ETDEWEB)
Martinez, Maria; Tomasella, Marcelo [Oleoductos del Valle, General Roca (Argentina); Rossi, Juan; Pellicano, Adolfo [SINTEC S.A. , Mar del Plata, Buenos Aires (Argentina)
2005-07-01
The implementation of an Integrity Management Program (IMP) in a crude oil pipeline system is focused on the accomplishment of two primary corporative objectives: to increase safety operation margins and to optimize available resources. A proactive work philosophy ensures the safe and reliable operation of the pipeline in accordance with current legislation. The Integrity Management Program is accomplished by means of an interdisciplinary team that defines the strategic objectives that complement and are compatible with the corporative strategic business plan. The implementation of the program is based on the analysis of the risks due to external corrosion, third party damage, design and operations, and the definition of appropriate mitigation, inspection and monitoring actions, which will ensure long-term integrity of the assets. By means of a statistical propagation model of the external defects, reported by high-resolution magnetic inspection tool (MFL), together with the information provided by corrosion sensors, field repair interventions, close internal surveys and operation data, projected defect depth; remaining strength and failure probability distributions were obtained. From the analysis, feasible courses of action were established, including the inspection and repair plan, the internal inspection program and both corrosion monitoring and mitigation programs. (author)
Quantum computing with defects.
Weber, J R; Koehl, W F; Varley, J B; Janotti, A; Buckley, B B; Van de Walle, C G; Awschalom, D D
2010-05-11
Identifying and designing physical systems for use as qubits, the basic units of quantum information, are critical steps in the development of a quantum computer. Among the possibilities in the solid state, a defect in diamond known as the nitrogen-vacancy (NV(-1)) center stands out for its robustness--its quantum state can be initialized, manipulated, and measured with high fidelity at room temperature. Here we describe how to systematically identify other deep center defects with similar quantum-mechanical properties. We present a list of physical criteria that these centers and their hosts should meet and explain how these requirements can be used in conjunction with electronic structure theory to intelligently sort through candidate defect systems. To illustrate these points in detail, we compare electronic structure calculations of the NV(-1) center in diamond with those of several deep centers in 4H silicon carbide (SiC). We then discuss the proposed criteria for similar defects in other tetrahedrally coordinated semiconductors.
Defect detection based on extreme edge of defective region histogram
Directory of Open Access Journals (Sweden)
Zouhir Wakaf
2018-01-01
Full Text Available Automatic thresholding has been used by many applications in image processing and pattern recognition systems. Specific attention was given during inspection for quality control purposes in various industries like steel processing and textile manufacturing. Automatic thresholding problem has been addressed well by the commonly used Otsu method, which provides suitable results for thresholding images based on a histogram of bimodal distribution. However, the Otsu method fails when the histogram is unimodal or close to unimodal. Defects have different shapes and sizes, ranging from very small to large. The gray-level distributions of the image histogram can vary between unimodal and multimodal. Furthermore, Otsu-revised methods, like the valley-emphasis method and the background histogram mode extents, which overcome the drawbacks of the Otsu method, require preprocessing steps and fail to use the general threshold for multimodal defects. This study proposes a new automatic thresholding algorithm based on the acquisition of the defective region histogram and the selection of its extreme edge as the threshold value to segment all defective objects in the foreground from the image background. To evaluate the proposed defect-detection method, common standard images for experimentation were used. Experimental results of the proposed method show that the proposed method outperforms the current methods in terms of defect detection.
Management of the Sequelae of Severe Congenital Abdominal Wall Defects
Directory of Open Access Journals (Sweden)
Sara Fuentes
2016-05-01
Full Text Available BackgroundThe survival rate of newborns with severe congenital abdominal wall defects has increased. After successfully addressing life-threatening complications, it is necessary to focus on the cosmetic and functional outcomes of the abdominal wall.MethodsWe performed a chart review of five cases treated in our institution.ResultsFive patients, ranging from seven to 18 years of age, underwent the following surgical approaches: simple approximation of the rectus abdominis fascia, the rectus abdominis sheath turnover flap, the placement of submuscular tissue expanders, mesh repair, or a combination of these techniques depending on the characteristics of each individual case.ConclusionsPatients with severe congenital abdominal wall defects require individualized surgical treatment to address both the aesthetic and functional issues related to the sequelae of their defects.
Zarbo, Richard J; Copeland, Jacqueline R; Varney, Ruan C
2017-10-01
To develop a business subsystem fulfilling International Organization for Standardization 15189 nonconformance management regulatory standard, facilitating employee engagement in problem identification and resolution to effect quality improvement and risk mitigation. From 2012 to 2016, the integrated laboratories of the Henry Ford Health System used a quality technical team to develop and improve a management subsystem designed to identify, track, trend, and summarize nonconformances based on frequency, risk, and root cause for elimination at the level of the work. Programmatic improvements and training resulted in markedly increased documentation culminating in 71,641 deviations in 2016 classified by a taxonomy of 281 defect types into preanalytic (74.8%), analytic (23.6%), and postanalytic (1.6%) testing phases. The top 10 deviations accounted for 55,843 (78%) of the total. Deviation management is a key subsystem of managers' standard work whereby knowledge of nonconformities assists in directing corrective actions and continuous improvements that promote consistent execution and higher levels of performance. © American Society for Clinical Pathology, 2017. All rights reserved. For permissions, please e-mail: journals.permissions@oup.com
Energy Technology Data Exchange (ETDEWEB)
Lazarus, A. [CEA Saclay, Dept. Modelisation de Systemes et Structures (DEN/DANS/DM2S/SEMT), 91 - Gif sur Yvette (France)
2008-07-01
For high rotation speeds, the imperfections (cracks, anisotropy...) of rotating machinery of the energy sector lead to a specific vibratory behavior which can damage the machine. The simulation of rotating machinery are usually realized for systems without defect. The aim of this thesis is to understand the influence of defects and to propose an algorithm to predict the dynamical behavior. In a first part the author studies the simplified rotating oscillators to propose a numerical method in order to taking into account the dynamic of these systems. This method is then applied to real rotating machinery with the Cast3m software. The numerical results are validated with experiments. (A.L.B.)
Congenital heart defects in Williams syndrome.
Yuan, Shi-Min
2017-01-01
Yuan SM. Congenital heart defects in Williams syndrome. Turk J Pediatr 2017; 59: 225-232. Williams syndrome (WS), also known as Williams-Beuren syndrome, is a rare genetic disorder involving multiple systems including the circulatory system. However, the etiologies of the associated congenital heart defects in WS patients have not been sufficiently elucidated and represent therapeutic challenges. The typical congenital heart defects in WS were supravalvar aortic stenosis, pulmonary stenosis (both valvular and peripheral), aortic coarctation and mitral valvar prolapse. The atypical cardiovascular anomalies include tetralogy of Fallot, atrial septal defects, aortic and mitral valvular insufficiencies, bicuspid aortic valves, ventricular septal defects, total anomalous pulmonary venous return, double chambered right ventricle, Ebstein anomaly and arterial anomalies. Deletion of the elastin gene on chromosome 7q11.23 leads to deficiency or abnormal deposition of elastin during cardiovascular development, thereby leading to widespread cardiovascular abnormalities in WS. In this article, the distribution, treatment and surgical outcomes of typical and atypical cardiac defects in WS are discussed.
Directory of Open Access Journals (Sweden)
Lukman O Abdur-Rahman
2011-01-01
Full Text Available Background : Abdominal wall defect presents a great challenge when it is large, ruptured, or associated with other anomalies. Objective : To review the challenges and outcome of management of anterior abdominal wall defects (AAWD. Materials and Methods : A retrospective review of omphalocele and gastroschisis managed over 8 years at our institution. Results : Omphalocele (n=49 and gastroschisis (n=7 constituted 2.4% of total admission. The median age was 23.5 hours, with male-female ratio of 1:1.1. Term infants were 91.7% and more than 75% weighed above 2.5 kg. The mean maternal age was 28.5±5.87 years and mean parity was 3.1±2.0, with P values of 0.318 and 0.768, respectively. More than 92.9% of infants were out-born, 46 pregnancies (82.1% were booked, and 51 (91.1% had at least one ultrasound scan, but only 1 (1.8% was diagnosed with gastroschisis. Ruptured omphalocele were 11 (6 major, 5 minor in number, 3 of which presented with enterocutaneous fistula, and 3 (6.1% were syndromic omphalocele. Positive blood culture confirmed septicaemia in 21 cases (37.5%. Surgical repair was done in 35 cases (62.5%, 44.6% as emergency, and 17.9% as elective. Non-operative management was done in 21 patients (37.5% and 5 (8.9% were discharged against medical advice. Median length of hospital stay was 10 days (mean, 15.98±14.38. Postoperative complication rate was 32.1% and overall mortality was 30.4%, with the highest case fatality among gastroschisis (57.1% and omphalocele major (32.1%. Conclusions: There were large numbers of out-born infants due to poor prenatal diagnosis in spite of high instances of antenatal ultrasound scan. Many patients presented with complications that resulted in poor outcome.
Computer Vision System For Locating And Identifying Defects In Hardwood Lumber
Conners, Richard W.; Ng, Chong T.; Cho, Tai-Hoon; McMillin, Charles W.
1989-03-01
This paper describes research aimed at developing an automatic cutup system for use in the rough mills of the hardwood furniture and fixture industry. In particular, this paper describes attempts to create the vision system that will power this automatic cutup system. There are a number of factors that make the development of such a vision system a challenge. First there is the innate variability of the wood material itself. No two species look exactly the same, in fact, they can have a significant visual difference in appearance among species. Yet a truly robust vision system must be able to handle a variety of such species, preferably with no operator intervention required when changing from one species to another. Secondly, there is a good deal of variability in the definition of what constitutes a removable defect. The hardwood furniture and fixture industry is diverse in the nature of the products that it makes. The products range from hardwood flooring to fancy hardwood furniture, from simple mill work to kitchen cabinets. Thus depending on the manufacturer, the product, and the quality of the product the nature of what constitutes a removable defect can and does vary. The vision system must be such that it can be tailored to meet each of these unique needs, preferably without any additional program modifications. This paper will describe the vision system that has been developed. It will assess the current system capabilities, and it will discuss the directions for future research. It will be argued that artificial intelligence methods provide a natural mechanism for attacking this computer vision application.
Maintenance management systems
International Nuclear Information System (INIS)
Rohan, M. de
1989-01-01
This paper is concerned principally with Maintenance Management systems and their effective introduction into organisations. Maintenance improvement is basically a problem of managing the maintenance department in the broadest sense. Improvement does not only lie in the area of special techniques, systems or procedures; although they are valuable tools, but rather in a balanced attack, carefully guided by management. Over recent years, maintenance systems have received the major emphasis and in many instances the selection of the system has become a pre-occupation, whereas the importance of each maintenance function must be recognised and good management practices applied to all maintenance activities. The ingredients for success in the implementation of maintenance management systems are summarised as: having a management committee, clear objectives, project approach using project management techniques and an enthusiastic leader, user managed and data processing supported project, realistic budget and an understanding of the financial audit requirements. (author)
Serine biosynthesis and transport defects.
El-Hattab, Ayman W
2016-07-01
l-serine is a non-essential amino acid that is biosynthesized via the enzymes phosphoglycerate dehydrogenase (PGDH), phosphoserine aminotransferase (PSAT), and phosphoserine phosphatase (PSP). Besides its role in protein synthesis, l-serine is a potent neurotrophic factor and a precursor of a number of essential compounds including phosphatidylserine, sphingomyelin, glycine, and d-serine. Serine biosynthesis defects result from impairments of PGDH, PSAT, or PSP leading to systemic serine deficiency. Serine biosynthesis defects present in a broad phenotypic spectrum that includes, at the severe end, Neu-Laxova syndrome, a lethal multiple congenital anomaly disease, intermediately, infantile serine biosynthesis defects with severe neurological manifestations and growth deficiency, and at the mild end, the childhood disease with intellectual disability. A serine transport defect resulting from deficiency of the ASCT1, the main transporter for serine in the central nervous system, has been recently described in children with neurological manifestations that overlap with those observed in serine biosynthesis defects. l-serine therapy may be beneficial in preventing or ameliorating symptoms in serine biosynthesis and transport defects, if started before neurological damage occurs. Herein, we review serine metabolism and transport, the clinical, biochemical, and molecular aspects of serine biosynthesis and transport defects, the mechanisms of these diseases, and the potential role of serine therapy. Copyright © 2016 Elsevier Inc. All rights reserved.
DEFF Research Database (Denmark)
Thoft-Christensen, Palle
In this paper bridge management systems are discussed with special emphasis on management systems for reinforced concrete bridges. Management systems for prestressed concrete bridges, steel bridges, or composite bridges can be developed in a similar way....
Development of Laser Based Remote Sensing System for Inner-Concrete Defects
Shimada, Yoshinori; Kotyaev, Oleg
Laser-based remote sensing using a vibration detection system has been developed using a photorefractive crystal to reduce the effect of concrete surface-roughness. An electric field was applied to the crystal and the reference beam was phase shifted to increase the detection efficiency (DE). The DE increased by factor of 8.5 times compared to that when no voltage and no phase shifting were applied. Vibration from concrete defects can be detected at a distance of 5 m from the system. A vibration-canceling system has also developed that appears to be promising for canceling vibrations between the laser system and the concrete. Finally, we have constructed a prototype system that can be transported in a small truck.
Quantum computing with defects
Varley, Joel
2011-03-01
The development of a quantum computer is contingent upon the identification and design of systems for use as qubits, the basic units of quantum information. One of the most promising candidates consists of a defect in diamond known as the nitrogen-vacancy (NV-1) center, since it is an individually-addressable quantum system that can be initialized, manipulated, and measured with high fidelity at room temperature. While the success of the NV-1 stems from its nature as a localized ``deep-center'' point defect, no systematic effort has been made to identify other defects that might behave in a similar way. We provide guidelines for identifying other defect centers with similar properties. We present a list of physical criteria that these centers and their hosts should meet and explain how these requirements can be used in conjunction with electronic structure theory to intelligently sort through candidate systems. To elucidate these points, we compare electronic structure calculations of the NV-1 center in diamond with those of several deep centers in 4H silicon carbide (SiC). Using hybrid functionals, we report formation energies, configuration-coordinate diagrams, and defect-level diagrams to compare and contrast the properties of these defects. We find that the NC VSi - 1 center in SiC, a structural analog of the NV-1 center in diamond, may be a suitable center with very different optical transition energies. We also discuss how the proposed criteria can be translated into guidelines to discover NV analogs in other tetrahedrally coordinated materials. This work was performed in collaboration with J. R. Weber, W. F. Koehl, B. B. Buckley, A. Janotti, C. G. Van de Walle, and D. D. Awschalom. This work was supported by ARO, AFOSR, and NSF.
Defect-impurity interactions in ion-implanted metals
International Nuclear Information System (INIS)
Turos, A.
1986-01-01
An overview of defect-impurity interactions in metals is presented. When point defects become mobile they migrate towards the sinks and on the way can be captured by impurity atoms forming stable associations so-called complexes. In some metallic systems complexes can also be formed athermally during ion implantation by trapping point defects already in the collision cascade. An association of a point defect with an impurity atom leads to its displacement from the lattice site. The structure and stability of complexes are strongly temperature dependent. With increasing temperature they dissociate or grow by multiple defect trapping. The appearance of freely migrating point defects at elevated temperatures, due to ion bombardment or thermal annealing, causes via coupling with defect fluxes, important impurity redistribution. Because of the sensitivity of many metal-in-metal implanted systems to radiation damage the understanding of this processes is essential for a proper interpretation of the lattice occupancy measurements and the optimization of implantation conditions. (author)
Maintenance and management system
International Nuclear Information System (INIS)
Ando, Yasumasa.
1992-01-01
Since highly reliable operation is required in a nuclear power plant, monitoring during operation and periodical inspection are conducted carefully. The present invention provides maintenance and management systems for providing an aid so that these systems are combined effectively and operated rationally based on unified information management. That is, the system contains data bases comprising information for the design of the equipments and pipelines of a plant, information for the exchange of equipment parts, information for the history of plant operation, information for the monitoring and inspection, and information for the management of repair operation. In addition, it has an equipment part history management sub-system for managing equipment part exchange information, an operation history management sub-system for managing the operation state of the plant, an operation history management sub-system for managing equipment monitoring inspection data and operation management sub-system for managing periodical inspection/ repairing operation. These sub-systems are collectively combined to manage the maintenance and management jobs of the plant unitarily. (I.S.)
OH-point defects in quartz in B- and Li-bearing systems and their application to pegmatites
Baron, M. A.; Stalder, R.; Konzett, J.; Hauzenberger, C. A.
2015-01-01
OH incorporation in quartz in Al-, B- and Li-bearing systems (granitic systems containing tourmaline or spodumene) was studied experimentally in order to investigate the effect of pressure, temperature and chemical impurities on the generation of OH-defects. High-pressure experiments were carried out at pressures between 5 and 25 kbar and temperatures between 800 and 900 °C, and OH-contents in quartz were calculated from IR absorption spectra measured on oriented quartz crystals. IR absorption features were assigned to impurity substitutions, such as AlOH (3,420, 3,379 and 3,315 cm-1) and BOH (3,595 cm-1), LiOH (3,483 cm-1), and hydrogarnet substitution (4H)Si defects (3,583 cm-1). Results indicate a negative correlation of incorporated Al-specific OH-defect content versus pressure (630 ± 130 wt ppm H2O at 5 kbar to 102 ± 6 wt ppm H2O at 25 kbar), but no clear correlation of B-specific OH-defects with pressure. In runs initially containing spodumene, virtually OH-free quartzes were observed at pressures ≥10 kbar, where impurity cations compensate each other forming an anhydrous eucryptite-defect component. In contrast, at 5 kbar, both Li- ad Al-specific OH-defects are observed (corresponding to 470 ± 75 wt ppm H2O). Results from this study may therefore be used to monitor formation conditions of quartz in terms of pressure and trace metal saturation of the crystallizing petrological system. IR spectra obtained from natural quartz grains from a tourmaline-bearing pegmatite exhibit B- and Al-related OH-bands. The B-related OH-band is also exhibited in quartz from a tourmaline + spodumene-bearing pegmatite. Li- and Al-related OH-bands, however, are subordinate or not observed at all in the spodumene-bearing system, which suggests that OH-vibrations do not reflect absolute Li-contents in quartz due to efficient coupled substitution involving Al. Data from experimental runs and natural specimens indicate that the B-related OH-band can be used as a rough proxy for
New design environment for defect detection in web inspection systems
Hajimowlana, S. Hossain; Muscedere, Roberto; Jullien, Graham A.; Roberts, James W.
1997-09-01
One of the aims of industrial machine vision is to develop computer and electronic systems destined to replace human vision in the process of quality control of industrial production. In this paper we discuss the development of a new design environment developed for real-time defect detection using reconfigurable FPGA and DSP processor mounted inside a DALSA programmable CCD camera. The FPGA is directly connected to the video data-stream and outputs data to a low bandwidth output bus. The system is targeted for web inspection but has the potential for broader application areas. We describe and show test results of the prototype system board, mounted inside a DALSA camera and discuss some of the algorithms currently simulated and implemented for web inspection applications.
Small supermarket management system
Institute of Scientific and Technical Information of China (English)
曹正
2016-01-01
This system USES the Java language in the MyEclipse platform development tool, SQL2005 as the database platform for data and data, the SQL2005 required for the user operating system. It mainly implements the daily management of goods, including purchase management, inventory management, sales management, personnel management and supplier management. The system can also complete the functions of browsing, querying, adding, deleting and modifying relevant information. This topic is the core of the stock management, inventory management and sales management, at the same time, the system also has the full user management and permissions management function..
Rail inspection of RCF defects
Directory of Open Access Journals (Sweden)
Z. Popović
2013-10-01
Full Text Available Rail defects due to rolling contact fatigue (RCF threaten the traffic safety around the world. That hazard is more distinct on railways without adequate maintenance strategy. Realization of interoperability of European railway network demands from every infrastructure manager to have a maintenance plan for the infrastructure subsystem. Besides that, this plan includes rail inspection and strategy against RCF defects. This paper emphasizes the importance of rail inspection and early detection of RCF because the most of RCF crack should be removed in rail grinding campaigns (preventive, cyclical and corrective activities during the whole rail service life.
Defects and defect processes in nonmetallic solids
Hayes, W
2004-01-01
This extensive survey covers defects in nonmetals, emphasizing point defects and point-defect processes. It encompasses electronic, vibrational, and optical properties of defective solids, plus dislocations and grain boundaries. 1985 edition.
Defect Chemistry of Oxides for Energy Applications.
Schweke, Danielle; Mordehovitz, Yuval; Halabi, Mahdi; Shelly, Lee; Hayun, Shmuel
2018-05-31
Oxides are widely used for energy applications, as solid electrolytes in various solid oxide fuel cell devices or as catalysts (often associated with noble metal particles) for numerous reactions involving oxidation or reduction. Defects are the major factors governing the efficiency of a given oxide for the above applications. In this paper, the common defects in oxide systems and external factors influencing the defect concentration and distribution are presented, with special emphasis on ceria (CeO 2 ) based materials. It is shown that the behavior of a variety of oxide systems with respect to properties relevant for energy applications (conductivity and catalytic activity) can be rationalized by general considerations about the type and concentration of defects in the specific system. A new method based on transmission electron microscopy (TEM), recently reported by the authors for mapping space charge defects and measuring space charge potentials, is shown to be of potential importance for understanding conductivity mechanisms in oxides. The influence of defects on gas-surface reactions is exemplified on the interaction of CO 2 and H 2 O with ceria, by correlating between the defect distribution in the material and its adsorption capacity or splitting efficiency. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Microstructural defects modeling in the Al-Mo system
International Nuclear Information System (INIS)
Pascuet, Maria I.; Fernandez, Julian R.; Monti, Ana M.
2006-01-01
In this work we have utilized computer simulation techniques to study microstructural defects, such as point defects and interfaces, in the Al-Mo alloy. Such alloy is taken as a model to study the Al(fcc)/U-Mo(bcc) interface. The EAM interatomic potential used has been fitted to the formation energy and lattice constant of the AlMo 3 intermetallic. Formation of vacancies for both components Al and Mo and anti-sites, Al Mo and Mo Al , as well as vacancy migration was studied in this structure. We found that the lowest energy defect complex that preserves stoichiometry is the antisite pair Al Mo +Mo Al , in correspondence with other intermetallics of the same structure. Our results also suggest that the structure of the Al(fcc)/Mo(bcc) interface is unstable, while that of the Al(fcc)/Al 5 Mo interface is stable, as observed experimentally. (author) [es
Long bone reconstruction using multilevel lengthening of bone defect fragments.
Borzunov, Dmitry Y
2012-08-01
This paper presents experimental findings to substantiate the use of multilevel bone fragment lengthening for managing extensive long bone defects caused by diverse aetiologies and shows its clinical introduction which could provide a solution for the problem of reducing the total treatment time. Both experimental and clinical multilevel lengthening to bridge bone defect gaps was performed with the use of the Ilizarov method only. The experimental findings and clinical outcomes showed that multilevel defect fragment lengthening could provide sufficient bone formation and reduction of the total osteosynthesis time in one stage as compared to traditional Ilizarov bone transport. The method of multilevel regeneration enabled management of critical-size defects that measured on average 13.5 ± 0.7 cm in 78 patients. The experimental and clinical results proved the efficiency of the Ilizarov non-free multilevel bone plasty that can be recommended for practical use.
Thornton, Geoff
2015-01-01
This book presents the basics and characterization of defects at oxide surfaces. It provides a state-of-the-art review of the field, containing information to the various types of surface defects, describes analytical methods to study defects, their chemical activity and the catalytic reactivity of oxides. Numerical simulations of defective structures complete the picture developed. Defects on planar surfaces form the focus of much of the book, although the investigation of powder samples also form an important part. The experimental study of planar surfaces opens the possibility of applying the large armoury of techniques that have been developed over the last half-century to study surfaces in ultra-high vacuum. This enables the acquisition of atomic level data under well-controlled conditions, providing a stringent test of theoretical methods. The latter can then be more reliably applied to systems such as nanoparticles for which accurate methods of characterization of structure and electronic properties ha...
DIAGNOSIS OF PITCH AND LOAD DEFECTS
DEFF Research Database (Denmark)
2009-01-01
The invention relates to a method, system and computer readable code for diagnosis of pitch and/or load defects of e.g. wind turbines as well as wind turbines using said diagnosis method and/or comprising said diagnosis system.......The invention relates to a method, system and computer readable code for diagnosis of pitch and/or load defects of e.g. wind turbines as well as wind turbines using said diagnosis method and/or comprising said diagnosis system....
Emerging economic viability of grid defection in a northern climate using solar hybrid systems
International Nuclear Information System (INIS)
Kantamneni, Abhilash; Winkler, Richelle; Gauchia, Lucia; Pearce, Joshua M.
2016-01-01
High demand for photovoltaic (PV), battery, and small-scale combined heat and power (CHP) technologies are driving a virtuous cycle of technological improvements and cost reductions in off-grid electric systems that increasingly compete with the grid market. Using a case study in the Upper Peninsula of Michigan, this paper quantifies the economic viability of off-grid PV+battery+CHP adoption and evaluates potential implications for grid-based utility models. The analysis shows that already some households could save money by switching to a solar hybrid off-grid system in comparison to the effective electric rates they are currently paying. Across the region by 2020, 92% of seasonal households and ~75% of year-round households are projected to meet electricity demands with lower costs. Furthermore, ~65% of all Upper Peninsula single-family owner-occupied households will both meet grid parity and be able to afford the systems by 2020. The results imply that economic circumstances could spur a positive feedback loop whereby grid electricity prices continue to rise and increasing numbers of customers choose alternatives (sometimes referred to as a “utility death spiral”), particularly in areas with relatively high electric utility rates. Utility companies and policy makers must take the potential for grid defection seriously when evaluating energy supply strategies. - Highlights: •Quantifies the economic viability of off-grid hybrid photovoltaic (PV) systems. •PV is backed up with batteries and combined heat and power (CHP). •Case study in Michigan by household size (energy demand) and income. •By 2020, majority of single-family owner-occupied households can defect. •To prevent mass-scale grid defection policies needed for grid-tied PV systems.
Directory of Open Access Journals (Sweden)
Palittiya Sintusek
Full Text Available Gastrointestinal (GI defects, including gastroesophageal reflux, constipation and delayed gastric emptying, are common in patients with spinal muscular atrophy (SMA. Similar GI dysmotility has been identified in mouse models with survival of motor neuron (SMN protein deficiency. We previously described vascular defects in skeletal muscle and spinal cord of SMA mice and we hypothesized that similar defects could be involved in the GI pathology observed in these mice. We therefore investigated the gross anatomical structure, enteric vasculature and neurons in the small intestine in a severe mouse model of SMA. We also assessed the therapeutic response of GI histopathology to systemic administration of morpholino antisense oligonucleotide (AON designed to increase SMN protein expression. Significant anatomical and histopathological abnormalities, with striking reduction of vascular density, overabundance of enteric neurons and increased macrophage infiltration, were detected in the small intestine in SMA mice. After systemic AON treatment in neonatal mice, all the abnormalities observed were significantly restored to near-normal levels. We conclude that the observed GI histopathological phenotypes and functional defects observed in these SMA mice are strongly linked to SMN deficiency which can be rescued by systemic administration of AON. This study on the histopathological changes in the gastrointestinal system in severe SMA mice provides further indication of the complex role that SMN plays in multiple tissues and suggests that at least in SMA mice restoration of SMN production in peripheral tissues is essential for optimal outcome.
Sintusek, Palittiya; Catapano, Francesco; Angkathunkayul, Napat; Marrosu, Elena; Parson, Simon H.; Morgan, Jennifer E.; Muntoni, Francesco; Zhou, Haiyan
2016-01-01
Gastrointestinal (GI) defects, including gastroesophageal reflux, constipation and delayed gastric emptying, are common in patients with spinal muscular atrophy (SMA). Similar GI dysmotility has been identified in mouse models with survival of motor neuron (SMN) protein deficiency. We previously described vascular defects in skeletal muscle and spinal cord of SMA mice and we hypothesized that similar defects could be involved in the GI pathology observed in these mice. We therefore investigated the gross anatomical structure, enteric vasculature and neurons in the small intestine in a severe mouse model of SMA. We also assessed the therapeutic response of GI histopathology to systemic administration of morpholino antisense oligonucleotide (AON) designed to increase SMN protein expression. Significant anatomical and histopathological abnormalities, with striking reduction of vascular density, overabundance of enteric neurons and increased macrophage infiltration, were detected in the small intestine in SMA mice. After systemic AON treatment in neonatal mice, all the abnormalities observed were significantly restored to near-normal levels. We conclude that the observed GI histopathological phenotypes and functional defects observed in these SMA mice are strongly linked to SMN deficiency which can be rescued by systemic administration of AON. This study on the histopathological changes in the gastrointestinal system in severe SMA mice provides further indication of the complex role that SMN plays in multiple tissues and suggests that at least in SMA mice restoration of SMN production in peripheral tissues is essential for optimal outcome. PMID:27163330
New Management Tools – From Video Management Systems to Business Decision Systems
Directory of Open Access Journals (Sweden)
Emilian Cristian IRIMESCU
2015-06-01
Full Text Available In the last decades management was characterized by the increased use of Business Decision Systems, also called Decision Support Systems. More than that, systems that were until now used in a traditional way, for some simple activities (like security, migrated to the decision area of management. Some examples are the Video Management Systems from the physical security activity. This article will underline the way Video Management Systems passed to Business Decision Systems, which are the advantages of use thereof and which are the trends in this industry. The article will also analyze if at this moment Video Management Systems are real Business Decision Systems or if there are some functions missing to rank them at this level.
Directory of Open Access Journals (Sweden)
Pedra Simone
2010-01-01
Full Text Available We report a case wherein a dysmorphic four-month-old infant (weighing 4.5 kgs with an 8 mm atrial septal defect (ASD, a 1.5 mm patent ductus arteriosus (PDA, a 2 mm mid-muscular ventricular septal defect (VSD associated with chronic lung disease, and severe pulmonary hypertension, was successfully managed using a hybrid approach, without the use of cardiopulmonary bypass (CPB. Through a median sternotomy, the PDA was ligated and the ASD was closed with a 9 mm Amplatzer septal occluder implanted through peratrial access. The VSD was left untouched. Serial echocardiograms showed complete closure of the ASD and PDA, with progressive normalization of the pulmonary artery (PA pressures within three months. The child rapidly gained weight and was weaned from sildenafil and oxygen administration. After 12 months, the VSD closed spontaneously and the child remained well, with normal PA pressures. A hybrid approach without the use of CPB should be considered in the management of infants with congenital heart disease, associated with chronic lung disease and pulmonary hypertension.
International Nuclear Information System (INIS)
Pedra, Simone F; Jatene, Marcelo; Pedra, Carlos AC
2010-01-01
We report a case wherein a dysmorphic four-month-old infant (weighing 4.5 kgs) with an 8 mm atrial septal defect (ASD), a 1.5 mm patent ductus arteriosus (PDA), a 2 mm mid-muscular ventricular septal defect (VSD) associated with chronic lung disease, and severe pulmonary hypertension, was successfully managed using a hybrid approach, without the use of cardiopulmonary bypass (CPB). Through a median sternotomy, the PDA was ligated and the ASD was closed with a 9 mm Amplatzer septal occluder implanted through peratrial access. The VSD was left untouched. Serial echocardiograms showed complete closure of the ASD and PDA, with progressive normalization of the pulmonary artery (PA) pressures within three months. The child rapidly gained weight and was weaned from sildenafil and oxygen administration. After 12 months, the VSD closed spontaneously and the child remained well, with normal PA pressures. A hybrid approach without the use of CPB should be considered in the management of infants with congenital heart disease, associated with chronic lung disease and pulmonary hypertension
Energy Technology Data Exchange (ETDEWEB)
Pedra, Simone F; Jatene, Marcelo; Pedra, Carlos AC, E-mail: carlosacpedra@hotmail.com [Hospital do Coração da Associação Sanatório Sírio, São Paulo (Brazil)
2010-01-01
We report a case wherein a dysmorphic four-month-old infant (weighing 4.5 kgs) with an 8 mm atrial septal defect (ASD), a 1.5 mm patent ductus arteriosus (PDA), a 2 mm mid-muscular ventricular septal defect (VSD) associated with chronic lung disease, and severe pulmonary hypertension, was successfully managed using a hybrid approach, without the use of cardiopulmonary bypass (CPB). Through a median sternotomy, the PDA was ligated and the ASD was closed with a 9 mm Amplatzer septal occluder implanted through peratrial access. The VSD was left untouched. Serial echocardiograms showed complete closure of the ASD and PDA, with progressive normalization of the pulmonary artery (PA) pressures within three months. The child rapidly gained weight and was weaned from sildenafil and oxygen administration. After 12 months, the VSD closed spontaneously and the child remained well, with normal PA pressures. A hybrid approach without the use of CPB should be considered in the management of infants with congenital heart disease, associated with chronic lung disease and pulmonary hypertension.
Paediatric post-traumatic cortical defects of the distal radius
International Nuclear Information System (INIS)
Roach, Richard T.; Summers, Bruce N.; Cassar-Pullicino, Victor
2002-01-01
Paediatric post-traumatic cortical defects, although rare, are predominately seen affecting the distal radius following a greenstick or torus fracture. We review the literature and present a further two cases supported by CT and MRI. Images from an acute greenstick fracture are also presented to help understand the pathogenesis. Defects are typically solitary on plain radiographs and are usually noticed late, proximal to the site of compression. They are non-expansile in an otherwise healthy child. CT and MRI may reveal smaller multiple subperiosteal defects. Typical defects require no further management other than reassurance and advice that they may occasionally cause discomfort but resolve with time. (orig.)
Wafer plane inspection for advanced reticle defects
Nagpal, Rajesh; Ghadiali, Firoz; Kim, Jun; Huang, Tracy; Pang, Song
2008-05-01
Readiness of new mask defect inspection technology is one of the key enablers for insertion & transition of the next generation technology from development into production. High volume production in mask shops and wafer fabs demands a reticle inspection system with superior sensitivity complemented by a low false defect rate to ensure fast turnaround of reticle repair and defect disposition (W. Chou et al 2007). Wafer Plane Inspection (WPI) is a novel approach to mask defect inspection, complementing the high resolution inspection capabilities of the TeraScanHR defect inspection system. WPI is accomplished by using the high resolution mask images to construct a physical mask model (D. Pettibone et al 1999). This mask model is then used to create the mask image in the wafer aerial plane. A threshold model is applied to enhance the inspectability of printing defects. WPI can eliminate the mask restrictions imposed on OPC solutions by inspection tool limitations in the past. Historically, minimum image restrictions were required to avoid nuisance inspection stops and/or subsequent loss of sensitivity to defects. WPI has the potential to eliminate these limitations by moving the mask defect inspections to the wafer plane. This paper outlines Wafer Plane Inspection technology, and explores the application of this technology to advanced reticle inspection. A total of twelve representative critical layers were inspected using WPI die-to-die mode. The results from scanning these advanced reticles have shown that applying WPI with a pixel size of 90nm (WPI P90) captures all the defects of interest (DOI) with low false defect detection rates. In validating CD predictions, the delta CDs from WPI are compared against Aerial Imaging Measurement System (AIMS), where a good correlation is established between WPI and AIMSTM.
Prosthetic management of mid-facial defect with magnet-retained silicone prosthesis.
Buzayan, Muaiyed M
2014-02-01
Mid-facial defect is one of the most disfiguring and impairing defects. A design of prosthesis that is aesthetic and stable can be precious to a patient who has lost part of his face due to surgical excision. Prosthesis can restore the patients' self-esteem and confidence, which affects the patients and their life style. The aim of this case report is to describe a technique of mid-facial silicone prosthesis fabrication. To provide an aesthetic and stable facial prosthesis, the extra-oral prosthesis was fabricated using silicone material, while the intra-oral defect was restored with obturator prosthesis, and then both prostheses were connected and attached to each other using magnets. This clinical report describes the rehabilitation of a large mid-facial defect with a two-piece prosthesis. The silicone facial prosthesis was made hollow and lighter by using an acrylic framework. Two acrylic channels were included within the facial prosthesis to provide the patient with clean and patent airways. A sectional mid-facial prosthesis was made and retained in place by using magnets, which resulted in a significant improvement in the aesthetical and functional outcome without the need for plastic surgery. Silicone prostheses are reliable alternatives to surgery and should be considered in selected cases.
Brandli, A. E.; Eckelkamp, R. E.; Kelly, C. M.; Mccandless, W.; Rue, D. L.
1990-01-01
The objective of an operations management system is to provide an orderly and efficient method to operate and maintain aerospace vehicles. Concepts are described for an operations management system and the key technologies are highlighted which will be required if this capability is brought to fruition. Without this automation and decision aiding capability, the growing complexity of avionics will result in an unmanageable workload for the operator, ultimately threatening mission success or survivability of the aircraft or space system. The key technologies include expert system application to operational tasks such as replanning, equipment diagnostics and checkout, global system management, and advanced man machine interfaces. The economical development of operations management systems, which are largely software, will require advancements in other technological areas such as software engineering and computer hardware.
Obturator with soft liner in the management of hard palate defect: A ...
African Journals Online (AJOL)
Maxillary defects are created following surgical treatment of patients with congenital defects, trauma, or neoplasm. Oral cancer is one of the more common malignanciesif detected lately massive tissues will be excised surgically and correction will be challenging. One of the treatment strategies is obturator, the retention of ...
A Cost Effective Method for Determining the Position of Mine Haul Road Defects from the Road Edge
Directory of Open Access Journals (Sweden)
Frank Sokolic
2010-01-01
Full Text Available This paper describes a simple method for estimating haul road defect positions from alongside roads. It is a method that is cheap and easy to implement, needing only a minimal amount of field equipment and training. Observers are required to estimate the bearing and distance to a defect, and to record their location using a GPS receiver. All further processing is automated and can be done entirely within a spatially-enabled database management system such as Microsoft SQL Server or PostgreSQL.
Norwegian Pitched Roof Defects
Directory of Open Access Journals (Sweden)
Lars Gullbrekken
2016-06-01
Full Text Available The building constructions investigated in this work are pitched wooden roofs with exterior vertical drainpipes and wooden load-bearing system. The aim of this research is to further investigate the building defects of pitched wooden roofs and obtain an overview of typical roof defects. The work involves an analysis of the building defect archive from the research institute SINTEF Building and Infrastructure. The findings from the SINTEF archive show that moisture is a dominant exposure factor, especially in roof constructions. In pitched wooden roofs, more than half of the defects are caused by deficiencies in design, materials, or workmanship, where these deficiencies allow moisture from precipitation or indoor moisture into the structure. Hence, it is important to increase the focus on robust and durable solutions to avoid defects both from exterior and interior moisture sources in pitched wooden roofs. Proper design of interior ventilation and vapour retarders seem to be the main ways to control entry from interior moisture sources into attic and roof spaces.
Oil defect detection of electrowetting display
Chiang, Hou-Chi; Tsai, Yu-Hsiang; Yan, Yung-Jhe; Huang, Ting-Wei; Mang, Ou-Yang
2015-08-01
In recent years, transparent display is an emerging topic in display technologies. Apply in many fields just like mobile device, shopping or advertising window, and etc. Electrowetting Display (EWD) is one kind of potential transparent display technology advantages of high transmittance, fast response time, high contrast and rich color with pigment based oil system. In mass production process of Electrowetting Display, oil defects should be found by Automated Optical Inspection (AOI) detection system. It is useful in determination of panel defects for quality control. According to the research of our group, we proposed a mechanism of AOI detection system detecting the different kinds of oil defects. This mechanism can detect different kinds of oil defect caused by oil overflow or material deteriorated after oil coating or driving. We had experiment our mechanism with a 6-inch Electrowetting Display panel from ITRI, using an Epson V750 scanner with 1200 dpi resolution. Two AOI algorithms were developed, which were high speed method and high precision method. In high precision method, oil jumping or non-recovered can be detected successfully. This mechanism of AOI detection system can be used to evaluate the oil uniformity in EWD panel process. In the future, our AOI detection system can be used in quality control of panel manufacturing for mass production.
Systems Engineering Management Plan
International Nuclear Information System (INIS)
1994-01-01
The purpose of this Monitored Retrievable Storage (MRS) Project Systems Engineering Management Plan (SEMP) is to define and establish the MRS Project Systems Engineering process that implements the approved policy and requirements of the Office of Civilian Radioactive Waste Management (OCRWM) for the US Department of Energy (DOE). This plan is Volume 5 of the MRS Project Management Plan (PMP). This plan provides the framework for implementation of systems engineering on the MRS Project consistent with DOE Order 4700.1, the OCRWM Program Management System Manual (PMSM), and the OCRWM Systems Engineering Management Plan (SEMP)
Bone cement in the management of cystic tumour defects of bone at ...
African Journals Online (AJOL)
Cystic bony defects are characteristics of bone tumours especially benign ones e.g. Giant cell tumours of bone [GCT] and some metastatic tumours to bone. These patients present late with significant cystic cavities at a time the cost and availability of prosthetic implants to replace these defects sometimes precludes ...
Management of abnormal serum markers in the absence of aneuploidy or neural tube defects
Schnettler, William T.; Hacker, Michele R.; Barber, Rachel E.; Rana, Sarosh
2013-01-01
Objective Few guidelines address the management of pregnancies complicated by abnormal maternal serum analytes (MSAs) in the absence of aneuploidy or neural tube defects (NTDs). Our objective was to gather preliminary data regarding current opinions and management strategies among perinatologists in the US. Methods This survey of Maternal Fetal Medicine (MFM) physicians and fellows used a secure electronic web-based data capture tool. Results A total of 545 potential participants were contacted, and 136 (25%) responded. The majority were experienced academic physicians with robust practices. Nearly all (97.7%) respondents reported a belief in an association between abnormal MSAs and adverse pregnancy outcomes other than aneuploidy or NTDs. Plasma protein A (PAPP-A) and α-fetoprotein (AFP) were most often chosen as markers demonstrating a strong association with adverse outcomes. Most (86.9%) respondents acknowledged that abnormal MSAs influenced their counseling approach, and the majority (80.1%) offered additional ultrasound examinations. Nearly half started at 28 weeks and almost one-third at 32 weeks. Respondents acknowledging a relevant protocol in their hospital or practice were more likely to offer additional antenatal testing (p = 0.01). Conclusions Although most perinatologists were in agreement regarding the association of MSAs with adverse pregnancy outcomes, a lack of consensus exists regarding management strategies. PMID:22372385
Chu, Stephen J; Sarnachiaro, Guido O; Hochman, Mark N; Tarnow, Dennis P
2015-01-01
Immediate implant therapy involving implants placed into intact Type 1 extraction sockets has become a consistent clinical technique. The classification of Type 2 extraction sockets, where the mucosal tissues are present but there is a midfacial osseous dehiscence defect, has been described according to the extent of the buccal bone plate absence. The literature has offered different techniques in the treatment of Type 2 sockets; however, the extent of the defect has never been defined or delineated. In this article, the authors describe a subclassification of Type 2 sockets: Type 2A presents with a dehiscence defect roughly 5 mm to 6 mm from the free gingival margin (FGM) involving the coronal one-third of the labial bone plate; Type 2B presents with a dehiscence defect involving the middle one-third of the labial plate, approximately 7 mm to 9 mm from the FGM; and in Type 2C the dehiscence defect involves the apical one-third of the labial osseous plate roughly 10 mm or greater from the FGM. The authors also offer a protocol and technique employing immediate implant placement, guided bone regeneration, and bone graft containment with a custom two-piece healing abutment that can lead to consistent and satisfactory clinical outcomes in low-smile-line patients. The treatment protocol and sequence is outlined in a clinical case presentation involving a Type 2B socket.
Xia, Liu; Shan, Ning; Chao, Ban; Caoshan, Wang
2016-10-01
Metal materials have been used in aerospace and other industrial fields widely because of its excellent characteristics, so its internal defects detection is very important. Ultrasound technology is used widely in the fields of nondestructive detection because of its excellent characteristic. But the conventional detection instrument for ultrasound, which has shortcomings such as low intelligent level and long development cycles, limits its development. In this paper, the theory of ultrasound detection is analyzed. A computational method of the defects distributional position is given. The non-contact type optical fiber F-P interference cavity structure is designed and the length of origin cavity is given. The real-time on-line ultrasound detecting experiment devices for internal defects of metal materials is established based on the optical fiber F-P sensing system. The virtual instrument of automation ultrasound detection internal defects is developed based on LabVIEW software and the experimental study is carried out. The results show that this system can be used in internal defect real-time on-line locating of engineering structures effectively. This system has higher measurement precision. Relative error is 6.7%. It can be met the requirement of engineering practice. The system is characterized by simple operation, easy realization. The software has a friendly interface, good expansibility, and high intelligent level.
Preventing customer defection and stimulating return of the lost customers
Directory of Open Access Journals (Sweden)
Senić Radoslav
2013-01-01
Full Text Available Customers represent company's most valuable asset. Company can assure its survival, further growth and development by retaining existing, attracting new and returning lost customers. Retaining existing, loyal customers is the most profitable business activity, attracting new ones is the most expensive, while returning lost and frequently forgotten customers is a type of business activity that still generates modest interest among researchers and practitioners. So far, marketing strategies have been mainly directed towards the first two categories of customers. The objective of this paper is dedicated to customer defection and returning lost customers. Paper discusses customer relationship life-cycle and the significance of managing customer return within it, types of customer defections, the process of managing return, as well as, the reasons that led to customer defection.
Directory of Open Access Journals (Sweden)
Наталія Йосипівна Галушка
2016-11-01
Full Text Available Market infrastructure formation of national economy requires a change in production orientation and sales channels, in particular, to ensure its quality and safety. Under the conditions of a saturated market and increased competition to improve the quality of products and reduce manufacturing defects should be one of the main tasks of the enterprise management system. Research of specifics of the forest enterprises allowed to specify the technological aspects of internal accounting organization and develop a standard form of the manufacturing defect act, which makes it possible to identify the perpetrators of poorly manufactured products in various stages of production and transportation of products. Reduction of manufacturing defects causes an increase in the proportion of usable finished products, contributes to an increase in profit, gross income and profitability. The article also provides recommendations for development of the scale, which summarizes the technological features of the timber processing and reflects wage, depending on the cause of manufacturing defect that isn’t a fault of the employee.
Sirenomelia: A Multi-systemic Polytopic Field Defect with Ongoing Controversies.
Boer, Lucas L; Morava, Eva; Klein, Willemijn M; Schepens-Franke, Annelieke N; Oostra, Roelof Jan
2017-06-01
The most impressive phenotypic appearance of sirenomelia is the presence of a 180°-rotated, axially positioned, single lower limb. Associated gastrointestinal and genitourinary anomalies are almost always present. This rare anomaly is still the subject of ongoing controversies concerning its nosology, pathogenesis, and possible genetic etiology. Sirenomelia can be part of a syndromic continuum, overlapping with other complex conditions including caudal dysgenesis and VATER/VACTERL/VACTERL-H associations, which could all be part of a heterogeneous spectrum, and originate from an early defect in blastogenesis. It is imaginable that different "primary field defects," whether or not genetically based, induce a spectrum of caudal malformations. In the current study, we review the contemporary hypotheses and conceptual approaches regarding the etiology and pathogenesis of sirenomelia, especially in the context of concomitant conditions. To expand on the latter, we included the external and internal dysmorphology of one third trimester sirenomelic fetus from our anatomical museum collection, in which multiple concomitant but discordant anomalies were observed compared with classic sirenomelia, and was diagnosed as VACTERL-H association with sirenomelia. Birth Defects Research 109:791-804, 2017. © 2017 The Authors. Birth Defects Research Published by Wiley Periodicals, Inc. © 2017 The Authors. Birth Defects Research Published by Wiley Periodicals, Inc.
Efficiency of an automated reception and turnaround time management system for the phlebotomy room.
Yun, Soon Gyu; Shin, Jeong Won; Park, Eun Su; Bang, Hae In; Kang, Jung Gu
2016-01-01
Recent advances in laboratory information systems have largely been focused on automation. However, the phlebotomy services have not been completely automated. To address this issue, we introduced an automated reception and turnaround time (TAT) management system, for the first time in Korea, whereby the patient's information is transmitted directly to the actual phlebotomy site and the TAT for each phlebotomy step can be monitored at a glance. The GNT5 system (Energium Co., Ltd., Korea) was installed in June 2013. The automated reception and TAT management system has been in operation since February 2014. Integration of the automated reception machine with the GNT5 allowed for direct transmission of laboratory order information to the GNT5 without involving any manual reception step. We used the mean TAT from reception to actual phlebotomy as the parameter for evaluating the efficiency of our system. Mean TAT decreased from 5:45 min to 2:42 min after operationalization of the system. The mean number of patients in queue decreased from 2.9 to 1.0. Further, the number of cases taking more than five minutes from reception to phlebotomy, defined as the defect rate, decreased from 20.1% to 9.7%. The use of automated reception and TAT management system was associated with a decrease of overall TAT and an improved workflow at the phlebotomy room.
Integrating the radioactive waste management system into other management systems
International Nuclear Information System (INIS)
Silva, Ana Cristina Lourenco da; Nunes Neto, Carlos Antonio
2007-01-01
Radioactive waste management is to be included in the Integrated Management System (IMS) which pursues the continuous improvement of the company's quality, occupational safety and health, and environment protection processes. Radioactive waste management is based on the following aspects: optimization of human and material resources for execution of tasks, including the provision of a radiation protection supervisor to watch over the management of radioactive waste; improved documentation (management plan and procedures); optimization of operational levels for waste classification and release; maintenance of generation records and history through a database that facilitates traceability of information; implementation of radioactive waste segregation at source (source identification, monitoring and decontamination) activities intended to reduce the amount of radioactive waste; licensing of initial storage site for radioactive waste control and storage; employee awareness training on radioactive waste generation; identification and evaluation of emergency situations and response planning; implementation of preventive maintenance program for safety related items; development and application of new, advanced treatment methodologies or systems. These aspects are inherent in the concepts underlying quality management (establishment of administrative controls and performance indicators), environment protection (establishment of operational levels and controls for release), occupational health and safety (establishment of operational controls for exposure in emergency and routine situations and compliance with strict legal requirements and standards). It is noted that optimizing the addressed aspects of a radioactive waste management system further enhances the efficiency of the Integrated Management System for Quality, Environment, and Occupational Safety and Health. (author)
Prosthetic management of mid-facial defect with magnet-retained silicone prosthesis
Buzayan, M. M.
2014-01-01
Background and aim: Mid-facial defect is one of the most disfiguring and impairing defects. A design of prosthesis that is aesthetic and stable can be precious to a patient who has lost part of his face due to surgical excision. Prosthesis can restore the patients' self-esteem and confidence, which affects the patients and their life style. The aim of this case report is to describe a technique of mid-facial silicone prosthesis fabrication. Technique: To provide an aesthetic and stable facial...
Learning Content Management Systems
Directory of Open Access Journals (Sweden)
Tache JURUBESCU
2008-01-01
Full Text Available The paper explains the evolution of e-Learning and related concepts and tools and its connection with other concepts such as Knowledge Management, Human Resources Management, Enterprise Resource Planning, and Information Technology. The paper also distinguished Learning Content Management Systems from Learning Management Systems and Content Management Systems used for general web-based content. The newest Learning Content Management System, very expensive and yet very little implemented is one of the best tools that helps us to cope with the realities of the 21st Century in what learning concerns. The debates over how beneficial one or another system is for an organization, can be driven by costs involved, efficiency envisaged, and availability of the product on the market.
Energy Technology Data Exchange (ETDEWEB)
Shimizu, T [Kanazawa University, Ishikawa (Japan). Faculty of Engineering
1994-12-01
Described herein are the results of the FY1994 research program for structural defects of silicon-based amorphous materials for solar cells. The study on light generation defects of the a-Si:H system and rejuvenation process by annealing establishes the effects of light irradiation time on changed neutral dangling bond density as a result of light irradiation at varying temperature of 77K, room temperature and 393K. The study on annealing to rejuvenate light generation defects of various types of a-Si-H systems establishes the activation energy distribution with respect to annealing to remove light-induced defects, showing that hydrogen affects the distribution of light-induced defects. The study on decaying process of light-induced ESR for undoped and N-doped a-Si:H systems observes the decaying process of light-induced ESR, after light is cut off, extending for a period of several seconds to several hours at 77K for the a-Si-H systems containing N in a range from 0 to 12at%. The other results presented are space distribution of neutral defects of light-irradiated a-Si-H systems, and rejuvenation process of light-induced spin for the a-Si(1-x)N(x):H composition. 6 figs.
DFM for maskmaking: design-aware flexible mask-defect analysis
Driessen, Frank A. J. M.; Westra, J.; Scheffer, M.; Kawakami, K.; Tsujimoto, E.; Yamaji, M.; Kawashima, T.; Hayashi, N.
2007-10-01
We present a novel software system that combines design intent as known by EDA designers with defect inspection results from the maskshop to analyze the severity of defects on photomasks. The software -named Takumi Design- Driven Defect Analyzer (TK-D3A)- analyzes defects by combining actions in the image domain with actions in the design domain and outputs amongst others flexible mask-repair decisions in production formats used by the maskshop. Furthermore, TK-D3A outputs clips of layout (GDS/OASIS) that can be viewed with its graphical user interface for easy review of the defects and associated repair decisions. As inputs the system uses reticle defect-inspection data (text and images) and the respective multi-layer design layouts with the definitions of criticalities. The system does not require confidential design data from IDM, Fabless Design House, or Foundry to be sent to the maskshop and it also has minimal impact on the maskshop's mode of operation. The output of TK-D3A is designed to realize value to the maskshop and its customers in the forms of: 1) improved yield, 2) reduction of delivery times of masks to customers, and 3) enhanced utilization of the maskshop's installed tool base. The system was qualified together with a major IDM on a large set of production reticles in the 90 and beyond-65 nm technology nodes of which results will be presented that show the benefits for maskmaking. The accuracy in detecting defects is extremely high. We show the system's capability to analyze defects well below the pixel resolution of all inspection tools used, as well as the capability to extract multiple types of transmission defects. All of these defects are analyzed design-criticality-aware by TK-D3A, resulting in a large fraction of defects that do not need to be repaired because they are located in non-critical or less-critical parts of the layout, or, more importantly, turn out to be repairable or negligible despite of originally being classified as
Lighting system with thermal management system
Arik, Mehmet; Weaver, Stanton; Stecher, Thomas; Seeley, Charles; Kuenzler, Glenn; Wolfe, Jr., Charles; Utturkar, Yogen; Sharma, Rajdeep; Prabhakaran, Satish; Icoz, Tunc
2013-05-07
Lighting systems having unique configurations are provided. For instance, the lighting system may include a light source, a thermal management system and driver electronics, each contained within a housing structure. The light source is configured to provide illumination visible through an opening in the housing structure. The thermal management system is configured to provide an air flow, such as a unidirectional air flow, through the housing structure in order to cool the light source. The driver electronics are configured to provide power to each of the light source and the thermal management system.
Managing hybrid marketing systems.
Moriarty, R T; Moran, U
1990-01-01
As competition increases and costs become critical, companies that once went to market only one way are adding new channels and using new methods - creating hybrid marketing systems. These hybrid marketing systems hold the promise of greater coverage and reduced costs. But they are also hard to manage; they inevitably raise questions of conflict and control: conflict because marketing units compete for customers; control because new indirect channels are less subject to management authority. Hard as they are to manage, however, hybrid marketing systems promise to become the dominant design, replacing the "purebred" channel strategy in all kinds of businesses. The trick to managing the hybrid is to analyze tasks and channels within and across a marketing system. A map - the hybrid grid - can help managers make sense of their hybrid system. What the chart reveals is that channels are not the basic building blocks of a marketing system; marketing tasks are. The hybrid grid forces managers to consider various combinations of channels and tasks that will optimize both cost and coverage. Managing conflict is also an important element of a successful hybrid system. Managers should first acknowledge the inevitability of conflict. Then they should move to bound it by creating guidelines that spell out which customers to serve through which methods. Finally, a marketing and sales productivity (MSP) system, consisting of a central marketing database, can act as the central nervous system of a hybrid marketing system, helping managers create customized channels and service for specific customer segments.
Information Security Management - Part Of The Integrated Management System
Manea, Constantin Adrian
2015-07-01
The international management standards allow their integrated approach, thereby combining aspects of particular importance to the activity of any organization, from the quality management systems or the environmental management of the information security systems or the business continuity management systems. Although there is no national or international regulation, nor a defined standard for the Integrated Management System, the need to implement an integrated system occurs within the organization, which feels the opportunity to integrate the management components into a cohesive system, in agreement with the purpose and mission publicly stated. The issues relating to information security in the organization, from the perspective of the management system, raise serious questions to any organization in the current context of electronic information, reason for which we consider not only appropriate but necessary to promote and implement an Integrated Management System Quality - Environment - Health and Operational Security - Information Security
Bugdol, Marek
2015-01-01
Examining the challenges of integrated management, this book explores the importance and potential benefits of using an integrated approach as a cross-functional concept of management. It covers not only standardized management systems (e.g. International Organization for Standardization), but also models of self-assessment, as well as different types of integration. Furthermore, it demonstrates how processes and systems can be integrated, and how management efficiency can be increased. The major part of this book focuses on management concepts which use integration as a key tool of management processes (e.g. the systematic approach, supply chain management, virtual and network organizations, processes management and total quality management). Case studies, illustrations, and tables are also provided to exemplify and illuminate the content, as well as examples of successful and failed integrations. Providing a particularly useful resource to managers and specialists involved in the improvement of organization...
Risk and integrity management system for PETRONAS Gas Berhad's gas and liquid hydrocarbon pipelines
Energy Technology Data Exchange (ETDEWEB)
Khalid, Tuan Hj. Ahmad Nadzri bin; Nasir, Osman; Napiah, Mohd Nazmi Mohd Ali [PETRONAS Gas Berhad, Johor (Malaysia); Choong, Evelyn
2005-07-01
PETRONAS Gas Berhad (PGB), Malaysia currently operates one of Southeast Asia's largest onshore pipeline systems comprising more than 2,500 km of large diameter high pressure gas and liquid transmission, supply and lateral pipelines. Recognizing the value of a risk based approach to pipeline integrity management program, in 2002 PGB implemented a customized and fully integrated Risk and Integrity Management System (RIMS) which included software modules for: data management; semi-quantitative risk assessment; risk control cost benefit analyses; defect assessment; corrosion growth modeling; and reporting. As part of this project, a benchmarking study performed jointly with the contractor, PGB's pipeline integrity programs were also compared with a broad group of international pipeline operators. This study compared the relative ranking position of PGB pre- and post implementation of RIMS. It demonstrated that implementation of RIMS places PGB in a select group of first quartile international pipeline operators, with respect to the implementation of pipeline integrity management best practice. This paper describes the functionalities of RIMS system and how it has benefited PGB, which have been realized to date from its implementation. (author)
New type radiation management system
International Nuclear Information System (INIS)
Mogi, Kenichi; Uranaka, Yasuo; Fujita, Kazuhiko
2001-01-01
The radiation management system is a system to carry out entrance and leaving room management of peoples into radiation management area, information management on radiation obtained from a radiation testing apparatus, and so on. New type radiation management system developed by the Mitsubishi Electric Corp. is designed by concepts of superior maintenance and system practice by using apparatus and its interface with standard specification, upgrading of processing response by separating exposure management processing from radiation monitoring processing on a computer, and a backup system not so as to lose its function by a single accident of the constructed computer. Therefore, the system is applied by the newest hardware, package software, and general use LAN, and can carry out a total system filled with requirements and functions for various radiation management of customers by preparing a basic system from radiation testing apparatus to entrance and leaving room management system. Here were described on outline of the new type management system, concept of the system, and functions of every testing apparatus. (G.K.)
A REVIEW OF FACTORS AFFECTING BUILDING DEFECTS IN SINGAPORE
Directory of Open Access Journals (Sweden)
Po Seng Kian
2001-01-01
Full Text Available In developing countries, building maintenance technology is currently vastly underrated and ignored by owners, managers, and professionals. The building and construction authority of Singapore (BCA has identified that the complaints about building defects have gone up in recent years with common problems such as leaky roof and walls, floor defect, and improper outlet pipe. This paper presents a brief description on building defect in use for commercial building as well as residential buildings in Singapore. The main objective is to highlight the important problems and suggest a greater participation of professionals in building maintenance. It also provides some site investigations photographs of various defects, which is expected to be useful for builders, architects, and others who deal with building materials.
Closure of Myelomeningocele Defects Using a Limberg Flap or Direct Repair
Directory of Open Access Journals (Sweden)
Jung-Hwan Shim
2016-01-01
Full Text Available BackgroundThe global prevalence of myelomeningocele has been reported to be 0.8–1 per 1,000 live births. Early closure of the defect is considered to be the standard of care. Various surgical methods have been reported, such as primary skin closure, local skin flaps, musculocutaneous flaps, and skin grafts. The aim of this study was to describe the clinical characteristics of myelomeningocele defects and present the surgical outcomes of recent cases of myelomeningocele at our institution.MethodsPatients who underwent surgical closure of myelomeningocele at our institution from January 2004 to December 2013 were included in this study. A retrospective chart review of their medical records was performed, and comorbidities, defect size, location, surgical procedures, complications, and the final results were analyzed.ResultsA total of 14 patients underwent surgical closure for myelomeningocele defects. Twelve cases were closed with direct skin repair, while two cases required local skin flaps to cover the skin defects. Three cases of infection occurred, requiring incision and either drainage or removal of allogenic materials. One case of partial flap necrosis occurred, requiring secondary revision using a rotational flap and a full-thickness skin graft. Despite these complications, all wounds eventually healed completely.ConclusionsMost myelomeningocele defects can be managed by direct skin repair alone. In cases of large defects, in which direct repair is not possible, local flaps may be used to cover the defect. Complications such as wound dehiscence and partial flap necrosis occurred in this study; however, all such complications were successfully managed with simple ancillary procedures.
THE PLACE OF OCCUPATIONAL HEALTH AND SAFETY MANAGEMENT SYSTEM IN THE INTEGRATED MANAGEMENT SYSTEM
Directory of Open Access Journals (Sweden)
Piotr Kafel
2016-06-01
Full Text Available The purpose of this paper is to analyze the place of occupational health and safety management system (OHSMS within the integrated management system. Implementation aspects of management systems are discussed, namely the different management system standards used for registration, for example ISO 14001, ISO 9001, OHSAS 18001, ISO 27001, the order in which they were implemented, the time required for each implementation, as well as the scope of integration of these management system standards into a single Integrated Management System and the level of integration. In order to do so, some of the results of a survey carried out in 81 organizations registered to at least two management systems selected from popular international standards, e.g.: ISO 9001, ISO 14001, OHSAS 18001, ISO/IEC 27001, ISO 22000 were used. OHSMS is not the system that is implemented as a first one. Usually it is implemented after or simultaneously with ISO 9001 and ISO 14001 standards. Time of implementation of MSSs in second and further round of implementation is shorter than during the implementation of first standards. There is a higher level of integration of implemented management standards in organizations where one of the standards in OHSMS, than in a companies without OHSMS. The paper analyses those sequences of management systems implementation of safety management systems with other system, that allow organizations to achieve higher levels of integration and presents a possible pattern for the companies initiating the integration process.
Dynamics of Defects and Dopants in Complex Systems: Si and Oxide Surfaces and Interfaces
Kirichenko, Taras; Yu, Decai; Banarjee, Sanjay; Hwang, Gyeong
2004-10-01
Fabrication of forthcoming nanometer scale electronic devices faces many difficulties including formation of extremely shallow and highly doped junctions. At present, ultra-low-energy ion implantation followed by high-temperature thermal annealing is most widely used to fabricate such ultra-shallow junctions. In the process, a great challenge lies in achieving precise control of redistribution and electrical activation of dopant impurities. Native defects (such as vacancies and interstitials) generated during implantation are known to be mainly responsible for the TED and also influence significantly the electrical activation/deactivation. Defect-dopant dynamics is rather well understood in crystalline Si and SiO2. However, little is known about their diffusion and annihilation (or precipitation) at the surfaces and interfaces, despite its growing importance in determining junction profiles as device dimensions get smaller. In this talk, we will present our density functional theory calculation results on the atomic and electronic structure and dynamical behavior of native defects and dopant-defect complexes in disordered/strained Si and oxide systems, such as i) clean and absorbent-modified Si(100) surface and subsurface layers, ii) amorphous-crystalline Si interfaces and iii) amorphous SiO2/Si interfaces. The fundamental understanding and data is essential in developing a comprehensive kinetic model for junction formation, which would contribute greatly in improving current process technologies.
Defining defect specifications to optimize photomask production and requalification
Fiekowsky, Peter
2006-10-01
Reducing defect repairs and accelerating defect analysis is becoming more important as the total cost of defect repairs on advanced masks increases. Photomask defect specs based on printability, as measured on AIMS microscopes has been used for years, but the fundamental defect spec is still the defect size, as measured on the photomask, requiring the repair of many unprintable defects. ADAS, the Automated Defect Analysis System from AVI is now available in most advanced mask shops. It makes the use of pure printability specs, or "Optimal Defect Specs" practical. This software uses advanced algorithms to eliminate false defects caused by approximations in the inspection algorithm, classify each defect, simulate each defect and disposition each defect based on its printability and location. This paper defines "optimal defect specs", explains why they are now practical and economic, gives a method of determining them and provides accuracy data.
Low-defect reflective mask blanks for extreme ultraviolet lithography
International Nuclear Information System (INIS)
Burkhart, S C; Cerjarn, C; Kearney, P; Mirkarimi, P; Ray-Chaudhuri, A; Walton, C.
1999-01-01
Extreme Ultraviolet Lithgraphy (EUVL) is an emerging technology for fabrication of sub-100 nm feature sizes on silicon, following the SIA road map well into the 21st century. The specific EUVL system described is a scanned, projection lithography system with a 4:1 reduction, using a laser plasma EUV source. The mask and all of the system optics are reflective, multilayer mirrors which function in the extreme ultraviolet at 13.4 nm wavelength. Since the masks are imaged to the wafer exposure plane, mask defects greater than 80% of the exposure plane CD (for 4:1 reduction) will in many cases render the mask useless, whereas intervening optics can have defects which are not a printing problem. For the 100 nm node, we must reduce defects to less than 0.01/cm ampersand sup2; at sign 80nm or larger to obtain acceptable mask production yields. We have succeeded in reducing the defects to less than 0.1/cm ampersand sup2; for defects larger than 130 nm detected by visible light inspection tools, however our program goal is to achieve 0.01/cm ampersand sup2; in the near future. More importantly though, we plan to have a detailed understanding of defect origination and the effect on multilayer growth in order to mitigate defects below the 10 -2 /cm ampersand sup2; level on the next generation of mask blank deposition systems. In this paper we will discuss issues and results from the ion-beam multilayer deposition tool, details of the defect detection and characterization facility, and progress on defect printability modeling
Treatment experience of surgical repair for long-term skull defect
Directory of Open Access Journals (Sweden)
Shou-cheng FAN
2015-12-01
Full Text Available Retrospective analysis was performed on 30 patients of skull defect who underwent surgical repair. Intraoperative and postoperative curative effect was evaluated on those patients, and the results showed that the incidence rate of intraoperative dura mater defect (P = 0.001, early postoperative complications [new epilepsy (P = 0.035 and effusion (P = 0.021] and late postoperative complications [foreign body sensation (P = 0.035 and dizziness and headache (P = 0.050] in long-term skull defect group were all higher than those in control group. In conclusion, surgical repair of long-term skull defect incurring high risk and various complications will not be an ideal management. Therefore, early surgical treatment for skull defect is suggested. DOI: 10.3969/j.issn.1672-6731.2015.12.016
DEFF Research Database (Denmark)
Bjørsum-Meyer, Thomas; Herlin, Morten; Qvist, Niels
2016-01-01
Background: The vertebral defect, anal atresia, cardiac defect, tracheoesophageal fistula/esophageal atresia, renal defect, and limb defect association and Mayer-Rokitansky-Küster-Hauser syndrome are rare conditions. We aimed to present two cases with the vertebral defect, anal atresia, cardiac...... defect, tracheoesophageal fistula/esophageal atresia, renal defect, and limb defect association and Mayer-Rokitansky-Küster-Hauser co-occurrence from our local surgical center and through a systematic literature search detect published cases. Furthermore, we aimed to collect existing knowledge...... in the embryopathogenesis and genetics in order to discuss a possible link between the vertebral defect, anal atresia, cardiac defect, tracheoesophageal fistula/esophageal atresia, renal defect, and limb defect association and Mayer-Rokitansky-Küster-Hauser syndrome. Case presentation: Our first case was a white girl...
A systems engineering management approach to resource management applications
Hornstein, Rhoda Shaller
1989-01-01
The author presents a program management response to the following question: How can the traditional practice of systems engineering management, including requirements specification, be adapted, enhanced, or modified to build future planning and scheduling systems for effective operations? The systems engineering management process, as traditionally practiced, is examined. Extensible resource management systems are discussed. It is concluded that extensible systems are a partial solution to problems presented by requirements that are incomplete, partially immeasurable, and often dynamic. There are positive indications that resource management systems have been characterized and modeled sufficiently to allow their implementation as extensible systems.
The Effect of the Existence of Defective Items in Assembly Operations
Eben-Chaime , Moshe
2014-01-01
Part 1: Knowledge-Based Performance Improvement; International audience; Quality is a principle issue in production management (PM). No process is perfect and the production of defective items is unavoidable. Very few studies regard the effect of the existence of defective items (EEDI) in production processes. Further, quality has been studied in isolation to high extent, of other PM domains. In this study, defect rates together with the assembly ratios of the bill of material are embedded in...
Energy Technology Data Exchange (ETDEWEB)
Kanzler, Daniel
2016-07-01
Nondestructive testing (ndt) systems are essential for areas in our lives, in which there is a high risk for failures that would induce high costs or even damage to people and the environment (i.e. transportation, energy production, chemical industry). It is necessary to find and to characterise every defect in the material which might jeopardise the functionality of the tested part. But in the praxis the testing system will be used at their limits, i.e. for detecting small defects. Thus, there is a probability that critical defects might be overseen, which must be quantified. The evaluation is especially important for safety-relevant areas. The probability of detection (POD) characteristic is an objective number, which is widely used in these cases. It is used to provide a statement about the tested ndt system. The POD can provide the statement whether the system is working well enough to be accepted to find the defects. The original POD method was developed for one-dimensional defects in thin parts used in the aircraft industry. In reality, the evaluation is a compromise between statistics and costs. On the one hand, the real testing situation should be evaluated for the later use. On the other hand, the evaluation of real defects including the metallography and the comparison with the signals is a complex and expensive task. To find a coordinate system to compare the data is, therefore, an important prerequisite, before starting to evaluate. Therefore, this thesis will present a practical approach. The research community, as well, sees the POD of the real defects as a challenge. It is necessary to extend the one-parametric POD approach by evaluating the whole NDT indication. The area of the NDT indication is one important fact which should be included. The thesis will introduce two new aspects to the calculation of the POD: 1. The area of the indication will be introduced by using a smoothing algorithm, which is based on the known Observer-POD. The Observer
Characterization of point defects in monolayer arsenene
Liang, Xiongyi; Ng, Siu-Pang; Ding, Ning; Wu, Chi-Man Lawrence
2018-06-01
Topological defects that are inevitably found in 2D materials can dramatically affect their properties. Using density functional theory (DFT) calculations and ab initio molecular dynamics (AIMD) method, the structural, thermodynamic, electronic and magnetic properties of six types of typical point defects in arsenene, i.e. the Stone-Wales defect, single and double vacancies and adatoms, were systemically studied. It was found that these defects were all more easily generated in arsenene with lower formation energies than those with graphene and silicene. Stone-Wales defects can be transformed from pristine arsenene by overcoming a barrier of 2.19 eV and single vacancy defects tend to coalesce into double vacancy defects by diffusion. However, a type of adatom defect does not exhibit kinetic stability at room temperature. In addition, SV defects and another type of adatom defect can remarkably affect the electronic and magnetic properties of arsenene, e.g. they can introduce localized states near the Fermi level, as well as a strongly local magnetic moment due to dangling bond and unpaired electron. Furthermore, the simulated scanning tunneling microscopy (STM) and Raman spectroscopy were computed and the types of point defects can be fully characterized by correlating the STM images and Raman spectra to the defective atomistic structures. The results provide significant insights to the effect of defects in arsenene for potential applications, as well as identifications of two helpful tools (STM and Raman spectroscopy) to distinguish the type of defects in arsenene for future experiments.
A birth defect is a problem that happens while a baby is developing in the mother's body. Most birth defects happen during the first 3 months of ... in the United States is born with a birth defect. A birth defect may affect how the ...
Incorporating co-management within your environmental management system
International Nuclear Information System (INIS)
Melton, D.A.; Maher, S.
1998-01-01
The meaning of co-management in the renewable resource sector in terms of government and First Nations relations was explained. Co-management is a short term for co-operative management and has a formative history in the Northwest Territories, particularly in wildlife management. For example, co-management bridged the gap between the aboriginal way of hunting with those of the government. The aboriginal system was associated by self regulation based on traditional knowledge whereas the government system emphasized science, laws and regulations. At present, there are few examples of co-management in the oil and gas sector. This paper described the lessons that could be learned from previous examples of co-management and how those lessons might apply to an Environmental Management System (EMS) for the private oil and gas sector. 3 refs
Zhang, Bo; Kagawa, Keiichiro; Takasawa, Taishi; Seo, Min Woong; Yasutomi, Keita; Kawahito, Shoji
2014-01-16
In extremely low-light conditions, random telegraph signal (RTS) noise and dark current white defects become visible. In this paper, a multi-aperture imaging system and selective averaging method which removes the RTS noise and the dark current white defects by minimizing the synthetic sensor noise at every pixel is proposed. In the multi-aperture imaging system, a very small synthetic F-number which is much smaller than 1.0 is achieved by increasing optical gain with multiple lenses. It is verified by simulation that the effective noise normalized by optical gain in the peak of noise histogram is reduced from 1.38e⁻ to 0.48 e⁻ in a 3 × 3-aperture system using low-noise CMOS image sensors based on folding-integration and cyclic column ADCs. In the experiment, a prototype 3 × 3-aperture camera, where each aperture has 200 × 200 pixels and an imaging lens with a focal length of 3.0 mm and F-number of 3.0, is developed. Under a low-light condition, in which the maximum average signal is 11e⁻ per aperture, the RTS and dark current white defects are removed and the peak signal-to-noise ratio (PSNR) of the image is increased by 6.3 dB.
International Nuclear Information System (INIS)
Srividya, A.; Suresh, H.N.; Verma, A.K.; Gopika, V.; Santosh
2006-01-01
Piping systems are part of passive structural elements in power plants. The analysis of the piping systems and their quantification in terms of failure probability is of utmost importance. The piping systems may fail due to various degradation mechanisms like thermal fatigue, erosion-corrosion, stress corrosion cracking and vibration fatigue. On examination of previous results, erosion corrosion was more prevalent and wall thinning is a time dependent phenomenon. The paper is intended to consolidate the work done by various investigators on erosion corrosion in estimating the erosion corrosion rate and reliability predictions. A comparison of various erosion corrosion models is made. The reliability predictions based on remaining strength of corroded pipelines by wall thinning is also attempted. Variables in the limit state functions are modelled using normal distributions and Reliability assessment is carried out using some of the existing failure pressure models. A steady state corrosion rate is assumed to estimate the corrosion defect and First Order Reliability Method (FORM) is used to find the probability of failure associated with corrosion defects over time using the software for Component Reliability evaluation (COMREL). (author)
Bashutski, Jill D; Kinney, Janet S; Benavides, Erika; Maitra, Samopriyo; Braun, Thomas M; Giannobile, William V; McCauley, Laurie K; Eber, Robert M
2012-05-01
Teriparatide comprises the first 34 amino acids of parathyroid hormone and is a systemic anabolic agent that is Food and Drug Administration approved for the treatment of osteoporosis but not for periodontitis. To our knowledge, this is the first clinical case report to document the treatment of a patient with severe periodontitis using an open-flap debridement procedure in conjunction with teriparatide. A 45-year-old female patient was diagnosed with severe chronic periodontitis, including the presence of an intrabony defect on tooth #6. She received open-flap debridement surgery in conjunction with daily systemic administration of 20 µg teriparatide, oral vitamin D, and calcium supplements for 6 weeks. Radiographic, clinical, gingival crevicular fluid (pyridinoline cross-linked carboxy-terminal propeptide of type I procollagen, procollagen type 1 N-propeptide, and osteocalcin), and serum parameters (parathyroid hormone, bone alkaline phosphatase, calcium, and 25-hydroxyvitamin D) were assessed. Treatment outcomes were evaluated over 4 years, with successful radiographic and clinical results throughout the follow-up period. Teriparatide administration in conjunction with traditional open-flap debridement surgery offers potential for the treatment of severe intrabony defects resulting from chronic periodontitis.
Rosano, A; Botto, LD; Olney, RS; Khoury, MJ; Ritvanen, A; Goujard, J; Stoll, C; Cocchi, G; Merlob, P; Mutchinick, O; Cornel, MC; Castilla, EE; Martinez-Frias, ML; Zampino, G; Erickson, JD; Mastroiacovo, P
2000-01-01
Although limb defects associated with other congenital anomalies are rarely studied, they may provide insights into limb development that may be useful for etiologic studies and public health monitoring, me pooled data from II birth defect registries that are part of the International Clearinghouse
Quality assurance as a system of management control in nuclear power plants
International Nuclear Information System (INIS)
Raisic, N.
1986-04-01
Quality assurance is considered as a management control system which the owner of a nuclear power plant has to establish for a nuclear power project for ensuring that a plant is built as designed and that defects are corrected. The building up of such a system should start early enough in project activities and before the plant design and construction, in order to ensure correct performance of all activities related to selection of the site for the nuclear power plant, bid specification and evaluation and procurement of services. The QA is a regulatory requirement, but the prudent plant management would create such a system as part of their total project management systems irrespective of formulation of requirement. In fact regulatory requirement should be considered as the criteria to be used by the regulatory organization for evaluation of licensee's QA system and not as an objective to be reached. In this paper the needs for QA system are justified as part of the development of industrial infrastructure for the nuclear power project. Elements of the system are described such as documented QA programme and organizational structures with defined responsibility and functions of individual organizational units, and with control of information flow across the interfaces. The goals and objectives or the project organizations related to achievement and verification of quality are defined as well as system functions in attaining these objectives. This includes the feedback of information to the management on monitoring of performance in project activities, identifying deficiencies and initiating corrective actions. Domestic participation in the nuclear power plant construction will depend on the ability of local construction and manufacturing organizations to achieve high quality standards of products and services that can affect safety and performance of the nuclear power plant. Introduction of QA systems in project organizations, development of QA programme and
Momentum conserving defects in affine Toda field theories
Energy Technology Data Exchange (ETDEWEB)
Bristow, Rebecca; Bowcock, Peter [Department of Mathematical Sciences, Durham University,Durham, DH1 3LE (United Kingdom)
2017-05-30
Type II integrable defects with more than one degree of freedom at the defect are investigated. A condition on the form of the Lagrangian for such defects is found which ensures the existence of a conserved momentum in the presence of the defect. In addition it is shown that for any Lagrangian satisfying this condition, the defect equations of motion, when taken to hold everywhere, can be extended to give a Bäcklund transformation between the bulk theories on either side of the defect. This strongly suggests that such systems are integrable. Momentum conserving defects and Bäcklund transformations for affine Toda field theories based on the A{sub n}, B{sub n}, C{sub n} and D{sub n} series of Lie algebras are found. The defect associated with the D{sub 4} affine Toda field theory is examined in more detail. In particular classical time delays for solitons passing through the defect are calculated.
DEFF Research Database (Denmark)
Balatsouka, Dimitra; Gotfredsen, Klaus; Sørensen, Lars
2006-01-01
OBJECTIVES: The aim of the present study was to analyze the effect of systemic administration of nicotine on bone healing in osseous defects in the tibia of rabbits. MATERIAL AND METHODS: Sixteen female rabbits received nicotine (n=8; test group) or saline (n=8; control group) via subcutaneously...... 4 weeks and in the left leg after 6 weeks of nicotine/placebo exposure. Thus, 2- and 4-week healing groups were created for the bone defects. After 8 weeks, the animals were killed. Tissue blocks including the bone defects were prepared for histological analysis. RESULTS: The animals in the test...... group lost weight, while the control group gained weight during the experiment. The prostaglandin E(2) levels in plasma increased significantly following nicotine exposure in the test group. No significant differences in the percentage of vessels and bone density in the osseous defects were found...
Andújar-Montoya, María Dolores
2017-01-01
The main causes of building defects are errors in the design and the construction phases. These causes related to construction are mainly due to the general lack of control of construction work and represent approximately 75% of the anomalies. In particular, one of the main causes of such anomalies, which end in building defects, is the lack of control over the physical variables of the work environment during the execution of tasks. Therefore, the high percentage of defects detected in buildings that have the root cause in the construction phase could be avoidable with a more accurate and efficient control of the process. The present work proposes a novel integration model based on information and communications technologies for the automation of both construction work and its management at the execution phase, specifically focused on the flat roof construction process. Roofs represent the second area where more defects are claimed. The proposed model is based on a Web system, supported by a service oriented architecture, for the integral management of tasks through the Last Planner System methodology, but incorporating the management of task restrictions from the physical environment variables by designing specific sensing systems. Likewise, all workers are integrated into the management process by Internet-of-Things solutions that guide them throughout the execution process in a non-intrusive and transparent way. PMID:28737693
Andújar-Montoya, María Dolores; Marcos-Jorquera, Diego; García-Botella, Francisco Manuel; Gilart-Iglesias, Virgilio
2017-07-22
The main causes of building defects are errors in the design and the construction phases. These causes related to construction are mainly due to the general lack of control of construction work and represent approximately 75% of the anomalies. In particular, one of the main causes of such anomalies, which end in building defects, is the lack of control over the physical variables of the work environment during the execution of tasks. Therefore, the high percentage of defects detected in buildings that have the root cause in the construction phase could be avoidable with a more accurate and efficient control of the process. The present work proposes a novel integration model based on information and communications technologies for the automation of both construction work and its management at the execution phase, specifically focused on the flat roof construction process. Roofs represent the second area where more defects are claimed. The proposed model is based on a Web system, supported by a service oriented architecture, for the integral management of tasks through the Last Planner System methodology, but incorporating the management of task restrictions from the physical environment variables by designing specific sensing systems. Likewise, all workers are integrated into the management process by Internet-of-Things solutions that guide them throughout the execution process in a non-intrusive and transparent way.
Cyanotic Congenital Heart Defects – literature review
Directory of Open Access Journals (Sweden)
Vlad Drăgoi
2017-11-01
Full Text Available Congenital heart defects are one of the most interesting and important chapters regarding abnormal fetal growth pathology. The objective of this article is to present a literature review for the main cyanotic congenital heart defects. The ones presented in the article are: tetralogy of Fallot, transposition of the great vessels, double outlet right ventricle, truncus arteriosus, total anomalous pulmonary venous circulation and additional information regarding very rare malformations such as pentalogy of Cantrell and Uhl anomaly. An early and precise identification of congenital heart defects is an important step in an accurate follow-up of a potential problematic pregnancy. Knowing the sonographic aspect, associated pathology and the current available treatment procedures are vital for the fetal outcome and for the physician to adapt the right management in every situation that might appear during the pregnancy and in the neonatal period.
Nucleation of voids and other irradiation-produced defect aggregates
International Nuclear Information System (INIS)
Wiedersich, H.; Katz, J.L.
1976-01-01
The nucleation of defect clusters in crystalline solids from radiation-produced defects is different from the usual nucleation processes in one important aspect: the condensing defects, interstitial atoms and vacancies, can mutually annihilate and are thus similar to matter and antimatter. The nucleation process is described as the simultaneous reaction of vacancies and interstitials (and gas atoms if present) with embryos of all sizes. The reaction rates for acquisition of point defects (and gas atoms) are calculated from their respective jump frequencies and concentrations in the supersaturated system. The reaction rates for emission of point defects are derived from the free energies of the defect clusters in the thermodynamic equilibrium system, i.e., the system without excess point defects. This procedure differs from that used in conventional nucleation theory and permits the inclusion of the ''antimatter'' defect into the set of reaction-rate equations in a straightforward manner. The method is applied to steady-state nucleation, during irradiation, of both dislocation loops and voids in the absence and in the presence of immobile and mobile gas. The predictions of the nucleation theory are shown to be in qualitative agreement with experimental observations, e.g., void densities increase with increasing displacement rates; gases such as helium enhance void nucleation; at low displacement rates and at high temperatures the presence of gas is essential to void formation. For quantitative predictions, the theory must be extended to include the termination of nucleation
Fiber-Optic Defect and Damage Locator System for Wind Turbine Blades
Energy Technology Data Exchange (ETDEWEB)
Dr. Vahid Sotoudeh; Dr. Richard J. Black; Dr. Behzad Moslehi; Mr. Aleks Plavsic
2010-10-30
IFOS in collaboration with Auburn University demonstrated the feasibility of a Fiber Bragg Grating (FBG) integrated sensor system capable of providing real time in-situ defect detection, localization and quantification of damage. In addition, the system is capable of validating wind turbine blade structural models, using recent advances in non-contact, non-destructive dynamic testing of composite structures. This new generation method makes it possible to analyze wind turbine blades not only non-destructively, but also without physically contacting or implanting intrusive electrical elements and transducers into the structure. Phase I successfully demonstrated the feasibility of the technology with the construction of a 1.5 kHz sensor interrogator and preliminary instrumentation and testing of both composite material coupons and a wind turbine blade.
A vibroacoustic diagnostic system as an element improving road transport safety.
Komorska, Iwona
2013-01-01
Mechanical defects of a vehicle driving system can be dangerous on the road. Diagnostic systems, which monitor operations of electric and electronic elements and devices of vehicles, are continuously developed and improved, while defects of mechanical systems are still not managed properly. This article proposes supplementing existing on-board diagnostics with a system of diagnosing selected defects to minimize their impact. It presents a method of diagnosing mechanical defects of the engine, gearbox and other elements of the driving system on the basis of a model of the vibration signal obtained adaptively. This method is suitable for engine valves, engine head gasket, main gearbox, joints, etc.
Cao, Hongrui; Niu, Linkai; He, Zhengjia
2012-01-01
Bearing defects are one of the most important mechanical sources for vibration and noise generation in machine tool spindles. In this study, an integrated finite element (FE) model is proposed to predict the vibration responses of a spindle bearing system with localized bearing defects and then the sensor placement for better detection of bearing faults is optimized. A nonlinear bearing model is developed based on Jones' bearing theory, while the drawbar, shaft and housing are modeled as Timoshenko's beam. The bearing model is then integrated into the FE model of drawbar/shaft/housing by assembling equations of motion. The Newmark time integration method is used to solve the vibration responses numerically. The FE model of the spindle-bearing system was verified by conducting dynamic tests. Then, the localized bearing defects were modeled and vibration responses generated by the outer ring defect were simulated as an illustration. The optimization scheme of the sensor placement was carried out on the test spindle. The results proved that, the optimal sensor placement depends on the vibration modes under different boundary conditions and the transfer path between the excitation and the response. PMID:23012514
Infrared computations of defect Schur indices
Energy Technology Data Exchange (ETDEWEB)
Córdova, Clay [School of Natural Sciences, Institute for Advanced Study,1 Einstein Dr., Princeton, NJ (United States); Gaiotto, Davide [Perimeter Institute for Theoretical Physics,31 Caroline St., Waterloo, Ontario, N2L 2Y5 (Canada); Shao, Shu-Heng [Jefferson Physical Laboratory, Harvard University,17 Oxford St., Cambridge, MA (United States); School of Natural Sciences, Institute for Advanced Study,1 Einstein Dr., Princeton, NJ (United States)
2016-11-18
We conjecture a formula for the Schur index of four-dimensional N=2 theories in the presence of boundary conditions and/or line defects, in terms of the low-energy effective Seiberg-Witten description of the system together with massive BPS excitations. We test our proposal in a variety of examples for SU(2) gauge theories, either conformal or asymptotically free. We use the conjecture to compute these defect-enriched Schur indices for theories which lack a Lagrangian description, such as Argyres-Douglas theories. We demonstrate in various examples that line defect indices can be expressed as sums of characters of the associated two-dimensional chiral algebra and that for Argyres-Douglas theories the line defect OPE reduces in the index to the Verlinde algebra.
Management information systems
Energy Technology Data Exchange (ETDEWEB)
Crump, K.
1978-01-01
An Australian university architect studying management information systems programs at academic institutions in the United States visited 26 universities and colleges and nine educational and professional associations, including extended visits at the University of Wisconsin and the National Center of Higher Education Management Systems. During these visits, he investigated university and college space utilization programs, gained operational and developmental experience at institutions with education philosophies similar to those in Australia, and examined trends in low cost student housing. This report of his observations focusses on management information systems projects throughout the academic community, resource accountability, energy conservation, facilities planning for the handicapped, student housing, and interdisciplinary approaches to education.
Theory of Defects in Semiconductors
Drabold, David A
2007-01-01
Semiconductor science and technology is the art of defect engineering. The theoretical modeling of defects has improved dramatically over the past decade. These tools are now applied to a wide range of materials issues: quantum dots, buckyballs, spintronics, interfaces, amorphous systems, and many others. This volume presents a coherent and detailed description of the field, and brings together leaders in theoretical research. Today's state-of-the-art, as well as tomorrow’s tools, are discussed: the supercell-pseudopotential method, the GW formalism,Quantum Monte Carlo, learn-on-the-fly molecular dynamics, finite-temperature treatments, etc. A wealth of applications are included, from point defects to wafer bonding or the propagation of dislocation.
International Nuclear Information System (INIS)
Troshchenko, V.G.
2005-01-01
Automation section of the Institute SverdNIIkhimmash is founded for development of management systems for equipment being created in the Institute and for providing of the systems by optional facilities for control and automation. To solve these problems the section takes part in investigations of technological units as objects of automation with mathematical models working out [ru
Actinic inspection of multilayer defects on EUV masks
International Nuclear Information System (INIS)
Barty, A; Liu, Y; Gullikson, E; Taylor, J S; Wood, O
2005-01-01
The production of defect-free mask blanks, and the development of techniques for inspecting and qualifying EUV mask blanks, remains a key challenge for EUV lithography. In order to ensure a reliable supply of defect-free mask blanks, it is necessary to develop techniques to reliably and accurately detect defects on un-patterned mask blanks. These inspection tools must be able to accurately detect all critical defects whilst simultaneously having the minimum possible false-positive detection rate. There continues to be improvement in high-speed non-actinic mask blank inspection tools, and it is anticipated that these tools can and will be used by industry to qualify EUV mask blanks. However, the outstanding question remains one of validating that non-actinic inspection techniques are capable of detecting all printable EUV defects. To qualify the performance of non-actinic inspection tools, a unique dual-mode EUV mask inspection system has been installed at the Advanced Light Source (ALS) synchrotron at Lawrence Berkeley National Laboratory. In high-speed inspection mode, whole mask blanks are scanned for defects using 13.5-nm wavelength light to identify and map all locations on the mask that scatter a significant amount of EUV light. In imaging, or defect review mode, a zone plate is placed in the reflected beam path to image a region of interest onto a CCD detector with an effective resolution on the mask of 100-nm or better. Combining the capabilities of the two inspection tools into one system provides the unique capability to determine the coordinates of native defects that can be used to compare actinic defect inspection with visible light defect inspection tools under commercial development, and to provide data for comparing scattering models for EUV mask defects
Management of comminuted but continuous mandible defects after gunshot injuries.
Rana, Majeed; Warraich, Riaz; Rashad, Ashkan; von See, Constantin; Channar, Kashif A; Rana, Madiha; Stoetzer, Marcus; Gellrich, Nils-Claudius
2014-01-01
Firearm injuries continue as a major public health problem, contributing significant morbidity, mortality, and expense to our society. There are four main steps in the management of patients with gunshot wounds to the face: securing an airway, controlling haemorrhage, identifying other injuries and definitive repair of the traumatic facial deformities. The objective of this study was to determine late outcome of two treatment options by open reduction and internal fixation versus closed reduction and maxillomandibular fixation (MMF) in the treatment of gunshot injuries of the mandible. Sixty patients of gunshot injury were randomly allocated in two groups. In group A, 30 patients were treated by open reduction and internal fixation and in group B, 30 patients were treated by closed reduction and maxillomandibular fixation. Patients were discharged as the treatment completed and recalled for follow up. Up to 3 months after injury, fortnightly complications like infection, malocclusion, malunion of fractured fragments, facial asymmetry, sequestration of bone and exposed plates were evaluated and the differences between two groups were assessed. The follow-up period ranged from 3 months to 10 months. Patients treated by open reduction tended to have less complications as compared to closed reduction. Based on this study open reduction and internal fixation is the best available method for the treatment of gunshot mandible fractures without continuity defect. Copyright © 2012 Elsevier Ltd. All rights reserved.
2015-12-01
for this animal revealed an abscess at the defect site with cultures identifying Staphylococcus aureus infection . Another animal (15G11) developed...foreign body reaction and expose a bleeding vascular surface significantly increased bone formation in the defect site. Adding texture to a smooth...ACHIEVEMENTS: Nothing to report 10. REFERENCES: 1. Johnson, E.N., et al., Infectious complications of open type III tibial fractures among combat
Managing Conflict in Temporary Management Systems
Wilemon, David L.
1973-01-01
As organizational tasks have grown more complex, several innovative temporary management systems such as matrix management have been developed. The Apollo space program has been an important contribution to the development of matrix management techniques. Discusses the role of conflict within the matrix, its determinants, and the process of…
Management systems in production operations
International Nuclear Information System (INIS)
Walters, K.B.; Henderson, G.
1993-01-01
The Cullen Enquiry into the Piper Alpha disaster in the U.K. North Sea recommended that an operator should formally present it's company Management System and demonstrate how safety is achieved throughout the life cycle of a platform, from design through operation to abandonment. Brunei Shell Petroleum has prepared a corporate level Safety Management System. As part of Safety Case work, the corporate system is being extended to include the development of specific Management Systems with particular emphasis on offshore production operations involving integrated oil and gas facilities. This paper will describe the development of Management Systems, which includes an intensive Business Process Analysis and will comment upon it's applicability and relationship to ISO 9000. The paper will further describe the applicability and benefits of Management Systems and offer guidance on required effort. The paper will conclude that development of structured Management Systems for safety critical business processes is worthwhile but prioritization of effort will be necessary. As such the full adoption of Management Systems will be directional in nature
Management Information Systems Research.
Research on management information systems is illusive in many respects. Part of the basic research problem in MIS stems from the absence of standard...decision making. But the transition from these results to the realization of ’satisfactory’ management information systems remains difficult indeed. The...paper discusses several aspects of research on management information systems and reviews a selection of efforts that appear significant for future progress. (Author)
Defect inspection of actuator lenses using swept-source optical coherence tomography
Lee, Jaeyul; Shirazi, Muhammad Faizan; Park, Kibeom; Jeon, Mansik; Kim, Jeehyun
2017-12-01
Actuator lens industries have gained an enormous interest with the enhancement of various latest communication devices, such as mobile phone and notebooks. The quality of the aforementioned devices can be degraded due to the internal defects of actuator lenses. Therefore, in this study, we implemented swept-source optical coherence tomography (SS-OCT) system to inspect defects of actuator lenses. Owing to the high-resolution of the SS-OCT system, defected foreign substances between the actuator lenses, defective regions of lenses and surface stains were more clearly distinguished through three-dimensional (3D) and two-dimensional (2D) cross-sectional OCT images. Therefore, the implemented SS-OCT system can be considered as a potential application to defect inspection of actuator lens.
The study of the identification of minimal defects in radiograph, 2
International Nuclear Information System (INIS)
Senda, Tomio; Hirayama, Kazuo; Yokoyama, Keiji; Nakamura, Kazuo.
1988-01-01
In the first report, it was discussed in terms of the mass on the detectible limit of such minimal defects of cylindrical defect as a representative of ingot-defect and slit defect as a representative of plate-defect respectively which generally appear on the weld joints, using contrast distribution area of defects on the radiograph. In the second report, an experiment is done to vary the contrast of radiograph by dual exposure system and to vary the radiation by rotating photographing in order to investigate the corresponding relation of defectible limit of defect dimension between cylindrical defect and slit defect. (author)
Defect-engineered graphene chemical sensors with ultrahigh sensitivity.
Lee, Geonyeop; Yang, Gwangseok; Cho, Ara; Han, Jeong Woo; Kim, Jihyun
2016-05-25
We report defect-engineered graphene chemical sensors with ultrahigh sensitivity (e.g., 33% improvement in NO2 sensing and 614% improvement in NH3 sensing). A conventional reactive ion etching system was used to introduce the defects in a controlled manner. The sensitivity of graphene-based chemical sensors increased with increasing defect density until the vacancy-dominant region was reached. In addition, the mechanism of gas sensing was systematically investigated via experiments and density functional theory calculations, which indicated that the vacancy defect is a major contributing factor to the enhanced sensitivity. This study revealed that defect engineering in graphene has significant potential for fabricating ultra-sensitive graphene chemical sensors.
Construction Management Risk System (CMRS for Construction Management (CM Firms
Directory of Open Access Journals (Sweden)
Kyungmo Park
2017-02-01
Full Text Available After the global financial crisis of 2008, the need for risk management arose because it was necessary to minimize the losses in construction management (CM firms. This was caused by a decreased amount of orders in the Korean CM market, which intensified order competition between companies. However, research results revealed that risks were not being systematically managed owing to the absence of risk management systems. Thus, it was concluded that it was necessary to develop standard operating systems and implement risk management systems in order to manage risks effectively. Therefore, the purpose of this study was to develop a construction risk management system (CRMS for systematically managing risks. For this purpose, the field operation managers of CM firms were interviewed and surveyed in order to define risk factors. Upon this, a risk assessment priority analysis was performed. Finally, a risk management system that comprised seven modules and 20 sub-modules and was capable of responding systematically to risks was proposed. Furthermore, the effectiveness of this system was verified through on-site inspection. This system allows early response to risks, accountability verification and immediate response to legal disputes with clients by managing risk records.
Development of Information Management System for Plant Life Cycle Management
International Nuclear Information System (INIS)
Byon, SuJin; Lee, SangHyun; Kim, WooJoong
2015-01-01
The study subjects are S. Korean NPP(Nuclear Power Plant) construction projects. Design, construction, operations companies have different nuclear power plant construction project structures, and each company has its own Information Management System. In this study, the end user developed an Information Management System early in the project, and developed a management structure that systematically integrates and interfaces with information in each lifecycle phase. The main perspective of Information Management is moving from the existent document-centric management to the data-centric management. To do so, we intend to integrate information with interfaces among systems. Integrated information management structure and management system are essential for an effective management of the lifecycle information of nuclear power plants that have a lifespan over as much as 80 years. The concept of integration management adopted by the defence, ocean industries or various PLM solution providers is important. Although the NPP project has application systems in each key lifecycle phase, it is more effective to develop and use PLIMS in consideration of the interface and compatibility of information among systems. As an initial study for development of that integrated information management structure, this study is building the system and has interfaced it with a design-stage system
Development of Information Management System for Plant Life Cycle Management
Energy Technology Data Exchange (ETDEWEB)
Byon, SuJin; Lee, SangHyun; Kim, WooJoong [KOREA HYDRO and NUCLEAR POWER CO. LTD, Daejeon (Korea, Republic of)
2015-10-15
The study subjects are S. Korean NPP(Nuclear Power Plant) construction projects. Design, construction, operations companies have different nuclear power plant construction project structures, and each company has its own Information Management System. In this study, the end user developed an Information Management System early in the project, and developed a management structure that systematically integrates and interfaces with information in each lifecycle phase. The main perspective of Information Management is moving from the existent document-centric management to the data-centric management. To do so, we intend to integrate information with interfaces among systems. Integrated information management structure and management system are essential for an effective management of the lifecycle information of nuclear power plants that have a lifespan over as much as 80 years. The concept of integration management adopted by the defence, ocean industries or various PLM solution providers is important. Although the NPP project has application systems in each key lifecycle phase, it is more effective to develop and use PLIMS in consideration of the interface and compatibility of information among systems. As an initial study for development of that integrated information management structure, this study is building the system and has interfaced it with a design-stage system.
Defect Proliferation in Active Nematic Suspensions
Mishra, Prashant; Bowick, Mark J.; Giomi, Luca; Marchetti, M. Cristina
2014-03-01
The rich structure of equilibrium nematic suspensions, with their characteristic disclination defects, is modified when active forces come into play. The uniform nematic state is known to be unstable to splay (extensile) or bend (contractile) deformations above a critical activity. At even higher activity the flow becomes oscillatory and eventually turbulent. Using hydrodynamics, we classify the active flow regimes as functions of activity and order parameter friction for both contractile and extensile systems. The turbulent regime is marked by a non-zero steady state density of mobile defect pairs. The defect density itself scales with an ``active Ericksen number,'' defined as the ratio of the rate at which activity is injected into the system to the relaxation rate of orientational deformations. The work at Syracuse University was supported by the NSF on grant DMR-1004789 and by the Syracuse Soft Matter Program.
Gauge theory and defects in solids
Edelen, DGB
2012-01-01
This new series Mechanics and Physics of Discrete Systems aims to provide a coherent picture of the modern development of discrete physical systems. Each volume will offer an orderly perspective of disciplines such as molecular dynamics, crystal mechanics and/or physics, dislocation, etc. Emphasized in particular are the fundamentals of mechanics and physics that play an essential role in engineering applications.Volume 1, Gauge Theory and Defects in Solids, presents a detailed development of a rational theory of the dynamics of defects and damage in solids. Solutions to field e
Strategic management of health care information systems: nurse managers' perceptions.
Lammintakanen, Johanna; Kivinen, Tuula; Saranto, Kaija; Kinnunen, Juha
2009-01-01
The aim of this study is to describe nurse managers' perceptions of the strategic management of information systems in health care. Lack of strategic thinking is a typical feature in health care and this may also concern information systems. The data for this study was collected by eight focus group interviews including altogether 48 nurse managers from primary and specialised health care. Five main categories described the strategic management of information systems in health care; IT as an emphasis of strategy; lack of strategic management of information systems; the importance of management; problems in privacy protection; and costs of IT. Although IT was emphasised in the strategies of many health care organisations, a typical feature was a lack of strategic management of information systems. This was seen both as an underutilisation of IT opportunities in health care organisations and as increased workload from nurse managers' perspective. Furthermore, the nurse managers reported that implementation of IT strengthened their managerial roles but also required stronger management. In conclusion, strategic management of information systems needs to be strengthened in health care and nurse managers should be more involved in this process.
Directory of Open Access Journals (Sweden)
Chriswanto Chriswanto
2014-10-01
Full Text Available Customer Relationship Management System (CRMS Development in order to improve service quality in PT. AJ. Manulife Indonesia is done by comprehending the performance model of the company and the factors that are affecting the company performance improvement and the quality of the decision to be taken by top management. System Dynamic is a method that can be used to stimulate complex systems. System Dynamics approach is expected to build a model of corporate performance that can be used to evaluate the quality of service to customers so that they can make decisions quickly and accurately. This study proves that the model is built with standard models used as changing targets and it can simulate a target quality of service to customers by delivering current and future achievement. Achievement in the future is influenced by the value of achievement of SLA, Response Time, and Defect, where the greater value of control, the greater value of the correction rate so that the GAP will be smaller. Correction rate which is determined in this study was 10%, 20%, and 30% of GAP (CB
Treatment of Inherited Eye Defects by Systemic Hematopoietic Stem Cell Transplantation.
Rocca, Celine J; Kreymerman, Alexander; Ur, Sarah N; Frizzi, Katie E; Naphade, Swati; Lau, Athena; Tran, Tammy; Calcutt, Nigel A; Goldberg, Jeffrey L; Cherqui, Stephanie
2015-11-01
Cystinosis is caused by a deficiency in the lysosomal cystine transporter, cystinosin (CTNS gene), resulting in cystine crystal accumulation in tissues. In eyes, crystals accumulate in the cornea causing photophobia and eventually blindness. Hematopoietic stem progenitor cells (HSPCs) rescue the kidney in a mouse model of cystinosis. We investigated the potential for HSPC transplantation to treat corneal defects in cystinosis. We isolated HSPCs from transgenic DsRed mice and systemically transplanted irradiated Ctns-/- mice. A year posttransplantation, we investigated the fate and function of HSPCs by in vivo confocal and fluorescence microscopy (IVCM), quantitative RT-PCR (RT-qPCR), mass spectrometry, histology, and by measuring the IOP. To determine the mechanism by which HSPCs may rescue disease cells, we transplanted Ctns-/- mice with Ctns-/- DsRed HSPCs virally transduced to express functional CTNS-eGFP fusion protein. We found that a single systemic transplantation of wild-type HSPCs prevented ocular pathology in the Ctns-/- mice. Engraftment-derived HSPCs were detected within the cornea, and also in the sclera, ciliary body, retina, choroid, and lens. Transplantation of HSPC led to substantial decreases in corneal cystine crystals, restoration of normal corneal thickness, and lowered IOP in mice with high levels of donor-derived cell engraftment. Finally, we found that HSPC-derived progeny differentiated into macrophages, which displayed tunneling nanotubes capable of transferring cystinosin-bearing lysosomes to diseased cells. To our knowledge, this is the first demonstration that HSPCs can rescue hereditary corneal defects, and supports a new potential therapeutic strategy for treating ocular pathologies.
Directory of Open Access Journals (Sweden)
Carmen Ayala
2016-03-01
Full Text Available Introduction: Tetralogy of Fallot is a congenital heart disease and the most common cyanotic heart defect in children. It is clinically characterized by a ventricular septal defect, pulmonary stenosis, overriding aorta over ventricular septal defect and right ventricular hypertrophy. There is little or no information about the oral manifestations in patients with this pathology. A report and discussion of a pediatric patient diagnosed with Tetralogy of Fallot, its clinical manifestations, oral findings and dental management are presented. Case Report: A four-year-old male patient diagnosed with Tetralogy of Fallot and epileptic attacks. The patient has deciduous teeth with many severe early childhood caries, stomatitis and cyanotic mucous membranes, root fragments, periapical abscess and noticeable enamel hypoplasia. Conclusions: In agreement with other authors, children with systemic diseases such as Tetralogy of Fallot have a higher rate of caries, poor oral hygiene, high susceptibility to other infections and bacterial endocarditis, cyanotic mucous membranes and enamel hypoplasia. Primary prevention is critical, proper dental hygiene, regular dental check-ups and the use of antibiotic prophylaxis are particularly important, especially in high-risk patients.
Sobrino, Ayax; Basmadjian, Arsène J; Ducharme, Anique; Ibrahim, Reda; Mercier, Lise-Andrée; Pelletier, Guy B; Marcotte, François; Garceau, Patrick; Burelle, Denis; O'Meara, Eileen; Dore, Annie
2012-01-01
The purpose of this paper is to review the usefulness of multiplanar transesophageal echocardiography before, during and after percutaneous transcatheter closure of secundum atrial septal defects. Transesophageal echocardiography imaging techniques,including their role in patient selection, procedural guidance and immediate assessment of technical success and complications are described and discussed in this review. Percutaneous transcatheter closure is indicated for ostium secundum atrial septal defects of less than 40 mm in maximal diameter. The defect must have a favorable anatomy, with adequate rims of at least 5 mm to anchor the prosthesis. Transesophageal echocardiography plays a critical role before the procedure in identifying potential candidates for percutaneous closure and to exclude those with unfavorable anatomy or associated lesions, which could not be addressed percutaneously. Transesophageal echocardiography is also important during the procedure to guide the deployment of the device. After device deployment, the echocardiographer must assess the device (integrity, position and stability), residual shunt, atrio-ventricular valve regurgitation, obstruction to systemic or venous return and pericardial effusion, in order to determine procedural success and diagnose immediate complications.
Medical-Information-Management System
Alterescu, Sidney; Friedman, Carl A.; Frankowski, James W.
1989-01-01
Medical Information Management System (MIMS) computer program interactive, general-purpose software system for storage and retrieval of information. Offers immediate assistance where manipulation of large data bases required. User quickly and efficiently extracts, displays, and analyzes data. Used in management of medical data and handling all aspects of data related to care of patients. Other applications include management of data on occupational safety in public and private sectors, handling judicial information, systemizing purchasing and procurement systems, and analyses of cost structures of organizations. Written in Microsoft FORTRAN 77.
Kim, Jeong Tae; Kim, Youn Hwan; Ghanem, Ali M
2015-11-01
Complex defects present structural and functional challenges to reconstructive surgeons. When compared to multiple free flaps or staged reconstruction, the use of chimeric flaps to reconstruct such defects have many advantages such as reduced number of operative procedures and donor site morbidity as well as preservation of recipient vessels. With increased popularity of perforator flaps, chimeric flaps' harvest and design has benefited from 'perforator concept' towards more versatile and better reconstruction solutions. This article discusses perforator based chimeric flaps and presents a practice based classification system that incorporates the perforator flap concept into "Perforator Chimerism". The authors analyzed a variety of chimeric patterns used in 31 consecutive cases to present illustrative case series and their new classification system. Accordingly, chimeric flaps are classified into four types. Type I: Classical Chimerism, Type II: Anastomotic Chimerism, Type III: Perforator Chimerism and Type IV Mixed Chimerism. Types I on specific source vessel anatomy whilst Type II requires microvascular anastomosis to create the chimeric reconstructive solution. Type III chimeric flaps utilizes the perforator concept to raise two components of tissues without microvascular anastomosis between them. Type IV chimeric flaps are mixed type flaps comprising any combination of Types I to III. Incorporation of the perforator concept in planning and designing chimeric flaps has allowed safe, effective and aesthetically superior reconstruction of complex defects. The new classification system aids reconstructive surgeons and trainees to understand chimeric flaps design, facilitating effective incorporation of this important reconstructive technique into the armamentarium of the reconstruction toolbox. Copyright © 2015 British Association of Plastic, Reconstructive and Aesthetic Surgeons. Published by Elsevier Ltd. All rights reserved.
Directory of Open Access Journals (Sweden)
Nayi Sánchez Fleitas
2016-09-01
Full Text Available A Geographic Information System (GIS, named SIGOBE v 3.0, for the electric sector is development. The Integral Management System of the ECIE (SIGECIE and the Integrated Network Management System (SIGERE databases are taxed alfanumeric information. Studies determined the need for a model for data management, contributing to the GIS development, on a conceptual schema domain capable of responding to different user requests, through automatic query as support decision making. To provide the GIS with a conceptual basis an ontology is determined, which will be expressed by logical descriptive, to generate the traits of a case-based reasoning that allows automation of consultations. The final quality of GIS was verified according to the quality standards of the ISO-9126:2002 standard. The proposed model and its functionality contributes to: facilitate decision-making at different levels, perform risk analysis to have the defects of electrical installations, reduce the time of failure to the key areas of the country, organize the travel of trucks more efficiently and locate electrical faults more accurately.
International Nuclear Information System (INIS)
Florescu, N.
2003-01-01
A management system is developed in order to reflect the needs of the business and to ensure that the objectives of the organization will be achieved. The process model and each individual process within the system then needs to identify the drives or requirements from external customers and stakeholders, regulations, and standards such as ISO and 50-C-Q. The processes are then developed to address these drivers. Developing the process in this way makes it fully integrated and capable of incorporating any new requirements. The International Standard (ISO 9000:2000) promotes the adoption of a process approach when developing, implementing and improving the effectiveness of a quality management system to enhance customer satisfaction by meeting customer requirements. The IAEA Code recognizes that the entire work is a process which can be planned, assessed and improved. For an organization to function effectively, numerous linked activities have to be identified and managed. By definition a process is an activity that using resources and taking into account all the constraints imposed executes the necessary operations which transform the inputs in outcomes. Running a system of processes within an organization, identification of the interaction between the processes and their management can be referred to as a 'process approach'. The advantage of such an approach is the ensuring of the ongoing control over the linkage between the individual processes composing the system as well as over their combination and interaction. Developing a management system implies: identification of the process which delivers Critical Success Factor (CSFs) of the business; identifying the support processes enabling the CSFs to be accomplished; identifying the processes that deliver the business fundamentals. An integrated management system should include all activities not only those related to Quality, Health and Safety. When developing an IMS it is necessary to identify all of the drivers
Business advertisements management system
Rekel, Ernest
2017-01-01
Business Advertisements Management System The main goal of the project was to create a business advertisements management system, where users could easily create and find business advertisements. To accomplish this goal exist- ing systems were analyzed as well as their limitations. The end result is a working system which is able to store and proccess huge amount of data.
Pembangunan Model Restaurant Management System
Fredy Jingga; Natalia Limantara
2014-01-01
Model design for Restaurant Management System aims to help in restaurant business process, where Restaurant Management System (RMS) help the waitress and chef could interact each other without paper limitation. This Restaurant Management System Model develop using Agile Methodology and developed based on PHP Programming Langguage. The database management system is using MySQL. This web-based application model will enable the waitress and the chef to interact in realtime, from the time they a...
Senior Management Use of Management Control Systems in Large Companies
DEFF Research Database (Denmark)
Willert, Jeanette; Israelsen, Poul; Rohde, Carsten
2017-01-01
Ferreira and Otley’s (2009) conceptual and holistic framework for performance management systems, supplemented by elements of contextual factors and organisational culture. Further, selected researchers’ perceptions of the purpose of using management control systems are related to practitioners’ ideas......The use of management control systems in large companies remains relatively unexplored. Indeed, only a few studies of senior managers’ use of management control systems consider multiple controls in companies. This paper explores data from a comprehensive survey of the use of management control...... systems in 120 strategic business units at some of the largest companies in Denmark. The paper identifies how senior management guides and controls their subordinates to meet their companies’ objectives. The presentation and discussion of the results, including citations from executive managers, use...
A field study on root cause analysis of defects in space software
International Nuclear Information System (INIS)
Silva, Nuno; Cunha, João Carlos; Vieira, Marco
2017-01-01
Critical systems, such as space systems, are developed under strict requirements envisaging high integrity in accordance to specific standards. For such software systems, an independent assessment is put into effect (Independent Software Verification and Validation – ISVV) after the regular development lifecycle and V&V activities, aiming at finding residual faults and raising confidence in the software. However, it has been observed that there is still a significant number of defects remaining at this stage, questioning the effectiveness of the previous engineering processes. This paper presents a root cause analysis of 1070 defects found in four space software projects during ISVV, by applying an improved Orthogonal Defect Classification (ODC) taxonomy and examining the defect types, triggers and impacts, in order to identify why they reached such a later stage in the development. The paper also puts forward proposals for modifications to both the software development (to prevent defects) and the V&V activities (to better detect defects) and an assessment methodology for future works on root cause analysis. - Highlights: • Root cause analysis of space software defects by using an enhanced ODC taxonomy. • Prioritization of the root causes according to the more important defect impacts. • Identification of improvements to systems engineering and development processes. • Improvements to V&V activities as means to reduce the occurrence of defects. • Generic process to achieve the defects root causes and the corrections suggestions.
The fractal character of radiation defects aggregation in crystals
International Nuclear Information System (INIS)
Akylbekov, A.; Akimbekov, E.; Baktybekov, K.; Vasil'eva, I.
2002-01-01
In processes of self-organization, which characterize open systems, the source of ordering is a non-equilibrium. One of the samples of ordering system is radiation-stimulated aggregation of defects in solids. In real work the analysis of criterions of ordering defects structures in solid, which is continuously irradiate at low temperature is presented. The method of cellular automata used in simulation of irradiation. It allowed us to imitate processes of defects formation and recombination. The simulation realized on the surfaces up to 1000x1000 units with initial concentration of defects C n (the power of dose) 0.1-1 %. The number of iterations N (duration of irradiation) mounted to 10 6 cycles. The single centers, which are the sources of formation aggregates, survive in the result of probabilistic nature of formation and recombination genetic pairs of defects and with strictly fixed radius of recombination (the minimum inter anionic distance). For determination the character of same type defects distribution the potential of their interaction depending of defects type and reciprocal distance is calculated. For more detailed study of processes, proceeding in cells with certain sizes of aggregates, the time dependence of potential interaction is constructed. It is shown, that on primary stage the potential is negative, then it increase and approach the saturation in positive area. The minimum of interaction potential corresponds to state of physical chaos in system. Its increasing occurs with formation of same type defects aggregates. Further transition to saturation and 'undulating' character of curves explains by formation and destruction aggregates. The data indicated that - these processes occur simultaneously in cells with different sizes. It allows us to assume that the radiation defects aggregation have a fractal nature
Materials management information systems.
1996-01-01
The hospital materials management function--ensuring that goods and services get from a source to an end user--encompasses many areas of the hospital and can significantly affect hospital costs. Performing this function in a manner that will keep costs down and ensure adequate cash flow requires effective management of a large amount of information from a variety of sources. To effectively coordinate such information, most hospitals have implemented some form of materials management information system (MMIS). These systems can be used to automate or facilitate functions such as purchasing, accounting, inventory management, and patient supply charges. In this study, we evaluated seven MMISs from seven vendors, focusing on the functional capabilities of each system and the quality of the service and support provided by the vendor. This Evaluation is intended to (1) assist hospitals purchasing an MMIS by educating materials managers about the capabilities, benefits, and limitations of MMISs and (2) educate clinical engineers and information system managers about the scope of materials management within a healthcare facility. Because software products cannot be evaluated in the same manner as most devices typically included in Health Devices Evaluations, our standard Evaluation protocol was not applicable for this technology. Instead, we based our ratings on our observations (e.g., during site visits), interviews we conducted with current users of each system, and information provided by the vendor (e.g., in response to a request for information [RFI]). We divided the Evaluation into the following sections: Section 1. Responsibilities and Information Requirements of Materials Management: Provides an overview of typical materials management functions and describes the capabilities, benefits, and limitations of MMISs. Also includes the supplementary article, "Inventory Cost and Reimbursement Issues" and the glossary, "Materials Management Terminology." Section 2. The
Monti’s procedure as an alternative technique in complex urethral distraction defect
Directory of Open Access Journals (Sweden)
Jalil Hosseini
2010-06-01
Full Text Available PURPOSE: Pelvic fracture urethral distraction defect is usually managed by the end to end anastomotic urethroplasty. Surgical repair of those patients with post-traumatic complex posterior urethral defects, who have undergone failed previous surgical treatments, remains one of the most challenging problems in urology. Appendix urinary diversion could be used in such cases. However, the appendix tissue is not always usable. We report our experience on management of patients with long urethral defect with history of one or more failed urethroplasties by Monti channel urinary diversion. MATERIALS AND METHODS: From 2001 to 2007, we evaluated data from 8 male patients aged 28 to 76 years (mean age 42.5 in whom the Monti technique was performed. All cases had history of posterior urethral defect with one or more failed procedures for urethral reconstruction including urethroplasty. A 2 to 2.5 cm segment of ileum, which had a suitable blood supply, was cut. After the re-anastomosis of the ileum, we closed the opened ileum transversely surrounding a 14-16 Fr urethral catheter using running Vicryl sutures. The newly built tube was used as an appendix during diversion. RESULTS: All patients performed catheterization through the conduit without difficulty and stomal stenosis. Mild stomal incontinence occurred in one patient in the supine position who became continent after adjustment of the catheterization intervals. There was no dehiscence, necrosis or perforation of the tube. CONCLUSION: Based on our data, Monti’s procedure seems to be a valuable technique in patients with very long complicated urethral defect who cannot be managed with routine urethroplastic techniques.
The Stress Management in the Enterprise Management System
Directory of Open Access Journals (Sweden)
Kuzmin Oleh Ye.
2017-05-01
Full Text Available The article systematizes the classification of the type of management by a number of attributes: horizon of ambition, priority style of interaction between management and managed systems, level of centralization, orientation, consideration of environmental impact, contents, type of activity, and response to deviation. Within terms of the attribute of response to deviations, place of the stress management is allocated near to the harmonic and the risk management. It has been substantiated that the stress management is the enterprise management system aimed at overcoming unwanted deviations that are significant, extreme, and have a significant negative impact on the operation of enterprise. In the structure of the stress management, the following integral components have been allocated and characterized: crisis, adaptive, reactive, fears, and anticipative.
Defect analysis program for LOFT. Progress report, 1977
International Nuclear Information System (INIS)
Doyle, R.E.; Scoonover, T.M.
1978-03-01
In order to alleviate problems encountered while performing previous defect analyses on components of the LOFT system, regions of LOFT most likely to require defect analysis have been identified. A review of available documentation has been conducted to identify shapes, sizes, materials, and welding procedures and to compile mechanical property data. The LOFT Reactor Vessel Material Surveillance Program has also been reviewed, and a survey of available literature describing existing techniques for conducting elastic-plastic defect analysis was initiated. While large amounts of mechanical property data were obtained from the available documentation and the literature, much information was not available, especially for weld heat-affected zones. Therefore, a program of mechanical property testing is recommended for FY-78 as well as continued literature search. It is also recommended that fatigue-crack growth-rate data be sought from the literature and that evaluation of the various techniques of elastic-plastic defect analysis be continued. Review of additional regions of the LOFT system in the context of potential defect analysis will be conducted as time permits
Immobile defects in ferroelastic walls: Wall nucleation at defect sites
He, X.; Salje, E. K. H.; Ding, X.; Sun, J.
2018-02-01
Randomly distributed, static defects are enriched in ferroelastic domain walls. The relative concentration of defects in walls, Nd, follows a power law distribution as a function of the total defect concentration C: N d ˜ C α with α = 0.4 . The enrichment Nd/C ranges from ˜50 times when C = 10 ppm to ˜3 times when C = 1000 ppm. The resulting enrichment is due to nucleation at defect sites as observed in large scale MD simulations. The dynamics of domain nucleation and switching is dependent on the defect concentration. Their energy distribution follows the power law with exponents during yield between ɛ ˜ 1.82 and 2.0 when the defect concentration increases. The power law exponent is ɛ ≈ 2.7 in the plastic regime, independent of the defect concentration.
Management systems for regulatory authorities
International Nuclear Information System (INIS)
Mpandanyama, Rujeko Lynette
2015-02-01
For a regulatory body to fulfil its statutory obligations, there is need to develop and implement a regulatory management system that has the necessary arrangements for achieving and maintaining high quality performance in regulating the safety of nuclear and radiation facilities under its authority. Hence, the regulatory management system needs to fully integrate the human resources, processes and physical resources of the organization. This study sought to provide an understanding of the concept, principles, policies and fundamentals of management systems as they relate to regulatory systems in the field of radiation protection and to make appropriate recommendations to ensure that an effective management system exists for the control of ionizing radiation and radiation sources and addresses all relevant stakeholders in Zimbabwe. A comparative analysis was done on the current management status and the ideal management system, which led to the identification of the gaps existing. The main key that was found to be of significance was lack of linkages between processes and management tools within the institution. (au)
OCRWM Systems Engineering Management Plan (SEMP)
International Nuclear Information System (INIS)
1990-03-01
The Nuclear Waste Policy Act of 1982 established the Office of Civilian Radioactive Waste Management (OCRWM) in the Department of Energy (DOE) to implement a program for the safe and permanent disposal of spent nuclear fuel and high-level radioactive waste. To achieve this objective, the OCRWM is developing an integrated waste-management system consisting of three elements: the transportation system, the monitored retrievable storage (MRS) facility, and the mined geologic disposal system (MGDS). The development of such a system requires management of many diverse disciplines that are involved in research, siting, design, licensing, and external interactions. The purpose of this Systems Engineering Management Plan (SEMP) is to prescribe how the systems-engineering process will be implemented in the development of the waste-management system. Systems engineering will be used by the OCRWM to manage, integrate, and document all aspects of the technical development of the waste-management system and its system elements to ensure that the requirements of the waste-management program are met. It will be applied to all technical activities of the OCRWM program. It will be used by the OCRWM to specify the sequence of technical activities necessary to define the requirements the waste-management system must satisfy, to develop the waste-management system, to relate system elements to each other, and to determine how the waste-management system can be optimized to most effectively satisfy the requirements. Furthermore, systems engineering will be used in the management of Program activities at the program, program-element, and project levels by specifying procedures, studies, reviews, and documentation requirements. 9 refs., 1 fig
Potential of Computerized Maintenance Management System in Facilities Management
Directory of Open Access Journals (Sweden)
Noor Farisya Azahar
2014-07-01
Full Text Available For some time it has been clear that managing buildings or estates has been carried out in the context of what has become known as facilities management. British Institute of Facilities Management defined facilities management is the integration of multi-disciplinary activities within the built environment and the management of their impact upon people and the workplace. Effective facilities management is vital to the success of an organisation by contributing to the delivery of its strategic and operational objectives. Maintenance of buildings should be given serious attention before (stage design, during and after a building is completed. But total involvement in building maintenance is after the building is completed and during its operations. Residents of and property owners require their building to look attractive, durable and have a peaceful indoor environment and efficient. The objective of the maintenance management system is to stream line the vast maintenance information system to improve the productivity of an industrial plant. a good maintenance management system makes equipment and facilities available. This paper will discuss the fundamental steps of maintenance management program and Computerized Maintenance Management System (CMMS
K. Gawdzińska
2011-01-01
This author discusses the use of selected quality management tools, i.e. the Pareto chart and Ishikawa fishbone diagram, for the descriptionof composite casting defects. The Pareto chart allows to determine defect priority related with metallic composite castings, while theIshikawa diagram indicates the causes of defect formation and enables calculating defect weights.
Configuration Management Program - a part of Integrated Management System
International Nuclear Information System (INIS)
Mancev, Bogomil; Yordanova, Vanja; Nenkova, Boyka
2014-01-01
The recently issued International Atomic Energy Agency (IAEA) publications (GS-R-3, GS-G-3.1 and GS-G-3.5) regarding Management Systems for Facilities and Activities define requirements for creation, introduction, evaluation and continuously improvement of the Management System, which unifies the safety, health, environment, security, quality and economic elements. According to GS-R-3 the Integrated Management System is based on defined processes identified in the enterprises: Managing, Basic and Supporting processes. At implementation of their activities, the organizations often apply other standards in their interrelations with suppliers and the parties concerned - ISO 9001:2008, ISO 14001:2004 and OHSAS 18001:2007, regarding quality, environment and occupational health and safety management. The integration of the standards of both series ensure the observance of the common management principles that reflect the best practices of management as leadership, participation of the people, process approach, continuously improvement, systematical approach to the management and approach based on facts used at the making decisions. The main objective of the Integrated Management System introduction is to ensure safety considering the influence of all additional impacts taken together. The Integrated Management System is based on the process approach at implementation of the activities in nuclear power plant. The transition to the process oriented approach require long period of time, during which the distribution of the responsibilities is optimized up to the level that will satisfy the requirements, reach and maintain the stipulated objectives. The Configuration Management (CM) is an integrated management process by means of which conformity between design requirements, physical configuration and the plant documentation is ascertained and maintained during the entire life cycle of the facility. Processes within configuration management are not isolated, but are part of
14 CFR 1212.704 - System manager.
2010-01-01
... 14 Aeronautics and Space 5 2010-01-01 2010-01-01 false System manager. 1212.704 Section 1212.704... Authority and Responsibilities § 1212.704 System manager. (a) Each system manager is responsible for the following with regard to the system of records over which the system manager has cognizance: (1) Overall...
Production of freely-migrating defects during irradiation
International Nuclear Information System (INIS)
Rehn, L.E.; Okamoto, P.R.
1986-09-01
During irradiation at elevated temperatures, vacancy and interstitial defects that escape can produce several different types of microstructural changes. Hence the production rate of freely-migrating defects must be known as a function of irradiating particle species and energy before quantitative correlations can be made between microstructural changes. Our fundamental knowledge of freely-migrating defect production has increased substantially in recent years. Critical experimental findings that led to the improved understanding are reviewed in this paper. A strong similarity is found for the dependence of freely-migrating defect production on primary recoil energy as measured in a variety of metals and alloys by different authors. The efficiency for producing freely-migrating defects decreases much more strongly with increasing primary recoil energy than does the efficiency for creating stable defects at liquid helium temperatures. The stronger decrease can be understood in terms of additional intracascade recombination that results from the nonrandom distribution of defects existing in the primary damage state for high primary recoil energies. Although the existing data base is limited to fcc materials, the strong similarity in the reported investigations suggests that the same dependence of freely-migrating defect production on primary recoil energy may be characteristic of a wide variety of other alloy systems as well. 52 refs., 4 figs
Program management system manual
International Nuclear Information System (INIS)
1989-08-01
OCRWM has developed a program management system (PMS) to assist in organizing, planning, directing and controlling the Civilian Radioactive Waste Management Program. A well defined management system is necessary because: (1) the Program is a complex technical undertaking with a large number of participants, (2) the disposal and storage facilities to be developed by the Program must be licensed by the Nuclear Regulatory Commission (NRC) and hence are subject to rigorous quality assurance (QA) requirements, (3) the legislation mandating the Program creates a dichotomy between demanding schedules of performance and a requirement for close and continuous consultation and cooperation with external entities, (4) the various elements of the Program must be managed as parts of an integrated waste management system, (5) the Program has an estimated total system life cycle cost of over $30 billion, and (6) the Program has a unique fiduciary responsibility to the owners and generators of the nuclear waste for controlling costs and minimizing the user fees paid into the Nuclear Waste Fund. This PMS Manual is designed and structured to facilitate strong, effective Program management by providing policies and requirements for organizing, planning, directing and controlling the major Program functions
Ultrasonic defect characterization using parametric-manifold mapping
Velichko, A.; Bai, L.; Drinkwater, B. W.
2017-06-01
The aim of ultrasonic non-destructive evaluation includes the detection and characterization of defects, and an understanding of the nature of defects is essential for the assessment of structural integrity in safety critical systems. In general, the defect characterization challenge involves an estimation of defect parameters from measured data. In this paper, we explore the extent to which defects can be characterized by their ultrasonic scattering behaviour. Given a number of ultrasonic measurements, we show that characterization information can be extracted by projecting the measurement onto a parametric manifold in principal component space. We show that this manifold represents the entirety of the characterization information available from far-field harmonic ultrasound. We seek to understand the nature of this information and hence provide definitive statements on the defect characterization performance that is, in principle, extractable from typical measurement scenarios. In experiments, the characterization problem of surface-breaking cracks and the more general problem of elliptical voids are studied, and a good agreement is achieved between the actual parameter values and the characterization results. The nature of the parametric manifold enables us to explain and quantify why some defects are relatively easy to characterize, whereas others are inherently challenging.
Dental Enamel Defects and Celiac Disease
... Digestive System & How it Works Zollinger-Ellison Syndrome Dental Enamel Defects and Celiac Disease Celiac disease manifestations ... affecting any organ or body system. One manifestation—dental enamel defects—can help dentists and other health ...
Management Tools for Bus Maintenance: Current Practices and New Methods. Final Report.
Foerster, James; And Others
Management of bus fleet maintenance requires systematic recordkeeping, management reporting, and work scheduling procedures. Tools for controlling and monitoring routine maintenance activities are in common use. These include defect and fluid consumption reports, work order systems, historical maintenance records, and performance and cost…
[Progress of Masquelet technique to repair bone defect].
Yin, Qudong; Sun, Zhenzhong; Gu, Sanjun
2013-10-01
To summarize the progress of Masquelet technique to repair bone defect. The recent literature concerning the application of Masquelet technique to repair bone defect was extensively reviewed and summarized. Masquelet technique involves a two-step procedure. First, bone cement is used to fill the bone defect after a thorough debridement, and an induced membrane structure surrounding the spacer formed; then the bone cement is removed after 6-8 weeks, and rich cancellous bone is implanted into the induced membrane. Massive cortical bone defect is repaired by new bone forming and consolidation. Experiments show that the induced membrane has vascular system and is also rich in vascular endothelial growth factor, transforming growth factor beta1, bone morphogenetic protein 2, and bone progenitor cells, so it has osteoinductive property; satisfactory results have been achieved in clinical application of almost all parts of defects, various types of bone defect and massive defect up to 25 cm long. Compared with other repair methods, Masquelet technique has the advantages of reliable effect, easy to operate, few complications, low requirements for recipient site, and wide application. Masquelet technique is an effective method to repair bone defect and is suitable for various types of bone defect, especially for bone defects caused by infection and tumor resection.
Self-focusing and defect characterization with the FAUST system
International Nuclear Information System (INIS)
Mahaut, S.; Cattiaux, G.; Roy, O.; Benoist, PH.
1996-01-01
The FAUST (Focusing Adaptative UltraSonic Tomography) system was developed at the French Atomic Energy Commission (CEA) to improve performances of ultrasonic non destructive testing in terms of adaptability to various control configurations and defect characterization. Unlike conventional techniques only allowing fixed focusing, this system can dynamically modify the characteristics of the ultrasonic beam. This system relies on optimized phased array transducers connected to a multi-channel acquisition system supplying amplitude and delay laws allowing to drive the ultrasonic beam. Previous works have demonstrated the skills of this system for ultrasonic beam forming. The reliability of the procedure was proved by comparison with theoretical results, while comparisons with experimental results provided by conventional transducer pointed out the improved capacities of the system. In the first part of paper, we briefly present the model used for the system validation. This field computational model developed at the CEA is used to design optimized phased array transducers dedicated to NDE configurations (immersed transducers used to focus through Fluid/Solid interfaces). Theoretical delay laws and related ultrasonic fields are also calculated from this model. In addition to its ability to dynamically form the ultrasonic beam by taking account of the control configuration, we investigate in the second part of the paper the capabilities of the system to extract informations from he received signals. The ability of the system to store the signals received by all the elements of the array allows one to perform different reconstruction procedures. Useful informations can be extracted from the received signals: experimentally measured delay laws can be determined from reflected signals to obtain an optimal imaging, while the related amplitude distribution over the array points out geometrical characteristics of the reflector. (authors)
Ways to integrate document management systems with industrial plant configuration management systems
International Nuclear Information System (INIS)
Munoz, M.
1995-01-01
Based on experience gained from tasks carried out for Almaraz Nuclear Power Plant, this paper describes computer platforms used both at the power plant and in the main offices of the engineering company. Subsequently, a description is given of the procedure followed for the continuous up-dating of plant documentation, in order to maintain consistency with other information stored in data bases in the Operation Management System, Maintenance System, Modification Management System, etc. The work method used for the unitary updating of all information (document images and attributes corresponding to the different data bases), following refuelling procedures is also described. Lastly, the paper describes the functions and the user interface of the system used in the power plant for document management. (Author)
Management Information Systems at CERN
Ferguson, J
1986-01-01
The specific areas addressed in the study are 1.Management decision support (data presentation, data base management systems â" DBMS, modeling) 2.Text processing, 3.Electronic communication for management purposes, 4.Office automation, 5.Administrative use of Management Information Systems (MIS) and in particular Administrative Data Processing (ADP).
Ghawana, T.; Zlatanova, S.
2016-06-01
Disaster management is a multidisciplinary field, which requires a general coordination approach as well as specialist approaches. Science and Technology system of a country allows to create policies and execution of technical inputs required which provide services for the specific types of disasters management. Land administration and management agencies, as the administrative and management bodies, focus more on the coordination of designated tasks to various agencies responsible for their dedicated roles. They get help from Scientific and technical inputs & policies which require to be implemented in a professional manner. The paper provides an example of such integration from India where these two systems complement each other with their dedicated services. Delhi, the Capital of India, has such a disaster management system which has lot of technical departments of government which are mandated to provide their services as Emergency Service Functionaries. Thus, it is shown that disaster management is a job which is an integral part of Science & Technology system of a country while being implemented primarily with the help of land administration and management agencies. It is required that new policies or mandates for the Science and technology organizations of government should give a primary space to disaster management
International Nuclear Information System (INIS)
Blacker, P.B.; Winston, R.
1997-01-01
An expedited environmental management process has been developed at the Idaho National Engineering and Environmental Laboratory (INEEL). This process is one result of the Lockheed Martin commitment to the US Department of Energy to incorporate proven systems engineering practices with project management and program controls practices at the INEEL. Lockheed Martin uses a graded approach of its management, operations, and systems activities to tailor the level of control to the needs of the individual projects. The Lockheed Martin definition of systems engineering is: ''''Systems Engineering is a proven discipline that defines and manages program requirements, controls risk, ensures program efficiency, supports informed decision making, and verifies that products and services meet customer needs.'''' This paper discusses: the need for an expedited environmental management process; how the system was developed; what the system is; what the system does; and an overview of key components of the process
Directory of Open Access Journals (Sweden)
K. Gawdzińska
2011-04-01
Full Text Available This author discusses the use of selected quality management tools, i.e. the Pareto chart and Ishikawa fishbone diagram, for the descriptionof composite casting defects. The Pareto chart allows to determine defect priority related with metallic composite castings, while theIshikawa diagram indicates the causes of defect formation and enables calculating defect weights.
Studies of defects and defect agglomerates by positron annihilation spectroscopy
DEFF Research Database (Denmark)
Eldrup, Morten Mostgaard; Singh, B.N.
1997-01-01
A brief introduction to positron annihilation spectroscopy (PAS), and in particular lo its use for defect studies in metals is given. Positrons injected into a metal may become trapped in defects such as vacancies, vacancy clusters, voids, bubbles and dislocations and subsequently annihilate from...... the trapped state iri the defect. The annihilation characteristics (e.g., the lifetime of the positron) can be measured and provide information about the nature of the defect (e.g., size, density, morphology). The technique is sensitive to both defect size (in the range from monovacancies up to cavities...
CIMS: The Cartographic Information Management System,
1981-01-01
use. Large-scale information systems may cover large amounts of information such as the Land Identification and Information Management System (LIMS...small computer in managing the information holdings of a mapping institute. The result is the Cartographic Information Management System (CIMS), a...American countrie.s. 1 .- - _ _ _ _. = _ m m m THE CARTOGRAPHIC INFORMATION MANAGEMENT SYSTEM System Rationale Interactive computer-assisted cartography
Exotic Non-Abelian Topological Defects in Lattice Fractional Quantum Hall States
Liu, Zhao; Möller, Gunnar; Bergholtz, Emil J.
2017-09-01
We investigate extrinsic wormholelike twist defects that effectively increase the genus of space in lattice versions of multicomponent fractional quantum Hall systems. Although the original band structure is distorted by these defects, leading to localized midgap states, we find that a new lowest flat band representing a higher genus system can be engineered by tuning local single-particle potentials. Remarkably, once local many-body interactions in this new band are switched on, we identify various Abelian and non-Abelian fractional quantum Hall states, whose ground-state degeneracy increases with the number of defects, i.e, with the genus of space. This sensitivity of topological degeneracy to defects provides a "proof of concept" demonstration that genons, predicted by topological field theory as exotic non-Abelian defects tied to a varying topology of space, do exist in realistic microscopic models. Specifically, our results indicate that genons could be created in the laboratory by combining the physics of artificial gauge fields in cold atom systems with already existing holographic beam shaping methods for creating twist defects.
Lithium battery management system
Dougherty, Thomas J [Waukesha, WI
2012-05-08
Provided is a system for managing a lithium battery system having a plurality of cells. The battery system comprises a variable-resistance element electrically connected to a cell and located proximate a portion of the cell; and a device for determining, utilizing the variable-resistance element, whether the temperature of the cell has exceeded a predetermined threshold. A method of managing the temperature of a lithium battery system is also included.
International Nuclear Information System (INIS)
Norgett, M.J.
1980-01-01
Calculations, drawing principally on developments at AERE Harwell, of the relaxation about lattice defects are reviewed with emphasis on the techniques required for such calculations. The principles of defect modelling are outlined and various programs developed for defect simulations are discussed. Particular calculations for metals, ionic crystals and oxides, are considered. (UK)
Web Based Project Management System
Aadamsoo, Anne-Mai
2010-01-01
To increase an efficiency of a product, nowadays many web development companies are using different project management systems. A company may run a number of projects at a time, and requires input from a number of individuals, or teams for a multi level development plan, whereby a good project management system is needed. Project management systems represent a rapidly growing technology in IT industry. As the number of users, who utilize project management applications continues to grow, w...
Archival Information Management System.
1995-02-01
management system named Archival Information Management System (AIMS), designed to meet the audit trail requirement for studies completed under the...are to be archived to the extent that future reproducibility and interrogation of results will exist. This report presents a prototype information
Automatic inspection of surface defects in die castings after machining
Directory of Open Access Journals (Sweden)
S. J. Świłło
2011-07-01
Full Text Available A new camera based machine vision system for the automatic inspection of surface defects in aluminum die casting was developed by the authors. The problem of surface defects in aluminum die casting is widespread throughout the foundry industry and their detection is of paramount importance in maintaining product quality. The casting surfaces are the most highly loaded regions of materials and components. Mechanical and thermal loads as well as corrosion or irradiation attacks are directed primarily at the surface of the castings. Depending on part design and processing techniques, castings may develop surface discontinuities such as cracks or tears, inclusions due to chemical reactions or foreign material in the molten metal, and pores that greatly influence the material ability to withstand these loads. Surface defects may act as a stress concentrator initiating a fracture point. If a pressure is applied in this area, the casting can fracture. The human visual system is well adapted to perform in areas of variety and change; the visual inspection processes, on the other hand, require observing the same type of image repeatedly to detect anomalies. Slow, expensive, erratic inspection usually is the result. Computer based visual inspection provides a viable alternative to human inspectors. Developed by authors machine vision system uses an image processing algorithm based on modified Laplacian of Gaussian edge detection method to detect defects with different sizes and shapes. The defect inspection algorithm consists of three parameters. One is a parameter of defects sensitivity, the second parameter is a threshold level and the third parameter is to identify the detected defects size and shape. The machine vision system has been successfully tested for the different types of defects on the surface of castings.
Localized topological states in Bragg multihelicoidal fibers with twist defects
Alexeyev, C. N.; Lapin, B. P.; Milione, G.; Yavorsky, M. A.
2016-06-01
We have studied the influence of a twist defect in multihelicoidal Bragg fibers on the emerging of localized defect modes. We have shown that if such a fiber is excited with a Gaussian beam this leads to the appearance of a defect-localized topological state, whose topological charge coincides with the order of rotational symmetry of the fiber's refractive index. We have shown that this effect has a pronounced crossover behavior. We have also formulated a principle of creating the systems that can nestle defect-localized topologically charged modes. According to this principle, such systems have to possess topological activity, that is, the ability to change the topological charge of the incoming field, and operate in the Bragg regime.
System Security Management in SNMP
P. Deivendran; Dr. R. Dhanapal Ph.D
2010-01-01
We present a framework for managing system security, based on a SNMP Management Information Base (MIB), namely the System Security MIB (SSEC MIB), We have defined managed objects and completed the ASN.1 description of the MIB that embeds them. The related security management functions are mainly focused on monitoring external script execution for system security scanning and access control. The main goal of this work is to introduce the semantics and a standard interface that will allow the r...
MAINTENANCE MANAGEMENT ACCOUNTING SYSTEM OF WASTE WATER DISPOSAL SYSTEMS
Hori, Michihiro; Tsuruta, Takashi; Kaito, Kiyoyuki; Kobayashi, Kiyoshi
Sewage works facilities consist of various assets groups. And there are many kinds of financial resources. In order to optimize the maintenance plan, and to secure the stability and sustainability of sewage works management, it is necessary to carry out financial simulation based on the life-cycle cost analysis. Furthermore, it is important to develop management accounting system that is interlinked with the financial accounting system, because many sewage administration bodies have their financial accounting systems as public enterprises. In this paper, a management accounting system, which is designed to provide basic information for asset management of sewage works facilities, is presented. Also the applicability of the management accounting system presented in this paper is examined through financial simulations.
Program Management System manual
International Nuclear Information System (INIS)
1986-01-01
The Program Management System (PMS), as detailed in this manual, consists of all the plans, policies, procedure, systems, and processes that, taken together, serve as a mechanism for managing the various subprograms and program elements in a cohesive, cost-effective manner. The PMS is consistent with the requirements of the Nuclear Waste Policy Act of 1982 and the ''Mission Plan for the Civilian Radioactive Waste Management Program'' (DOE/RW-0005). It is based on, but goes beyond, the Department of Energy (DOE) management policies and procedures applicable to all DOE programs by adapting these directives to the specific needs of the Civilian Radioactive Waste Management program. This PMS Manual describes the hierarchy of plans required to develop and maintain the cost, schedule, and technical baselines at the various organizational levels of the Civilian Radioactive Waste Management Program. It also establishes the management policies and procedures used in the implementation of the Program. These include requirements for internal reports, data, and other information; systems engineering management; regulatory compliance; safety; quality assurance; and institutional affairs. Although expanded versions of many of these plans, policies, and procedures are found in separate documents, they are an integral part of this manual. The PMS provides the basis for the effective management that is needed to ensure that the Civilian Radioactive Waste Management Program fulfills the mandate of the Nuclear Waste Policy Act of 1982. 5 figs., 2 tabs
International Nuclear Information System (INIS)
GREEN, J.W.
2000-01-01
This document provides a configuration control plan for the software associated with the operation and control of the Integrated Water Treatment System (IWTS). It establishes requirements for ensuring configuration item identification, configuration control, configuration status accounting, defect reporting and resolution of computer software. It is written to comply with HNF-SD-SNF-CM-001, Spent Nuclear Fuel Configuration Management Plan (Forehand 1998) and HNF-PRO-309 Computer Software Quality Assurance Requirements, and applicable sections of administrative procedure CM-6-037-00, SNF Project Process Automation Software and Equipment
Managing Temporal Knowledge in Port Management Systems
Directory of Open Access Journals (Sweden)
Anita Gudelj
2006-05-01
Full Text Available Large ports need to deal with a number of disparate activities:the movement of ships, containers and other cargo, theloading and unloading of ships and containers, customs activities.As well as human resources, anchorages, channels, lighters,tugs, berths, warehouse and other storage spaces have to beallocated and released. The efficient management of a port involvesmanaging these activities and resources, managing theflows of money involved between the agents providing and usingthese resources, and providing management information.Many information systems will be involved.Many applications have to deal with a large amount of datawhich not only represent the perceived state of the real world atpresent, but also past and/or future states. These applicationsare not served adequately by today's computer managementand database systems. In particular, deletions and updates insuch systems have destructive semantics. This means that previousdatabase contents (representing previous perceived statesof the real world cannot be accessed anymore.A review of how define temporal data models, based ongeneralizing a non-temporal data model in to a temporal one toimprove port management is presented. This paper describes apractical experiment which supports managing temporal dataalong with the corresponding prototype implementations.
Environmental asset management: Risk management systems
CSIR Research Space (South Africa)
Naudé, Brian
2017-07-01
Full Text Available bnaude@csir.co.za Charl Petzer Council for Scientific and Industrial Research PO Box 395 Pretoria 0001 South Africa +2712 841 4292 CPetzer1@csir.co.za Copyright © 2017 by B Naudé, C Petzer. Published and used by INCOSE with permission.... Charl Petzer is registered professional engineer with 30 years of programme/project management as well as systems engineering experience in military and other environments. He has been the lead systems engineer, as well as programme manager on several...
A defect-driven diagnostic method for machine tool spindles.
Vogl, Gregory W; Donmez, M Alkan
2015-01-01
Simple vibration-based metrics are, in many cases, insufficient to diagnose machine tool spindle condition. These metrics couple defect-based motion with spindle dynamics; diagnostics should be defect-driven. A new method and spindle condition estimation device (SCED) were developed to acquire data and to separate system dynamics from defect geometry. Based on this method, a spindle condition metric relying only on defect geometry is proposed. Application of the SCED on various milling and turning spindles shows that the new approach is robust for diagnosing the machine tool spindle condition.
Water management - management actions applied to water resources system
International Nuclear Information System (INIS)
Petkovski, Ljupcho; Tanchev, Ljubomir
2001-01-01
In this paper are presented a general description of water resource systems, a systematisation of the management tasks and the approaches for solution, including a review of methods used for solution of water management tasks and the fundamental postulates in the management. The management of water resources is a synonym for the management actions applied to water resource systems. It is a general term that unites planning and exploitation of the systems. The modern planning assumes separating the water racecourse part from the hydro technical part of the project. The water resource study is concerned with the solution for the resource problem. This means the parameters of the system are determined in parallel with the definition of the water utilisation regime. The hydro-technical part of the project is the design of structures necessary for the water resource solution. (Original)
Defect detection and classification of machined surfaces under multiple illuminant directions
Liao, Yi; Weng, Xin; Swonger, C. W.; Ni, Jun
2010-08-01
Continuous improvement of product quality is crucial to the successful and competitive automotive manufacturing industry in the 21st century. The presence of surface porosity located on flat machined surfaces such as cylinder heads/blocks and transmission cases may allow leaks of coolant, oil, or combustion gas between critical mating surfaces, thus causing damage to the engine or transmission. Therefore 100% inline inspection plays an important role for improving product quality. Although the techniques of image processing and machine vision have been applied to machined surface inspection and well improved in the past 20 years, in today's automotive industry, surface porosity inspection is still done by skilled humans, which is costly, tedious, time consuming and not capable of reliably detecting small defects. In our study, an automated defect detection and classification system for flat machined surfaces has been designed and constructed. In this paper, the importance of the illuminant direction in a machine vision system was first emphasized and then the surface defect inspection system under multiple directional illuminations was designed and constructed. After that, image processing algorithms were developed to realize 5 types of 2D or 3D surface defects (pore, 2D blemish, residue dirt, scratch, and gouge) detection and classification. The steps of image processing include: (1) image acquisition and contrast enhancement (2) defect segmentation and feature extraction (3) defect classification. An artificial machined surface and an actual automotive part: cylinder head surface were tested and, as a result, microscopic surface defects can be accurately detected and assigned to a surface defect class. The cycle time of this system can be sufficiently fast that implementation of 100% inline inspection is feasible. The field of view of this system is 150mm×225mm and the surfaces larger than the field of view can be stitched together in software.
International Nuclear Information System (INIS)
Kang, Sin Bok; Kim, Yeong Taek; Park, Soo Jin; Ko, Yeong Cheol; Lee, Jong Bok; Han, Eun Sook; Kim, Hyeon Jeong
1994-01-01
The purpose of this report is to describe the work done for the development, operation and maintenance of Time Sheet Management System. This work is a part of the development KAERI management information system. Manpower management is essential to cope with the external circumstances promptly and to maximize the productivity of the organization. This work aims at setting up a basis for the manpower management system. It is widely recognized that neither timely decision making nor competitive edge can be secured with the traditional management technology in so a rapidly changing situations home and abroad, which can be characterized by openness and informality. The necessity of efficient and scientific man-power management by time-study has emerged on the reorganization of KAERI by expanding matrix system in order to enhance the R and D productivity. (Author)
US Agency for International Development — Credit Management System. Outsourced Internet-based application. CMS stores and processes data related to USAID credit programs. The system provides information...
Medical Information Management System
Alterescu, S.; Hipkins, K. R.; Friedman, C. A.
1979-01-01
On-line interactive information processing system easily and rapidly handles all aspects of data management related to patient care. General purpose system is flexible enough to be applied to other data management situations found in areas such as occupational safety data, judicial information, or personnel records.
49 CFR 215.119 - Defective freight car truck.
2010-10-01
... 49 Transportation 4 2010-10-01 2010-10-01 false Defective freight car truck. 215.119 Section 215... ADMINISTRATION, DEPARTMENT OF TRANSPORTATION RAILROAD FREIGHT CAR SAFETY STANDARDS Freight Car Components Suspension System § 215.119 Defective freight car truck. A railroad may not place or continue in service a...
Internal defect propagation studies in carbon steel in H2S-H2O system (Pre print No. MI-1C)
International Nuclear Information System (INIS)
Dalvi, M.S.; Kini, R.A.; Tangri, V.K.; Sadhukhan, H.K.
1989-04-01
Carbon steel is the material of construction for major equipment of heavy water plant using H 2 S-H 2 O exchange process for production of heavy water. The main corrosion product in this system is iron sulphide and hydrogen which is liberated in nascent form. It is known that such hydrogen liberated in-situ in the equipment has tendency to penetrate in the metal, giving rise to phenomena of embrittlement. Similarly, if parent metal has internal defect then this nascent hydrogen gets trapped in them and gets converted to diatomic form and consequent rise in pressure. This leads to the spread of the defect and can lead to severe loss in the strength of metal. This phenomena was studied on the walls of an autoclave used in a corrosion test assembly for simulated investigation of material of construction for H 2 S-H O exchange process. These studies indicate that internal defect propagation and generation definitely takes place in the system. However, no failures were encountered. These studies have been very qualitative in nature but showed the importance of this aspect of corrosion in H 2 S-H 2 O system and is a subject matter for further studies. It also implies that intial testing of plates for internal defects is very important. (author). 3 figs
Origins and consequences of congenital heart defects affecting the right ventricle.
Woudstra, Odilia I; Ahuja, Suchit; Bokma, Jouke P; Bouma, Berto J; Mulder, Barbara J M; Christoffels, Vincent M
2017-10-01
Congenital heart disease is a major health issue, accounting for a third of all congenital defects. Improved early surgical management has led to a growing population of adults with congenital heart disease, including patients with defects affecting the right ventricle, which are often classified as severe. Defects affecting the right ventricle often cause right ventricular volume or pressure overload and affected patients are at high risk for complications such as heart failure and sudden death. Recent insights into the developmental mechanisms and distinct developmental origins of the left ventricle, right ventricle, and the outflow tract have shed light on the common features and distinct problems arising in specific defects. Here, we provide a comprehensive overview of the current knowledge on the development into the normal and congenitally malformed right heart and the clinical consequences of several congenital heart defects affecting the right ventricle. Published on behalf of the European Society of Cardiology. All rights reserved. © The Author 2017. For permissions, please email: journals.permissions@oup.com.
Systems management of facilities agreements
International Nuclear Information System (INIS)
Blundell, A.
1998-01-01
The various types of facilities agreements, the historical obstacles to implementation of agreement management systems and the new opportunities emerging as industry is beginning to make an effort to overcome these obstacles, are reviewed. Barriers to computerized agreement management systems (lack of consistency, lack of standards, scarcity of appropriate computer software) are discussed. Characteristic features of a model facilities agreement management system and the forces driving the changing attitudes towards such systems (e.g. mergers) are also described
Senior Management Use of Management Control Systems in Large Companies
DEFF Research Database (Denmark)
Willert, Jeanette; Israelsen, Poul; Rohde, Carsten
2017-01-01
The use of management control systems in large companies remains relatively unexplored. Indeed, only a few studies of senior managers’ use of management control systems consider multiple controls in companies. This paper explores data from a comprehensive survey of the use of management control...... systems in 120 strategic business units at some of the largest companies in Denmark. The paper identifies how senior management guides and controls their subordinates to meet their companies’ objectives. The presentation and discussion of the results, including citations from executive managers, use...
Effects of in-cascade defect clustering on near-term defect evolution
Energy Technology Data Exchange (ETDEWEB)
Heinisch, H.L. [Pacific Northwest National Lab., Richland, WA (United States)
1997-08-01
The effects of in-cascade defect clustering on the nature of the subsequent defect population are being studied using stochastic annealing simulations applied to cascades generated in molecular dynamics (MD) simulations. The results of the simulations illustrates the strong influence of the defect configuration existing in the primary damage state on subsequent defect evolution. The large differences in mobility and stability of vacancy and interstitial defects and the rapid one-dimensional diffusion of small, glissile interstitial loops produced directly in cascades have been shown to be significant factors affecting the evolution of the defect distribution. In recent work, the effects of initial cluster sizes appear to be extremely important.
Encephalocele and associated skull defects | Komolafe | West ...
African Journals Online (AJOL)
The case notes of the patients with encephalocele managed over a 5 year period were reviewed and the relevant data obtained. Seventy-six percent of the patients had occipital encephalocele. The average diameter of the skull defect was 1.8cm. Only 2(9.5%) of the patients had cranioplasty. Cosmesis was acceptable to all ...
Networked inventory management systems: materializing supply chain management
Verwijmeren, M.A.A.P.; Vlist, van der P.; Donselaar, van K.H.
1996-01-01
Aims to explain the driving forces for networked inventory management. Discusses major developments with respect to customer requirements, networked organizations and networked inventory management. Presents high level specifications of networked inventory management information systems (NIMISs).
System analysis for radwaste management
International Nuclear Information System (INIS)
Lennemann, W.L.
1987-01-01
The most logical approach to evaluating radioactive waste management processes and their options is to consider radioactive waste management, handling, and disposal as a complete and complex system from the waste arisings to their disposition. The principal elements that should be considered or taken into account when making a decision involving one or more components of a radwaste management system essentially concern radiation doses or detriments- both radiological and industrial safety and both capital investments and operating costs. This paper discusses the system analysis of the low- and medium-level radioactive waste management
Electrical distribution system management
International Nuclear Information System (INIS)
Hajos, L.; Mortarulo, M.; Chang, K.; Sparks, T.
1990-01-01
This paper reports that maintenance of electrical system data is essential to the operation, maintenance, and modification of a nuclear station. Load and equipment changes affect equipment sizing, available short-circuit currents and protection coordination. System parameters must be maintained in a controlled manner to enable evaluation of proposed modifications and provide adequate verification and traceability. For this purpose, Public Service Electric and Gas Company has implemented a Verified and Validated Electric Distribution System Management (EDSM) program at the Hope Creek and Salem Nuclear Power Stations. EDSM program integrates computerized configuration management of electrical systems with calculational software the Technical Standard procedures. The software platform is PC-based. The Database Manager and Calculational programs have been linked together through a user friendly menu system. The database management nodule enable s assembly and maintenance of databases for individual loads, buses, and branches within the electrical systems with system access and approval controlled through electronic security incorporated within the database manger. Reports drawn from the database serve as the as-built and/or as-designed record of the system configurations. This module also creates input data files of network parameters in a format readable by the calculational modules. Calculations modules provide load flow, voltage drop, motor starting, and short-circuit analyses, as well as dynamic analyses of bus transfers
Intelligent Integrated System Health Management
Figueroa, Fernando
2012-01-01
Intelligent Integrated System Health Management (ISHM) is the management of data, information, and knowledge (DIaK) with the purposeful objective of determining the health of a system (Management: storage, distribution, sharing, maintenance, processing, reasoning, and presentation). Presentation discusses: (1) ISHM Capability Development. (1a) ISHM Knowledge Model. (1b) Standards for ISHM Implementation. (1c) ISHM Domain Models (ISHM-DM's). (1d) Intelligent Sensors and Components. (2) ISHM in Systems Design, Engineering, and Integration. (3) Intelligent Control for ISHM-Enabled Systems
Environmental management system in companies
International Nuclear Information System (INIS)
Bonanno, C.
1995-01-01
The environmental management system, as the whole coordinated initiatives 'environmental oriented' introduced by companies in their organization, is discussed. Strategic weight that companies have to be present at the environmental management system is enlisted. Finally, the new professional figures of environmental technicians and environmental manager is discussed
International Nuclear Information System (INIS)
Carroll, S.M.; Trodden, M.
1998-01-01
We propose a class of field theories featuring solitonic solutions in which topological defects can end when they intersect other defects of equal or higher dimensionality. Such configurations may be termed open-quotes Dirichlet topological defects,close quotes in analogy with the D-branes of string theory. Our discussion focuses on defects in scalar field theories with either gauge or global symmetries, in 3+1 dimensions; the types of defects considered include walls ending on walls, strings on walls, and strings on strings. copyright 1998 The American Physical Society
A distribution management system
Energy Technology Data Exchange (ETDEWEB)
Verho, P.; Jaerventausta, P.; Kaerenlampi, M.; Paulasaari, H. [Tampere Univ. of Technology (Finland); Partanen, J. [Lappeenranta Univ. of Technology (Finland)
1996-12-31
The development of new distribution automation applications is considerably wide nowadays. One of the most interesting areas is the development of a distribution management system (DMS) as an expansion of the traditional SCADA system. At the power transmission level such a system is called an energy management system (EMS). The idea of these expansions is to provide supporting tools for control center operators in system analysis and operation planning. The needed data for new applications is mainly available in some existing systems. Thus the computer systems of utilities must be integrated. The main data source for the new applications in the control center are the AM/FM/GIS (i.e. the network database system), the SCADA, and the customer information system (CIS). The new functions can be embedded in some existing computer system. This means a strong dependency on the vendor of the existing system. An alternative strategy is to develop an independent system which is integrated with other computer systems using well-defined interfaces. The latter approach makes it possible to use the new applications in various computer environments, having only a weak dependency on the vendors of the other systems. In the research project this alternative is preferred and used in developing an independent distribution management system
A distribution management system
Energy Technology Data Exchange (ETDEWEB)
Verho, P; Jaerventausta, P; Kaerenlampi, M; Paulasaari, H [Tampere Univ. of Technology (Finland); Partanen, J [Lappeenranta Univ. of Technology (Finland)
1997-12-31
The development of new distribution automation applications is considerably wide nowadays. One of the most interesting areas is the development of a distribution management system (DMS) as an expansion of the traditional SCADA system. At the power transmission level such a system is called an energy management system (EMS). The idea of these expansions is to provide supporting tools for control center operators in system analysis and operation planning. The needed data for new applications is mainly available in some existing systems. Thus the computer systems of utilities must be integrated. The main data source for the new applications in the control center are the AM/FM/GIS (i.e. the network database system), the SCADA, and the customer information system (CIS). The new functions can be embedded in some existing computer system. This means a strong dependency on the vendor of the existing system. An alternative strategy is to develop an independent system which is integrated with other computer systems using well-defined interfaces. The latter approach makes it possible to use the new applications in various computer environments, having only a weak dependency on the vendors of the other systems. In the research project this alternative is preferred and used in developing an independent distribution management system
Alcalá Ortiz, Gabriela José
2015-01-01
ABSTRACT: This paper aims to analyze the managing condition of the participating projects in the competition Solar Decathlon Europe 2014, depart from that, a suitable integrated management system is proposed. The analysis was accomplished due to the design and application of a questionnaire based in ISO standards, concerning quality, environmental and health and safety management. The results showed the weakness regarding management system, this means the lack of integrated policy, inte...
Cor triatriatum dexter associated with atrial septal defect: Management in a complex clinical case.
Sozzi, Fabiola B; Montanaro, Claudia; Bacà, Laura; Viani, Giacomo M; Zilocchi, Massimo; Canetta, Ciro; Meazza, Roberto; Pavone, Laura; Lombardi, Federico
2017-11-01
The coexistence of an atrial septal defect and a prominent eustachian valve is a rare congenital anomaly, rarely reported in literature. Differentiation between a giant eustachian valve and cor triatriatum dexter can be difficult. A case of a large atrial septal defect associated with cor triatriatum dexter diagnosed by echocardiography in an asymptomatic woman is reported. A watchful waiting strategy was adopted. © 2017, Wiley Periodicals, Inc.
International Nuclear Information System (INIS)
Katchadjian, P.; Desimone, C.; Ziobrowski, C.; Garcia, A.
2002-01-01
For the inspection of plate type fuel elements to be used in Research Nuclear Reactors it was applied an immersion pulse-echo ultrasonic technique. For that reason an automated movement system was implemented according to the axes X, Y and Z that allows to automate the test and to show the results obtained in format of C-Scan, facilitating the immediate identification of possible defects and making repetitive the inspection. In this work problems found during the laboratory tests and factors that difficult the inspection are commented. Also the results of C-Scans over UMo fuel elements with pattern defects are shown. Finally, the main characteristics of the transducer with the one the better results were obtained are detailed. (author)
Pershin, I. M.; Pervukhin, D. A.; Ilyushin, Y. V.; Afanaseva, O. V.
2017-10-01
The paper considers an important problem of designing distributed systems of hydrolithosphere processes management. The control actions on the hydrolithosphere processes under consideration are implemented by a set of extractive wells. The article shows the method of defining the approximation links for description of the dynamic characteristics of hydrolithosphere processes. The structure of distributed regulators, used in the management systems by the considered processes, is presented. The paper analyses the results of the synthesis of the distributed management system and the results of modelling the closed-loop control system by the parameters of the hydrolithosphere process.
Nova laser assurance-management system
International Nuclear Information System (INIS)
Levy, A.J.
1983-01-01
In a well managed project, Quality Assurance is an integral part of the management activities performed on a daily basis. Management assures successful performance within budget and on schedule by using all the good business, scientific, engineering, quality assurance, and safety practices available. Quality assurance and safety practices employed on Nova are put in perspective by integrating them into the overall function of good project management. The Nova assurance management system was developed using the quality assurance (QA) approach first implemented at LLNL in early 1978. The LLNL QA program is described as an introduction to the Nova assurance management system. The Nova system is described pictorially through the Nova configuration, subsystems and major components, interjecting the QA techniques which are being pragmatically used to assure the successful completion of the project
Zou, Yun; Hu, Li; Tremp, Mathias; Jin, Yunbo; Chen, Hui; Ma, Gang; Lin, Xiaoxi
2018-02-23
The aim of this study was to repair large periorbital cutaneous defects by an innovative technique called PEPSI (periorbital elevation and positioning with secret incisions) technique with functional and aesthetic outcomes. In this retrospective study, unilateral periorbital cutaneous defects in 15 patients were repaired by the PEPSI technique. The ages of patients ranged from 3 to 46 years (average, 19 years). The outcome evaluations included scars (Vancouver Scar Scale and visual analog scale score), function and aesthetic appearance of eyelids, and patient satisfaction. The repair size was measured by the maximum advancement distance of skin flap during operation. All patients achieved an effective repair with a mean follow-up of 18.3 months. Except one with a small (approximately 0.3 cm) necrosis, all patients healed with no complication. The mean Vancouver Scar Scale and visual analog scale scores were 2.1 ± 1.7 and 8.5 ± 1.2, respectively. Ideal cosmetic and functional outcomes were achieved in 14 patients (93.3%). All patients achieved complete satisfaction except 1 patient with partial satisfaction. The mean maximum advancement distance of skin flap was 20.2 mm (range, 8-50 mm). This study demonstrated that the PEPSI technique is an effective method to repair large periorbital cutaneous defects with acceptable functional and aesthetic outcomes.
Pembangunan Model Restaurant Management System
Directory of Open Access Journals (Sweden)
Fredy Jingga
2014-12-01
Full Text Available Model design for Restaurant Management System aims to help in restaurant business process, where Restaurant Management System (RMS help the waitress and chef could interact each other without paper limitation. This Restaurant Management System Model develop using Agile Methodology and developed based on PHP Programming Langguage. The database management system is using MySQL. This web-based application model will enable the waitress and the chef to interact in realtime, from the time they accept the customer order until the chef could know what to cook and checklist for the waitress wheter the order is fullfill or not, until the cahsier that will calculate the bill and the payment that they accep from the customer.
Left-right correlation in coupled F-center defects.
Janesko, Benjamin G
2016-08-07
This work explores how left-right correlation, a textbook problem in electronic structure theory, manifests in a textbook example of electrons trapped in crystal defects. I show that adjacent F-center defects in lithium fluoride display symptoms of "strong" left-right correlation, symptoms similar to those seen in stretched H2. Simulations of UV/visible absorption spectra qualitatively fail to reproduce experiment unless left-right correlation is taken into account. This is of interest to both the electronic structure theory and crystal-defect communities. Theorists have a new well-behaved system to test their methods. Crystal-defect groups are cautioned that the approximations that successfully model single F-centers may fail for adjacent F-centers.
Left-right correlation in coupled F-center defects
International Nuclear Information System (INIS)
Janesko, Benjamin G.
2016-01-01
This work explores how left-right correlation, a textbook problem in electronic structure theory, manifests in a textbook example of electrons trapped in crystal defects. I show that adjacent F-center defects in lithium fluoride display symptoms of “strong” left-right correlation, symptoms similar to those seen in stretched H 2 . Simulations of UV/visible absorption spectra qualitatively fail to reproduce experiment unless left-right correlation is taken into account. This is of interest to both the electronic structure theory and crystal-defect communities. Theorists have a new well-behaved system to test their methods. Crystal-defect groups are cautioned that the approximations that successfully model single F-centers may fail for adjacent F-centers.
Site systems engineering: Systems engineering management plan
Energy Technology Data Exchange (ETDEWEB)
Grygiel, M.L. [Westinghouse Hanford Co., Richland, WA (United States)
1996-05-03
The Site Systems Engineering Management Plan (SEMP) is the Westinghouse Hanford Company (WHC) implementation document for the Hanford Site Systems Engineering Policy, (RLPD 430.1) and Systems Engineering Criteria Document and Implementing Directive, (RLID 430.1). These documents define the US Department of Energy (DOE), Richland Operations Office (RL) processes and products to be used at Hanford to implement the systems engineering process at the site level. This SEMP describes the products being provided by the site systems engineering activity in fiscal year (FY) 1996 and the associated schedule. It also includes the procedural approach being taken by the site level systems engineering activity in the development of these products and the intended uses for the products in the integrated planning process in response to the DOE policy and implementing directives. The scope of the systems engineering process is to define a set of activities and products to be used at the site level during FY 1996 or until the successful Project Hanford Management Contractor (PHMC) is onsite as a result of contract award from Request For Proposal DE-RP06-96RL13200. Following installation of the new contractor, a long-term set of systems engineering procedures and products will be defined for management of the Hanford Project. The extent to which each project applies the systems engineering process and the specific tools used are determined by the project`s management.
Defect forces, defect couples and path integrals in fracture mechanics
International Nuclear Information System (INIS)
Roche, R.L.
1979-07-01
In this work, it is shown that the path integrals can be introduced without any reference to the material behavior. The method is based on the definition in a continuous medium of a set of vectors and couples having the dimension of a force or a moment. More precisely, definitions are given of volume defect forces, surface defect forces, volume defect couples, and surface defect couples. This is done with the help of the stress working variation of a particule moving through the solid. The most important result is: the resultant of all the defect forces included in a volume V is the J integral on the surface surrounding V and the moment resultant is the L integral. So these integrals are defined without any assumption on the material constitutive equation. Another result is the material form of the virtual work principle - defect forces are acting like conventional forces in the conventional principles of virtual work. This lead to the introduction of the energy momentum tensor and of the associated couple stress. Application of this method is made to fracture mechanics in studying the defect forces distribution around a crack [fr
Defect and dopant kinetics in laser anneals of Si
International Nuclear Information System (INIS)
La Magna, A.; Fisicaro, G.; Mannino, G.; Privitera, V.; Piccitto, G.; Svensson, B.G.; Vines, L.
2008-01-01
In this work a modeling approach is applied to investigate the kinetics of the defect-dopant system in the extremely far-from-the equilibrium conditions caused by the laser irradiation in Si. A rigorous derivation of the master equations for the evolution of the defect-impurity system is obtained starting from the Boltzmann's formalism. The model derived is not limited by the stringent hypothesis of instantaneous equilibration of the local system energy to the lattice thermal field. This fact allows: (a) the formalization of a reliable theoretical formalism for the study of evolving defect-impurity systems in a non-uniform fast varying thermal field and (b) the generalization of the kinetic parameters (e.g. diffusivity, clustering rate constants, etc.). Early comparisons between simulations and experimental analysis of the processes are discussed. These results indicate the reliability of the energetic calibration for the self-interstitial clusters derived using conventional thermal processes
International Nuclear Information System (INIS)
Manchev, B.; Nenkova, B.; Tomov, E.
2012-01-01
Risk Engineering Ltd is a Bulgarian private company founded in 1990 to provide engineering and consulting services applicable to each and every field of the energy sector. Since its establishment Risk Engineering Ltd develops, implement and apply a System for quality assurance, certified for the first time by BVQI (now Bureau Veritas Certification) in 1999 for conformity with the standard ISO 9001:1994. Later on, in connection with the revision of the standards of ISO 9000 series and introduction of the standard ISO 9001:2000 a Quality Management System in conformity with the standard ISO 9001:2000 was developed, introduced and certified. At present, Risk Engineering Ltd has got developed, documented, introduced and certified by Lloyd's Register Quality Assurance (LRQA) Quality Management System in compliance with ISO 9001:2008 on the process approach basis. On this basis and including the requirements of the ISO 14001:2004 (regarding the environment) and OHSAS 18001:2007 (regarding the health and occupational safety), Risk Engineering Ltd has developed and introduced Integrated Management System aim at achieving and demonstrating good results regarding protection of the environment, health and occupational safety. The processes under control by the Integrated Management System and applicable at the company are divided in two general types: A) Management processes: Strategic management and Management of the human resources. B) Processes describing the main activities: design/development process; project management; management of industrial projects and technical infrastructure project; construction, installation, repair and operation of power industry facilities; commercial activities and marketing; investigation of energy efficiency of industrial systems and certification of buildings regarding energy efficiency; consulting activity in the field of industry and energy as well as consultant in accordance with the Law of the Spatial Planning; management of the
Knowledge-based systems for power management
Lollar, L. F.
1992-01-01
NASA-Marshall's Electrical Power Branch has undertaken the development of expert systems in support of further advancements in electrical power system automation. Attention is given to the features (1) of the Fault Recovery and Management Expert System, (2) a resource scheduler or Master of Automated Expert Scheduling Through Resource Orchestration, and (3) an adaptive load-priority manager, or Load Priority List Management System. The characteristics of an advisory battery manager for the Hubble Space Telescope, designated the 'nickel-hydrogen expert system', are also noted.
Neural tube defects are birth defects of the brain, spine, or spinal cord. They happen in the ... that she is pregnant. The two most common neural tube defects are spina bifida and anencephaly. In ...
CEFR information management system solution
International Nuclear Information System (INIS)
Lu Fei; Zhao Jia'ning
2011-01-01
Based on finished information resources planning scheme for China sodium cooled experimental fast breeder reactor and the advanced information resources management solution concepts were applied, we got the building solution of CEFR information management systems. At the same time, the technical solutions of systems structures, logic structures, physical structures, development platforms and operation platforms for information resources management system in fast breeder reactors were developed, which provided programmatic introductions for development works in future. (authors)
Curvature-induced defect unbinding and dynamics in active nematic toroids
Ellis, Perry W.; Pearce, Daniel J. G.; Chang, Ya-Wen; Goldsztein, Guillermo; Giomi, Luca; Fernandez-Nieves, Alberto
2018-01-01
Nematic order on curved surfaces is often disrupted by the presence of topological defects, which are singular regions in which the orientational order is undefined. In the presence of force-generating active materials, these defects are able to migrate through space like swimming microorganisms. We use toroidal surfaces to show that despite their highly chaotic and non-equilibrium dynamics, pairs of defects unbind and segregate in regions of opposite Gaussian curvature. Using numerical simulations, we find that the degree of defect unbinding can be controlled by tuning the system activity, and even suppressed in strongly active systems. Furthermore, by using the defects as active microrheological tracers and quantitatively comparing our experimental and theoretical results, we are able to determine material properties of the active nematic. Our results illustrate how topology and geometry can be used to control the behaviour of active materials, and introduce a new avenue for the quantitative mechanical characterization of active fluids.
Management control system description
Energy Technology Data Exchange (ETDEWEB)
Bence, P. J.
1990-10-01
This Management Control System (MCS) description describes the processes used to manage the cost and schedule of work performed by Westinghouse Hanford Company (Westinghouse Hanford) for the US Department of Energy, Richland Operations Office (DOE-RL), Richland, Washington. Westinghouse Hanford will maintain and use formal cost and schedule management control systems, as presented in this document, in performing work for the DOE-RL. This MCS description is a controlled document and will be modified or updated as required. This document must be approved by the DOE-RL; thereafter, any significant change will require DOE-RL concurrence. Westinghouse Hanford is the DOE-RL operations and engineering contractor at the Hanford Site. Activities associated with this contract (DE-AC06-87RL10930) include operating existing plant facilities, managing defined projects and programs, and planning future enhancements. This document is designed to comply with Section I-13 of the contract by providing a description of Westinghouse Hanford's cost and schedule control systems used in managing the above activities. 5 refs., 22 figs., 1 tab.
The distally-based island ulnar artery perforator flap for wrist defects
Directory of Open Access Journals (Sweden)
Karki Durga
2007-01-01
Full Text Available Background: Reconstruction of soft tissue defects around the wrist with exposed tendons, joints, nerves and bone represents a challenge to plastic surgeons, and such defects necessitate flap coverage to preserve hand functions and to protect its vital structures. We evaluated the use of a distally-based island ulnar artery perforator flap in patients with volar soft tissue defects around the wrist. Materials and Methods: Between June 2004 and June 2006, seven patients of soft tissue defects on the volar aspect of the wrist underwent distally-based island ulnar artery perforator flap. Out of seven patients, five were male and two patients were female. This flap was used in the reconstruction of the post road traffic accident defects in four patients and post electric burn defects in three patients. Flap was raised on one or two perforators and was rotated to 180°. Results: All flaps survived completely. Donor sites were closed primarily without donor site morbidity. Conclusion: The distally-based island Ulnar artery perforator flap is convenient, reliable, easy to manage and is a single-stage technique for reconstructing soft tissue defects of the volar aspect of the wrist. Early use of this flap allows preservation of vital structures, decreases morbidity and allows for early rehabilitation.
OCRWM [Office of Civilian Radioactive Waste Management] System Engineering Management Plant (SEMP)
International Nuclear Information System (INIS)
1990-02-01
The Nuclear Waste Policy Act of 1982 established the Office of Civilian Radioactive Waste Management (OCRWM) in the Department of Energy (DOE) to implement a program for the safe and permanent disposal of spent nuclear fuel and high-level radioactive waste. To achieve this objective, the OCRWM is developing an integrated waste-management system consisting of three elements: the transportation system, the monitored retrievable storage (MRS) facility, and the mined geologic disposal system (MGDS). The development of such a system requires management of many diverse disciplines that are involved in research, siting, design, licensing, and external interactions. The purpose of this Systems Engineering Management Plan (SEMP) is to prescribe how the systems-engineering process will be implemented in the development of the waste-management system. Systems engineering will be used by the OCRWM to manage, integrate, and document all aspects of the technical development of the waste-management system and its system elements to ensure that the requirements of the waste-management program are met. It will be applied to all technical activities of the OCRWM program. It will be used by the OCRWM (1) to specify the sequence of technical activities necessary to define the requirements the waste-management system must satisfy, (2) to develop the waste-management system, can be optimized to most effectively satisfy the requirements. Furthermore, systems engineering will be used in the management of Program activities at the program, program-element, and project levels by specifying procedures, studies, reviews, and documentation requirements. 9 refs., 1 fig
Tank waste remediation system systems engineering management plan
International Nuclear Information System (INIS)
Peck, L.G.
1998-01-01
This Systems Engineering Management Plan (SEMP) describes the Tank Waste Remediation System (TWRS) implementation of the US Department of Energy (DOE) systems engineering policy provided in 97-IMSD-193. The SEMP defines the products, process, organization, and procedures used by the TWRS Project to implement the policy. The SEMP will be used as the basis for tailoring the systems engineering applications to the development of the physical systems and processes necessary to achieve the desired end states of the program. It is a living document that will be revised as necessary to reflect changes in systems engineering guidance as the program evolves. The US Department of Energy-Headquarters has issued program management guidance, DOE Order 430. 1, Life Cycle Asset Management, and associated Good Practice Guides that include substantial systems engineering guidance
Improvement of management systems for nuclear facilities
International Nuclear Information System (INIS)
2005-01-01
The area of Quality Management/ Quality Assurance has been changed dramatically over the past years. The nuclear facilities moved from the 'traditional' Quality Assurance approach towards Quality Management Systems, and later a new concept of Integrated Management Systems was introduced. The IAEA is developing a new set of Standards on Integrated Management Systems, which will replace the current 50-C-Q/SG-Q1-Q14 Code. The new set of document will require the integration of all management areas into one coherent management system. The new set of standards on Management Systems promotes the concept of the Integrated Management Systems. Based on new set a big number of documents are under preparation. These documents will address the current issues in the management systems area, e.g. Management of Change, Continuous Improvement, Self-assessment, and Attributes of effective management, etc. Currently NPES is providing a number of TC projects and Extra Budgetary Programmes to assist Member States in this area. The new Standards on Management Systems will be published in 2006. A number of Regulatory bodies already indicated that they would take the new Management System Standards as a basis for the national regulation. This fact will motivate a considerable change in the management of nuclear utilities, requiring a new approach. This activity is suitable for all IAEA Members States with large or limited nuclear capabilities. The service is directed to provide assistance for the management of all organizations carrying on or regulating nuclear activities and facilities
Planning and Resource Management in an Intelligent Automated Power Management System
Morris, Robert A.
1991-01-01
Power system management is a process of guiding a power system towards the objective of continuous supply of electrical power to a set of loads. Spacecraft power system management requires planning and scheduling, since electrical power is a scarce resource in space. The automation of power system management for future spacecraft has been recognized as an important R&D goal. Several automation technologies have emerged including the use of expert systems for automating human problem solving capabilities such as rule based expert system for fault diagnosis and load scheduling. It is questionable whether current generation expert system technology is applicable for power system management in space. The objective of the ADEPTS (ADvanced Electrical Power management Techniques for Space systems) is to study new techniques for power management automation. These techniques involve integrating current expert system technology with that of parallel and distributed computing, as well as a distributed, object-oriented approach to software design. The focus of the current study is the integration of new procedures for automatically planning and scheduling loads with procedures for performing fault diagnosis and control. The objective is the concurrent execution of both sets of tasks on separate transputer processors, thus adding parallelism to the overall management process.
Energy Management of Smart Distribution Systems
Ansari, Bananeh
Electric power distribution systems interface the end-users of electricity with the power grid. Traditional distribution systems are operated in a centralized fashion with the distribution system owner or operator being the only decision maker. The management and control architecture of distribution systems needs to gradually transform to accommodate the emerging smart grid technologies, distributed energy resources, and active electricity end-users or prosumers. The content of this document concerns with developing multi-task multi-objective energy management schemes for: 1) commercial/large residential prosumers, and 2) distribution system operator of a smart distribution system. The first part of this document describes a method of distributed energy management of multiple commercial/ large residential prosumers. These prosumers not only consume electricity, but also generate electricity using their roof-top solar photovoltaics systems. When photovoltaics generation is larger than local consumption, excess electricity will be fed into the distribution system, creating a voltage rise along the feeder. Distribution system operator cannot tolerate a significant voltage rise. ES can help the prosumers manage their electricity exchanges with the distribution system such that minimal voltage fluctuation occurs. The proposed distributed energy management scheme sizes and schedules each prosumer's ES to reduce the electricity bill and mitigate voltage rise along the feeder. The second part of this document focuses on emergency energy management and resilience assessment of a distribution system. The developed emergency energy management system uses available resources and redundancy to restore the distribution system's functionality fully or partially. The success of the restoration maneuver depends on how resilient the distribution system is. Engineering resilience terminology is used to evaluate the resilience of distribution system. The proposed emergency energy
Hole Defects Affect the Dynamic Fracture Behavior of Nearby Running Cracks
Directory of Open Access Journals (Sweden)
R. S. Yang
2018-01-01
Full Text Available Effects of defects on the dynamic fracture behavior of engineering materials cannot be neglected. Using the experimental system of digital laser dynamic caustics, the effects of defects on the dynamic fracture behavior of nearby running cracks are studied. When running cracks propagate near to defects, the crack path deflects toward the defect; the degree of deflection is greater for larger defect diameters. When the running crack propagates away from the defect, the degree of deflection gradually reduces and the original crack path is restored. The intersection between the caustic spot and the defect is the direct cause of the running crack deflection; the intersection area determines the degree of deflection. In addition, the defect locally inhibits the dynamic stress intensity factor of running cracks when they propagate toward the defect and locally promotes the dynamic stress intensity factor of running cracks when they propagate away from the defect.
Management information systems. [United Kingdom
Energy Technology Data Exchange (ETDEWEB)
Hartley, D.; Spence, A.C.
1985-02-01
The successful application in the United Kingdom of the real time monitoring and control systems (MINOS) for underground mining operations, particularly in coal transport and the development of coalface monitoring (FIDO) in 1980 led naturally to the design of an operational data base for management. A User Group of experienced colliery managers produced a Management Information System (MIS) requirements specification and began the evolution of the systems of today. Twenty-four mines operate MIS in different ways from total dependency to a means of checking their manual reporting system. MIS collects useful data from all the major MINOS applications and provides a means of manually inputting other, relevant information. A wide variety of displays and reports are available to management, adjusted to meet individual requirements. The benefits from the use of MIS are difficult to quantify, since they become part of the management process. Further developments are taking place based on operational experience and requirements and taking advantage of the recent advances in computer technology. MIS is the modern management tool in British coal mining, collecting, storing, analysing and presenting accurate information upon which management decision making is based.
Operational Management System for Regulated Water Systems
van Loenen, A.; van Dijk, M.; van Verseveld, W.; Berger, H.
2012-04-01
Most of the Dutch large rivers, canals and lakes are controlled by the Dutch water authorities. The main reasons concern safety, navigation and fresh water supply. Historically the separate water bodies have been controlled locally. For optimizating management of these water systems an integrated approach was required. Presented is a platform which integrates data from all control objects for monitoring and control purposes. The Operational Management System for Regulated Water Systems (IWP) is an implementation of Delft-FEWS which supports operational control of water systems and actively gives advice. One of the main characteristics of IWP is that is real-time collects, transforms and presents different types of data, which all add to the operational water management. Next to that, hydrodynamic models and intelligent decision support tools are added to support the water managers during their daily control activities. An important advantage of IWP is that it uses the Delft-FEWS framework, therefore processes like central data collection, transformations, data processing and presentation are simply configured. At all control locations the same information is readily available. The operational water management itself gains from this information, but it can also contribute to cost efficiency (no unnecessary pumping), better use of available storage and advise during (water polution) calamities.
INTEGRATIVE AUGMENTATION OF STANDARDIZED MANAGEMENT SYSTEMS
Directory of Open Access Journals (Sweden)
Stanislav Karapetrovic
2008-03-01
Full Text Available The development, features and integrating abilities of different international standards related to management systems are discussed. A group of such standards that augment the performance of quality management systems in organizations is specifically focused on. The concept, characteristics and an illustrative example of one augmenting standard, namely ISO 10001, are addressed. Integration of standardized augmenting systems, both by themselves and within the overall management system, is examined. It is argued that, in research and practice alike, integrative augmentation represents the future of standardized quality and other management systems.
Point defects and diffusion in alloys: correlation effects
International Nuclear Information System (INIS)
Barbe, Vincent
2006-01-01
Kinetic models in alloys aim at predicting the transport properties of a system starting from the microscopic jump frequencies of defects. Such properties are of prior importance in systems which stay out of equilibrium for a long time, as for example irradiated alloys in nuclear reactors. We hereby propose several developments of the recent self-consistent mean field (SCMF) kinetic theory, which deals particularly with the correlation effects due to the coupling of atomic and defect fluxes. They are taken into account through a non-equilibrium distribution function of the system, which is derived from the time evolution of small clusters (of two or more atoms or defects). We therefore introduce a set of 'dynamic' interactions called effective Hamiltonian. The SCMF theory is extended to treat high jump frequency ratios for the vacancy mechanism, as well as the transport through interstitial defects. We use in both cases an atomic model which accounts for the thermodynamic properties of the alloy, as e.g. the short-range order. Those models are eventually applied to predict the diffusion properties in two model alloys of nuclear interest: the concentrated Fe-Ni-Cr solid solution and the dilute Fe(P) alloy. We present adapted atomic models and compare our predictions to experimental data. (author)
Configuration Management File Manager Developed for Numerical Propulsion System Simulation
Follen, Gregory J.
1997-01-01
One of the objectives of the High Performance Computing and Communication Project's (HPCCP) Numerical Propulsion System Simulation (NPSS) is to provide a common and consistent way to manage applications, data, and engine simulations. The NPSS Configuration Management (CM) File Manager integrated with the Common Desktop Environment (CDE) window management system provides a common look and feel for the configuration management of data, applications, and engine simulations for U.S. engine companies. In addition, CM File Manager provides tools to manage a simulation. Features include managing input files, output files, textual notes, and any other material normally associated with simulation. The CM File Manager includes a generic configuration management Application Program Interface (API) that can be adapted for the configuration management repositories of any U.S. engine company.
Managing geometric information with a data base management system
Dube, R. P.
1984-01-01
The strategies for managing computer based geometry are described. The computer model of geometry is the basis for communication, manipulation, and analysis of shape information. The research on integrated programs for aerospace-vehicle design (IPAD) focuses on the use of data base management system (DBMS) technology to manage engineering/manufacturing data. The objectives of IPAD is to develop a computer based engineering complex which automates the storage, management, protection, and retrieval of engineering data. In particular, this facility must manage geometry information as well as associated data. The approach taken on the IPAD project to achieve this objective is discussed. Geometry management in current systems and the approach taken in the early IPAD prototypes are examined.
Congenital heart defects in children with oral clefts
Directory of Open Access Journals (Sweden)
Nahvi H.
2007-09-01
Full Text Available Background: Oral clefts are among the most common congenital anomalies. Infants with oral clefts often have other associated congenital defects, especially congenital heart defects. The reported incidences and the types of associated malformations and congenital heart defects vary between different studies. The purpose of this study was to assess the incidence of associated congenital heart defects in children with oral clefts. Methods: All infants with cleft lip and palate referred to the Children's Medical Center and Bahramy; the teaching Hospitals of the Tehran University of Medical Sciences from 1991 to 2005 were prospectively enrolled in this study group. All patients were examined and noted by an academic cleft team contain; a pediatrician and a pediatric surgeon, and received cardiac consultation and echocardiography by a pediatric cardiologist. non cardiac associated anomalies, still born and patients without echocardiography were excluded from the study. Data including age, gender, exposure to contagions and high risk elements ,consanguinity and familial history of oral cleft, type of oral cleft, results of cardiac consultation and echocardiography and associated cardiac anomalies were cumulated and analyzed by SSPS version 13.5Results: Among the 284 infants with oral clefts, 162 were male (57% and 122 were female (43%. Seventy-nine patients (27.8% had cleft lip, 84 (29.5% had cleft palate and 121 (42.6% had both cleft lip and palate. Of all the patients, 21.1% had congenital heart defects. the most common type Of these congenital heart defects(28.3% was atrial septal defect.Conclusions: For patients with cleft lip and palate, we recommend preoperative cardiac consultation, careful examination and routine echocardiography for associated cardiac anomalies, as well as appropriate management and prophylactic antibiotic therapy for those with associated congenital heart anomaly.
Natural history of ventricular septal defects in Nigerian children ...
African Journals Online (AJOL)
There were 2 (3.3%) deaths from bronchopneumonia and bacterial endocarditis. Conclusion. Spontaneous closure readily occurs in small-sized defects and muscular VSDs. However, most patients with moderate to large VSDs are confined to long-term medical management, highlighting the need for indigenous surgical ...
The ubiquitin-proteasome system and autophagy are defective in the taurine-deficient heart.
Jong, Chian Ju; Ito, Takashi; Schaffer, Stephen W
2015-12-01
Taurine depletion leads to impaired mitochondrial function, as characterized by reduced ATP production and elevated superoxide generation. These defects can fundamentally alter cardiomyocyte function and if left unchanged can result in cell death. To protect against these stresses, cardiomyocytes possess quality control processes, such as the ubiquitin-proteasome system (UPS) and autophagy, which can rejuvenate cells through the degradation of damaged proteins and organelles. Hence, the present study tested the hypothesis that reactive oxygen species generated by damaged mitochondria initiates UPS and autophagy in the taurine-deficient heart. Using transgenic mice lacking the taurine transporter (TauTKO) as a model of taurine deficiency, it was shown that the levels of ubiquitinated protein were elevated, an effect associated with a decrease in ATP-dependent 26S β5 proteasome activity. Treating the TauTKO mouse with the mitochondria-specific antioxidant, mitoTEMPO, largely abolished the increase in ubiquitinated protein content. The TauTKO heart was also associated with impaired autophagy, characterized by an increase in the initiator, Beclin-1, and autophagosome content, but a defect in the generation of active autophagolysosomes. Although mitoTEMPO treatment only restores the oxidative balance within the mitochondria, it appeared to completely disrupt the crosstalk between the damaged mitochondria and the quality control processes. Thus, mitochondrial oxidative stress is the main trigger initiating the quality control systems in the taurine-deficient heart. We conclude that the activation of the UPS and autophagy is another fundamental function of mitochondria.
OCRWM Systems Engineering Management Plan (SEMP)
International Nuclear Information System (INIS)
1994-06-01
The Office of Civilian Radioactive Waste Management Systems Engineering Management Plan (OCRWM SEMP) specifies the technical management approach for the development of the waste management system, and specifies the approach for the development of each of the system elements -- the waste acceptance system, the transportation system, the Monitored Retrievable Storage (MRS) facility, and the mined geologic disposal system, which includes site characterization activity. The SEMP also delineates how systems engineering will be used by OCRWM to describe the system development process; it identifies responsibilities for its implementation, and specifies the minimum requirements for systems engineering. It also identifies the close interrelationship of system engineering and licensing processes. This SEMP, which is a combined OCRWM and M ampersand O SEMP, is part of the top-level program documentation and is prepared in accordance with the direction provided in the Program Management System Manual (PMSM). The relationship of this document to other top level documents in the CRWMS document hierarchy is defined in the PMSM. A systems engineering management plan for each project, which specifies the actions to be taken in implementing systems engineering at the project level, shall be prepared by the respective project managers. [''Program'' refers to the CRWMS-wide activity and ''project'' refers to that level responsible for accomplishing the specific activities of that segment of the program.] The requirements for the project level SEMPs are addressed in Section 4.2.2.2. They represent the minimum set of requirements, and do not preclude the broadening of systems engineering activities to meet the specific needs of each project
FEATURES OF LOGISTIC SYSTEM ADAPTIVE MANAGEMENT
Directory of Open Access Journals (Sweden)
Natalya VOZNENKO
2015-08-01
Full Text Available The study presents literature survey on enterprise logistic system adaptive management place and structure in the general enterprise management system. The theoretical basics of logistic system functioning, levels of its management and its effectiveness had been investigated. The role of adaptive management and its types had been scrutinized. The necessity of creating company’s adaptive regulator such as its economic mechanism had been proved.
Construction of test-bed system of voltage management system to ...
African Journals Online (AJOL)
Construction of test-bed system of voltage management system to apply physical power system. ... Journal of Fundamental and Applied Sciences ... system of voltage management system (VMS) in order to apply physical power system.
Implementation of integrated management system
International Nuclear Information System (INIS)
Gaspar Junior, Joao Carlos A.; Fonseca, Victor Zidan da
2007-01-01
In present day exist quality assurance system, environment, occupational health and safety such as ISO9001, ISO14001 and OHSAS18001 and others standards will can create. These standards can be implemented and certified they guarantee one record system, quality assurance, documents control, operational control, responsibility definition, training, preparing and serve to emergency, monitoring, internal audit, corrective action, continual improvement, prevent of pollution, write procedure, reduce costs, impact assessment, risk assessment , standard, decree, legal requirements of municipal, state, federal and local scope. These procedure and systems when isolate applied cause many management systems and bureaucracy. Integration Management System reduce to bureaucracy, excess of documents, documents storage and conflict documents and easy to others standards implementation in future. The Integrated Management System (IMS) will be implemented in 2007. INB created a management group for implementation, this group decides planing, works, policy and advertisement. Legal requirements were surveyed, internal audits, pre-audits and audits were realized. INB is partially in accordance with ISO14001, OSHAS18001 standards. But very soon, it will be totally in accordance with this norms. Many studies and works were contracted to deal with legal requirements. This work have intention of show implementation process of ISO14001, OHSAS18001 and Integrated Management System on INB. (author)
23 CFR 972.204 - Management systems requirements.
2010-04-01
... to operate and maintain the management systems and their associated databases; and (5) A process for... analyses and coordination of all management system outputs to systematically operate, maintain, and upgrade...) The management systems shall be operated so investment decisions based on management system outputs...
49 CFR 215.113 - Defective plain bearing wedge.
2010-10-01
... 49 Transportation 4 2010-10-01 2010-10-01 false Defective plain bearing wedge. 215.113 Section 215... Suspension System § 215.113 Defective plain bearing wedge. A railroad may not place or continue in service a car, if a plain bearing wedge on that car is— (a) Missing; (b) Cracked; (c) Broken; or (d) Not located...
49 CFR 215.117 - Defective roller bearing adapter.
2010-10-01
... 49 Transportation 4 2010-10-01 2010-10-01 false Defective roller bearing adapter. 215.117 Section... Suspension System § 215.117 Defective roller bearing adapter. A railroad may not place or continue in service a car, if the car has a roller bearing adapter that is— (a) Cracked or broken; (b) Not in its design...
Xie, Yu-feng; Shu, Rong; Qian, Jie-lei; Lin, Zhi-kai; Romanos, Georgios E
2015-03-01
Epulis is a benign hyperplasia of the oral soft tissues. Surgical excision always extends to the periosteum and includes scaling of adjacent teeth to remove any possible irritants. The esthetics of the soft tissues may be compromised, however. This article studies three cases in which an immediate laterally positioned flap (LRF) was used to repair mucogingival defects after epulis biopsies. After 24 months, the color and shape of the surgical areas were healthy and stable, nearly complete root coverage was evident, and no lesions reoccurred. For repairing gingival defects after biopsy, LRF appears to be minimally traumatic while promoting esthetic outcomes.
The decommissioning information management system
International Nuclear Information System (INIS)
Park, Seung-Kook; Moon, Jei-Kwon
2015-01-01
At the Korea Atomic Energy Research Institute (KAERI), the Korea Research Reactor (KRR-2) and one uranium conversion plant (UCP) were decommissioned. A project was launched in 1997, for the decommissioning of KRR-2 reactor with the goal of completion by 2008. Another project for the decommissioning of the UCP was launched in 2001. The physical dismantling works were started in August 2003 and the entire project was completed by the end of 2010. KAERI has developed a computer information system, named DECOMMIS, for an information management with an increased effectiveness for decommissioning projects and for record keeping for the future decommissioning projects. This decommissioning information system consists of three sub-systems; code management system, data input system (DDIS) and data processing and output system (DDPS). Through the DDIS, the data can be directly inputted at sites to minimize the time gap between the dismantling activities and the evaluation of the data by the project staff. The DDPS provides useful information to the staff for more effective project management and this information includes several fields, such as project progress management, man power management, waste management, and radiation dose control of workers and so on. The DECOMMIS was applied to the decommissioning projects of the KRR-2 and the UCP, and was utilized to give information to the staff for making decisions regarding the progress of projects. It is also to prepare the reference data for the R and D program which is for the development of the decommissioning engineering system tools and to maintain the decommissioning data for the next projects. In this paper, the overall system will be explained and the several examples of its utilization, focused on waste management and manpower control, will be introduced. (author)
Antenatal Diagnosis of a Rare Neural Tube Defect: Sincipital Encephalocele
Directory of Open Access Journals (Sweden)
Mehdi Kehila
2015-01-01
Full Text Available Context. Fetal sincipital encephalocele is one of the most serious congenital neural tube defects with a high risk of mortality and neonatal morbidity. Prenatal diagnosis of this malformation is important in fetal medicine. Case Report. We report a case of prenatal diagnosis of sincipital encephalocele using ultrasound and MRI imaging. The diagnosis was done at 25 weeks of gestation by identifying an anterior cephalic protrusion through a defect in the skull. Conclusion. Through this case, we discuss the differential diagnosis, management, and prognosis of such lesions.
The electronic transport properties of defected bilayer sliding armchair graphene nanoribbons
Mohammadi, Amin; Haji-Nasiri, Saeed
2018-04-01
By applying non-equilibrium Green's functions (NEGF) in combination with tight-binding (TB) model, we investigate and compare the electronic transport properties of perfect and defected bilayer armchair graphene nanoribbons (BAGNRs) under finite bias. Two typical defects which are placed in the middle of top layer (i.e. single vacancy (SV) and stone wale (SW) defects) are examined. The results reveal that in both perfect and defected bilayers, the maximum current refers to β-AB, AA and α-AB stacking orders, respectively, since the intermolecular interactions are stronger in them. Moreover it is observed that a SV decreases the current in all stacking orders, but the effects of a SW defect is nearly unpredictable. Besides, we introduced a sequential switching behavior and the effects of defects on the switching performance is studied as well. We found that a SW defect can significantly improve the switching behavior of a bilayer system. Transmission spectrum, band structure, molecular energy spectrum and molecular projected self-consistent Hamiltonian (MPSH) are analyzed subsequently to understand the electronic transport properties of these bilayer devices which can be used in developing nano-scale bilayer systems.
LCA of Solid Waste Management Systems
DEFF Research Database (Denmark)
Bakas, Ioannis; Laurent, Alexis; Clavreul, Julie
2018-01-01
The chapter explores the application of LCA to solid waste management systems through the review of published studies on the subject. The environmental implications of choices involved in the modelling setup of waste management systems are increasingly in the spotlight, due to public health...... concerns and new legislation addressing the impacts from managing our waste. The application of LCA to solid waste management systems, sometimes called “waste LCA”, is distinctive in that system boundaries are rigorously defined to exclude all life cycle stages except from the end-of-life. Moreover...... LCA on solid waste systems....
Audit Information Management System
US Agency for International Development — USAID/OIG has initiated its new Audit Information Management System (AIMS) to track OIG's audit recommendations and USAID's management decisions. OIG's in-house...
Congenital Heart Defects and Coronary Anatomy
Mawson, John B.
2002-01-01
Coronary artery anomalies are a well recognized feature of many cardiac malformations and have been catalogued in a number of reviews. This overview concentrates on 1) the interplay between congenital heart defects and coronary morphogenesis, examining how some of the embryology fits with the experiments of nature encountered in clinical practice; and 2) the influence of coronary anatomy on patient management. This overview uses, as examples, pulmonary atresia with intact ventricular septum, ...
Point Defects in Binary Laves-Phase Alloys
Energy Technology Data Exchange (ETDEWEB)
Liaw, P.K.; Liu, C.T.; Pike, L.M.; Zhu, J.H.
1999-01-11
Point defects in the binary C15 NbCrQ and NbCoz, and C 14 NbFe2 systems on both sides of stoichiometry were studied by both bulk density and X-ray Iattiee parameter measurements. It was found that the vacancy concentrations in these systems after quenching from 1000"C are essentially zero. The constitutional defects on both sides of stoichiometry for these systems were found to be of the anti-site type in comparison with the model predictions. Thermal vacancies exhibiting a maximum at the stoichiometric composition were obtained in NbCr2 Laves phase alloys after quenching from 1400"C. However, there are essentially no thermal vacancies in NbFe2 alloys after quenching from 1300oC. Anti-site hardening was found on both sides of stoichiometry for all the tie Laves phase systems studied, while the thermal vacancies in NbCr2 alloys quenched from 1400'C were found to soften the Laves phase. The anti-site hardening of the Laves phases is similar to that of the B2 compounds and the thermal vacancy softening is unique to the Laves phase. Neither the anti-site defects nor the thermal vacancies affect the fracture toughness of the Laves phases significantly.
JAX Colony Management System (JCMS): an extensible colony and phenotype data management system
Donnelly, Chuck J.; McFarland, Mike; Ames, Abigail; Sundberg, Beth; Springer, Dave; Blauth, Peter; Bult, Carol J.
2010-01-01
The Jackson Laboratory Colony Management System (JCMS) is a software application for managing data and information related to research mouse colonies, associated biospecimens, and experimental protocols. JCMS runs directly on computers that run one of the PC Windows® operating systems, but can be accessed via web browser interfaces from any computer running a Windows, Macintosh®, or Linux® operating system. JCMS can be configured for a single user or multiple users in small- to medium-size wo...
Defect Detection of Steel Surfaces with Global Adaptive Percentile Thresholding of Gradient Image
Neogi, Nirbhar; Mohanta, Dusmanta K.; Dutta, Pranab K.
2017-12-01
Steel strips are used extensively for white goods, auto bodies and other purposes where surface defects are not acceptable. On-line surface inspection systems can effectively detect and classify defects and help in taking corrective actions. For detection of defects use of gradients is very popular in highlighting and subsequently segmenting areas of interest in a surface inspection system. Most of the time, segmentation by a fixed value threshold leads to unsatisfactory results. As defects can be both very small and large in size, segmentation of a gradient image based on percentile thresholding can lead to inadequate or excessive segmentation of defective regions. A global adaptive percentile thresholding of gradient image has been formulated for blister defect and water-deposit (a pseudo defect) in steel strips. The developed method adaptively changes the percentile value used for thresholding depending on the number of pixels above some specific values of gray level of the gradient image. The method is able to segment defective regions selectively preserving the characteristics of defects irrespective of the size of the defects. The developed method performs better than Otsu method of thresholding and an adaptive thresholding method based on local properties.
The quality management system applied at PRPN
International Nuclear Information System (INIS)
Benar Bukit
2007-01-01
The ISO 9001-2000 is an International standard for quality management systems. The application of this quality management system is for guaranteeing that the organizations products will fulfill requirements set by its customers. Here the steps taken to apply the quality management system at PRPN are expounded in five main parts, namely quality management system, responsibilities of the management, resources, product realization, measurement, analysis and repair. (author)
International Nuclear Information System (INIS)
Estes, John; Jensen, Kristan; O’Bannon, Andy; Tsatis, Efstratios; Wrase, Timm
2014-01-01
We study a number of (3+1)- and (2+1)-dimensional defect and boundary conformal field theories holographically dual to supergravity theories. In all cases the defects or boundaries are planar, and the defects are codimension-one. Using holography, we compute the entanglement entropy of a (hemi-)spherical region centered on the defect (boundary). We define defect and boundary entropies from the entanglement entropy by an appropriate background subtraction. For some (3+1)-dimensional theories we find evidence that the defect/boundary entropy changes monotonically under certain renormalization group flows triggered by operators localized at the defect or boundary. This provides evidence that the g-theorem of (1+1)-dimensional field theories generalizes to higher dimensions
International Nuclear Information System (INIS)
Rodriguez Lorite, M.; Martin Lopez-Suevos, C.
1996-01-01
Activities performed in most companies are based on the flow of information between their different departments and personnel. Most of this information is on paper (delivery notes, invoices, reports, etc). The percentage of information transmitted electronically (electronic transactions, spread sheets, files from word processors, etc) is usually low. The implementation of systems to control and speed up this work flow is the aim of work flow management systems. This article presents a prototype for applying work flow management systems to a specific area: the basic life cycle of a purchase order in a nuclear power plant, which requires the involvement of various computer applications: purchase order management, warehouse management, accounting, etc. Once implemented, work flow management systems allow optimisation of the execution of different tasks included in the managed life cycles and provide parameters to, if necessary, control work cycles, allowing their temporary or definitive modification. (Author)
Management systems for service providers
International Nuclear Information System (INIS)
Bolokonya, Herbert Chiwalo
2015-02-01
In the field of radiation safety and protection there are a number of institutions that are involved in achieving different goals and strategies. These strategies and objectives are achieved based on a number of tools and systems, one of these tools and systems is the use of a management system. This study aimed at reviewing the management system concept for Technical Service Providers in the field of radiation safety and protection. The main focus was on personal monitoring services provided by personal dosimetry laboratories. A number of key issues were found to be prominent to make the management system efficient. These are laboratory accreditation, approval; having a customer driven operating criteria; and controlling of records and good reporting. (au)
Deciphering defective amelogenesis using in vitro culture systems.
Arinawati, Dian Yosi; Miyoshi, Keiko; Tanimura, Ayako; Horiguchi, Taigo; Hagita, Hiroko; Noma, Takafumi
2018-04-01
The conventional two-dimensional (2D) in vitro culture system is frequently used to analyze the gene expression with or without extracellular signals. However, the cells derived from primary culture and cell lines frequently deviate the gene expression profile compared to the corresponding in vivo samples, which sometimes misleads the actual gene regulation in vivo. To overcome this gap, we developed the comparative 2D and 3D in vitro culture systems and applied them to the genetic study of amelogenesis imperfecta (AI) as a model. Recently, we found specificity protein 6 (Sp6) mutation in an autosomal-recessive AI rat that was previously named AMI. We constructed 3D structure of ARE-B30 cells (AMI-derived rat dental epithelial cells) or G5 (control wild type cells) combined with RPC-C2A cells (rat pulp cell line) separated by the collagen membrane, while in 2D structure, ARE-B30 or G5 was cultured with or without the collagen membrane. Comparative analysis of amelogenesis-related gene expression in ARE-B30 and G5 using our 2D and 3D in vitro systems revealed distinct expression profiles, showing the causative outcomes. Bone morphogenetic protein 2 and follistatin were reciprocally expressed in G5, but not in ARE-B30 cells. All-or-none expression of amelotin, kallikrein-related peptidase 4, and nerve growth factor receptor was observed in both cell types. In conclusion, our in vitro culture systems detected the phenotypical differences in the expression of the stage-specific amelogenesis-related genes. Parallel analysis with 2D and 3D culture systems may provide a platform to understand the molecular basis for defective amelogenesis caused by Sp6 mutation. Copyright © 2017 The Society for Biotechnology, Japan. Published by Elsevier B.V. All rights reserved.
Integrating Process Management with Archival Management Systems: Lessons Learned
Directory of Open Access Journals (Sweden)
J. Gordon Daines, III
2009-03-01
Full Text Available The Integrated Digital Special Collections (INDI system is a prototype of a database-driven, Web application designed to automate and manage archival workflow for large institutions and consortia. This article discusses the how the INDI project enabled the successful implementation of a process to manage large technology projects in the Harold B. Lee Library at Brigham Young University. It highlights how the scope of these technology projects is set and how the major deliverables for each project are defined. The article also talks about how the INDI system followed the process and still failed to be completed. It examines why the process itself is successful and why the INDI project failed. It further underscores the importance of process management in archival management systems.
15 CFR 995.25 - Quality management system.
2010-01-01
... 15 Commerce and Foreign Trade 3 2010-01-01 2010-01-01 false Quality management system. 995.25... § 995.25 Quality management system. (a) Quality management system for CEVADs. (1) CEVAD shall operate a quality management system, based on ISO 9001-2000 or equivalent, which embraces all elements of the...
Device configuration-management system
International Nuclear Information System (INIS)
Nowell, D.M.
1981-01-01
The Fusion Chamber System, a major component of the Magnetic Fusion Test Facility, contains several hundred devices which report status to the Supervisory Control and Diagnostic System for control and monitoring purposes. To manage the large number of diversity of devices represented, a device configuration management system was required and developed. Key components of this software tool include the MFTF Data Base; a configuration editor; and a tree structure defining the relationships between the subsystem devices. This paper will describe how the configuration system easily accomodates recognizing new devices, restructuring existing devices, and modifying device profile information
Häner, R.; Wächter, J.
2012-04-01
relation. The TRIDEC choreography will be based on the definition of Behavioural Interfaces and Service Level Agreements, which describe the interactions of all participants involved in the collaborative process by binding the tasks of dedicated systems to high-level business processes. All methods of a Behavioural Interfaces can be assigned dynamically to the activities of a business process. This allows it to utilise a system during the run-time of a business process and thus, for example enabling task balancing or the delegation of responsibilities. Since the individual parts of a SoS are normally managed independently and operate autonomously because of their geographical distribution it is of vital importance to ensure the reliability (robustness and correctness) of their interactions which will be achieved by applying the Design by Contract (DbC) approach to the TRIDEC architecture. Key challenge for TRIDEC is establishing a reliable adaptive system which exposes an emergent behaviour, for example intelligent monitoring strategies or dynamic system adaptions even in case of partly system failures. It is essential for TRIDEC that for example redundant parts of the system can take over tasks from defect components in a process of re-organising its network.
Anisotropic bias dependent transport property of defective phosphorene layer
Umar Farooq, M.; Hashmi, Arqum; Hong, Jisang
2015-01-01
Phosphorene is receiving great research interests because of its peculiar physical properties. Nonetheless, no systematic studies on the transport properties modified due to defects have been performed. Here, we present the electronic band structure, defect formation energy and bias dependent transport property of various defective systems. We found that the defect formation energy is much less than that in graphene. The defect configuration strongly affects the electronic structure. The band gap vanishes in single vacancy layers, but the band gap reappears in divacancy layers. Interestingly, a single vacancy defect behaves like a p-type impurity for transport property. Unlike the common belief, we observe that the vacancy defect can contribute to greatly increasing the current. Along the zigzag direction, the current in the most stable single vacancy structure was significantly increased as compared with that found in the pristine layer. In addition, the current along the armchair direction was always greater than along the zigzag direction and we observed a strong anisotropic current ratio of armchair to zigzag direction. PMID:26198318
A distribution management system
Energy Technology Data Exchange (ETDEWEB)
Jaerventausta, P; Verho, P; Kaerenlampi, M; Pitkaenen, M [Tampere Univ. of Technology (Finland); Partanen, J [Lappeenranta Univ. of Technology (Finland)
1998-08-01
The development of new distribution automation applications is considerably wide nowadays. One of the most interesting areas is the development of a distribution management system (DMS) as an expansion to the traditional SCADA system. At the power transmission level such a system is called an energy management system (EMS). The idea of these expansions is to provide supporting tools for control center operators in system analysis and operation planning. Nowadays the SCADA is the main computer system (and often the only) in the control center. However, the information displayed by the SCADA is often inadequate, and several tasks cannot be solved by a conventional SCADA system. A need for new computer applications in control center arises from the insufficiency of the SCADA and some other trends. The latter means that the overall importance of the distribution networks is increasing. The slowing down of load-growth has often made network reinforcements unprofitable. Thus the existing network must be operated more efficiently. At the same time larger distribution areas are for economical reasons being monitored at one control center and the size of the operation staff is decreasing. The quality of supply requirements are also becoming stricter. The needed data for new applications is mainly available in some existing systems. Thus the computer systems of utilities must be integrated. The main data source for the new applications in the control center are the AM/FM/GIS (i.e. the network database system), the SCADA, and the customer information system (CIS). The new functions can be embedded in some existing computer system. This means a strong dependency on the vendor of the existing system. An alternative strategy is to develop an independent system which is integrated with other computer systems using well-defined interfaces. The latter approach makes it possible to use the new applications in various computer environments, having only a weak dependency on the
Impacts of reactor. Induced cladding defects on spent fuel storage
International Nuclear Information System (INIS)
Johnson, A.B.
1978-01-01
Defects arise in the fuel cladding on a small fraction of fuel rods during irradiation in water-cooled power reactors. Defects from mechanical damage in fuel handling and shipping have been almost negligible. No commercial water reactor fuel has yet been observed to develop defects while stored in spent fuel pools. In some pools, defective fuel is placed in closed canisters as it is removed from the reactor. However, hundreds of defective fuel bundles are stored in numerous pools on the same basis as intact fuel. Radioactive species carried into the pool from the reactor coolant must be dealt with by the pool purification system. However, additional radiation releases from the defective fuel during storage appear tu be minimal, with the possible exception of fuel discharged while the reactor is operating (CANDU fuel). Over approximately two decades, defective commercial fuel has been handled, stored, shipped and reprocessed. (author)
Seeking perfection in healthcare. A case study in adopting Toyota Production System methods.
Kaplan, Gary S; Patterson, Sarah H
2008-01-01
Virginia Mason Health System's vision to be the quality leader in healthcare means continually adopting new ways of thinking. One change has been shifting from believing defects are to be expected to believing zero defects in healthcare is not only possible, but also necessary. Generally, healthcare has advanced in technology and understanding of disease, but its business and management systems have changed little since the 1950s. Virginia Mason realized it needed a management method to help make real and measurable improvements in safety, quality, service and staff satisfaction.
Creation of Defects Catalogue for Nonconforming Product Identification in the Foundry Organization
Directory of Open Access Journals (Sweden)
Andrea Sütőová
2013-12-01
Full Text Available The paper deals with system of casting defects classification problematics and creation of defects catalogue in the foundry organization. There is described the value of correct defects classification and identification in the literature review and also some tools for defects classification are mentioned. Existing defects classifications and catalogues are often unusable for particular production processes and casting technology. Many foundries therefore create their own defects catalogues. The sample of created catalogue, which classifies and describes defects occuring in the aluminium foundry organization and its benefits are presented in the paper. The created catalogue primarily serves as a visual support for production operators and quality control processes.
JAX Colony Management System (JCMS): an extensible colony and phenotype data management system.
Donnelly, Chuck J; McFarland, Mike; Ames, Abigail; Sundberg, Beth; Springer, Dave; Blauth, Peter; Bult, Carol J
2010-04-01
The Jackson Laboratory Colony Management System (JCMS) is a software application for managing data and information related to research mouse colonies, associated biospecimens, and experimental protocols. JCMS runs directly on computers that run one of the PC Windows operating systems, but can be accessed via web browser interfaces from any computer running a Windows, Macintosh, or Linux operating system. JCMS can be configured for a single user or multiple users in small- to medium-size work groups. The target audience for JCMS includes laboratory technicians, animal colony managers, and principal investigators. The application provides operational support for colony management and experimental workflows, sample and data tracking through transaction-based data entry forms, and date-driven work reports. Flexible query forms allow researchers to retrieve database records based on user-defined criteria. Recent advances in handheld computers with integrated barcode readers, middleware technologies, web browsers, and wireless networks add to the utility of JCMS by allowing real-time access to the database from any networked computer.
Integrated Computer System of Management in Logistics
Chwesiuk, Krzysztof
2011-06-01
This paper aims at presenting a concept of an integrated computer system of management in logistics, particularly in supply and distribution chains. Consequently, the paper includes the basic idea of the concept of computer-based management in logistics and components of the system, such as CAM and CIM systems in production processes, and management systems for storage, materials flow, and for managing transport, forwarding and logistics companies. The platform which integrates computer-aided management systems is that of electronic data interchange.
Y-12 Integrated Materials Management System
Energy Technology Data Exchange (ETDEWEB)
Alspaugh, D. H.; Hickerson, T. W.
2002-06-03
The Integrated Materials Management System, when fully implemented, will provide the Y-12 National Security Complex with advanced inventory information and analysis capabilities and enable effective assessment, forecasting and management of nuclear materials, critical non-nuclear materials, and certified supplies. These capabilities will facilitate future Y-12 stockpile management work, enhance interfaces to existing National Nuclear Security Administration (NNSA) corporate-level information systems, and enable interfaces to planned NNSA systems. In the current national nuclear defense environment where, for example, weapons testing is not permitted, material managers need better, faster, more complete information about material properties and characteristics. They now must manage non-special nuclear material at the same high-level they have managed SNM, and information capabilities about both must be improved. The full automation and integration of business activities related to nuclear and non-nuclear materials that will be put into effect by the Integrated Materials Management System (IMMS) will significantly improve and streamline the process of providing vital information to Y-12 and NNSA managers. This overview looks at the kinds of information improvements targeted by the IMMS project, related issues, the proposed information architecture, and the progress to date in implementing the system.
Y-12 Integrated Materials Management System
International Nuclear Information System (INIS)
Alspaugh, D. H.; Hickerson, T. W.
2002-01-01
The Integrated Materials Management System, when fully implemented, will provide the Y-12 National Security Complex with advanced inventory information and analysis capabilities and enable effective assessment, forecasting and management of nuclear materials, critical non-nuclear materials, and certified supplies. These capabilities will facilitate future Y-12 stockpile management work, enhance interfaces to existing National Nuclear Security Administration (NNSA) corporate-level information systems, and enable interfaces to planned NNSA systems. In the current national nuclear defense environment where, for example, weapons testing is not permitted, material managers need better, faster, more complete information about material properties and characteristics. They now must manage non-special nuclear material at the same high-level they have managed SNM, and information capabilities about both must be improved. The full automation and integration of business activities related to nuclear and non-nuclear materials that will be put into effect by the Integrated Materials Management System (IMMS) will significantly improve and streamline the process of providing vital information to Y-12 and NNSA managers. This overview looks at the kinds of information improvements targeted by the IMMS project, related issues, the proposed information architecture, and the progress to date in implementing the system
Implementing Management Systems-Based Assessments
International Nuclear Information System (INIS)
Campisi, John A.; Reese, Robert T.
1999-01-01
A management system approach for evaluating environment, safety, health, and quality is in use at Sandia National Laboratories (SNL). Sandia is a multiprogram laboratory operated by Sandia Corporation, a Lockheed Martin Company, for the United States Department of Energy under contract DE-AC04-94AL85000. As a multi-program national laboratory, SNL has many diverse operations including research, engineering development and applications, production, and central services supporting all activities and operations. Basic research examples include fusion power generation, nuclear reactor experiments, and investigation of combustion processes. Engineering development examples are design, testing, and prototype developments of micro-mechanical systems for safe'arding computer systems, air bags for automobiles, satellite systems, design of transportation systems for nuclear materials, and systems for use in medical applications such as diagnostics and surgery. Production operations include manufacture of instrumented detection devices, radioisotopes, and replacement parts for previously produced engineered systems. Support services include facilities engineering, construction, and site management, site security, packaging and transportation of hazardous materials wastes, ES ampersand H functional programs to establish requirements and guidance to comply with federal, state, local, and contractual requirements and work safety. In this diverse environment, unlike more traditional single function business units, an integrated consistent management system is not typical. Instead, each type of diverse activity has its own management system designed and distributed around the operations, personnel, customers, and facilities (e.g., hazards involved, security, regulatory requirements, and locations). Laboratory managers are not likely to have experience in the more traditional hierarchical or command and control structures and thus do not share oversight expectations found in
Implementing Management Systems-Based Assessments
Energy Technology Data Exchange (ETDEWEB)
Campisi, John A.; Reese, Robert T.
1999-05-03
A management system approach for evaluating environment, safety, health, and quality is in use at Sandia National Laboratories (SNL). Sandia is a multiprogram laboratory operated by Sandia Corporation, a Lockheed Martin Company, for the United States Department of Energy under contract DE-AC04-94AL85000. As a multi-program national laboratory, SNL has many diverse operations including research, engineering development and applications, production, and central services supporting all activities and operations. Basic research examples include fusion power generation, nuclear reactor experiments, and investigation of combustion processes. Engineering development examples are design, testing, and prototype developments of micro-mechanical systems for safe'~arding computer systems, air bags for automobiles, satellite systems, design of transportation systems for nuclear materials, and systems for use in medical applications such as diagnostics and surgery. Production operations include manufacture of instrumented detection devices, radioisotopes, and replacement parts for previously produced engineered systems. Support services include facilities engineering, construction, and site management, site security, packaging and transportation of hazardous materials wastes, ES&H functional programs to establish requirements and guidance to comply with federal, state, local, and contractual requirements and work safety. In this diverse environment, unlike more traditional single function business units, an integrated consistent management system is not typical. Instead, each type of diverse activity has its own management system designed and distributed around the operations, personnel, customers, and facilities (e.g., hazards involved, security, regulatory requirements, and locations). Laboratory managers are not likely to have experience in the more traditional hierarchical or command and control structures and thus do not share oversight expectations found in
FORMATION OF THE ENTERPRISE COSTS MANAGEMENT SYSTEM
Directory of Open Access Journals (Sweden)
Borysiuk Iryna
2018-01-01
Full Text Available Introduction. The paper deals with the actual issues of formation of the enterprise management system costs, because in the conditions of an unstable market environment the financial performance depends on the efficiency of the cost management system, competitiveness, financial sustainability and investment attractiveness of any subject of economic activity. Purpose of the article is consolidation of approaches to cost management, theoretical substantiation and development of recommendations regarding the formation of the enterprise cost management system. Results. Development of an enterprise cost management system based on research on the essence and cost management approaches. The goals, tasks, principles, methods, tools, functions and main elements of the cost management system were determined, factors of the external and internal environment of the enterprise, that affect the system of its costs management. Conclusions. Formation of integrated cost management system ensures the successful company operation on the market, production of competitive products based on costs and prices optimization and making a profit, increase of the reasonableness of making managerial decisions.
CT Image Sequence Processing For Wood Defect Recognition
Dongping Zhu; R.W. Conners; Philip A. Araman
1991-01-01
The research reported in this paper explores a non-destructive testing application of x-ray computed tomography (CT) in the forest products industry. This application involves a computer vision system that uses CT to locate and identify internal defects in hardwood logs. The knowledge of log defects is critical in deciding whether to veneer or to saw up a log, and how...
International Nuclear Information System (INIS)
Heo, Gyun Young; Choi, Seong Soo; Kim, Han Gon; Chang, Soon Heung
1997-01-01
For a long time, a number of alarm processing techniques have been researched to reduce the number of actuated alarms for operators to deal with effectively during the abnormal as well as the normal conditions. However, the strategy that the only systems with a few clear technologies should be used as a part of an alarm annunciation system has been adopted considering the effectiveness and the reliability in actual alarm processing systems. Therefore, alarm processing systems have difficult knowledge-base maintenance problems and limited expansion or enhancement defects. To solve these shortcomings, the integrated knowledge-base which can express the general information related to all the alarm processing techniques is proposed and its management tool, Knowledge Input Tool for Alarm (KIT-A) which can handle the data of the knowledge-base efficiently is developed. Since the integrated knowledge-base with KIT-A can manipulate all the alarm information without the modification of alarm processing system itself, it is expected to considerably advance the overall capability of maintenance and enhancement of the alarm processing systems
Romano, Lucia; Jagadish, Chennupati
2015-01-01
This volume, number 91 in the Semiconductor and Semimetals series, focuses on defects in semiconductors. Defects in semiconductors help to explain several phenomena, from diffusion to getter, and to draw theories on materials' behavior in response to electrical or mechanical fields. The volume includes chapters focusing specifically on electron and proton irradiation of silicon, point defects in zinc oxide and gallium nitride, ion implantation defects and shallow junctions in silicon and germanium, and much more. It will help support students and scientists in their experimental and theoret
Energy management systems in buildings
Energy Technology Data Exchange (ETDEWEB)
Lush, D. M.
1979-07-01
An investigation is made of the range of possibilities available from three types of systems (automatic control devices, building envelope, and the occupants) in buildings. The following subjects are discussed: general (buildings, design and personnel); new buildings (envelope, designers, energy and load calculations, plant design, general design parameters); existing buildings (conservation measures, general energy management, air conditioned buildings, industrial buildings); man and motivation (general, energy management and documentation, maintenance, motivation); automatic energy management systems (thermostatic controls, optimized plant start up, air conditioned and industrial buildings, building automatic systems). (MCW)
The importance of management information systems in a managed care environment.
Porro, M R; Brill, K R
1995-06-01
Keys to successful information systems for home care providers are planning and control. With managed care's emphasis on data, agencies need to have information systems that can handle the demands managed care puts on agencies today--planning before hurrying to install a system will ensure control as the managed care contracts add up.
Underground risk management information systems
Energy Technology Data Exchange (ETDEWEB)
Matsuyama, S.; Inoue, M.; Sakai, T.
2006-03-15
JCOAL has conducted Joint Research on an Underground Communication and Risk Management Information System with CSIRO of Australia under a commissioned study project for the promotion of coal use starting in fiscal 2002. The goal of this research project is the establishment of a new Safety System focusing on the comprehensive risk management information system by the name of Nexsys. The main components of the system are the Ethernet type underground communication system that represents the data communication base, and the risk management information system that permits risk analysis in real-time and provides decision support based on the collected data. The Nexsys is an open system and is a core element of the underground monitoring system. Using a vast amount of underground data, it is capable of accommodating a wide range of functions that were not available in the past. Because of it, it is possible to construct an advanced underground safety system. 14 figs., 4 tabs.
Knowledge management: processes and systems | Igbinovia ...
African Journals Online (AJOL)
Knowledge management: processes and systems. ... Information Impact: Journal of Information and Knowledge Management ... observation, role reversal technique, and discussion forums as well as the forms of knowledge representation to include report writing, database management system and institutional repositories.
Environmental management: A system approach
Petak, William J.
1981-05-01
This paper presents a system framework whose purpose is to improve understanding of environmental management. By analyzing the links between elements of the environmental management system, it is possible to construct a model that aids thinking systematically about the decision-making subsystem, and other subsystems, of the entire environmental management system. Through a multidisciplinary environmental approach, each of the individual subsystems is able to adapt to threats and opportunities. The fields of government, market economics, social responsibility and ecology, for example, are so complex that it is extremely difficult to develop a framework that gives full consideration to all aspects. This paper, through the application of a highly idealized system framework, attempts to show the general relationships that exist between complex system elements.
Integrated Services Management System (ISMS): A management and decision making tool
Energy Technology Data Exchange (ETDEWEB)
Barber, D.S.; Brockman, D.L.; Buxton, L.D. [and others
1995-10-01
This document provides information concerning the Integrated Services Management System (ISMS) that was developed for the Laboratories Services Division during the period February 1994 through May 1995. ISMS was developed as a formal method for centralized management of programs within the Division. With minor modifications, this system can be adapted for management of all overhead functions at SNL or for sector level program management. Included in this document are the reasons for the creation of this system as well as the resulting benefits. The ISMS consists of six interlinked processes; Issues Management, Task/Activity Planning, Work Decision, Commitment Management, Process/Project Management, and Performance Assessment. Those processes are described in detail within this document. Additionally, lessons learned and suggestions for future improvements are indicated.
Searching your site's management information systems
International Nuclear Information System (INIS)
Marquez, W.; Rollin, C.
1994-01-01
The Department of Energy's guidelines for the Baseline Environmental Management Report (BEMR) encourage the use of existing data when compiling information. Specific systems mentioned include the Progress Tracking System, the Mixed-Waste Inventory Report, the Waste Management Information System, DOE 4700.1-related systems, Programmatic Environmental Impact Statement (PEIS) data, and existing Work Breakdown Structures. In addition to these DOE-Headquarters tracking and reporting systems, there are a number of site systems that will be relied upon to produce the BEMR, including: (1) site management control and cost tracking systems; (2) commitment/issues tracking systems; (3) program-specific internal tracking systems; (4) Site material/equipment inventory systems. New requirements have often prompted the creation of new, customized tracking systems. This is a very time and money consuming process. As the BEMR Management Plan emphasizes, an effort should be made to use the information in existing tracking systems. Because of the wealth of information currently available from in-place systems, development of a new tracking system should be a last resort
Bootstrap equations for N=4 SYM with defects
Energy Technology Data Exchange (ETDEWEB)
Liendo, Pedro [IMIP, Humboldt-Universität zu Berlin, IRIS Adlershof,Zum Großen Windkanal 6, 12489 Berlin (Germany); Meneghelli, Carlo [Simons Center for Geometry and Physics, Stony Brook University,Stony Brook, NY 11794-3636 (United States)
2017-01-27
This paper focuses on the analysis of 4dN=4 superconformal theories in the presence of a defect from the point of view of the conformal bootstrap. We will concentrate first on the case of codimension one, where the defect is a boundary that preserves half of the supersymmetry. After studying the constraints imposed by supersymmetry, we will obtain the Ward identities associated to two-point functions of (1/2)-BPS operators and write their solution as a superconformal block expansion. Due to a surprising connection between spacetime and R-symmetry conformal blocks, our results not only apply to 4dN=4 superconformal theories with a boundary, but also to three more systems that have the same symmetry algebra: 4dN=4 superconformal theories with a line defect, 3dN=4 superconformal theories with no defect, and OSP(4{sup ∗}|4) superconformal quantum mechanics. The superconformal algebra implies that all these systems possess a closed subsector of operators in which the bootstrap equations become polynomial constraints on the CFT data. We derive these truncated equations and initiate the study of their solutions.
Bootstrap equations for N=4 SYM with defects
International Nuclear Information System (INIS)
Liendo, Pedro; Meneghelli, Carlo
2017-01-01
This paper focuses on the analysis of 4dN=4 superconformal theories in the presence of a defect from the point of view of the conformal bootstrap. We will concentrate first on the case of codimension one, where the defect is a boundary that preserves half of the supersymmetry. After studying the constraints imposed by supersymmetry, we will obtain the Ward identities associated to two-point functions of (1/2)-BPS operators and write their solution as a superconformal block expansion. Due to a surprising connection between spacetime and R-symmetry conformal blocks, our results not only apply to 4dN=4 superconformal theories with a boundary, but also to three more systems that have the same symmetry algebra: 4dN=4 superconformal theories with a line defect, 3dN=4 superconformal theories with no defect, and OSP(4 ∗ |4) superconformal quantum mechanics. The superconformal algebra implies that all these systems possess a closed subsector of operators in which the bootstrap equations become polynomial constraints on the CFT data. We derive these truncated equations and initiate the study of their solutions.
INTEGRATED HSEQ MANAGEMENT SYSTEMS: DEVELOPMENTS AND TRENDS
Osmo Kauppila; Janne Härkönen; Seppo Väyrynen
2015-01-01
The integration of health and safety, environmental and quality (HSEQ) management systems has become a current topic in the 21st century, as the need for systems thinking has grown along with the number of management system standards. This study aims to map current developments and trends in integrated HSEQ management. Three viewpoints are taken: the current state of the main HSEQ management standards, research literature on integrated management systems (IMS), and a case study of an industry...
INTEGRATED HSEQ MANAGEMENT SYSTEMS: DEVELOPMENTS AND TRENDS
Directory of Open Access Journals (Sweden)
Osmo Kauppila
2015-06-01
Full Text Available The integration of health and safety, environmental and quality (HSEQ management systems has become a current topic in the 21st century, as the need for systems thinking has grown along with the number of management system standards. This study aims to map current developments and trends in integrated HSEQ management. Three viewpoints are taken: the current state of the main HSEQ management standards, research literature on integrated management systems (IMS, and a case study of an industry-led HSEQ cluster in Northern Finland. The results demonstrate that some of the most prominent current trends are the harmonization of the high level structure of management systems by ISO, the evaluation of IMS, accounting for the supply chain in HSEQ issues, and sustainability and risk management. The results of the study can be used by practitioners to get a view of the current state of HSEQ management systems and their integration, and by researchers to seek out potential directions for HSEQ and IMS related research.
Directory of Open Access Journals (Sweden)
Lin Wang
Full Text Available BACKGROUND: The basic strategy to construct tissue engineered bone graft (TEBG is to combine osteoblastic cells with three dimensional (3D scaffold. Based on this strategy, we proposed the "Totally Vitalized TEBG" (TV-TEBG which was characterized by abundant and homogenously distributed cells with enhanced cell proliferation and differentiation and further investigated its biological performance in repairing segmental bone defect. METHODS: In this study, we constructed the TV-TEBG with the combination of customized flow perfusion seeding/culture system and β-tricalcium phosphate (β-TCP scaffold fabricated by Rapid Prototyping (RP technique. We systemically compared three kinds of TEBG constructed by perfusion seeding and perfusion culture (PSPC method, static seeding and perfusion culture (SSPC method, and static seeding and static culture (SSSC method for their in vitro performance and bone defect healing efficacy with a rabbit model. RESULTS: Our study has demonstrated that TEBG constructed by PSPC method exhibited better biological properties with higher daily D-glucose consumption, increased cell proliferation and differentiation, and better cell distribution, indicating the successful construction of TV-TEBG. After implanted into rabbit radius defects for 12 weeks, PSPC group exerted higher X-ray score close to autograft, much greater mechanical property evidenced by the biomechanical testing and significantly higher new bone formation as shown by histological analysis compared with the other two groups, and eventually obtained favorable healing efficacy of the segmental bone defect that was the closest to autograft transplantation. CONCLUSION: This study demonstrated the feasibility of TV-TEBG construction with combination of perfusion seeding, perfusion culture and RP technique which exerted excellent biological properties. The application of TV-TEBG may become a preferred candidate for segmental bone defect repair in orthopedic and
Software And Systems Engineering Risk Management
2010-04-01
RSKM 2004 COSO Enterprise RSKM Framework 2006 ISO/IEC 16085 Risk Management Process 2008 ISO/IEC 12207 Software Lifecycle Processes 2009 ISO/IEC...1 Software And Systems Engineering Risk Management John Walz VP Technical and Conferences Activities, IEEE Computer Society Vice-Chair Planning...Software & Systems Engineering Standards Committee, IEEE Computer Society US TAG to ISO TMB Risk Management Working Group Systems and Software
Topological defects after a quench in a Bénard-Marangoni convection system.
Casado, S; González-Viñas, W; Mancini, H; Boccaletti, S
2001-05-01
We report experimental evidence of the fact that, in a Bénard-Marangoni conduction-convection transition, the density of defects in the emerging structure scales as a power law in the quench time needed for the control parameter to ramp through the threshold. The obtained scaling exponents differ from the ones predicted and observed in the case in which the defects correspond to zeros in the amplitude of the global two-dimensional field.
49 CFR 215.107 - Defective plain bearing box: General.
2010-10-01
... 49 Transportation 4 2010-10-01 2010-10-01 false Defective plain bearing box: General. 215.107... Suspension System § 215.107 Defective plain bearing box: General. A railroad may not place or continue in... the bearing; or (2) Have a detrimental effect on the lubrication of the journal and the bearings. ...
Defect engineering of SrTiO{sub 3} thin films for resistive switching applications
Energy Technology Data Exchange (ETDEWEB)
Wicklein, Sebastian
2013-11-19
As a matter of fact, the importance of (transition) metal oxides for modern applications in the field of energy and information technology (IT) for e.g. novel energy storage systems and solid state electronic devices is increasing. Previous studies discovered the importance of defects in an oxide for their functionality and emphasized the impact of stoichiometry on the oxide performance. A new field of interest of the memory technology sector is the so-called resistive switching phenomena where a voltage stimulus causes a thin oxide (≤10 nm) to change its resistance state from a high resistance state to a low resistance state and back. So called resistive RAM (ReRAM or RRAM) are deemed to be the future replacement (2015) for contemporary FLASH memory technology due to its extremely low energy consumption, its very fast read/write time (ns) and its possible node size <10 nm. A key challenge for the investigation of oxides and their electronic properties is the management and controlled incorporation of defects in the thin film oxide. Within this work, SrTiO{sub 3} was used as an oxide model material and was deposited by pulsed laser deposition (PLD) onto doped and undoped SrTiO{sub 3} single crystals to investigate the formation of defects as a function of the process parameters. By combining structural and chemical thin film analysis with detailed PLD plume diagnostics and modeling of the laser plume dynamics, it was possible to elucidate the different physical mechanisms determining the stoichiometry of SrTiO{sub 3} during PLD. Deviations between thin film and target stoichiometry are basically a result of two effects, namely, incongruent ablation and preferential scattering of lighter ablated species during their motion towards the substrate in the O{sub 2} background gas. It is shown that the SrTiO{sub 3} system reacts to a non-stoichiometry with the systematic incorporation of titanium and strontium vacancies which could be detected by positron annihilation
International Nuclear Information System (INIS)
Ritschl, P.; Hajek, P.C.; Pechmann, U.
1989-01-01
Sixteen patients with fibrous metaphyseal defects were examined with both plain radiography and magnetic resonance (MR) imaging. Depending on the age of the fibrous metaphyseal defects, characteristic radiomorphologic changes were found which correlated well with MR images. Following intravenous Gadolinium-DTPA injection, fibrous metaphyseal defects invariably exhibited a hyperintense border and signal enhancement. (orig./GDG)
I-15 integrated corridor management system : project management plan.
2011-06-01
The Project Management Plan (PMP) assists the San Diego ICM Team by defining a procedural framework for : management and control of the I-15 Integrated Corridor Management Demonstration Project, and development and : deployment of the ICM System. The...
Bjørsum-Meyer, Thomas; Herlin, Morten; Qvist, Niels; Petersen, Michael B
2016-12-21
The vertebral defect, anal atresia, cardiac defect, tracheoesophageal fistula/esophageal atresia, renal defect, and limb defect association and Mayer-Rokitansky-Küster-Hauser syndrome are rare conditions. We aimed to present two cases with the vertebral defect, anal atresia, cardiac defect, tracheoesophageal fistula/esophageal atresia, renal defect, and limb defect association and Mayer-Rokitansky-Küster-Hauser co-occurrence from our local surgical center and through a systematic literature search detect published cases. Furthermore, we aimed to collect existing knowledge in the embryopathogenesis and genetics in order to discuss a possible link between the vertebral defect, anal atresia, cardiac defect, tracheoesophageal fistula/esophageal atresia, renal defect, and limb defect association and Mayer-Rokitansky-Küster-Hauser syndrome. Our first case was a white girl delivered by caesarean section at 37 weeks of gestation; our second case was a white girl born at a gestational age of 40 weeks. A co-occurrence of vertebral defect, anal atresia, cardiac defect, tracheoesophageal fistula/esophageal atresia, renal defect, and limb defect association and Mayer-Rokitansky-Küster-Hauser syndrome was diagnosed in both cases. We performed a systematic literature search in PubMed ((VACTERL) OR (VATER)) AND ((MRKH) OR (Mayer-Rokitansky-Küster-Hauser) OR (mullerian agenesis) OR (mullerian aplasia) OR (MURCS)) without limitations. A similar search was performed in Embase and the Cochrane library. We added two cases from our local center. All cases (n = 9) presented with anal atresia and renal defect. Vertebral defects were present in eight patients. Rectovestibular fistula was confirmed in seven patients. Along with the uterovaginal agenesis, fallopian tube aplasia appeared in five of nine cases and in two cases ovarian involvement also existed. The co-occurrence of the vertebral defect, anal atresia, cardiac defect, tracheoesophageal fistula/esophageal atresia, renal
Database management system for large container inspection system
International Nuclear Information System (INIS)
Gao Wenhuan; Li Zheng; Kang Kejun; Song Binshan; Liu Fang
1998-01-01
Large Container Inspection System (LCIS) based on radiation imaging technology is a powerful tool for the Customs to check the contents inside a large container without opening it. The author has discussed a database application system, as a part of Signal and Image System (SIS), for the LCIS. The basic requirements analysis was done first. Then the selections of computer hardware, operating system, and database management system were made according to the technology and market products circumstance. Based on the above considerations, a database application system with central management and distributed operation features has been implemented
Essentials of Project and Systems Engineering Management
Eisner, Howard S
2008-01-01
The Third Edition of Essentials of Project and Systems Engineering Management enables readers to manage the design, development, and engineering of systems effectively and efficiently. The book both defines and describes the essentials of project and systems engineering management and, moreover, shows the critical relationship and interconnection between project management and systems engineering. The author's comprehensive presentation has proven successful in enabling both engineers and project managers to understand their roles, collaborate, and quickly grasp and apply all the basic princip
Car insurance information management system
Sun, Yu
2015-01-01
A customer information system is a typical information management system. It involves three aspects, the backstage database establishment, the application development and the system maintenance. A car insurance information management system is based on browser/server structure. Microsoft SQL Server establishes the backstage database. Active Server Pages, from Microsoft as well is used as the interface layer. The objective of this thesis was to apply ASP to the dynamic storage of a web page...
Defect-induced transitions in synchronous asymmetric exclusion processes
International Nuclear Information System (INIS)
Liu Mingzhe; Wang Ruili; Jiang Rui; Hu Maobin; Gao Yang
2009-01-01
The effects of a single local defect in synchronous asymmetric exclusion processes are investigated via theoretical analysis and Monte Carlo simulations. Our theoretical analysis shows that there are four possible stationary phases, i.e., the (low density, low density), (low density, high density), (high density, low density) and (high density, high density) in the system. In the (high density, low density) phase, the system can reach a maximal current which is determined by the local defect, but independent of boundary conditions. A phenomenological domain wall approach is developed to predict dynamic behavior at phase boundaries. The effects of defective hopping probability p on density profiles and currents are investigated. Our investigation shows that the value of p determines phase transitions when entrance rate α and exit rate β are fixed. Density profiles and currents obtained from theoretical calculations are in agreement with Monte Carlo simulations
HTR-10 management information system
International Nuclear Information System (INIS)
Liu Ruoxiao; Wu Zhongwang; Xi Shuren
2000-01-01
The HTR-10 Management information system (REMIS) strengthens the managerial level and usage of the information of HTR-10, thereby enhances the ability and efficiency of the design and management work. REMIS is designed based on the Client/Server framework. Database management system is SQL Server 6.5 for NT, While the client side is developed by Borland C ++ Builder, and it is based on Windows 95/98. The network protocol is TCP/IP. REMIS collects date of the HTR-10 at four parameters: Reactor properties, Design parameters, Equipment properties Reactor system flow charts. Final discussing extended prospect of REMIS
The CMS workload management system
Energy Technology Data Exchange (ETDEWEB)
Cinquilli, M. [CERN; Evans, D. [Fermilab; Foulkes, S. [Fermilab; Hufnagel, D. [Fermilab; Mascheroni, M. [CERN; Norman, M. [UC, San Diego; Maxa, Z. [Caltech; Melo, A. [Vanderbilt U.; Metson, S. [Bristol U.; Riahi, H. [INFN, Perugia; Ryu, S. [Fermilab; Spiga, D. [CERN; Vaandering, E. [Fermilab; Wakefield, Stuart [Imperial Coll., London; Wilkinson, R. [Caltech
2012-01-01
CMS has started the process of rolling out a new workload management system. This system is currently used for reprocessing and Monte Carlo production with tests under way using it for user analysis. It was decided to combine, as much as possible, the production/processing, analysis and T0 codebases so as to reduce duplicated functionality and make best use of limited developer and testing resources. This system now includes central request submission and management (Request Manager), a task queue for parcelling up and distributing work (WorkQueue) and agents which process requests by interfacing with disparate batch and storage resources (WMAgent).
The CMS workload management system
International Nuclear Information System (INIS)
Cinquilli, M; Mascheroni, M; Spiga, D; Evans, D; Foulkes, S; Hufnagel, D; Ryu, S; Vaandering, E; Norman, M; Maxa, Z; Wilkinson, R; Melo, A; Metson, S; Riahi, H; Wakefield, S
2012-01-01
CMS has started the process of rolling out a new workload management system. This system is currently used for reprocessing and Monte Carlo production with tests under way using it for user analysis. It was decided to combine, as much as possible, the production/processing, analysis and T0 codebases so as to reduce duplicated functionality and make best use of limited developer and testing resources. This system now includes central request submission and management (Request Manager); a task queue for parcelling up and distributing work (WorkQueue) and agents which process requests by interfacing with disparate batch and storage resources (WMAgent).
Radiation management computer system for Monju
International Nuclear Information System (INIS)
Aoyama, Kei; Yasutomo, Katsumi; Sudou, Takayuki; Yamashita, Masahiro; Hayata, Kenichi; Ueda, Hajime; Hosokawa, Hideo
2002-01-01
Radiation management of nuclear power research institutes, nuclear power stations and other such facilities are strictly managed under Japanese laws and management policies. Recently, the momentous issues of more accurate radiation dose management and increased work efficiency has been discussed. Up to now, Fuji Electric Company has supplied a large number of Radiation Management Systems to nuclear power stations and related nuclear facilities. We introduce the new radiation management computer system with adopted WWW technique for Japan Nuclear Cycle Development Institute, MONJU Fast Breeder Reactor (MONJU). (author)
Deep, Prakash; Paninjath, Sankaranarayanan; Pereira, Mark; Buck, Peter
2016-05-01
At advanced technology nodes mask complexity has been increased because of large-scale use of resolution enhancement technologies (RET) which includes Optical Proximity Correction (OPC), Inverse Lithography Technology (ILT) and Source Mask Optimization (SMO). The number of defects detected during inspection of such mask increased drastically and differentiation of critical and non-critical defects are more challenging, complex and time consuming. Because of significant defectivity of EUVL masks and non-availability of actinic inspection, it is important and also challenging to predict the criticality of defects for printability on wafer. This is one of the significant barriers for the adoption of EUVL for semiconductor manufacturing. Techniques to decide criticality of defects from images captured using non actinic inspection images is desired till actinic inspection is not available. High resolution inspection of photomask images detects many defects which are used for process and mask qualification. Repairing all defects is not practical and probably not required, however it's imperative to know which defects are severe enough to impact wafer before repair. Additionally, wafer printability check is always desired after repairing a defect. AIMSTM review is the industry standard for this, however doing AIMSTM review for all defects is expensive and very time consuming. Fast, accurate and an economical mechanism is desired which can predict defect printability on wafer accurately and quickly from images captured using high resolution inspection machine. Predicting defect printability from such images is challenging due to the fact that the high resolution images do not correlate with actual mask contours. The challenge is increased due to use of different optical condition during inspection other than actual scanner condition, and defects found in such images do not have correlation with actual impact on wafer. Our automated defect simulation tool predicts
Transport concept of new waste management system (inner packaging system)
International Nuclear Information System (INIS)
Hakozaki, K.; Wada, R.
2004-01-01
Kobe Steel, Ltd. (KSL) and Transnuclear Tokyo (TNT) have jointly developed a new waste management system concept (called ''Inner packaging system'') for high dose rate wastes generated from nuclear power plants under cooperation with Tokyo Electric Power Company (TEPCO). The inner packaging system is designed as a total management system dedicated to the wastes from nuclear plants in Japan, covering from the wastes conditioning in power plants up to the disposal in final repository. This paper presents the new waste management system concept
Waste Management System Description Document (WMSD)
International Nuclear Information System (INIS)
1992-02-01
This report is an appendix of the ''Waste Management Description Project, Revision 1''. This appendix is about the interim approach for the technical baseline of the waste management system. It describes the documentation and regulations of the waste management system requirements and description. (MB)
International Nuclear Information System (INIS)
1986-01-01
This report describes the evaluations of alternatives for low-level waste treatment and disposal leading to the selection of four disposal methods and two treatment alternatives (including the alternative of only continuing current methods of waste treatment used by the waste generators) that were used to form candidate waste management systems. The subsequent evaluation of waste management systems and schedules for the development of the regional waste management system under four different scenarios are also included. The report also describes the consequences to the member states and their waste generators of the four scenarios and presents insights into preferred courses of action that arise from the scheduling exercise. 13 refs., 14 figs., 2 tabs
Systems engineering management plan
International Nuclear Information System (INIS)
Conner, C.W.
1985-10-01
The purpose of this Systems Engineering Management Plan (SEMP) is to prescribe the systems engineering procedures to be implemented at the Program level and the minimum requirements for systems engineering at the Program-element level. The Program level corresponds to the Director, OCRWM, or to the organizations within OCRWM to which the Director delegates responsibility for the development of the System and for coordinating and integrating the activities at the Program-element level. The Office of Policy and Outreach (OPO) and the Office of Resource Management (ORM) support the Director at the Program level. The Program-element level corresponds to the organizations within OCRWM (i.e., the Office of Geologic Repositories (OGR) and the Office of Storage and Transportation Systems (OSTS)) with overall responsibility for developing the System elements - that is, the mined geologic disposal system (MGDS), monitored retrievable storage (MRS) (if approved by Congress), and the transportation system
Environmental management systems and organizational change
DEFF Research Database (Denmark)
Jørgensen, Tine Herreborg
2000-01-01
and environmental management systems. The structure of the organizations has changed, the relationships with external partners have strengthened and the implementation of quality and environmental management systems has trimmed the organizations to manage and develop these areas. The organization analysis is based......The establishment of an environmental management system and its continuous improvements is a process towards a reduction of the companies' and the products' environmental impact. The organizations' ability to change is crucial in order to establish a dynamic environmental management system...... and to achieve continuous environmental improvements. The study of changes gives an insight into how organizations function, as well as their forces and barriers. This article focuses on the organizational changes that two companies have undergone from 1992 up until today in connection with their quality...
A system for managing information at ATLAS
International Nuclear Information System (INIS)
Tilbrook, I.R.
1993-01-01
In response to a need for better management of maintenance and document information at the Argonne Tandem-Linear Accelerating System (ATLAS), the ATLAS Information Management System (AIMS) has been created. The system is based on the relational database model. The system's applications use the Alpha-4 relational database management system, a commercially available software package. The system's function and design are described
Quality management systems in radiology
Directory of Open Access Journals (Sweden)
Geoffrey K. Korir
2013-08-01
Objective: To assess the level of quality management systems in X-ray medical facilities in Kenya. Methods: Quality management inspection, quality control performance tests and patient radiation exposure were assessed in 54 representative X-ray medical facilities. Additionally, a survey of X-ray examination frequency was conducted in 140 hospitals across the country. Results: The overall findings placed the country’s X-ray imaging quality management systems at 61±3% out of a possible 100%. The most and the least quality assurance performance indicators were general radiography X-ray equipment quality control tests at 88±4%, and the interventional cardiology adult examinations below diagnostic reference level at 25±1%, respectively. Conclusions: The study used a systematic evidence-based approach for the assessment of national quality management systems in radiological practice in clinical application, technical conduct of the procedure, image quality criteria, and patient characteristics as part of the quality management programme.
Topological defects in confined populations of spindle-shaped cells
Duclos, Guillaume; Erlenkämper, Christoph; Joanny, Jean-François; Silberzan, Pascal
2017-01-01
Most spindle-shaped cells (including smooth muscles and sarcomas) organize in vivo into well-aligned `nematic’ domains, creating intrinsic topological defects that may be used to probe the behaviour of these active nematic systems. Active non-cellular nematics have been shown to be dominated by activity, yielding complex chaotic flows. However, the regime in which live spindle-shaped cells operate, and the importance of cell-substrate friction in particular, remains largely unexplored. Using in vitro experiments, we show that these active cellular nematics operate in a regime in which activity is effectively damped by friction, and that the interaction between defects is controlled by the system’s elastic nematic energy. Due to the activity of the cells, these defects behave as self-propelled particles and pairwise annihilate until all displacements freeze as cell crowding increases. When confined in mesoscopic circular domains, the system evolves towards two identical +1/2 disclinations facing each other. The most likely reduced positions of these defects are independent of the size of the disk, the cells’ activity or even the cell type, but are well described by equilibrium liquid crystal theory. These cell-based systems thus operate in a regime more stable than other active nematics, which may be necessary for their biological function.
Amirouche, Farid; Solitro, Giovanni F; Walia, Amit; Gonzalez, Mark; Bobko, Aimee
2017-08-01
Management of segmental rim defects and bone mineral density (BMD) loss in the elderly prior to total hip replacement is unclear within classification systems for acetabular bone loss. In this study, our objectives were (1) to understand how a reduction in BMD in the elderly affects the oversizing of a press-fit cup for primary fixation and (2) to evaluate whether the location of the segmental defect affected cup fixation. A finite element (FE) model was used to simulate and evaluate cup insertion and fixation in the context of segmental rim defects. We focused on the distribution of patients over age 70 and used BMD (estimated from CT) as a proxy for aging's implications on THR and used probabilistic FE analysis to understand how BMD loss affects oversizing of a press-fit cup. A cup oversized by 1.10 ± 0.28 mm provides sufficient fixation and lower stresses at the cup-bone interface for elderly patients. Defects in the anterior column and posterior column both required the same mean insertion force for cup seating of 84% (taken as an average of 2 anterior column and 2 posterior column defects) compared to the control configuration, which was 5% greater than the insertion force for a superior rim defect and 12% greater than the insertion force for an inferior rim defect. A defect along the superior or inferior rim had a minimal effect on cup fixation, while a defect in the columns created cup instability and increased stress at the defect location.
Energy Technology Data Exchange (ETDEWEB)
Holland, Robert C. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)
2017-08-01
A Sewer System Management Plan (SSMP) is required by the State Water Resources Control Board (SWRCB) Order No. 2006-0003-DWQ Statewide General Waste Discharge Requirements (WDR) for Sanitary Sewer Systems (General Permit). DOE, National Nuclear Security Administration (NNSA), Sandia Field Office has filed a Notice of Intent to be covered under this General Permit. The General Permit requires a proactive approach to reduce the number and frequency of sanitary sewer overflows (SSOs) within the State. SSMPs must include provisions to provide proper and efficient management, operation, and maintenance of sanitary sewer systems and must contain a spill response plan.
Managing organizational culture within a management system
International Nuclear Information System (INIS)
Comeau, L.; Watts, G.
2009-01-01
The Point Lepreau Generating Station (PLGS) is currently undergoing a major refurbishment of its nuclear reactor. At the same time, a small team is designing the organization that will operate the plant after refurbishment. This paper offers a high level overview of the Post-Refurbishment Organization (PRO) project and will focus primarily on the approach used to address organizational culture and human system dynamics. We will describe how various tools, used to assess organization culture, team performance, and individual self-understanding, are used collectively to place the right person in the right position. We will explain how the career system, Pathfinder, is used to integrate these tools to support a comprehensive model for organization design and development. Finally, we demonstrate how the management of organizational cultural and human system dynamics are integrated into the PLGS Integrated Management System. (author)
Assessing waste management systems using reginalt software
International Nuclear Information System (INIS)
Meshkov, N.K.; Camasta, S.F.; Gilbert, T.L.
1988-03-01
A method for assessing management systems for low-level radioactive waste is being developed for US Department of Energy. The method is based on benefit-cost-risk analysis. Waste management is broken down into its component steps, which are generation, treatment, packaging, storage, transportation, and disposal. Several different alternatives available for each waste management step are described. A particular waste management system consists of a feasible combination of alternatives for each step. Selecting an optimal waste management system would generally proceed as follows: (1) qualitative considerations are used to narrow down the choice of waste management system alternatives to a manageable number; (2) the costs and risks for each of these system alternatives are evaluated; (3) the number of alternatives is further reduced by eliminating alternatives with similar risks but higher costs, or those with similar costs but higher risks; (4) a trade-off factor between cost and risk is chosen and used to compute the objective function (sum of the cost and risk); and (5) the selection of the optimal waste management system among the remaining alternatives is made by choosing the alternative with the smallest value for the objective function. The authors propose that the REGINALT software system, developed by EG and G Idaho, Inc., as an acid for managers of low-level commerical waste, be augmented for application to the managment of DOE-generated waste. Specific recommendations for modification of the REGINALT system are made. 51 refs., 3 figs., 2 tabs
Bidra, Avinash S; Jacob, Rhonda F; Taylor, Thomas D
2012-04-01
Maxillectomy defects are complex and involve a number of anatomic structures. Several maxillectomy defect classifications have been proposed with no universal acceptance among surgeons and prosthodontists. Established criteria for describing the maxillectomy defect are lacking. This systematic review aimed to evaluate classification systems in the available literature, to provide a critical appraisal, and to identify the criteria necessary for a universal description of maxillectomy and midfacial defects. An electronic search of the English language literature between the periods of 1974 and June 2011 was performed by using PubMed, Scopus, and Cochrane databases with predetermined inclusion criteria. Key terms included in the search were maxillectomy classification, maxillary resection classification, maxillary removal classification, maxillary reconstruction classification, midfacial defect classification, and midfacial reconstruction classification. This was supplemented by a manual search of selected journals. After application of predetermined exclusion criteria, the final list of articles was reviewed in-depth to provide a critical appraisal and identify criteria for a universal description of a maxillectomy defect. The electronic database search yielded 261 titles. Systematic application of inclusion and exclusion criteria resulted in identification of 14 maxillectomy and midfacial defect classification systems. From these articles, 6 different criteria were identified as necessary for a universal description of a maxillectomy defect. Multiple deficiencies were noted in each classification system. Though most articles described the superior-inferior extent of the defect, only a small number of articles described the anterior-posterior and medial-lateral extent of the defect. Few articles listed dental status and soft palate involvement when describing maxillectomy defects. No classification system has accurately described the maxillectomy defect, based on
Experience with peroneus brevis muscle flaps for reconstruction of distal leg and ankle defects
Directory of Open Access Journals (Sweden)
Babu Bajantri
2013-01-01
Full Text Available Objective: Peroneus brevis is a muscle in the leg which is expendable without much functional deficit. The objective of this study was to find out its usefulness in coverage of the defects of the lower leg and ankle. Patients and Methods: A retrospective analysis of the use of 39 pedicled peroneus brevis muscle flaps used for coverage of defects of the lower leg and ankle between November 2010 and December 2012 was carried out. The flaps were proximally based for defects of the lower third of the leg in 12 patients and distally based for reconstruction of defects of the ankle in 26 patients, with one patient having flaps on both ankles. Results: Partial flap loss in critical areas was found in four patients requiring further flap cover and in non-critical areas in two patients, which were managed with a skin graft. Three of the four critical losses occurred when we used it for covering defects over the medial malleolus. There was no complete flap loss in any of the patients. Conclusion: This flap has a unique vascular pattern and fails to fit into the classification of the vasculature of muscles by Mathes and Nahai. The unusual feature is an axial vessel system running down the deep aspect of the muscle and linking the perforators from the peroneal artery and anterior tibial artery, which allows it to be raised proximally or distally on a single perforator. The flap is simple to raise and safe for the reconstruction of small-to moderate-sized skin defects of the distal third of the tibia and all parts of the ankle except the medial malleolus, which is too far from the pedicle of the distally based flap. The donor site can be closed primarily to provide a linear scar. The muscle flap thins with time to provide a good result aesthetically at the primary defect.
System of Enterprise Reputation Management
Directory of Open Access Journals (Sweden)
Derevianko Olena. H.
2014-03-01
Full Text Available The article offers a system of enterprise reputation management directed at increase of economic benefits from formation and maintenance of high reputation in the result of maximisation of the volume of the incoming cash flows of the enterprise and also their duration and stability. It proves that reputation management, which allows achievement of economic goals of the enterprise, should be directed at key groups of stakeholders: growth of products sales is ensured by consumers, growth of internal stability – by enterprise personnel, growth of external stability – by society, including authority bodies, growth of business value – by investors, owners and partners. The article describes components of the system of enterprise reputation management, the degree of development of which are determined by three vectors: interaction with stakeholders and level of their feedback: messaging, informing, convincing and attracting; activity of the used instruments of reputation management, regularity and intensity, and also quantitative indicators of their application within the framework of directions of the product PR, corporate PR and IR, internal PR, GR and PR-CSR; level of organisational pre-requisites (functional, system and strategic of the system of reputation management.
Auditing of environmental management system
Directory of Open Access Journals (Sweden)
Čuchranová Katarína
2001-12-01
Full Text Available Environmental auditing has estabilished itself as a valueable instrument to verify and help to improve the environmental performance.Organizations of all kinds may have a need to demonstrate the environmental responsibility. The concept of environmental management systems and the associated practice of environmental auditing have been advanced as one way to satisfy this need.These system are intended to help an organization to establish and continue to meet its environmental policies, objectives, standards and other requirements.Environmental auditing is a systematic and documented verification process of objectively obtaining and evaluating audit evidence to determine whether an organizations environmental management system conforms to the environmental management system audit criteria set by the organization and for the communication of the results of this process to the management.The following article intercepts all parts of preparation environmental auditing.The audit programme and procedures should cover the activities and areas to be considered in audits, the frequency of audits, the responsibilities associated with managing and conducting audits, the communication of audit results, auditor competence, and how audits will be conducted.The International Standard ISO 140011 estabilishes the audit procedures that determine conformance with EMS audit criteria.
Management Information Systems
Directory of Open Access Journals (Sweden)
Furduescu Bogdan-Alexandru
2017-12-01
Full Text Available Technology is the science that studies processes, methods and operations run or applied onto raw materials, matters or data, in order to obtain a certain product. Information is the material signal able to launch a material reaction of a dynamic auto-tuning system for which the system is conditioned and finalized. Information Technology is the technology needed for handling (procuring, processing, storing converting and transmitting information, in particular, with the use of computers [Longley, D. & Shain, M. (1985, p. 164]. The importance of IT in the economic growth and development is widely known, taking into account the impact that technology can have on the success and survival, or the failure of the economic activity of enterprises/organizations, IT offering various management information systems (MIS, executive and feedback segments, which all have important and beneficial implications in management and control.
10 CFR 600.323 - Property management system.
2010-01-01
... 10 Energy 4 2010-01-01 2010-01-01 false Property management system. 600.323 Section 600.323 Energy....323 Property management system. The recipient's property management system must include the following... existence, current utilization, and continued need for the property. (d) A control system must be in effect...
23 CFR 973.204 - Management systems requirements.
2010-04-01
... system; (2) A process to operate and maintain the management systems and their associated databases; (3... may include consultation with the tribes, as appropriate. (k) The management systems shall be operated... 23 Highways 1 2010-04-01 2010-04-01 false Management systems requirements. 973.204 Section 973.204...
42 CFR 456.722 - Electronic claims management system.
2010-10-01
... Electronic Claims Management System for Outpatient Drug Claims § 456.722 Electronic claims management system...'s Medicaid Management Information System (MMIS) applicable to prescription drugs. (ii) Notifying the... 42 Public Health 4 2010-10-01 2010-10-01 false Electronic claims management system. 456.722...
Synthetic Defects for Vibrothermography
Renshaw, Jeremy; Holland, Stephen D.; Thompson, R. Bruce; Eisenmann, David J.
2010-02-01
Synthetic defects are an important tool used for characterizing the performance of nondestructive evaluation techniques. Viscous material-filled synthetic defects were developed for use in vibrothermography (also known as sonic IR) as a tool to improve inspection accuracy and reliability. This paper describes how the heat-generation response of these VMF synthetic defects is similar to the response of real defects. It also shows how VMF defects can be applied to improve inspection accuracy for complex industrial parts and presents a study of their application in an aircraft engine stator vane.
[SOX2 defect and anophthalmia and microphthalmia].
Ye, Fu-xiang; Fan, Xian-qun
2012-11-01
As a severe congenital developmental disorder, anophthalmia and microphthalmia are usually accompanied with vision impairment and hypoevolutism of the orbit in the affected side. Many genes are involved in anophthalmia and microphthalmia, in which, SOX2 is an important one. The defect of SOX2 causes multiple system disorders, including anophthalmia and microphthalmia. We describe the relationship between the SOX2 defect and anophthalmia/microphthalmia, in order to offer some proposals for the differential diagnosis, treatment and research of anophthalmia and microphthalmia.
The Air Program Information Management System (APIMS)
2011-11-02
Technology November 2, 2011 The Air Program Information Management System (APIMS) Frank Castaneda, III, P.E. APIMS Program Manager AFCEE/TDNQ APIMS...NOV 2011 2. REPORT TYPE 3. DATES COVERED 00-00-2011 to 00-00-2011 4. TITLE AND SUBTITLE The Air Program Information Management System (APIMS... Information Management System : Sustainability of Enterprise air quality management system • Aspects and Impacts to Process • Auditing and Measurement
Detection of small surface defects using DCT based enhancement approach in machine vision systems
He, Fuqiang; Wang, Wen; Chen, Zichen
2005-12-01
Utilizing DCT based enhancement approach, an improved small defect detection algorithm for real-time leather surface inspection was developed. A two-stage decomposition procedure was proposed to extract an odd-odd frequency matrix after a digital image has been transformed to DCT domain. Then, the reverse cumulative sum algorithm was proposed to detect the transition points of the gentle curves plotted from the odd-odd frequency matrix. The best radius of the cutting sector was computed in terms of the transition points and the high-pass filtering operation was implemented. The filtered image was then inversed and transformed back to the spatial domain. Finally, the restored image was segmented by an entropy method and some defect features are calculated. Experimental results show the proposed small defect detection method can reach the small defect detection rate by 94%.
Directory of Open Access Journals (Sweden)
Sanjeev Kumar Salaria
2016-01-01
Full Text Available The lateral periodontal cyst (LPC is a nonkeratinized, noninflammatory developmental cyst occurring adjacent or lateral to tooth root. It is a relatively uncommon lesion found in the maxillary incisors and found mostly in adults during 5th to 7th decades. In this case, 45-year-old male patient reported with occasional mild discomfort between left maxillary central and lateral incisor region since 1 year. Interproximally, a well-defined round radiolucent area with corticated borders was determined radiographically between vital tooth #21 and #22. Preliminary diagnosis of LPC was established based on clinical and radiographical findings. Following enucleation of the lesion, an anticipated residual tunnel osseous defect was observed, which was managed successfully utilizing bone graft and guided tissue regeneration-assisted technique. Cystic tissue removed was examined histologically; hematoxylin- and eosin-stained sections showed features suggestive of LPC. Complete healing of tunnel defect was achieved at 1 year follow-up.
Repair of a mandibular defect with a free vascularized coccygeal vertebra transfer in a dog.
Yeh, L S; Hou, S M
1994-01-01
Bilateral mandibular defects in a male mongrel dog were repaired. On the left side, a free vascularized coccygeal bone graft that included the median caudal artery and caudal vein was used to correct the defect. On the right side, the defect was bridged with a bone plate and screws. For further immobilization, the muzzle was temporarily taped for 3 weeks and a pharyngostomy tube was used for nutritional support. The dog was able to eat dry commercial food satisfactorily within 2 months of surgery despite mild malocclusion. Radiographs taken 2 months and 18 months postoperatively showed bony union with graft hypertrophy in the left mandible, whereas the right mandibular defect showed protracted nonunion. The results indicate that vascularized coccygeal vertebra transfer provides an alternative for the management of canine mandibular defects.
Production of UT Reference Blocks Containing Artificially Introduced Defects
International Nuclear Information System (INIS)
Kaya, A. A.; Ucuncuoglu, S.; Kurkcu, N.; Kandemir, A.; Arslan, H.
2007-01-01
Metallic blocks of Inconel 718 and Ti-6A1-4V alloys that contain artificially introduced defects of known type, size, shape and location were prepared to serve as calibration standards in ultrasonic inspection. The synthetic defects employed to serve as reflectors were all pertinent to the specific alloy systems used, i.e. compositional defects termed as 'dirty white' 'white spot' and 'freckle' for Inconel 718; 'hard-alpha' for titanium alloy. Furthermore, as a defect type common to all three materials, spherical voids of various sizes were also incorporated into these calibration blocks. The aim of this study is to introduce defects of known type and size into metallic blocks made of superalloy Inconel 718 and titanium Ti-6A1-4V alloy. The scope of the study entailed determination of the correct parameters for manufacturing processes involved. Based on the results of the preceding phases of this study, it was decided that the method of Vacuum Hot Pressing (VHP) was to be used in this project to manufacture the metallic block containing artificial defects
32 CFR 34.23 - Property management system.
2010-07-01
... 32 National Defense 1 2010-07-01 2010-07-01 false Property management system. 34.23 Section 34.23... Requirements Property Standards § 34.23 Property management system. The recipient's property management system... control system shall be in effect to insure adequate safeguards to prevent loss, damage, or theft of the...
Quantum computing with defects in diamond
International Nuclear Information System (INIS)
Jelezko, F.; Gaebel, T.; Popa, I.; Domhan, M.; Wittmann, C.; Wrachtrup, J.
2005-01-01
Full text: Single spins in semiconductors, in particular associated with defect centers, are promising candidates for practical and scalable implementation of quantum computing even at room temperature. Such an implementation may also use the reliable and well known gate constructions from bulk nuclear magnetic resonance (NMR) quantum computing. Progress in development of quantum processor based on defects in diamond will be discussed. By combining optical microscopy, and magnetic resonance techniques, the first quantum logical operations on single spins in a solid are now demonstrated. The system is perspective for room temperature operation because of a weak dependence of decoherence on temperature (author)
Disposal Site Information Management System
International Nuclear Information System (INIS)
Larson, R.A.; Jouse, C.A.; Esparza, V.
1986-01-01
An information management system for low-level waste shipped for disposal has been developed for the Nuclear Regulatory Commission (NRC). The Disposal Site Information Management System (DSIMS) was developed to provide a user friendly computerized system, accessible through NRC on a nationwide network, for persons needing information to facilitate management decisions. This system has been developed on NOMAD VP/CSS, and the data obtained from the operators of commercial disposal sites are transferred to DSIMS semiannually. Capabilities are provided in DSIMS to allow the user to select and sort data for use in analysis and reporting low-level waste. The system also provides means for describing sources and quantities of low-level waste exceeding the limits of NRC 10 CFR Part 61 Class C. Information contained in DSIMS is intended to aid in future waste projections and economic analysis for new disposal sites
Measurement system as a subsystem of the quality management system
Directory of Open Access Journals (Sweden)
Ľubica Floreková
2006-12-01
Full Text Available Each measurement system and a control principle must be based on certain facts about the system behaviour (what, operation (how and structure (why. Each system is distributed into subsystems that provide an input for the next subsystem. For each system, start is important the begin, that means system characteristics, collecting of data, its hierarchy and the processes distribution.A measurement system (based on the chapter 8 of the standard ISO 9001:2000 Quality management system, requirements defines the measurement, analysis and improvement for each organization in order to present the products conformity, the quality management system conformity guarantee and for the continuously permanent improvement of effectivity, efficiency and economy of quality management system.
Perera, Jeevan S.
2013-01-01
Phased-approach for implementation of risk management is necessary. Risk management system will be simple, accessible and promote communication of information to all relevant stakeholders for optimal resource allocation and risk mitigation. Risk management should be used by all team members to manage risks - not just risk office personnel. Each group/department is assigned Risk Integrators who are facilitators for effective risk management. Risks will be managed at the lowest-level feasible, elevate only those risks that require coordination or management from above. Risk informed decision making should be introduced to all levels of management. ? Provide necessary checks and balances to insure that risks are caught/identified and dealt with in a timely manner. Many supporting tools, processes & training must be deployed for effective risk management implementation. Process improvement must be included in the risk processes.
Directory of Open Access Journals (Sweden)
V. V. Leonov
2014-01-01
Full Text Available When designing large-sized mirror concentrating systems (MCS for high-temperature solar power plants, one must have at disposal reasonably reliable and economical methods and tools, making it possible to analyze its characteristics, to predict them depending on the operation conditions and accordingly to choose the most suitable system for the solution of particular task.Experimental determination of MCS characteristics requires complicated and expensive experimentation, having significant limitations on interpretation of the results, as well as limitations imposed due to the size of the structure. Therefore it is of particular interest to develop a mathematical model capable of estimating power characteristics of MCS considering the influence of operating conditions, design features, roughness and other surface defects.For efficient solution of the tasks the model must ensure simulation of solar radiant flux as well as simulation of geometrical and optical characteristics of reflection surface and their interaction. In this connection a statistical mathematical model of radiation heat exchange based on use of Monte Carlo methods and Finite Element Method was developed and realized in the software complex, making it possible to determine main characteristics of the MCS.In this paper the main attention is given to definition of MCS radiation characteristics with account for deep reflecting surface defects (cavities, craters. Deep cavities are not typical for MCS, but their occurrence is possible during operation as a result of erosion or any physical damage. For example, for space technology it is primarily micrometeorite erosion.
Evaluating a Skills Management System
International Nuclear Information System (INIS)
Largier, A.
2013-01-01
In order to anticipate the large number of people due to retire in the next few years, and to optimize the workforce contribution, IRSN (Institute for radiation protection and nuclear safety) is setting up skill management. This poster presents the IRSN's skill management system. The skill management system is based on a 4 step approach: -) identifying and listing the necessary skills, -) assessing the skills available, -) defining and setting up solutions: training, recruitment, out-sourcing), and -) feedback about the efficiency of the system. It appears that it is important to take into account the way the organization considers individual ability in order to favour collective proficiency
Integrated Systems Health Management for Intelligent Systems
Figueroa, Fernando; Melcher, Kevin
2011-01-01
The implementation of an integrated system health management (ISHM) capability is fundamentally linked to the management of data, information, and knowledge (DIaK) with the purposeful objective of determining the health of a system. It is akin to having a team of experts who are all individually and collectively observing and analyzing a complex system, and communicating effectively with each other in order to arrive at an accurate and reliable assessment of its health. In this paper, concepts, procedures, and approaches are presented as a foundation for implementing an intelligent systems ]relevant ISHM capability. The capability stresses integration of DIaK from all elements of a system. Both ground-based (remote) and on-board ISHM capabilities are compared and contrasted. The information presented is the result of many years of research, development, and maturation of technologies, and of prototype implementations in operational systems.
Emergency management information system (EMINS)
International Nuclear Information System (INIS)
Desonier, L.M.
1987-01-01
In a time of crisis or in an emergency, a manager is required to make many decisions to facilitate the proper solution and conclusion to the emergency or crisis. In order to make these decisions, it is necessary for the manager to have correct up-to-date information on the situation, which calls for an automated information display and entry process. The information handling needs are identified in terms of data, video, and voice. Studies of existing Emergency Operations Centers and evaluations of hardware and software have been completed. The result of these studies and investigations is the design and implementation of an automated Emergency Management Information System. Not only is the system useful for Emergency Management but for any information management requirement
Microcomputer Database Management Systems for Bibliographic Data.
Pollard, Richard
1986-01-01
Discusses criteria for evaluating microcomputer database management systems (DBMS) used for storage and retrieval of bibliographic data. Two popular types of microcomputer DBMS--file management systems and relational database management systems--are evaluated with respect to these criteria. (Author/MBR)
Computerized map-based information management system for natural resource management
Energy Technology Data Exchange (ETDEWEB)
Miller, K.
1995-12-01
Federal agencies, states and resource managers have control and stewardship responsibility over a significant inventory of natural resources. A number of federal regulations require the review, protection and preservation of natural resource protection. Examples of such actions include the reauthorization of the Clean Water Act and the modification of the National Contingency Plan to incorporate the requirements of the Oil Pollution Act of 1990. To successfully preserve conserve and restore natural resources on federal reservations, and state and private lands, and to comply with Federal regulations designed to protect natural resources located on their sites, and the type of information on these resources required by environmental regulations. This paper presents an approach using a computerized, graphical information management system to catalogue and track data for the management of natural resources under Federal and state regulations, and for promoting resource conservation, preservation and restoration. The system is designed for use by Federal facility resource managers both for the day-to-day management of resources under their control, and for the longer-term management of larger initiatives, including restoration of significant or endangered resources, participation in regional stewardship efforts, and general ecosystem management. The system will be valuable for conducting natural resource baseline inventories an implementing resource management plans on lands other than those controlled by the Federal government as well. The system can provide a method for coordinating the type of natural resource information required by major federal environmental regulations--thereby providing a cost-effective means for managing natural resource information.
1984-01-01
New Automated Management Information Center (AMIC) employs innovative microcomputer techniques to create color charts, viewgraphs, or other data displays in a fraction of the time formerly required. Developed under Kennedy Space Center's contract by Boeing Services International Inc., Seattle, WA, AMIC can produce an entirely new informational chart in 30 minutes, or an updated chart in only five minutes. AMIC also has considerable potential as a management system for business firms.
1997-01-01
CENTRA 2000 Inc., a wholly owned subsidiary of Auto-trol technology, obtained permission to use software originally developed at Johnson Space Center for the Space Shuttle and early Space Station projects. To support their enormous information-handling needs, a product data management, electronic document management and work-flow system was designed. Initially, just 33 database tables comprised the original software, which was later expanded to about 100 tables. This system, now called CENTRA 2000, is designed for quick implementation and supports the engineering process from preliminary design through release-to-production. CENTRA 2000 can also handle audit histories and provides a means to ensure new information is distributed. The product has 30 production sites worldwide.
Directory of Open Access Journals (Sweden)
Catherine Hatzantonis
2016-01-01
Full Text Available We present the case of a 2-year-old child with Down′s syndrome who presented to our unit with torticollis. Imaging studies revealed the rare occurrence of anterior and posterior C1 arch defects, absent odontoid process, and atlantoaxial subluxation. We managed her conservatively for 3 years without neurological deficits or worsening of atlantoaxial subluxation. We discuss the rare occurrences of anterior and posterior arch defects of the atlas, the radiological presentations of axis defects in patients, and the occurrence of atlantoaxial instability in patients with Down′s syndrome. Management options with consideration to surgery in asymptomatic and symptomatic patients are also discussed.
Topological defect clustering and plastic deformation mechanisms in functionalized graphene
Nunes, Ricardo; Araujo, Joice; Chacham, Helio
2011-03-01
We present ab initio results suggesting that strain plays a central role in the clustering of topological defects in strained and functionalized graphene models. We apply strain onto the topological-defect graphene networks from our previous work, and obtain topological-defect clustering patterns which are in excellent agreement with recent observations in samples of reduced graphene oxide. In our models, the graphene layer, containing an initial concentration of isolated topological defects, is covered by hydrogen or hydroxyl groups. Our results also suggest a rich variety of plastic deformation mechanism in functionalized graphene systems. We acknowledge support from the Brazilian agencies: CNPq, Fapemig, and INCT-Materiais de Carbono.
Federal Emergency Management Information system (FEMIS) data management guide. Version 1.2
Energy Technology Data Exchange (ETDEWEB)
Burnett, R.A.; Downing, T.R.; Gaustad, K.L.; Johnson, S.M.; Loveall, R.M.; Winters, C.
1996-05-01
The Federal Emergency Management Information System (FEMIS) is an emergency management planning and analysis tool that is being developed under the direction of the US Army Chemical and Biological Defense Command. The FEMIS Data Management Guide provides the background, as well as the operations and procedures needed to generate and maintain the data resources in the system. Database administrators, system administrators, and general users can use this guide to manage the data files and database that support the administrative, user-environment, database management, and operational capabilities of FEMIS. This document provides a description of the relational and spatial information present in FEMIS. It describes how the data was assembled, how it is loaded, and how it is managed while the system is in operation.
Managers’ Use of Multiple Management Control Systems
DEFF Research Database (Denmark)
Willert, Jeanette
This dissertation addresses the topic Management Control Systems (MCS) as a Package. Many research studies investigate management and control systems individually, whereas fewer research studies take a holistic view and include a larger part of all the MCS managers use to guide and direct...... subordinates behaviour in the best interest of their companies. In the MCS literature, it is stressed that knowledge is particularly lacking about how managers design and use MCS as a package, and the effectiveness of using the MCS. This dissertation responds to this call by carrying out a large survey among...... executive managers in large companies, a survey that investigates the subject: Effective Management and Control Systems. The focus in the survey is to explore how executive management in large companies design and use their management control systems package. Further, this study is supplemented...
Alconada, Federico
2015-01-01
In the need of renewing their system, the Internal Audit department has given a proposal for building a new one. Taking into consideration the problems of their system they elaborated a requirement's list with the functionalities and features they were expecting from the new management system. This new system would be primarily for the use of the Internal Audit staff but it would also support the follow-up of internal audit recommendations by potentially all CERN staff members.
CLASSIFICATION OF LEARNING MANAGEMENT SYSTEMS
Directory of Open Access Journals (Sweden)
Yu. B. Popova
2016-01-01
Full Text Available Using of information technologies and, in particular, learning management systems, increases opportunities of teachers and students in reaching their goals in education. Such systems provide learning content, help organize and monitor training, collect progress statistics and take into account the individual characteristics of each user. Currently, there is a huge inventory of both paid and free systems are physically located both on college servers and in the cloud, offering different features sets of different licensing scheme and the cost. This creates the problem of choosing the best system. This problem is partly due to the lack of comprehensive classification of such systems. Analysis of more than 30 of the most common now automated learning management systems has shown that a classification of such systems should be carried out according to certain criteria, under which the same type of system can be considered. As classification features offered by the author are: cost, functionality, modularity, keeping the customer’s requirements, the integration of content, the physical location of a system, adaptability training. Considering the learning management system within these classifications and taking into account the current trends of their development, it is possible to identify the main requirements to them: functionality, reliability, ease of use, low cost, support for SCORM standard or Tin Can API, modularity and adaptability. According to the requirements at the Software Department of FITR BNTU under the guidance of the author since 2009 take place the development, the use and continuous improvement of their own learning management system.
Reconstruction of soft tissue defects around the ankle and foot
Directory of Open Access Journals (Sweden)
Bharat Bhushan Dogra
2014-01-01
Full Text Available Introduction: Soft tissue defects over ankle and foot region are encountered quite frequently following road traffic trauma and surgery. Management of such cases is a challenging task for any reconstructive surgeon because of paucity of skin and relative poor vascular status of skin in this region. Hence, invariably such cases require microsurgical free flap coverage, expertise for which may not be available at all the centers, such procedures require long operating hours and suitable recipient vessel may not be available in crush injuries. Materials and Methods: Thirty consecutive patients having soft tissue defects around ankle and foot region who underwent various reconstructive procedures in a medical college hospital during last 2 years form the basis of this study. This study was carried out to enlist various etiological factors and reconstructive surgical procedures employed to manage such cases without microsurgery. Results: The age of these patients ranged from 9 to 72 years. Twenty-five patients were males while 05 were females, with a mean age of 25 years. Road traffic accidents happened to be the primary cause of such defects in as many as 15 patients, cycle spoke trauma in 02 patients, implant exposure following orthopedic surgery in 6 patients, diabetic angiopathy in 4 patients and chronic osteomyelitis in 3 patients. The site of the defect was lower fourth of tibia in 16 patients, dorsum of foot in 2 patients, sole in 5 patients, medial aspect of ankle in 02 cases, lateral aspect in 02 cases and retro calcaneal region in 03 cases. In 10 cases distally based superficial sural artery flap was used to reconstruct the defect. In step rotation flap was used to provide sensory flap cover in the weight bearing heel in 04 cases. Inferiorly based fasciocutanenous flaps in 09 cases and muscle flaps were used in 07 cases. Conclusion: Distally based sural artery based flaps are very handy to provide skin cover around ankle and malleolar
23 CFR 970.204 - Management systems requirements.
2010-04-01
... management system outputs to systematically operate, maintain, and upgrade existing transportation assets cost-effectively; (3) A description of each management system; (4) A process to operate and maintain the management systems and their associated databases; and (5) A process for data collection...
Destination Information Management System for Tourist
Abdulhamid, Shafii Muhammad; Usman, Gana
2014-01-01
The use of information and communication technology in our day to day activities is now unavoidable. In tourism developments, destination information and management systems are used to guide visitors and provide information to both visitors and management of the tour sites. In this paper, information and navigation system was designed for tourists, taking some Niger state of Nigeria tourism destinations into account. The information management system was designed using Java Applet (NetBeans I...
Real-time defect detection on highly reflective curved surfaces
Rosati, G.; Boschetti, G.; Biondi, A.; Rossi, A.
2009-03-01
This paper presents an automated defect detection system for coated plastic components for the automotive industry. This research activity came up as an evolution of a previous study which employed a non-flat mirror to illuminate and inspect high reflective curved surfaces. According to this method, the rays emitted from a light source are conveyed on the surface under investigation by means of a suitably curved mirror. After the reflection on the surface, the light rays are collected by a CCD camera, in which the coating defects appear as shadows of various shapes and dimensions. In this paper we present an evolution of the above-mentioned method, introducing a simplified mirror set-up in order to reduce the costs and the complexity of the defect detection system. In fact, a set of plane mirrors is employed instead of the curved one. Moreover, the inspection of multiple bend radius parts is investigated. A prototype of the machine vision system has been developed in order to test this simplified method. This device is made up of a light projector, a set of plane mirrors for light rays reflection, a conveyor belt for handling components, a CCD camera and a desktop PC which performs image acquisition and processing. Like in the previous system, the defects are identified as shadows inside a high brightness image. At the end of the paper, first experimental results are presented.
Waste management - an integral part of environmental management systems
Energy Technology Data Exchange (ETDEWEB)
Hamm, Ulrich
1998-12-01
To consider waste as a resource instead of an annoyance with which the management has to cope with, has become an unavoidable task for modern managers. The task the management has to take to secure competitiveness in an environment of rising complexity of production processes and further increasing legal requirements, is to manage waste as much as other recourses are managed. Waste has to be considered an aspect of planning and decision process just as business plans or logistics are. Main themes discussed in this publication comprise waste management, implementation of waste management as an integral part of environmental management systems, and management approach to waste - the results. 4 figs.
Master's Degree in Management Information Systems with a Supply Chain Management Focus
Ramaswamy, Kizhanatham V.; Boyd, Joseph L.; Desai, Mayur
2007-01-01
A graduate curriculum in Management Information Systems with a Supply Chain Management focus is presented. The motivation for this endeavor stems from the fact that the global scope of modern business organizations and the competitive environment in which they operate, requires an information system leveraged supply chain management system (SCM)…
48 CFR 2452.242-71 - Contract management system.
2010-10-01
... 48 Federal Acquisition Regulations System 6 2010-10-01 2010-10-01 true Contract management system... 2452.242-71 Contract management system. As prescribed in 2442.1107, insert the following clause: Contract Management System (FEB 2006) (a) The contractor shall use contract management baseline planning...
20 CFR 633.311 - Management information systems.
2010-04-01
... 20 Employees' Benefits 3 2010-04-01 2010-04-01 false Management information systems. 633.311... AND SEASONAL FARMWORKER PROGRAMS Program Design and Administrative Procedures § 633.311 Management information systems. All grantees shall establish and maintain a program and financial management system which...
20 CFR 632.32 - Financial management systems.
2010-04-01
... 20 Employees' Benefits 3 2010-04-01 2010-04-01 false Financial management systems. 632.32 Section... Financial management systems. (a) Each Native American grantee, subgrantee and contractor shall maintain a financial management system which will provide accurate, current and complete disclosure of the financial...
23 CFR 971.204 - Management systems requirements.
2010-04-01
... of all management systems outputs to systematically operate, maintain, and upgrade existing transportation assets cost-effectively; (3) A description of each management system; (4) A process to operate and maintain the management systems and their associated databases; and (5) A process for data collection...
Management system requirements for small reactors
Energy Technology Data Exchange (ETDEWEB)
Jones, K.A., E-mail: kenneth.jones@cnsc-ccsn.gc.ca [Canadian Nuclear Safety Commission, Ottawa, Ontario (Canada)
2013-07-01
This abstract identifies the management system requirements for the life cycle of small reactors from initial conception through completion of decommissioning. For small reactors, the requirements for management systems remain the same as those for 'large' reactors regardless of the licensee' business model and objectives. The CSA N-Series of standards provides an interlinked set of requirements for the management of nuclear facilities. CSA N286 provides overall direction to management to develop and implement sound management practices and controls, while other CSA nuclear standards provide technical requirements and guidance that support the management system. CSA N286 is based on a set of principles. The principles are then supported by generic requirements that are applicable to the life cycle of nuclear facilities. CNSC regulatory documents provide further technical requirements and guidance. (author)
Column-oriented database management systems
Možina, David
2013-01-01
In the following thesis I will present column-oriented database. Among other things, I will answer on a question why there is a need for a column-oriented database. In recent years there have been a lot of attention regarding a column-oriented database, even if the existence of a columnar database management systems dates back in the early seventies of the last century. I will compare both systems for a database management – a colum-oriented database system and a row-oriented database system ...
Perera, Jeevan S.
2011-01-01
Leadership is key to success. Phased-approach for implementation of risk management is necessary. Risk management system will be simple, accessible and promote communication of information to all relevant stakeholders for optimal resource allocation and risk mitigation. Risk management should be used by all team members to manage risks -- risk office personnel. Each group is assigned Risk Integrators who are facilitators for effective risk management. Risks will be managed at the lowest-level feasible, elevate only those risks that require coordination or management from above. Risk reporting and communication is an essential element of risk management and will combine both qualitative and quantitative elements. Risk informed decision making should be introduced to all levels of management. Provide necessary checks and balances to insure that risks are caught/identified and dealt with in a timely manner. Many supporting tools, processes & training must be deployed for effective risk management implementation. Process improvement must be included in the risk processes.
Profitability of Management Systems on German Fenlands
Directory of Open Access Journals (Sweden)
Marco Rebhann
2016-10-01
Full Text Available Fens are organic sites that require drainage for agricultural use. Lowering the groundwater level leads to trade-offs between economic benefits and environmental impacts (i.e., CO2 and nutrient emissions. To identify management options that are both environmentally and economically sustainable, a propaedeutic systematic analysis of the costs, income and profit of different land use and management systems on fenlands is necessary. This study provides an overview of the profitability, labor demand and comparative advantages of feasible management systems on German fenlands. Twenty management practices in four land use systems are analyzed. The results indicate that most management systems are profitable only with subsidies and payments for ecosystem services. In addition to sales revenue, these payments are indispensable to promote peat-saving agricultural practices on fenlands. Regarding the labor aspect, intensive management systems caused an increase in working hours per hectare, which may positively affect employment in rural areas. The calculations obtained in this study can be used as a basis for estimations of greenhouse gas (GHG mitigation costs when management systems are associated with GHG emission values.
Management issues for high performance storage systems
Energy Technology Data Exchange (ETDEWEB)
Louis, S. [Lawrence Livermore National Lab., CA (United States); Burris, R. [Oak Ridge National Lab., TN (United States)
1995-03-01
Managing distributed high-performance storage systems is complex and, although sharing common ground with traditional network and systems management, presents unique storage-related issues. Integration technologies and frameworks exist to help manage distributed network and system environments. Industry-driven consortia provide open forums where vendors and users cooperate to leverage solutions. But these new approaches to open management fall short addressing the needs of scalable, distributed storage. We discuss the motivation and requirements for storage system management (SSM) capabilities and describe how SSM manages distributed servers and storage resource objects in the High Performance Storage System (HPSS), a new storage facility for data-intensive applications and large-scale computing. Modem storage systems, such as HPSS, require many SSM capabilities, including server and resource configuration control, performance monitoring, quality of service, flexible policies, file migration, file repacking, accounting, and quotas. We present results of initial HPSS SSM development including design decisions and implementation trade-offs. We conclude with plans for follow-on work and provide storage-related recommendations for vendors and standards groups seeking enterprise-wide management solutions.
Integrated Project Management System description
International Nuclear Information System (INIS)
1987-03-01
The Uranium Mill Tailings Remedial Action (UMTRA) Project is a Department of Energy (DOE) designated Major System Acquisition (MSA). To execute and manage the Project mission successfully and to comply with the MSA requirements, the UMTRA Project Office (''Project Office'') has implemented and operates an Integrated Project Management System (IPMS). The Project Office is assisted by the Technical Assistance Contractor's (TAC) Project Integration and Control (PIC) Group in system operation. Each participant, in turn, provides critical input to system operation and reporting requirements. The IPMS provides a uniform structured approach for integrating the work of Project participants. It serves as a tool for planning and control, workload management, performance measurement, and specialized reporting within a standardized format. This system description presents the guidance for its operation. Appendices 1 and 2 contain definitions of commonly used terms and abbreviations and acronyms, respectively. 17 figs., 5 tabs
... label> Information For… Media Policy Makers Facts about Birth Defects Language: English (US) Español (Spanish) Recommend on ... having a baby born without a birth defect. Birth Defects Are Common Every 4 ½ minutes, a ...
Energy Technology Data Exchange (ETDEWEB)
Kang, Shin Bok; Huh, Young Hwan; Lee, Jong Bok; Park, Soo Jin; Lee, Young Jae; Park, Yeon Sik; Jang, Deok Kyu; Park, Seung Deok; Kim, Jong Myeong [Korea Atomic Energy Res. Inst., Taejon (Korea, Republic of)
1991-12-01
The purpose of this report is to describe the implementation of the management information system for manpower. This job is the first year`s for development KAERI management information system. It is important to properly manage a manpower to cope with the external circumstances promptly and to maximize the productivity of the organization. This report aims at basic management of manpower and uses multimedia to keep abreast with the times and introduces the concept of GUI (Graphic User Interface) to user for ease access. (Author).
International Nuclear Information System (INIS)
Kang, Shin Bok; Huh, Young Hwan; Lee, Jong Bok; Park, Soo Jin; Lee, Young Jae; Park, Yeon Sik; Jang, Deok Kyu; Park, Seung Deok; Kim, Jong Myeong
1991-12-01
The purpose of this report is to describe the implementation of the management information system for manpower. This job is the first year's for development KAERI management information system. It is important to properly manage a manpower to cope with the external circumstances promptly and to maximize the productivity of the organization. This report aims at basic management of manpower and uses multimedia to keep abreast with the times and introduces the concept of GUI (Graphic User Interface) to user for ease access. (Author)
Homogeneity and internal defects detect of infrared Se-based chalcogenide glass
Li, Zupana; Wu, Ligang; Lin, Changgui; Song, Bao'an; Wang, Xunsi; Shen, Xiang; Dai, Shixunb
2011-10-01
Ge-Sb-Se chalcogenide glasses is a kind of excellent infrared optical material, which has been enviromental friendly and widely used in infrared thermal imaging systems. However, due to the opaque feature of Se-based glasses in visible spectral region, it's difficult to measure their homogeneity and internal defect as the common oxide ones. In this study, a measurement was proposed to observe the homogeneity and internal defect of these glasses based on near-IR imaging technique and an effective measurement system was also constructed. The testing result indicated the method can gives the information of homogeneity and internal defect of infrared Se-based chalcogenide glass clearly and intuitionally.
Automatic delamination defect detection in radiographic sequence of rocket boosters
International Nuclear Information System (INIS)
Rebuffel, V.; Pires, S.; Caplier, A.; Lamarque, P.
2003-01-01
Solid rocket motors are routinely examined in real-time X-ray radioscopic mode. The large and cylindrical boosters are rotating between a high energy source and a two dimensional detector. The purpose of this control is to detect possible defects all through the sample. In the tangential configuration, the part of the object that intersects the X-rays beam is the peripheral one, allowing to detect the delamination defect between the propellant and the external metal envelope. But the defect detectability is very poor due to the strong attenuation of the X-rays through the motors. During the rotation of the booster, the system acquires a sequence of radiographs where the defects are visible over several successive instants. We have previously developed a real-time tomo-synthesis system, processing the radiographs on line, and based on a tomo-synthesis reconstruction algorithm in order to improve the signal-to-noise ratio. This system is installed at the industrial site of Kourou, and is currently used by the operators in charge of the visual inspection of the boosters. In this paper, we present a method that processes the digital images obtained by the system in the purpose of automatically extracting the delamination defects. Due to the size and the poor contrast of the defects, a single image is not sufficient to perform this detection. A spatio-temporal aspect is required for the algorithm to be robust and efficient. In a first step, the proposed method computes the apparent local displacement between the current radiograph and a reference one. This reference image is acquired at the beginning of the rotation, with few noise, and is supposed to be defect free. The apparent displacement is due to the non-perfect rotation positioning. It may be uniform or not, depending on the deformation of the insulation liner of the metallic wall. The images are then registered and compared. On the resulting difference image we apply a smoothed threshold to obtain an
Environmental management systems: An industry viewpoint
International Nuclear Information System (INIS)
Ottenbreit, R.
1993-01-01
Imperial Oil is upgrading systems used to ensure protection of health and safety and to facilitate the internalization and integration of environmental considerations into its business. Work in progress related to this upgrading is reported. The upgrading was undertaken partly in response to increased expectations from stakeholders and from the notion that improvement of the environmental, health, and safety (EH ampersand S) aspects of business can have the effect of improving reliability, lowering expenses, and minimizing liabilities. The responsibility for establishing environmental policy and direction as well as the environmental management framework rests with Imperial Oil's management committee and the EH ampersand S committee of the board of directors. Responsibility and accountability for implementation and sustainment of environmental processes and systems resides with line management. One of the management systems, the Operations Integrity Management Framework, is described. Elements of this framework include management leadership, accountability, and commitment; risk assessment and management; management of change; personnel and training; incident investigation and analysis; and facilities design and construction. 2 figs
Correspondence Management System
U.S. Environmental Protection Agency — CMS is EPA's correspondence tracking and workflow management system. It scans, logs, routes, tracks, and stores incoming and outgoing correspondence in all Program...
National Archives and Records Administration — This system supports the physical management of permanent, hard-copy archival Records in the custody of National Archives and Records Administration program offices.
Defects and Disorder in the Drosophila Eye
Kim, Sangwoo; Carthew, Richard; Hilgenfeldt, Sascha
Cell division and differentiation tightly control the regular pattern in the normal eye of the Drosophila fruit fly while certain genetic mutations introduce disorder in the form of topological defects. Analyzing data from pupal retinas, we develop a model based on Voronoi construction that explains the defect statistics as a consequence of area variation of individual facets (ommatidia). The analysis reveals a previously unknown systematic long-range area variation that spans the entire eye, with distinct effects on topological disorder compared to local fluctuations. The internal structure of the ommatidia and the stiffness of their interior cells also plays a crucial role in the defect generation. Accurate predictions of the correlation between the area variation and the defect density in both normal and mutant animals are obtained without free parameters. This approach can potentially be applied to cellular systems in many other contexts to identify size-topology correlations near the onset of symmetry breaking. This work has been supported by the NIH (GM098077) and the NSF (Grant No. 1504301).
7 CFR 246.13 - Financial management system.
2010-01-01
... 7 Agriculture 4 2010-01-01 2010-01-01 false Financial management system. 246.13 Section 246.13... State Agency Provisions § 246.13 Financial management system. (a) Disclosure of expenditures. The State agency shall maintain a financial management system which provides accurate, current and complete...
14 CFR 152.303 - Financial management system.
2010-01-01
... 14 Aeronautics and Space 3 2010-01-01 2010-01-01 false Financial management system. 152.303... (CONTINUED) AIRPORTS AIRPORT AID PROGRAM Accounting and Reporting Requirements § 152.303 Financial management system. Each sponsor or planning agency shall establish and maintain a financial management system that...
e-Learning Management System (eLMS) -
Department of Transportation — DOT's electronic Learning Management System (eLMS) is a state-of-the-art web-based system that meets the needs of training administrators, learners, and managers and...
McDonald, Linda S; Panozzo, Joseph F; Salisbury, Phillip A; Ford, Rebecca
2016-01-01
Field peas (Pisum sativum L.) are generally traded based on seed appearance, which subjectively defines broad market-grades. In this study, we developed an objective Linear Discriminant Analysis (LDA) model to classify market grades of field peas based on seed colour, shape and size traits extracted from digital images. Seeds were imaged in a high-throughput system consisting of a camera and laser positioned over a conveyor belt. Six colour intensity digital images were captured (under 405, 470, 530, 590, 660 and 850nm light) for each seed, and surface height was measured at each pixel by laser. Colour, shape and size traits were compiled across all seed in each sample to determine the median trait values. Defective and non-defective seed samples were used to calibrate and validate the model. Colour components were sufficient to correctly classify all non-defective seed samples into correct market grades. Defective samples required a combination of colour, shape and size traits to achieve 87% and 77% accuracy in market grade classification of calibration and validation sample-sets respectively. Following these results, we used the same colour, shape and size traits to develop an LDA model which correctly classified over 97% of all validation samples as defective or non-defective.
Verification Account Management System (VAMS)
Social Security Administration — The Verification Account Management System (VAMS) is the centralized location for maintaining SSA's verification and data exchange accounts. VAMS account management...
Soto, Matias; Barrera, Enrique
Using carbon nanotubes for electrical conduction applications at the macroscale has proven to be a difficult task, mainly, due to defects and impurities present, and lack of uniform electronic properties in synthesized carbon nanotube bundles. Some researchers have suggested that growing only metallic armchair nanotubes and arranging them with an ideal contact length could lead to the ultimate electrical conductivity; however, such recipe presents too high of a cost to pay. A different route and the topic of this work is to learn to manage the defects, impurities, and the electronic properties of carbon nanotubes present, so that the electrical conduction of a bundle or even wire may be enhanced. We used density functional theory calculations to study the effect of defects and doping on the electronic structure of metallic, semi-metal and semiconducting carbon nanotubes in order to gain a clear picture of their properties. Additionally, using dopants to increase the conductance across a junction between two carbon nanotubes was studied for different configurations. Finally, interaction potentials obtained via first-principles calculations were generalized by developing mathematical models for the purpose of running simulations at a larger length scale using molecular dynamics. Partial funding was received from CONACyT Scholarship 314419.
Modeling charged defects inside density functional theory band gaps
International Nuclear Information System (INIS)
Schultz, Peter A.; Edwards, Arthur H.
2014-01-01
Density functional theory (DFT) has emerged as an important tool to probe microscopic behavior in materials. The fundamental band gap defines the energy scale for charge transition energy levels of point defects in ionic and covalent materials. The eigenvalue gap between occupied and unoccupied states in conventional DFT, the Kohn–Sham gap, is often half or less of the experimental band gap, seemingly precluding quantitative studies of charged defects. Applying explicit and rigorous control of charge boundary conditions in supercells, we find that calculations of defect energy levels derived from total energy differences give accurate predictions of charge transition energy levels in Si and GaAs, unhampered by a band gap problem. The GaAs system provides a good theoretical laboratory for investigating band gap effects in defect level calculations: depending on the functional and pseudopotential, the Kohn–Sham gap can be as large as 1.1 eV or as small as 0.1 eV. We find that the effective defect band gap, the computed range in defect levels, is mostly insensitive to the Kohn–Sham gap, demonstrating it is often possible to use conventional DFT for quantitative studies of defect chemistry governing interesting materials behavior in semiconductors and oxides despite a band gap problem
Automated Transportation Management System (ATMS) Configuration Management Plan. Revision 1
International Nuclear Information System (INIS)
Weidert, R.S.
1994-01-01
This document describes the Software Configuration Management (SCM) approach and procedures to be utilized in developing and maintaining the Automated Transportation Management System (ATMS). The configuration management procedures are necessary to ensure that any changes made to software and related documentation are consistent with ATMS goals and contained securely in a central library. This plan applies to all software and associated documentation used in producing ATMS V1.0 and ATMS V2.0 system
Designing an oil spill information management system
International Nuclear Information System (INIS)
Douligeris, C.; Collins, J.; Iakovou, E.; Sun, P.; Riggs, K.R.
1995-01-01
This paper presents the architectural design of OSIMS, an Oil Spill Information Management System, which is an integrated information management tool that consists of an object-relational database management system, an adaptive decision support system, an advanced visualization system (AVS) and a geographic information system (GIS). OSIMS will handle large and diverse databases of environmental, ecological, geographical, engineering, and regulatory information and will be used for risk analysis and contingency planning
Designing an oil spill information management system
Energy Technology Data Exchange (ETDEWEB)
Douligeris, C.; Collins, J.; Iakovou, E.; Sun, P.; Riggs, K.R. [Univ. of Miami, Coral Gables, FL (United States)
1995-12-31
This paper presents the architectural design of OSIMS, an Oil Spill Information Management System, which is an integrated information management tool that consists of an object-relational database management system, an adaptive decision support system, an advanced visualization system (AVS) and a geographic information system (GIS). OSIMS will handle large and diverse databases of environmental, ecological, geographical, engineering, and regulatory information and will be used for risk analysis and contingency planning.
Model-based version management system framework
International Nuclear Information System (INIS)
Mehmood, W.
2016-01-01
In this paper we present a model-based version management system. Version Management System (VMS) a branch of software configuration management (SCM) aims to provide a controlling mechanism for evolution of software artifacts created during software development process. Controlling the evolution requires many activities to perform, such as, construction and creation of versions, identification of differences between versions, conflict detection and merging. Traditional VMS systems are file-based and consider software systems as a set of text files. File based VMS systems are not adequate for performing software configuration management activities such as, version control on software artifacts produced in earlier phases of the software life cycle. New challenges of model differencing, merge, and evolution control arise while using models as central artifact. The goal of this work is to present a generic framework model-based VMS which can be used to overcome the problem of tradition file-based VMS systems and provide model versioning services. (author)
Energy Technology Data Exchange (ETDEWEB)
Zinkle, S.J. [Oak Ridge National Lab., TN (United States); Kinoshita, C. [Kyushu Univ. (Japan)
1997-08-01
A review is given of several important defect production and accumulation parameters for irradiated ceramics. Materials covered in this review include alumina, magnesia, spinel silicon carbide, silicon nitride, aluminum nitride and diamond. Whereas threshold displacement energies for many ceramics are known within a reasonable level of uncertainty (with notable exceptions being AIN and Si{sub 3}N{sub 4}), relatively little information exists on the equally important parameters of surviving defect fraction (defect production efficiency) and point defect migration energies for most ceramics. Very little fundamental displacement damage information is available for nitride ceramics. The role of subthreshold irradiation on defect migration and microstructural evolution is also briefly discussed.
International Nuclear Information System (INIS)
Chen, W.M.; Buyanova, I.A.; Tu, C.W.; Yonezu, H.
2005-01-01
We provide a brief review our recent results from optically detected magnetic resonance studies of grown-in non-radiative defects in dilute nitrides, i.e. Ga(In)NAs and Ga(Al,In)NP. Defect complexes involving intrinsic defects such as As Ga antisites and Ga i self interstitials were positively identified.Effects of growth conditions, chemical compositions and post-growth treatments on formation of the defects are closely examined. These grown-in defects are shown to play an important role in non-radiative carrier recombination and thus in degrading optical quality of the alloys, harmful to performance of potential optoelectronic and photonic devices based on these dilute nitrides. (author)
Electronic structure of point defects in semiconductors
International Nuclear Information System (INIS)
Bruneval, Fabien
2014-01-01
This 'Habilitation a diriger des Recherches' memoir presents most of my scientific activities during the past 7 years, in the field of electronic structure calculations of defects in solids. Point defects (vacancies, interstitials, impurities) in functional materials are a key parameter to determine if these materials will actually fill the role they have been assigned or not. Indeed, the presence of defects cannot be avoided when the temperature is increased or when the material is subjected to external stresses, such as irradiation in the nuclear reactors and in artificial satellites with solar radiations. However, in many cases, defects are introduced in the materials on purpose to tune the electronic transport, optical or even magnetic properties. This procedure is called the doping of semiconductors, which is the foundation technique for transistors, diodes, or photovoltaic cells. However, doping is not always straightforward and unexpected features may occur, such as doping asymmetry or Fermi level pinning, which can only be explained by complex phenomena involving different types of defects or complexes of defects. In this context, the calculations of electronic structure ab initio is an ideal tool to complement the experimental observations, to gain the understanding of phenomena at the atomic level, and even to predict the properties of defects. The power of the ab initio calculations comes from their ability to describe any system of electrons and nuclei without any specific adjustment. But although there is a strong need for numerical simulations in this field, the ab initio calculations for defects are still under development as of today. The work presented in this memoir summarizes my contributions to methodological developments on this subject. These developments have followed two main tracks. The first topic is the better understanding of the unavoidable finite size effects. Indeed, defects in semiconductors or insulators are generally present in
Multiple system modelling of waste management
International Nuclear Information System (INIS)
Eriksson, Ola; Bisaillon, Mattias
2011-01-01
Highlights: → Linking of models will provide a more complete, correct and credible picture of the systems. → The linking procedure is easy to perform and also leads to activation of project partners. → The simulation procedure is a bit more complicated and calls for the ability to run both models. - Abstract: Due to increased environmental awareness, planning and performance of waste management has become more and more complex. Therefore waste management has early been subject to different types of modelling. Another field with long experience of modelling and systems perspective is energy systems. The two modelling traditions have developed side by side, but so far there are very few attempts to combine them. Waste management systems can be linked together with energy systems through incineration plants. The models for waste management can be modelled on a quite detailed level whereas surrounding systems are modelled in a more simplistic way. This is a problem, as previous studies have shown that assumptions on the surrounding system often tend to be important for the conclusions. In this paper it is shown how two models, one for the district heating system (MARTES) and another one for the waste management system (ORWARE), can be linked together. The strengths and weaknesses with model linking are discussed when compared to simplistic assumptions on effects in the energy and waste management systems. It is concluded that the linking of models will provide a more complete, correct and credible picture of the consequences of different simultaneous changes in the systems. The linking procedure is easy to perform and also leads to activation of project partners. However, the simulation procedure is a bit more complicated and calls for the ability to run both models.
Automated Traffic Management System and Method
Glass, Brian J. (Inventor); Spirkovska, Liljana (Inventor); McDermott, William J. (Inventor); Reisman, Ronald J. (Inventor); Gibson, James (Inventor); Iverson, David L. (Inventor)
2000-01-01
A data management system and method that enables acquisition, integration, and management of real-time data generated at different rates, by multiple heterogeneous incompatible data sources. The system achieves this functionality by using an expert system to fuse data from a variety of airline, airport operations, ramp control, and air traffic control tower sources, to establish and update reference data values for every aircraft surface operation. The system may be configured as a real-time airport surface traffic management system (TMS) that electronically interconnects air traffic control, airline data, and airport operations data to facilitate information sharing and improve taxi queuing. In the TMS operational mode, empirical data shows substantial benefits in ramp operations for airlines, reducing departure taxi times by about one minute per aircraft in operational use, translating as $12 to $15 million per year savings to airlines at the Atlanta, Georgia airport. The data management system and method may also be used for scheduling the movement of multiple vehicles in other applications, such as marine vessels in harbors and ports, trucks or railroad cars in ports or shipping yards, and railroad cars in switching yards. Finally, the data management system and method may be used for managing containers at a shipping dock, stock on a factory floor or in a warehouse, or as a training tool for improving situational awareness of FAA tower controllers, ramp and airport operators, or commercial airline personnel in airfield surface operations.
Dual-Use Aspects of System Health Management
Owens, P. R.; Jambor, B. J.; Eger, G. W.; Clark, W. A.
1994-01-01
System Health Management functionality is an essential part of any space launch system. Health management functionality is an integral part of mission reliability, since it is needed to verify the reliability before the mission starts. Health Management is also a key factor in life cycle cost reduction and in increasing system availability. The degree of coverage needed by the system and the degree of coverage made available at a reasonable cost are critical parameters of a successful design. These problems are not unique to the launch vehicle world. In particular, the Intelligent Vehicle Highway System, commercial aircraft systems, train systems, and many types of industrial production facilities require various degrees of system health management. In all of these applications, too, the designers must balance the benefits and costs of health management in order to optimize costs. The importance of an integrated system is emphasized. That is, we present the case for considering health management as an integral part of system design, rather than functionality to be added on at the end of the design process. The importance of maintaining the system viewpoint is discussed in making hardware and software tradeoffs and in arriving at design decisions. We describe an approach to determine the parameters to be monitored in any system health management application. This approach is based on Design of Experiments (DOE), prototyping, failure modes and effects analyses, cost modeling and discrete event simulation. The various computer-based tools that facilitate the approach are discussed. The approach described originally was used to develop a fault tolerant avionics architecture for launch vehicles that incorporated health management as an integral part of the system. Finally, we discuss generalizing the technique to apply it to other domains. Several illustrations are presented.
Defect States Emerging from a Non-Hermitian Flatband of Photonic Zero Modes
Qi, Bingkun; Zhang, Lingxuan; Ge, Li
2018-03-01
We show the existence of a flatband consisting of photonic zero modes in a gain and loss modulated lattice system as a result of the underlying non-Hermitian particle-hole symmetry. This general finding explains the previous observation in parity-time symmetric systems where non-Hermitian particle-hole symmetry is hidden. We further discuss the defect states in these systems, whose emergence can be viewed as an unconventional alignment of a pseudospin under the influence of a complex-valued pseudomagnetic field. These defect states also behave as a chain with two types of links, one rigid in a unit cell and one soft between unit cells, as the defect states become increasingly localized with the gain and loss strength.
7 CFR 249.11 - Financial management system.
2010-01-01
... 7 Agriculture 4 2010-01-01 2010-01-01 false Financial management system. 249.11 Section 249.11... § 249.11 Financial management system. (a) Disclosure of expenditures. The State agency must maintain a financial management system that provides accurate, current and complete disclosure of the financial status...
7 CFR 248.11 - Financial management system.
2010-01-01
... 7 Agriculture 4 2010-01-01 2010-01-01 false Financial management system. 248.11 Section 248.11... § 248.11 Financial management system. (a) Disclosure of expenditures. The State agency shall maintain a financial management system which provides accurate, current and complete disclosure of the financial status...
DKIST facility management system integration
White, Charles R.; Phelps, LeEllen
2016-07-01
The Daniel K. Inouye Solar Telescope (DKIST) Observatory is under construction at Haleakalā, Maui, Hawai'i. When complete, the DKIST will be the largest solar telescope in the world. The Facility Management System (FMS) is a subsystem of the high-level Facility Control System (FCS) and directly controls the Facility Thermal System (FTS). The FMS receives operational mode information from the FCS while making process data available to the FCS and includes hardware and software to integrate and control all aspects of the FTS including the Carousel Cooling System, the Telescope Chamber Environmental Control Systems, and the Temperature Monitoring System. In addition it will integrate the Power Energy Management System and several service systems such as heating, ventilation, and air conditioning (HVAC), the Domestic Water Distribution System, and the Vacuum System. All of these subsystems must operate in coordination to provide the best possible observing conditions and overall building management. Further, the FMS must actively react to varying weather conditions and observational requirements. The physical impact of the facility must not interfere with neighboring installations while operating in a very environmentally and culturally sensitive area. The FMS system will be comprised of five Programmable Automation Controllers (PACs). We present a pre-build overview of the functional plan to integrate all of the FMS subsystems.
Municipal solid waste management system: decision support through systems analysis
Pires, Ana Lúcia Lourenço
2010-01-01
Thesis submitted to the Universidade Nova de Lisboa, Faculdade de Ciências e Tecnologia for the degree of Doctor of Philosophy in Environmental Engineering The present study intends to show the development of systems analysis model applied to solid waste management system, applied into AMARSUL, a solid waste management system responsible for the management of municipal solid waste produced in Setúbal peninsula, Portugal. The model developed intended to promote sustainable decision making, ...
Genital and Urinary Tract Defects
... conditions > Genital and urinary tract defects Genital and urinary tract defects E-mail to a friend Please fill ... and extra fluids. What problems can genital and urinary tract defects cause? Genital and urinary tract defects affect ...
Autonomously managed electrical power systems
Callis, Charles P.
1986-01-01
The electric power systems for future spacecraft such as the Space Station will necessarily be more sophisticated and will exhibit more nearly autonomous operation than earlier spacecraft. These new power systems will be more reliable and flexible than their predecessors offering greater utility to the users. Automation approaches implemented on various power system breadboards are investigated. These breadboards include the Hubble Space Telescope power system test bed, the Common Module Power Management and Distribution system breadboard, the Autonomusly Managed Power System (AMPS) breadboard, and the 20 kilohertz power system breadboard. Particular attention is given to the AMPS breadboard. Future plans for these breadboards including the employment of artificial intelligence techniques are addressed.
Automatic management software for large-scale cluster system
International Nuclear Information System (INIS)
Weng Yunjian; Chinese Academy of Sciences, Beijing; Sun Gongxing
2007-01-01
At present, the large-scale cluster system faces to the difficult management. For example the manager has large work load. It needs to cost much time on the management and the maintenance of large-scale cluster system. The nodes in large-scale cluster system are very easy to be chaotic. Thousands of nodes are put in big rooms so that some managers are very easy to make the confusion with machines. How do effectively carry on accurate management under the large-scale cluster system? The article introduces ELFms in the large-scale cluster system. Furthermore, it is proposed to realize the large-scale cluster system automatic management. (authors)
Novikov, Vladimir
2010-01-01
The article deals with categorical apparatus of information management systems to build a model pairing SWOT-matrix and the quality management system, which is especially important for the energytion industry.
Integrated therapy safety management system.
Podtschaske, Beatrice; Fuchs, Daniela; Friesdorf, Wolfgang
2013-09-01
The aim is to demonstrate the benefit of the medico-ergonomic approach for the redesign of clinical work systems. Based on the six layer model, a concept for an 'integrated therapy safety management' is drafted. This concept could serve as a basis to improve resilience. The concept is developed through a concept-based approach. The state of the art of safety and complexity research in human factors and ergonomics forms the basis. The findings are synthesized to a concept for 'integrated therapy safety management'. The concept is applied by way of example for the 'medication process' to demonstrate its practical implementation. The 'integrated therapy safety management' is drafted in accordance with the six layer model. This model supports a detailed description of specific work tasks, the corresponding responsibilities and related workflows at different layers by using the concept of 'bridge managers'. 'Bridge managers' anticipate potential errors and monitor the controlled system continuously. If disruptions or disturbances occur, they respond with corrective actions which ensure that no harm results and they initiate preventive measures for future procedures. The concept demonstrates that in a complex work system, the human factor is the key element and final authority to cope with the residual complexity. The expertise of the 'bridge managers' and the recursive hierarchical structure results in highly adaptive clinical work systems and increases their resilience. The medico-ergonomic approach is a highly promising way of coping with two complexities. It offers a systematic framework for comprehensive analyses of clinical work systems and promotes interdisciplinary collaboration. © 2013 The Authors. British Journal of Clinical Pharmacology © 2013 The British Pharmacological Society.
Autonomously managed high power systems
International Nuclear Information System (INIS)
Weeks, D.J.; Bechtel, R.T.
1985-01-01
The need for autonomous power management capabilities will increase as the power levels of spacecraft increase into the multi-100 kW range. The quantity of labor intensive ground and crew support consumed by the 9 kW Skylab cannot be afforded in support of a 75-300 kW Space Station or high power earth orbital and interplanetary spacecraft. Marshall Space Flight Center is managing a program to develop necessary technologies for high power system autonomous management. To date a reference electrical power system and automation approaches have been defined. A test facility for evaluation and verification of management algorithms and hardware has been designed with the first of the three power channel capability nearing completion
International Nuclear Information System (INIS)
Entezar, S. Roshan
2012-01-01
We investigate the position dependent spontaneous emission spectra of a Λ-type three-level atom with one transition coupled to the free vacuum reservoir and the other one coupled to a double-band photonic band gap reservoir with a defect mode in the band gap. It is shown that, for the atom at the defect location, we have a two-peak spectrum with a wide dark line due to the strong coupling between the atom and the defect mode. While, when the atom is far from the defect location (or in the absence of the defect mode), the spectrum has three peaks with two dark lines due to the coupling between the atom and the photonic band gap reservoir with the largest density of states near the band edges. On the other hand, we have a four-peak spectrum for the atom at the space in between. Moreover, the average spontaneous emission spectra of the atoms uniformly embedded in high dielectric or low dielectric regions are described. It is shown that the atoms embedded in high (low) dielectric regions far from the defect location, effectively couple to the modes of the lower (upper) photonic band. However, the atoms embedded in high dielectric or low dielectric regions at the defect location, are coupled mainly to the defect modes. While, the atoms uniformly embedded in high (low) dielectric regions with a normal distance from the defect location, are coupled to both of defect and lower (upper) photonic band modes. (electromagnetism, optics, acoustics, heat transfer, classical mechanics, and fluid dynamics)
Directory of Open Access Journals (Sweden)
Jan-Philipp Stromps
2014-01-01
Full Text Available According to data published by the Centers for Disease Control and Prevention, over 6 million people undergo a variety of medical procedures for the repair of articular cartilage defects in the U.S. each year. Trauma, tumor, and age-related degeneration can cause major defects in articular cartilage, which has a poor intrinsic capacity for healing. Therefore, there is substantial interest in the development of novel cartilage tissue engineering strategies to restore articular cartilage defects to a normal or prediseased state. Special attention has been paid to the expansion of chondrocytes, which produce and maintain the cartilaginous matrix in healthy cartilage. This review summarizes the current efforts to generate chondrocytes from adipose-derived stem cells (ASCs and provides an outlook on promising future strategies.
Information systems for risk management
Michael S. Gibson
1997-01-01
Risk management information systems are designed to overcome the problem of aggregating data across diverse trading units. The design of an information system depends on the risk measurement methodology that a firm chooses. Inherent in the design of both a risk management information system and a risk measurement methodology is a tradeoff between the accuracy of the resulting measures of risk and the burden of computing them. Technical progress will make this tradeoff more favorable over time...
Place of Budget Management in the General System of Trade Enterprise Management
Kravchenko Olena S.; Brahina Olha S.
2014-01-01
The article grounds urgency of identification of the place of budget management in the general system of trade enterprise management. It analyses points of view of economists with respect to definitions of the “budgeting” and “budget management” terms. It considers main functions of budget management. It identifies the place of budget management in the general system of enterprise management. Pursuant to definition of the budget management as a managerial technology, which should meet a numbe...
Hospital managers need management information systems.
Davis, S; Freeman, J R
1976-01-01
A new hospital director seeking to bring his institution to the brink of solvency found himself with ten pounds of data but no "information"--at least, not the kind of information he could use as a basis for management decisions. What he needed was a system that would not only present data, but the meaning of the data. Such a system is the integrated MIS.
Integrated Procurement Management System, Version II
Collier, L. J.
1985-01-01
Integrated Procurement Management System, Version II (IPMS II) is online/ batch system for collecting developing, managing and disseminating procurementrelated data at NASA Johnson Space Center. Portions of IPMS II adaptable to other procurement situations.
Efficiency analysis system of material management
Directory of Open Access Journals (Sweden)
Bogusław Śliwczyński
2012-12-01
Full Text Available Background: Significant scope of enterprise's efficiency management is improving of material management process both the strategic and operational level. The complexity of material flow processes can lead to a threat such as distraction and disintegration of analysis focusing on many different factors influenced on effective sourcing and procurement management, transport and warehousing processes, inventory management, working capital and cash flow management. Material and methods: The presented article focuses on multidimensional and multi-criteria analysis of material management efficiency that is considered as decision support system. Authors have presented results of the research regarding ineffective material management confirm insufficient analytical supporting in various decisions of procurement operations. Results and conclusions: Based on research results authors presented in the article model of efficiency analysis system of material management.
Development of a change management system
Parks, Cathy Bonifas
1993-01-01
The complexity and interdependence of software on a computer system can create a situation where a solution to one problem causes failures in dependent software. In the computer industry, software problems arise and are often solved with 'quick and dirty' solutions. But in implementing these solutions, documentation about the solution or user notification of changes is often overlooked, and new problems are frequently introduced because of insufficient review or testing. These problems increase when numerous heterogeneous systems are involved. Because of this situation, a change management system plays an integral part in the maintenance of any multisystem computing environment. At the NASA Ames Advanced Computational Facility (ACF), the Online Change Management System (OCMS) was designed and developed to manage the changes being applied to its multivendor computing environment. This paper documents the research, design, and modifications that went into the development of this change management system (CMS).
Managing Ventricular Septal Defect with Associated Aortic Regurgitation: Two Decades of Experience.
Sanoussi, Ahmed; Demanet, Helene; Dessy, Hughes; Massin, Martial; Biarent, Dominique; Deville, Andree; Wauthy, Pierre
2015-09-01
Ventricular septal defect (VSD) with aortic regurgitation (AR) is a well-known association. However, there is still no agreement about its management, particularly regarding the technical details of its operative treatment. The study aim was to describe all components of the syndrome and to evaluate the various techniques used with regards to its anatomical and functional features. A total of 31 patients (mean age 7.4 years; range: 1.0-14.3 years) who underwent repair of VSD and AR between 1990 and 2013 was reviewed. The VSD was perimembranous in 22 patients, and subarterial in nine. Trusler's valvuloplasty technique was used in 15 patients, Yacoub's technique in seven, and Carpentier's technique (triangular resection) in four. Two patients underwent aortic valve replacement (AVR), and three patients with no significant aortic valve lesions underwent a simple patch repair of the VSD. The aortic valvuloplasty results were generally good, with an initial aortic valvuloplasty avoiding AVR. During the immediate postoperative period, valvuloplasty failure occurred in three patients, regardless of the technique used, and all three patients were reoperated on. The mean duration of follow up was 8.5 years (range: 3.2-20.6 years). The initial result was maintained in all patients, except for four who underwent late AVR. The study findings contributed to an analysis of VSD and AR, and helped to clarify the best surgical strategy. The results obtained suggest that adequacy of the initial repair is the most important determinant of subsequent evolution.
Point defects and defect clusters examined on the basis of some fundamental experiments
International Nuclear Information System (INIS)
Zuppiroli, L.
1975-01-01
On progressing from the centre of the defect to the surface the theoretical approach to a point defect passes from electronic theories to elastic theory. Experiments by which the point defect can be observed fall into two categories. Those which detect long-range effects: measurement of dimensional variations in the sample; measurement of the mean crystal parameter variation; elastic X-ray scattering near the nodes of the reciprocal lattice (Huang scattering). Those which detect more local effects: low-temperature resistivity measurement; positron capture and annihilation; local scattering far from the reciprocal lattice nodes. Experiments involving both short and long-range effects can always be found. This is the case for example with the dechanneling of α particles by defects. Certain of the experimental methods quoted above apply also to the study of point defect clusters. These methods are illustrated by some of their most striking results which over the last twenty years have refined our knowledge of point defects and defect clusters: length and crystal parameter measurements; diffuse X-ray scattering; low-temperature resistivity measurements; ion emission microscopy; electron microscopy; elastoresistivity [fr
... Staying Safe Videos for Educators Search English Español Birth Defects KidsHealth / For Parents / Birth Defects What's in ... Prevented? Print en español Anomalías congénitas What Are Birth Defects? While still in the womb, some babies ...
Tank waste remediation system configuration management plan
International Nuclear Information System (INIS)
Vann, J.M.
1998-01-01
The configuration management program for the Tank Waste Remediation System (TWRS) Project Mission supports management of the project baseline by providing the mechanisms to identify, document, and control the functional and physical characteristics of the products. This document is one of the tools used to develop and control the mission and work. It is an integrated approach for control of technical, cost, schedule, and administrative information necessary to manage the configurations for the TWRS Project Mission. Configuration management focuses on five principal activities: configuration management system management, configuration identification, configuration status accounting, change control, and configuration management assessments. TWRS Project personnel must execute work in a controlled fashion. Work must be performed by verbatim use of authorized and released technical information and documentation. Application of configuration management will be consistently applied across all TWRS Project activities and assessed accordingly. The Project Hanford Management Contract (PHMC) configuration management requirements are prescribed in HNF-MP-013, Configuration Management Plan (FDH 1997a). This TWRS Configuration Management Plan (CMP) implements those requirements and supersedes the Tank Waste Remediation System Configuration Management Program Plan described in Vann, 1996. HNF-SD-WM-CM-014, Tank Waste Remediation System Configuration Management Implementation Plan (Vann, 1997) will be revised to implement the requirements of this plan. This plan provides the responsibilities, actions and tools necessary to implement the requirements as defined in the above referenced documents
Human engineering design of medical x-ray system
International Nuclear Information System (INIS)
Tohma, Hideo
1981-01-01
There have been remarkable progress in the area of X-ray diagnosis technology in recent years. However, there have been some cases of accident. Such accidents included those due to defective apparatus such as defects in apparatus and poor safety system, those caused by incorrect handling of apparatus by radiologic technologist, and those originating in a poor surrounding condition or bad management of apparatus. In this report, the following subjects are statistically studied: 1. Analysis of radiographic error. 2. Analysis of failure in medical X-ray systems. (author)
Lu, Guanning Nina; Pelton, Ron W; Humphrey, Clinton D; Kriet, John David
2017-08-01
Eyelid defects disrupt the complex natural form and function of the eyelids and present a surgical challenge. Detailed knowledge of eyelid anatomy is essential in evaluating a defect and composing a reconstructive plan. Numerous reconstructive techniques have been described, including primary closure, grafting, and a variety of local flaps. This article describes an updated reconstructive ladder for eyelid defects that can be used in various permutations to solve most eyelid defects. Copyright © 2017 Elsevier Inc. All rights reserved.