WorldWideScience

Sample records for deep-reactive ion etching

  1. A novel non-sequential hydrogen-pulsed deep reactive ion etching of silicon

    International Nuclear Information System (INIS)

    Gharooni, M; Mohajerzadeh, A; Sandoughsaz, A; Khanof, S; Mohajerzadeh, S; Asl-Soleimani, E

    2013-01-01

    A non-sequential pulsed-mode deep reactive ion etching of silicon is reported that employs continuous etching and passivation based on SF 6 and H 2 gases. The passivation layer, as an important step for deep vertical etching of silicon, is feasible by hydrogen pulses in proper time-slots. By adjusting the etching parameters such as plasma power, H 2 and SF 6 flows and hydrogen pulse timing, the process can be controlled for minimum underetch and high etch-rate at the same time. High-aspect-ratio features can be realized with low-density plasma power and by controlling the reaction chemistry. The so-called reactive ion etching lag has been minimized by operating the reactor at higher pressures. X-ray photoelectron spectroscopy and scanning electron microscopy have been used to study the formation of the passivation layer and the passivation mechanism. (paper)

  2. Deep Reactive Ion Etching for High Aspect Ratio Microelectromechanical Components

    DEFF Research Database (Denmark)

    Jensen, Søren; Yalcinkaya, Arda Deniz; Jacobsen, S.

    2004-01-01

    A deep reactive ion etch (DRIE) process for fabrication of high aspect ratio trenches has been developed. Trenches with aspect ratios exceeding 20 and vertical sidewalls with low roughness have been demonstrated. The process has successfully been used in the fabrication of silicon-on-insulator (SOI...

  3. Periodic arrays of deep nanopores made in silicon with reactive ion etching and deep UV lithography

    International Nuclear Information System (INIS)

    Woldering, Leon A; Tjerkstra, R Willem; Vos, Willem L; Jansen, Henri V; Setija, Irwan D

    2008-01-01

    We report on the fabrication of periodic arrays of deep nanopores with high aspect ratios in crystalline silicon. The radii and pitches of the pores were defined in a chromium mask by means of deep UV scan and step technology. The pores were etched with a reactive ion etching process with SF 6 , optimized for the formation of deep nanopores. We have realized structures with pitches between 440 and 750 nm, pore diameters between 310 and 515 nm, and depth to diameter aspect ratios up to 16. To the best of our knowledge, this is the highest aspect ratio ever reported for arrays of nanopores in silicon made with a reactive ion etching process. Our experimental results show that the etching rate of the nanopores is aspect-ratio-dependent, and is mostly influenced by the angular distribution of the etching ions. Furthermore we show both experimentally and theoretically that, for sub-micrometer structures, reducing the sidewall erosion is the best way to maximize the aspect ratio of the pores. Our structures have potential applications in chemical sensors, in the control of liquid wetting of surfaces, and as capacitors in high-frequency electronics. We demonstrate by means of optical reflectivity that our high-quality structures are very well suited as photonic crystals. Since the process studied is compatible with existing CMOS semiconductor fabrication, it allows for the incorporation of the etched arrays in silicon chips

  4. A new concept for spatially divided Deep Reactive Ion Etching with ALD-based passivation

    International Nuclear Information System (INIS)

    Roozeboom, F; Kniknie, B; Lankhorst, A M; Winands, G; Knaapen, R; Smets, M; Poodt, P; Dingemans, G; Keuning, W; Kessels, W M M

    2012-01-01

    Conventional Deep Reactive Ion Etching (DRIE) is a plasma etch process with alternating half-cycles of 1) Si-etching with SF 6 to form gaseous SiF x etch products, and 2) passivation with C 4 F 8 that polymerizes as a protecting fluorocarbon deposit on the sidewalls and bottom of the etched features. In this work we report on a novel alternative and disruptive technology concept of Spatially-divided Deep Reactive Ion Etching, S-DRIE, where the process is converted from the time-divided into the spatially divided regime. The spatial division can be accomplished by inert gas bearing 'curtains' of heights down to ∼20 μm. These curtains confine the reactive gases to individual (often linear) injection slots constructed in a gas injector head. By horizontally moving the substrate back and forth under the head one can realize the alternate exposures to the overall cycle. A second improvement in the spatially divided approach is the replacement of the CVD-based C 4 F 8 passivation steps by ALD-based oxide (e.g. SiO 2 ) deposition cycles. The method can have industrial potential in cost-effective creation of advanced 3D interconnects (TSVs), MEMS manufacturing and advanced patterning, e.g., in nanoscale transistor line edge roughness using Atomic Layer Etching.

  5. Deep Reactive Ion Etching (DRIE) of High Aspect Ratio SiC Microstructures using a Time-Multiplexed Etch-Passivate Process

    Science.gov (United States)

    Evans, Laura J.; Beheim, Glenn M.

    2006-01-01

    High aspect ratio silicon carbide (SiC) microstructures are needed for microengines and other harsh environment micro-electro-mechanical systems (MEMS). Previously, deep reactive ion etching (DRIE) of low aspect ratio (AR less than or = 1) deep (greater than 100 micron) trenches in SiC has been reported. However, existing DRIE processes for SiC are not well-suited for definition of high aspect ratio features because such simple etch-only processes provide insufficient control over sidewall roughness and slope. Therefore, we have investigated the use of a time-multiplexed etch-passivate (TMEP) process, which alternates etching with polymer passivation of the etch sidewalls. An optimized TMEP process was used to etch high aspect ratio (AR greater than 5) deep (less than 100 micron) trenches in 6H-SiC. Power MEMS structures (micro turbine blades) in 6H-SiC were also fabricated.

  6. The fabrication of silicon nanostructures by local gallium implantation and cryogenic deep reactive ion etching

    International Nuclear Information System (INIS)

    Chekurov, N; Grigoras, K; Franssila, S; Tittonen, I; Peltonen, A

    2009-01-01

    We show that gallium-ion-implanted silicon serves as an etch mask for fabrication of high aspect ratio nanostructures by cryogenic plasma etching (deep reactive ion etching). The speed of focused ion beam (FIB) patterning is greatly enhanced by the fact that only a thin approx. 30 nm surface layer needs to be modified to create a mask for the etching step. Etch selectivity between gallium-doped and undoped material is at least 1000:1, greatly decreasing the mask erosion problems. The resolution of the combined FIB-DRIE process is 20 lines μm -1 with the smallest masked feature size of 40 nm. The maximum achieved aspect ratio is 15:1 (e.g. 600 nm high pillars 40 nm in diameter).

  7. Deep reactive ion etching of fused silica using a single-coated soft mask layer for bio-analytical applications

    International Nuclear Information System (INIS)

    Ray, Tathagata; Zhu, Haixin; Meldrum, Deirdre R

    2010-01-01

    In this note, we present our results from process development and characterization of reactive ion etching (RIE) of fused silica using a single-coated soft masking layer (KMPR® 1025, Microchem Corporation, Newton, MA). The effects of a number of fluorine-radical-based gaseous chemistries, the gas flow rate, RF power and chamber pressure on the etch rate and etching selectivity of fused silica were studied using factorial experimental designs. RF power and pressure were found to be the most important factors in determining the etch rate. The highest fused silica etch rate obtained was about 933 Å min −1 by using SF 6 -based gas chemistry, and the highest etching selectivity between the fused silica and KMPR® 1025 was up to 1.2 using a combination of CF 4 , CHF 3 and Ar. Up to 30 µm deep microstructures have been successfully fabricated using the developed processes. The average area roughness (R a ) of the etched surface was measured and results showed it is comparable to the roughness obtained using a wet etching technique. Additionally, near-vertical sidewalls (with a taper angle up to 85°) have been obtained for the etched microstructures. The processes developed here can be applied to any application requiring fabrication of deep microstructures in fused silica with near-vertical sidewalls. To our knowledge, this is the first note on deep RIE of fused silica using a single-coated KMPR® 1025 masking layer and a non-ICP-based reactive ion etcher. (technical note)

  8. Sacrificial structures for deep reactive ion etching of high-aspect ratio kinoform silicon x-ray lenses

    DEFF Research Database (Denmark)

    Stöhr, Frederik; Michael-Lindhard, Jonas; Hübner, Jörg

    2015-01-01

    This article describes the realization of complex high-aspect ratio silicon structures with feature dimensions from 100 lm to 100nm by deep reactive ion etching using the Bosch process. As the exact shape of the sidewall profiles can be crucial for the proper functioning of a device, the authors...... of the sacrificial structures was accomplished by thermal oxidation and subsequent selective wet etching. The effects of the dimensions and relative placement of sacrificial walls and pillars on the etching result were determined through systematic experiments. The authors applied this process for exact sidewall...

  9. Reactive ion etching of microphotonic structures

    International Nuclear Information System (INIS)

    Du, J.; Glasscock, J.; Vanajek, J.; Savvides, N.

    2004-01-01

    Full text: Fabrication of microphotonic structures such as planar waveguides and other periodic structures based on silicon technology has become increasingly important due to the potential for integration of planar optical devices. We have fabricated various periodic microstructures on silicon wafers using standard optical lithography and reactive ion etching (RIE). For optical applications the surface roughness and the sidewall angle or steepness of microstructures are the most critical factors. In particular, sidewall roughness of the etched waveguide core accounts for most of the optical propagation loss. We show that by varying the main RIE parameters such as gas pressure, RF power and CF 4 /Ar/O 2 gas composition it is possible to produce microstructures with near-vertical sidewalls and very smooth surfaces. In addition to plasma etching conditions, poor edge quality of the mask often causes sidewall roughness. We employed Ni/Cr metal masks in these experiments for deep etching, and used Ar + ion milling instead of wet chemical etching to open the mask. This improves the edge quality of the mask and ultimately results in smooth sidewalls

  10. Silicon germanium as a novel mask for silicon deep reactive ion etching

    KAUST Repository

    Serry, Mohamed Y.

    2013-10-01

    This paper reports on the use of p-type polycrystalline silicon germanium (poly-Si1-xGex) thin films as a new masking material for the cryogenic deep reactive ion etching (DRIE) of silicon. We investigated the etching behavior of various poly-Si1-xGex:B (0Etching selectivity for silicon, silicon oxide, and photoresist was determined at different etching temperatures, ICP and RF powers, and SF6 to O2 ratios. The study demonstrates that the etching selectivity of the SiGe mask for silicon depends strongly on three factors: Ge content; boron concentration; and etching temperature. Compared to conventional SiO2 and SiN masks, the proposed SiGe masking material exhibited several advantages, including high etching selectivity to silicon (>1:800). Furthermore, the SiGe mask was etched in SF6/O2 plasma at temperatures ≥ - 80°C and at rates exceeding 8 μm/min (i.e., more than 37 times faster than SiO2 or SiN masks). Because of the chemical and thermodynamic stability of the SiGe film as well as the electronic properties of the mask, it was possible to deposit the proposed film at CMOS backend compatible temperatures. The paper also confirms that the mask can easily be dry-removed after the process with high etching-rate by controlling the ICP and RF power and the SF6 to O2 ratios, and without affecting the underlying silicon substrate. Using low ICP and RF power, elevated temperatures (i.e., > - 80°C), and an adjusted O2:SF6 ratio (i.e., ~6%), we were able to etch away the SiGe mask without adversely affecting the final profile. Ultimately, we were able to develop deep silicon- trenches with high aspect ratio etching straight profiles. © 1992-2012 IEEE.

  11. Multiple-height microstructure fabricated by deep reactive ion etching and soft resist masks combined with UV curing

    International Nuclear Information System (INIS)

    Sato, R; Sawada, T; Kumagai, S; Sasaki, M

    2014-01-01

    Multiple-height microstructures are realized by deep reactive ion etching and UV-cured photoresist used in the embedded mask process. Although the UV-cured photoresist is a soft mask, its material property becomes stable against resist thinner and UV exposure. A layered resist pattern can be realized by stacking normal photoresist on the UV-cured photoresist. The normal photoresist can be selectively removed by the flush exposure and developing after the first Si etching. This technique is applied to two MEMS devices

  12. Etching radical controlled gas chopped deep reactive ion etching

    Science.gov (United States)

    Olynick, Deidre; Rangelow, Ivo; Chao, Weilun

    2013-10-01

    A method for silicon micromachining techniques based on high aspect ratio reactive ion etching with gas chopping has been developed capable of producing essentially scallop-free, smooth, sidewall surfaces. The method uses precisely controlled, alternated (or chopped) gas flow of the etching and deposition gas precursors to produce a controllable sidewall passivation capable of high anisotropy. The dynamic control of sidewall passivation is achieved by carefully controlling fluorine radical presence with moderator gasses, such as CH.sub.4 and controlling the passivation rate and stoichiometry using a CF.sub.2 source. In this manner, sidewall polymer deposition thicknesses are very well controlled, reducing sidewall ripples to very small levels. By combining inductively coupled plasmas with controlled fluorocarbon chemistry, good control of vertical structures with very low sidewall roughness may be produced. Results show silicon features with an aspect ratio of 20:1 for 10 nm features with applicability to nano-applications in the sub-50 nm regime. By comparison, previous traditional gas chopping techniques have produced rippled or scalloped sidewalls in a range of 50 to 100 nm roughness.

  13. Reactive ion etching of polymer materials for an energy harvesting device

    DEFF Research Database (Denmark)

    Wang, Fei; Bertelsen, Christian Vinther; Skands, Gustav

    2012-01-01

    In this paper, we have demonstrated deep reactive ion etching (RIE) of two MEMS compatible polymer materials CYTOP and TOPAS, which may be useful for energy harvesting devices. The CYTOP polymer was patterned and used as the electret for the following corona charging while the TOPAS polymer...

  14. Optimizing shape uniformity and increasing structure heights of deep reactive ion etched silicon x-ray lenses

    DEFF Research Database (Denmark)

    Stöhr, Frederik; Wright, Jonathan; Simons, Hugh

    2015-01-01

    Line-focusing compound silicon x-ray lenses with structure heights exceeding 300 μm were fabricated using deep reactive ion etching. To ensure profile uniformity over the full height, a new strategy was developed in which the perimeter of the structures was defined by trenches of constant width....... The remaining sacrificial material inside the lens cavities was removed by etching through the silicon wafer. Since the wafers become fragile after through-etching, they were then adhesively bonded to a carrier wafer. Individual chips were separated using laser micro machining and the 3D shape of fabricated...... analysis, where a slight bowing of the lens sidewalls and an insufficiently uniform apex region are identified as resolution-limiting factors. Despite these, the proposed fabrication route proved a viable approach for producing x-ray lenses with large structure heights and provides the means to improve...

  15. Dry fabrication of microdevices by the combination of focused ion beam and cryogenic deep reactive ion etching

    International Nuclear Information System (INIS)

    Chekurov, N; Tittonen, I; Grigoras, K; Sainiemi, L; Franssila, S; Peltonen, A

    2010-01-01

    In this paper, we demonstrate silicon microdevice fabrication by a combination of focused ion beam (FIB) and cryogenic deep reactive ion etching (DRIE). Applying FIB treatment only to a thin surface layer enables very high writing speed compared with FIB milling. The use of DRIE then defines the micro- and nanodevices utilizing the FIB-modified silicon as a mask. We demonstrate the ability to create patterns on highly 3D structures, which is extremely challenging by other nanofabrication methods. The alignment of optically made and FIB-defined patterns is also demonstrated. We also show that complete microelectromechanical systems (MEMS) can be fabricated by this method by presenting a double-ended tuning fork resonator as an example. Extremely short process time is achieved as the full fabrication cycle from mask design to electrical measurements can be completed during one working day.

  16. Surface characterization after subaperture reactive ion beam etching

    Energy Technology Data Exchange (ETDEWEB)

    Miessler, Andre; Arnold, Thomas; Rauschenbach, Bernd [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), Leipzig (Germany)

    2010-07-01

    In usual ion beam etching processes using inert gas (Ar, Xe, Kr..) the material removal is determined by physical sputtering effects on the surface. The admixture of suitable gases (CF{sub 4}+O{sub 2}) into the glow discharge of the ion beam source leads to the generation of reactive particles, which are accelerated towards the substrate where they enhance the sputtering process by formation of volatile chemical reaction products. During the last two decades research in Reactive Ion Beam Etching (RIBE) has been done using a broad beam ion source which allows the treatment of smaller samples (diameter sample < diameter beam). Our goal was to apply a sub-aperture Kaufman-type ion source in combination with an applicative movement of the sample with respect to the source, which enables us to etch areas larger than the typical lateral dimensions of the ion beam. Concerning this matter, the etching behavior in the beam periphery plays a decisive role and has to be investigated. We use interferometry to characterize the final surface topography and XPS measurements to analyze the chemical composition of the samples after RIBE.

  17. Deep reactive ion etching of auxetic structures: present capabilities and challenges

    International Nuclear Information System (INIS)

    Muslija, Alban; Díaz Lantada, Andrés

    2014-01-01

    Auxetic materials (or metamaterials) have negative Poisson ratios (NPR) and display the unexpected properties of lateral expansion when stretched, and equal and opposing densification when compressed. Such auxetic materials are being used more frequently in the development of novel products, especially in the fields of intelligent expandable actuators, shape-morphing structures and minimally invasive implantable devices. Although several micromanufacturing technologies have already been applied to the development of auxetic materials and devices, additional precision is needed to take full advantage of their special mechanical properties. In this study, we present a very promising approach for the development of auxetic materials and devices based on the use of deep reactive ion etching (DRIE). The process stands out for its precision and its potential applications to mass production. To our knowledge, it represents the first time this technology has been applied to the manufacture of auxetic materials with nanometric details. We take into account the present capabilities and challenges linked to the use of DRIE in the development of auxetic materials and auxetic-based devices. (technical note)

  18. Hybrid mask for deep etching

    KAUST Repository

    Ghoneim, Mohamed T.

    2017-01-01

    Deep reactive ion etching is essential for creating high aspect ratio micro-structures for microelectromechanical systems, sensors and actuators, and emerging flexible electronics. A novel hybrid dual soft/hard mask bilayer may be deposited during

  19. Polymer degradation in reactive ion etching and its possible application to all dry processes

    International Nuclear Information System (INIS)

    Hiraoka, H.; Welsh, L.W. Jr.

    1981-01-01

    Dry etching processes involving CF 4 -plasma and reactive ion etching become increasingly important for microcircuit fabrication techniques. In these techniques polymer degradation and etch resistance against reactive species like F atoms and CF 3 + ions are the key factors in the processes. It is well-known that classical electron beam resists like poly(methyl methacrylate) and poly(1-butene sulfone) are not suitable for dry etching processes because they degrade rapidly under these etching conditions. In order to find a correlation of etching rate and polymer structures the thickness loss of polymer films have been measured for a variety of polymer films in reactive ion etching conditions, where CF 3 + ions are the major reactive species with an accelerating potential of 500 volts. Because of its high CF 4 -plasma and reactive ion etch resistance, and because of its high electron beam sensitivity, poly(methacrylonitrile) provides a positive working electron beam resist uniquely suited for all dry processes. (author)

  20. Hybrid mask for deep etching

    KAUST Repository

    Ghoneim, Mohamed T.

    2017-08-10

    Deep reactive ion etching is essential for creating high aspect ratio micro-structures for microelectromechanical systems, sensors and actuators, and emerging flexible electronics. A novel hybrid dual soft/hard mask bilayer may be deposited during semiconductor manufacturing for deep reactive etches. Such a manufacturing process may include depositing a first mask material on a substrate; depositing a second mask material on the first mask material; depositing a third mask material on the second mask material; patterning the third mask material with a pattern corresponding to one or more trenches for transfer to the substrate; transferring the pattern from the third mask material to the second mask material; transferring the pattern from the second mask material to the first mask material; and/or transferring the pattern from the first mask material to the substrate.

  1. Deep reactive ion etching of silicon moulds for the fabrication of diamond x-ray focusing lenses

    Science.gov (United States)

    Malik, A. M.; Fox, O. J. L.; Alianelli, L.; Korsunsky, A. M.; Stevens, R.; Loader, I. M.; Wilson, M. C.; Pape, I.; Sawhney, K. J. S.; May, P. W.

    2013-12-01

    Diamond is a highly desirable material for use in x-ray optics and instrumentation. However, due to its extreme hardness and resistance to chemical attack, diamond is difficult to form into a structure suitable for x-ray lenses. Refractive lenses are capable of delivering x-ray beams with nanoscale resolution. A moulding technique for the fabrication of diamond lenses is reported. High-quality silicon moulds were made using photolithography and deep reactive ion etching. The study of the etch process conducted to achieve silicon moulds with vertical sidewalls and minimal surface roughness is discussed. Issues experienced when attempting to deposit diamond into a high-aspect-ratio mould by chemical vapour deposition are highlighted. Two generations of lenses have been successfully fabricated using this transfer-moulding approach with significant improvement in the quality and performance of the optics observed in the second iteration. Testing of the diamond x-ray optics on the Diamond Light Source Ltd synchrotron B16 beamline has yielded a line focus of sub-micrometre width.

  2. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed

    2014-07-29

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  3. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed; Rubin, Andrew; Refaat, Mohamed; Sedky, Sherif; Abdo, Mohammad

    2014-01-01

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  4. Pattern transfer on fused silica samples using sub-aperture reactive ion beam etching

    Energy Technology Data Exchange (ETDEWEB)

    Miessler, Andre; Arnold, Thomas [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), Permoserstrasse 15, D-04318 Leipzig (Germany)

    2012-07-01

    In comparison to sole Ar ion beam sputtering Reactive Ion Beam Etching (RIBE) reveals the main advantage of increasing the selectivity for different kind of materials due to chemical contributions during the material removal. Therefore RIBE is qualified to be an excellent candidate for pattern transfer applications. The goal of the present study is to apply a sub-aperture reactive ion beam for pattern transfer on large fused silica samples. Concerning this matter, the etching behavior in the ion beam periphery plays a decisive role. Using a Kaufman-typed ion source with NF{sub 3} as reactive gas, XPS measurements of the modified surface exposes impurities like Ni, Fe and Cr, which belongs to chemically eroded material of the plasma pot and a layer formation of silicon nitride, handicaps the etching process mainly in the beam periphery where the sputtering contribution decrease. These side effects influence the pattern transfer of trench structures, produced in AZ MIR 701 photoresist by lithography on a 2'' fused silica plate, by changing the selectivity due to modified chemical reactions of the resist layer. Concerning this we investigate a RF-Ion source for sub aperture reactive ion beam applications and finally we examine the pattern transfer on large fused silica plates using NF{sub 3}-sub-aperture RIBE.

  5. An optical MEMS accelerometer fabricated using double-sided deep reactive ion etching on silicon-on-insulator wafer

    Science.gov (United States)

    Teo, Adrian J. T.; Li, Holden; Tan, Say Hwa; Yoon, Yong-Jin

    2017-06-01

    Optical MEMS devices provide fast detection, electromagnetic resilience and high sensitivity. Using this technology, an optical gratings based accelerometer design concept was developed for seismic motion detection purposes that provides miniaturization, high manufacturability, low costs and high sensitivity. Detailed in-house fabrication procedures of a double-sided deep reactive ion etching (DRIE) on a silicon-on-insulator (SOI) wafer for a micro opto electro mechanical system (MOEMS) device are presented and discussed. Experimental results obtained show that the conceptual device successfully captured motion similar to a commercial accelerometer with an average sensitivity of 13.6 mV G-1, and a highest recorded sensitivity of 44.1 mV G-1. A noise level of 13.5 mV was detected due to experimental setup limitations. This is the first MOEMS accelerometer developed using double-sided DRIE on SOI wafer for the application of seismic motion detection, and is a breakthrough technology platform to open up options for lower cost MOEMS devices.

  6. An optical MEMS accelerometer fabricated using double-sided deep reactive ion etching on silicon-on-insulator wafer

    International Nuclear Information System (INIS)

    Teo, Adrian J T; Li, Holden; Yoon, Yong-Jin; Tan, Say Hwa

    2017-01-01

    Optical MEMS devices provide fast detection, electromagnetic resilience and high sensitivity. Using this technology, an optical gratings based accelerometer design concept was developed for seismic motion detection purposes that provides miniaturization, high manufacturability, low costs and high sensitivity. Detailed in-house fabrication procedures of a double-sided deep reactive ion etching (DRIE) on a silicon-on-insulator (SOI) wafer for a micro opto electro mechanical system (MOEMS) device are presented and discussed. Experimental results obtained show that the conceptual device successfully captured motion similar to a commercial accelerometer with an average sensitivity of 13.6 mV G −1 , and a highest recorded sensitivity of 44.1 mV G −1 . A noise level of 13.5 mV was detected due to experimental setup limitations. This is the first MOEMS accelerometer developed using double-sided DRIE on SOI wafer for the application of seismic motion detection, and is a breakthrough technology platform to open up options for lower cost MOEMS devices. (technical note)

  7. Modeling of altered layer formation during reactive ion etching of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Mutzke, A. [Max-Planck-Institute of Plasmaphysics, EURATOM Association, D-17491 Greifswald (Germany); Rai, A., E-mail: Abha.Rai@ipp.mpg.de [Max-Planck-Institute of Plasmaphysics, EURATOM Association, D-17491 Greifswald (Germany); Schneider, R.; Angelin, E.J.; Hippler, R. [Institute of Physics, Ernst-Moritz-Arndt-University Greifswald, Felix-Hausdorff-Str.6, D-17489 Greifswald (Germany)

    2012-12-15

    Highlights: Black-Right-Pointing-Pointer Experimental result showing the preferential sputtering of GaAs (150 keV Ar{sup +} and thermal O on GaAs) during reactive ion beam etching (RIBE) has been reported. Black-Right-Pointing-Pointer A model based on binary collisions (SDTrimSP) is presented to simulate RIBE. Black-Right-Pointing-Pointer The model is used to explain the reported experimental data and also the results by Grigonis and co-workers [1]. - Abstract: The binary collision based SDTrimSP model has been used to simulate the reactive ion beam etching (RIBE) of GaAs in the presence of energetic Ar ions and thermal O atoms. It includes the collisional effects, diffusive processes and chemical reactions taking place in the system. The model parameters are fitted using the experimental observations of Grigonis and co-workers [1] and validated with the experimental results obtained during the GaAs ion etching presented in this paper. A detailed analysis is presented to understand the effect of the diffusive processes and the role of O during RIBE of GaAs. It is shown how the presence of damage caused by the energetic Ar coupled with the presence of thermal O opens up chemical reaction channels which eventually leads to the preferential sputtering of Ga observed at the ion etching facility at University of Greifswald.

  8. Freestanding nanostructures via reactive ion beam angled etching

    Directory of Open Access Journals (Sweden)

    Haig A. Atikian

    2017-05-01

    Full Text Available Freestanding nanostructures play an important role in optical and mechanical devices for classical and quantum applications. Here, we use reactive ion beam angled etching to fabricate optical resonators in bulk polycrystalline and single crystal diamond. Reported quality factors are approximately 30 000 and 286 000, respectively. The devices show uniformity across 25 mm samples, a significant improvement over comparable techniques yielding freestanding nanostructures.

  9. A Reactive-Ion Etch for Patterning Piezoelectric Thin Film

    Science.gov (United States)

    Yang, Eui-Hyeok; Wild, Larry

    2003-01-01

    Reactive-ion etching (RIE) under conditions described below has been found to be a suitable means for patterning piezoelectric thin films made from such materials as PbZr(1-x)Ti(x)O3 or Ba(x)Sr(1.x)TiO3. In the original application for which this particular RIE process was developed, PbZr(1-x)Ti(x)O3 films 0.5 microns thick are to be sandwiched between Pt electrode layers 0.1 microns thick and Ir electrode layers 0.1 microns thick to form piezoelectric capacitor structures. Such structures are typical of piezoelectric actuators in advanced microelectromechanical systems now under development or planned to be developed in the near future. RIE of PbZr(1-x)Ti(x)O3 is usually considered to involve two major subprocesses: an ion-assisted- etching reaction, and a sputtering subprocess that removes reactive byproducts. RIE is favored over other etching techniques because it offers a potential for a high degree of anisotropy, high-resolution pattern definition, and good process control. However, conventional RIE is not ideal for patterning PbZr(1-x)Ti(x)O3 films at a thickness as great as that in the original intended application. In order to realize the potential benefits mentioned above, it is necessary to optimize process conditions . in particular, the composition of the etching gas and the values of such other process parameters as radio-frequency power, gas pressure, gas-flow rate, and duration of the process. Guidelines for determining optimum conditions can be obtained from experimental determination of etch rates as functions of these parameters. Etch-gas mixtures of BCl3 and Cl2, some also including Ar, have been found to offer a high degree of selectivity as needed for patterning of PbZr(1-x)Ti(x)O3 films on top of Ir electrode layers in thin-film capacitor structures. The selectivity is characterized by a ratio of approx.10:1 (rate of etching PbZr(1-x)Ti(x)O3 divided by rate of etching Ir and IrO(x)). At the time of reporting the information for this article

  10. Fabrication of submicron structures in nanoparticle/polymer composite by holographic lithography and reactive ion etching

    Science.gov (United States)

    Zhang, A. Ping; He, Sailing; Kim, Kyoung Tae; Yoon, Yong-Kyu; Burzynski, Ryszard; Samoc, Marek; Prasad, Paras N.

    2008-11-01

    We report on the fabrication of nanoparticle/polymer submicron structures by combining holographic lithography and reactive ion etching. Silica nanoparticles are uniformly dispersed in a (SU8) polymer matrix at a high concentration, and in situ polymerization (cross-linking) is used to form a nanoparticle/polymer composite. Another photosensitive SU8 layer cast upon the nanoparticle/SU8 composite layer is structured through holographic lithography, whose pattern is finally transferred to the nanoparticle/SU8 layer by the reactive ion etching process. Honeycomb structures in a submicron scale are experimentally realized in the nanoparticle/SU8 composite.

  11. Deep reactive ion etching of 4H-SiC via cyclic SF6/O2 segments

    International Nuclear Information System (INIS)

    Luna, Lunet E; Tadjer, Marko J; Anderson, Travis J; Imhoff, Eugene A; Hobart, Karl D; Kub, Fritz J

    2017-01-01

    Cycles of inductively coupled SF 6 /O 2 plasma with low (9%) and high (90%) oxygen content etch segments are used to produce up to 46.6 µ m-deep trenches with 5.5 µ m-wide openings in single-crystalline 4H-SiC substrates. The low oxygen content segment serves to etch deep in SiC whereas the high oxygen content segment serves to etch SiC at a slower rate, targeting carbon-rich residues on the surface as the combination of carbon-rich and fluorinated residues impact sidewall profile. The cycles work in concert to etch past 30 µ m at an etch rate of ∼0.26 µ m min −1 near room temperature, while maintaining close to vertical sidewalls, high aspect ratio, and high mask selectivity. In addition, power ramps during the low oxygen content segment is used to produce a 1:1 ratio of mask opening to trench bottom width. The effect of process parameters such as cycle time and backside substrate cooling on etch depth and micromasking of the electroplated nickel etch mask are investigated. (paper)

  12. Spatially-Resolved Ion Trajectory Measurements During Cl2 Reactive Ion Beam Etching and Ar Ion Beam Etching

    International Nuclear Information System (INIS)

    Vawter, G. Allen; Woodworth, Joseph R.; Zubrzycki, Walter J.

    1999-01-01

    The angle of ion incidence at the etched wafer location during RIBE and IBE using Cl 2 , Ar and O 2 ion beams has been characterized using an ion energy and angle analyzer. Effects of beam current and accelerator grid bias on beam divergence and the spatial uniformity of the spread of incident angles are measured. It is observed that increased total beam current can lead to reduced current density at the sample stage due to enhanced beam divergence at high currents. Results are related to preferred etch system design for uniform high-aspect-ratio etching across semiconductor wafers

  13. Highly Manufacturable Deep (Sub-Millimeter) Etching Enabled High Aspect Ratio Complex Geometry Lego-Like Silicon Electronics

    KAUST Repository

    Ghoneim, Mohamed T.; Hussain, Muhammad Mustafa

    2017-01-01

    A highly manufacturable deep reactive ion etching based process involving a hybrid soft/hard mask process technology shows high aspect ratio complex geometry Lego-like silicon electronics formation enabling free-form (physically flexible

  14. Fabrication of antireflective nanostructures for crystalline silicon solar cells by reactive ion etching

    International Nuclear Information System (INIS)

    Lin, Hsin-Han; Chen, Wen-Hua; Wang, Chi-Jen; Hong, Franklin Chau-Nan

    2013-01-01

    In this study we have fabricated large-area (15 × 15 cm 2 ) subwavelength antireflection structure on poly-Si substrates to reduce their solar reflectivity. A reactive ion etching system was used to fabricate nanostructures on the poly-silicon surface. Reactive gases, composed of chlorine (Cl 2 ), sulfur hexafluoride (SF 6 ) and oxygen (O 2 ), were activated to fabricate nanoscale pyramids by RF plasma. The poly-Si substrates were etched in various gas compositions for 6–10 min to form nano-pyramids. The sizes of pyramids were about 200–300 nm in heights and about 100 nm in width. Besides the nanoscale features, the high pyramid density on the poly-Si surface is another important factor to reduce the reflectivity. Low-reflectivity surface was fabricated with reflectivity significantly reduced down to < 2% for photons in a wavelength range of 500–900 nm. - Highlights: ► Large-area (15 × 15 cm 2 ) antireflection structures fabricated on poly-Si substrates ► Si nano-pyramids produced by utilizing self-masked reactive ion etching process ► High density of nanoscale pyramids was formed on the entire substrate surface. ► Surface reflectivity below 2% was achieved in the wavelength range of 500–900 nm

  15. Highly Manufacturable Deep (Sub-Millimeter) Etching Enabled High Aspect Ratio Complex Geometry Lego-Like Silicon Electronics

    KAUST Repository

    Ghoneim, Mohamed T.

    2017-02-01

    A highly manufacturable deep reactive ion etching based process involving a hybrid soft/hard mask process technology shows high aspect ratio complex geometry Lego-like silicon electronics formation enabling free-form (physically flexible, stretchable, and reconfigurable) electronic systems.

  16. Reactive-ion etching of nylon fabric meshes using oxygen plasma for creating surface nanostructures

    International Nuclear Information System (INIS)

    Salapare, Hernando S.; Darmanin, Thierry; Guittard, Frédéric

    2015-01-01

    Graphical abstract: - Highlights: • Reactive-ion etching (RIE) is employed to nylon 6,6 fabrics to achieve surface texturing and improved wettability. • FTIR spectra of the treated samples exhibited decreased transmittance of amide and carboxylic acid groups due to etching. • Etching is enhanced for higher power plasma treatments and for samples with larger mesh sizes. • Decreased crystallinity was achieved after plasma treatment. • Higher power induced higher negative DC self-bias voltage on the samples that favored anisotropic and aggressive etching. - Abstract: A facile one-step oxygen plasma irradiation in reactive ion etching (RIE) configuration is employed to nylon 6,6 fabrics with different mesh sizes to achieve surface nanostructures and improved wettability for textile and filtration applications. To observe the effects of power and irradiation time on the samples, the experiments were performed using constant irradiation time in varying power and using constant power in varying irradiation times. Results showed improved wettability after the plasma treatment. The FTIR spectra of all the treated samples exhibited decreased transmittance of the amide and carboxylic acid groups due to surface etching. The changes in the surface chemistry are supported by the SEM data wherein etching and surface nanostructures were observed for the plasma-treated samples. The etching of the surfaces is enhanced for higher power plasma treatments. The thermal analysis showed that the plasma treatment resulted in decreased crystallinity. Surface chemistry showed that the effects of the plasma treatment on the samples have no significant difference for all the mesh sizes. However, surface morphology showed that the sizes of the surface cracks are the same for all the mesh sizes but samples with larger mesh sizes exhibited enhanced etching as compared to the samples with smaller mesh sizes. Higher power induced higher negative DC self-bias voltage on the samples that

  17. Silicon surface damage caused by reactive ion etching in fluorocarbon gas mixtures containing hydrogen

    International Nuclear Information System (INIS)

    Norstroem, H.; Blom, H.; Ostling, M.; Nylandsted Larsen, A.; Keinonen, J.; Berg, S.

    1991-01-01

    For selective etching of SiO 2 on silicon, gases or gas mixtures containing hydrogen are often used. Hydrogen from the glow discharge promotes the formation of a thin film polymer layer responsible for the selectivity of the etching process. The reactive ion etch (RIE) process is known to create damage in the silicon substrate. The influence of hydrogen on the damage and deactivation of dopants is investigated in the present work. The distribution of hydrogen in silicon, after different etching and annealing conditions have been studied. The influence of the RIE process on the charge carrier concentration in silicon has been investigated. Various analytical techniques like contact resistivity measurements, four point probe measurements, and Hall measurements have been used to determine the influence of the RIE process on the electrical properties of processed silicon wafers. The hydrogen profile in as-etched and post annealed wafers was determined by the 1 H( 15 N,αγ) 12 C nuclear reaction. The depth of the deactivated surface layer is discussed in terms of the impinging hydrogen ion energy, i.e., the possibility of H + ions to pick up an energy equal to the peak-to-peak voltage of the rf signal

  18. Highly Manufacturable Deep (Sub-Millimeter) Etching Enabled High Aspect Ratio Complex Geometry Lego-Like Silicon Electronics.

    Science.gov (United States)

    Ghoneim, Mohamed Tarek; Hussain, Muhammad Mustafa

    2017-04-01

    A highly manufacturable deep reactive ion etching based process involving a hybrid soft/hard mask process technology shows high aspect ratio complex geometry Lego-like silicon electronics formation enabling free-form (physically flexible, stretchable, and reconfigurable) electronic systems. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Reactive ion beam etching for microcavity surface emitting laser fabrication: technology and damage characterization

    International Nuclear Information System (INIS)

    Matsutani, A.; Tadokoro, T.; Koyama, F.; Iga, K.

    1993-01-01

    Reactive ion beam etching (RIBE) is an effective dry etching technique for the fabrication of micro-sized surface emitting (SE) lasers and optoelectronic devices. In this chapter, some etching characteristics for GaAs, InP and GaInAsP with a Cl 2 gas using an RIBE system are discussed. Micro-sized circular mesas including GaInAsP/InP multilayers with vertical sidewalls were fabricated. RIBE-induced damage in InP substrates was estimated by C-V and PL measurement. In addition, the removal of the induced damage by the second RIBE with different conditions for the InP wafer was proposed. The sidewall damage is characterized by photoluminescence emitted from the etched sidewall of a GaInAsP/InP DH wafer. (orig.)

  20. The effect of reactive ion etch (RIE) process conditions on ReRAM device performance

    Science.gov (United States)

    Beckmann, K.; Holt, J.; Olin-Ammentorp, W.; Alamgir, Z.; Van Nostrand, J.; Cady, N. C.

    2017-09-01

    The recent surge of research on resistive random access memory (ReRAM) devices has resulted in a wealth of different materials and fabrication approaches. In this work, we describe the performance implications of utilizing a reactive ion etch (RIE) based process to fabricate HfO2 based ReRAM devices, versus a more unconventional shadow mask fabrication approach. The work is the result of an effort to increase device yield and reduce individual device size. Our results show that choice of RIE etch gas (SF6 versus CF4) is critical for defining the post-etch device profile (cross-section), and for tuning the removal of metal layers used as bottom electrodes in the ReRAM device stack. We have shown that etch conditions leading to a tapered profile for the device stack cause poor electrical performance, likely due to metal re-deposition during etching, and damage to the switching layer. These devices exhibit nonlinear I-V during the low resistive state, but this could be improved to linear behavior once a near-vertical etch profile was achieved. Device stacks with vertical etch profiles also showed an increase in forming voltage, reduced switching variability and increased endurance.

  1. Development of deep silicon plasma etching for 3D integration technology

    Directory of Open Access Journals (Sweden)

    Golishnikov А. А.

    2014-02-01

    Full Text Available Plasma etch process for thought-silicon via (TSV formation is one of the most important technological operations in the field of metal connections creation between stacked circuits in 3D assemble technology. TSV formation strongly depends on parameters such as Si-wafer thickness, aspect ratio, type of metallization material, etc. The authors investigate deep silicon plasma etch process for formation of TSV with controllable profile. The influence of process parameters on plasma etch rate, silicon etch selectivity to photoresist and the structure profile are researched in this paper. Technology with etch and passivation steps alternation was used as a method of deep silicon plasma etching. Experimental tool «Platrane-100» with high-density plasma reactor based on high-frequency ion source with transformer coupled plasma was used for deep silicon plasma etching. As actuation gases for deep silicon etching were chosen the following gases: SF6 was used for the etch stage and CHF3 was applied on the polymerization stage. As a result of research, the deep plasma etch process has been developed with the following parameters: silicon etch rate 6 µm/min, selectivity to photoresist 60 and structure profile 90±2°. This process provides formation of TSV 370 µm deep and about 120 µm in diameter.

  2. Controlling line-edge roughness and reactive ion etch lag in sub-150 nm features in borophosphosilicate glass

    International Nuclear Information System (INIS)

    Bhatnagar, Parijat; Panda, Siddhartha; Edleman, Nikki L.; Allen, Scott D.; Wise, Richard; Mahorowala, Arpan

    2007-01-01

    We have developed a reactive ion etch (RIE) process in borophosphosilicate glass (BPSG) for 150 nm line-and-space features, where line-edge roughness (LER) complemented with RIE lag becomes a major issue. Effect of flow rates and carbon-to-fluorine atomic ratio of fluorohydrocarbon gases was utilized to achieve acceptable process window allowing lower radio frequency powers therefore obtaining acceptable LER and RIE lag in the high-resolution features etched into BPSG

  3. High temperature reactive ion etching of iridium thin films with aluminum mask in CF4/O2/Ar plasma

    Directory of Open Access Journals (Sweden)

    Chia-Pin Yeh

    2016-08-01

    Full Text Available Reactive ion etching (RIE technology for iridium with CF4/O2/Ar gas mixtures and aluminum mask at high temperatures up to 350 °C was developed. The influence of various process parameters such as gas mixing ratio and substrate temperature on the etch rate was studied in order to find optimal process conditions. The surface of the samples after etching was found to be clean under SEM inspection. It was also shown that the etch rate of iridium could be enhanced at higher process temperature and, at the same time, very high etching selectivity between aluminum etching mask and iridium could be achieved.

  4. Mask-free surface structuring of micro- and nanocrystalline diamond films by reactive ion plasma etching

    Czech Academy of Sciences Publication Activity Database

    Domonkos, Mária; Ižák, Tibor; Babchenko, Oleg; Varga, Marián; Hruška, Karel; Kromka, Alexander

    2014-01-01

    Roč. 6, č. 7 (2014), s. 780-784 ISSN 2164-6627 R&D Projects: GA ČR GAP108/12/0910; GA ČR GAP108/12/0996; GA MPO FR-TI2/736 Institutional support: RVO:68378271 Keywords : micro- and nanocrystalline diamond * capacitively coupled plasma * reactive ion etching * nanostructuring * scanning electron microscopy Subject RIV: BM - Solid Matter Physics ; Magnetism

  5. Optimization of time on CF_4/O_2 etchant for inductive couple plasma reactive ion etching of TiO_2 thin film

    International Nuclear Information System (INIS)

    Adzhri, R.; Fathil, M. F. M.; Ruslinda, A. R.; Gopinath, Subash C. B.; Voon, C. H.; Foo, K. L.; Nuzaihan, M. N. M.; Azman, A. H.; Zaki, M.; Arshad, M. K. Md.; Hashim, U.; Ayub, R. M.

    2016-01-01

    In this work, we investigate the optimum etching of titanium dioxide (TiO_2) using inductive couple plasma reactive ion etching (ICP-RIE) on our fabricated devices. By using a combination of CF_4/O_2 gases as plasma etchant with ratio of 3:1, three samples of TiO_2 thin film were etched with different time duration of 10 s, 15 s and 20 s. The ion bombardment of CF_4 gases with plasma enhancement by O_2 gas able to break the oxide bond of TiO_2 and allow anisotropic etch profile with maximum etch rate of 18.6 nm/s. The sample was characterized by using optical profilometer to determine the depth of etched area and scanning electron microscopy (SEM) for etch profile characterization.

  6. New Colloidal Lithographic Nanopatterns Fabricated by Combining Pre-Heating and Reactive Ion Etching

    Directory of Open Access Journals (Sweden)

    Cong Chunxiao

    2009-01-01

    Full Text Available Abstract We report a low-cost and simple method for fabrication of nonspherical colloidal lithographic nanopatterns with a long-range order by preheating and oxygen reactive ion etching of monolayer and double-layer polystyrene spheres. This strategy allows excellent control of size and morphology of the colloidal particles and expands the applications of the colloidal patterns as templates for preparing ordered functional nanostructure arrays. For the first time, various unique nanostructures with long-range order, including network structures with tunable neck length and width, hexagonal-shaped, and rectangular-shaped arrays as well as size tunable nanohole arrays, were fabricated by this route. Promising potentials of such unique periodic nanostructures in various fields, such as photonic crystals, catalysts, templates for deposition, and masks for etching, are naturally expected.

  7. Reactive ion etching of GaSb, (Al,Ga)Sb, and InAs for novel device applications

    International Nuclear Information System (INIS)

    LaTulipe, D.C.; Frank, D.J.; Munekata, H.

    1991-01-01

    Although a variety of novel device proposals for GaSb/(Al,Ga)Sb/InAs heterostructures have been made, relatively little is known about processing these materials. The authors of this paper have studied the reactive ion etching characteristics of GaSb, (Al,Ga)Sb, and InAs in both methane/hydrogen and chlorine gas chemistries. At conditions similar to those reported elsewhere for RIE of InP and GaAs in CH 4 /H 2 , the etch rate of (Al,Ga)Sb was found to be near zero, while GaSb and InAs etched at 200 Angstrom/minute. Under conditions where the etch mechanism is primarily physical sputtering, the three compounds etch at similar rates. Etching in Cl 2 was found to yield anistropic profiles, with the etch rate of (Al,Ga)Sb increasing with Al mole fraction, while InAs remains unetched. Damage to the InAs stop layer was investigated by sheet resistance and mobility measurements. These etching techniques were used to fabricate a novel InAs- channel FET composed of these materials. Several scanning electron micrographs of etching results are shown along with preliminary electrical characteristics

  8. Aluminum oxide mask fabrication by focused ion beam implantation combined with wet etching

    International Nuclear Information System (INIS)

    Liu Zhengjun; Iltanen, Kari; Chekurov, Nikolai; Tittonen, Ilkka; Grigoras, Kestutis

    2013-01-01

    A novel aluminum oxide (Al 2 O 3 ) hard mask fabrication process with nanoscale resolution is introduced. The Al 2 O 3 mask can be used for various purposes, but in this work it was utilized for silicon patterning using cryogenic deep reactive ion etching (DRIE). The patterning of Al 2 O 3 is a two-step process utilizing focused ion beam (FIB) irradiation combined with wet chemical etching. Gallium (Ga + ) FIB maskless patterning confers wet etch selectivity between the irradiated region and the non-irradiated one on the Al 2 O 3 layer, and mask patterns can easily be revealed by wet etching. This method is a modification of Ga + FIB mask patterning for the silicon etch stop, which eliminates the detrimental lattice damage and doping of the silicon substrate in critical devices. The shallow surface gallium FIB irradiated Al 2 O 3 mask protects the underlying silicon from Ga + ions. The performance of the masking capacity was tested by drawing pairs consisting of a line and an empty space with varying width. The best result was seven such pairs for 1 μm. The smallest half pitch was 59 nm. This method is capable of arbitrary pattern generation. The fabrication of a freestanding single-ended tuning fork resonator utilizing the introduced masking method is demonstrated. (paper)

  9. Flux based modeling and simulation of dry etching for fabrication of silicon deep trench structures

    Energy Technology Data Exchange (ETDEWEB)

    Malik Rizwan [State Key Laboratory of Digital Manufacturing Equipment and technology, Huazhong University of Science and Technology, 1037 Luoyu road, Wuhan, China 43007 (China); Shi Tielin; Tang Zirong; Liu Shiyuan, E-mail: zirong@mail.hust.edu.cn, E-mail: rizwanmalik@smail.hust.edu.cn [Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, 1037 Luoyu road Wuhan, 430074 (China)

    2011-02-01

    Deep reactive ion etching (DRIE) process is a key growth for fabrication of micro-electromechanical system (MEMS) devices. Due to complexity of this process, including interaction of the process steps, full analytical modeling is complex. Plasma process holds deficiency of understanding because it is very easy to measure the results empirically. However, as device parameters shrink, this issue is more critical. In this paper, our process was modeled qualitatively based on 'High Density Plasma Etch Model'. Deep trench solutions of etch rate based on continuity equation were successfully generated first time through mathematical analysis. It was also proved that the product of fluorine and gas phase concentration in SF{sub 6} remains identical during both deposition and etching stages. The etching process was treated as a combination of isotropic, directional and angle-dependent component parts. It exploited a synergistic balance of chemical as well as physical etching for promoting silicon trenches and high aspect ratio structures. Simulations were performed for comprehensive analysis of fluxes coming towards the surface during chemical reaction of gas. It is observed that near the surface, the distribution of the arrival flux follows a cosine distribution. Our model is feasible to analyze various parameters like gas delivery, reactor volume and temperature that help to assert large scale effects and to optimize equipment design.

  10. Fabrication of combined-scale nano- and microfluidic polymer systems using a multilevel dry etching, electroplating and molding process

    DEFF Research Database (Denmark)

    Tanzi, Simone; Østergaard, Peter Friis; Matteucci, Marco

    2012-01-01

    Microfabricated single-cell capture and DNA stretching devices have been produced by injection molding. The fabrication scheme employed deep reactive ion etching in a silicon substrate, electroplating in nickel and molding in cyclic olefin polymer. This work proposes technical solutions to fabric......Microfabricated single-cell capture and DNA stretching devices have been produced by injection molding. The fabrication scheme employed deep reactive ion etching in a silicon substrate, electroplating in nickel and molding in cyclic olefin polymer. This work proposes technical solutions...

  11. Nanostructuring of Mo/Si multilayers by means of reactive ion etching using a three-level mask

    International Nuclear Information System (INIS)

    Dreeskornfeld, L.; Haindl, G.; Kleineberg, U.; Heinzmann, U.; Shi, F.; Volland, B.; Rangelow, I.W.; Majkova, E.; Luby, S.; Kostic,; Matay, L.; Hrkut, P.; Hudek, P.; Lee, H.-Y.

    2004-01-01

    Recently, Mo/Si multilayer reflectors have been gaining industry interest as a promising choice for the next generation extreme ultraviolet mask material for printing sub 70 nm feature size devices. A reactive ion etching system with optimized hardware using CHF 3 /Ar process regime shows the capability for highly anisotropic etching of sub congruent with 400 nm feature sizes in Mo/Si test multilayers with ten periods and a bilayer thickness of 7.8 nm which were prepared by e-beam evaporation. A three-level-mask technique consisting of a top resist mask layer poly-methyl-meth-acrylate, a middle hard amorphous Si mask layer and a bottom-level polyimide layer is used to create the etch mask. The etch characteristics of the polyimide film is shown to be one of the major factors determining the success of the described multilayer etching process. The developed etching technology demonstrates superior process performance without facets, excellent uniformity and good profile control. No contamination, degeneration or defect generation in the unetched multilayer structure could be detected. This non-conventional process results in minimum deposition during the etching thus eliminating the need for a dry or wet cleaning. Sidewall angles in Mo/Si multilayers of 85 deg. , without undercut, bowing and ripples resulting in smooth sidewalls are achieved

  12. Ultraviolet Laser Damage Dependence on Contamination Concentration in Fused Silica Optics during Reactive Ion Etching Process

    Directory of Open Access Journals (Sweden)

    Laixi Sun

    2018-04-01

    Full Text Available The reactive ion etching (RIE process of fused silica is often accompanied by surface contamination, which seriously degrades the ultraviolet laser damage performance of the optics. In this study, we find that the contamination behavior on the fused silica surface is very sensitive to the RIE process which can be significantly optimized by changing the plasma generating conditions such as discharge mode, etchant gas and electrode material. Additionally, an optimized RIE process is proposed to thoroughly remove polishing-introduced contamination and efficiently prevent the introduction of other contamination during the etching process. The research demonstrates the feasibility of improving the damage performance of fused silica optics by using the RIE technique.

  13. Investigation of reactive-ion-etch-induced damage of InP/InGaAs multiple quantum wells by photoluminescence

    DEFF Research Database (Denmark)

    Steffensen, O. M.; Birkedal, Dan; Hanberg, J.

    1995-01-01

    The effects of CH4/H2 reactive ion etching (RIE) on the optical properties of an InP/InGaAs multiple-quantum-well structure have been investigated by low-temperature photoluminescence (PL). The structure consisted of eight InGaAs quantum wells, lattice matched to InP, with nominal thicknesses of 0...

  14. Optimization of time on CF{sub 4}/O{sub 2} etchant for inductive couple plasma reactive ion etching of TiO{sub 2} thin film

    Energy Technology Data Exchange (ETDEWEB)

    Adzhri, R., E-mail: adzhri@gmail.com; Fathil, M. F. M.; Ruslinda, A. R.; Gopinath, Subash C. B.; Voon, C. H.; Foo, K. L.; Nuzaihan, M. N. M.; Azman, A. H.; Zaki, M. [Institute of Nano Electronic Engineering (INEE), Universiti Malaysia Perlis (UniMAP), Perlis (Malaysia); Arshad, M. K. Md., E-mail: mohd.khairuddin@unimap.edu.my; Hashim, U.; Ayub, R. M. [Institute of Nano Electronic Engineering (INEE), Universiti Malaysia Perlis (UniMAP), Perlis (Malaysia); School of Microelectronic Engineering, Universiti Malaysia Perlis (UniMAP), Perlis (Malaysia)

    2016-07-06

    In this work, we investigate the optimum etching of titanium dioxide (TiO{sub 2}) using inductive couple plasma reactive ion etching (ICP-RIE) on our fabricated devices. By using a combination of CF{sub 4}/O{sub 2} gases as plasma etchant with ratio of 3:1, three samples of TiO{sub 2} thin film were etched with different time duration of 10 s, 15 s and 20 s. The ion bombardment of CF{sub 4} gases with plasma enhancement by O{sub 2} gas able to break the oxide bond of TiO{sub 2} and allow anisotropic etch profile with maximum etch rate of 18.6 nm/s. The sample was characterized by using optical profilometer to determine the depth of etched area and scanning electron microscopy (SEM) for etch profile characterization.

  15. Surface photovoltage studies of p-type AlGaN layers after reactive-ion etching

    Science.gov (United States)

    McNamara, J. D.; Phumisithikul, K. L.; Baski, A. A.; Marini, J.; Shahedipour-Sandvik, F.; Das, S.; Reshchikov, M. A.

    2016-10-01

    The surface photovoltage (SPV) technique was used to study the surface and electrical properties of Mg-doped, p-type AlxGa1-xN (0.06 GaN:Mg thin films and from the predictions of a thermionic model for the SPV behavior. In particular, the SPV of the p-AlGaN:Mg layers exhibited slower-than-expected transients under ultraviolet illumination and delayed restoration to the initial dark value. The slow transients and delayed restorations can be attributed to a defective surface region which interferes with normal thermionic processes. The top 45 nm of the p-AlGaN:Mg layer was etched using a reactive-ion etch which caused the SPV behavior to be substantially different. From this study, it can be concluded that a defective, near-surface region is inhibiting the change in positive surface charge by allowing tunneling or hopping conductivity of holes from the bulk to the surface, or by the trapping of electrons traveling to the surface by a high concentration of defects in the near-surface region. Etching removes the defective layer and reveals a region of presumably higher quality, as evidenced by substantial changes in the SPV behavior.

  16. Guidelines for etching silicon MEMS structures using fluorine high-density plasmas at cryogenic temperatures

    NARCIS (Netherlands)

    de Boer, Meint J.; Gardeniers, Johannes G.E.; Jansen, Henricus V.; Gilde, M.J.; Roelofs, Gerard; Sasserath, Jay N.; Elwenspoek, Michael Curt

    This paper presents guidelines for the deep reactive ion etching (DRIE) of silicon MEMS structures, employing SF6/O2-based high-density plasmas at cryogenic temperatures. Procedures of how to tune the equipment for optimal results with respect to etch rate and profile control are described. Profile

  17. Preparation of composite micro/nano structure on the silicon surface by reactive ion etching: Enhanced anti-reflective and hydrophobic properties

    Science.gov (United States)

    Zeng, Yu; Fan, Xiaoli; Chen, Jiajia; He, Siyu; Yi, Zao; Ye, Xin; Yi, Yougen

    2018-05-01

    A silicon substrate with micro-pyramid structure (black silicon) is prepared by wet chemical etching and then subjected to reactive ion etching (RIE) in the mixed gas condition of SF6, CHF3 and He. We systematically study the impacts of flow rates of SF6, CHF3 and He, the etching pressure and the etching time on the surface morphology and reflectivity through various characterizations. Meanwhile, we explore and obtain the optimal combination of parameters for the preparation of composite structure that match the RIE process based on the basis of micro-pyramid silicon substrate. The composite sample prepared under the optimum parameters exhibits excellent anti-reflective performance, hydrophobic, self-cleaning and anti-corrosive properties. Based on the above characteristics, the composite micro/nano structure can be applied to solar cells, photodetectors, LEDs, outdoor devices and other important fields.

  18. Formation of metal nanoparticles by short-distance sputter deposition in a reactive ion etching chamber

    International Nuclear Information System (INIS)

    Nie Min; Meng, Dennis Desheng; Sun Kai

    2009-01-01

    A new method is reported to form metal nanoparticles by sputter deposition inside a reactive ion etching chamber with a very short target-substrate distance. The distribution and morphology of nanoparticles are found to be affected by the distance, the ion concentration, and the sputtering time. Densely distributed nanoparticles of various compositions were fabricated on the substrates that were kept at a distance of 130 μm or smaller from the target. When the distance was increased to 510 μm, island structures were formed, indicating the tendency to form continuous thin film with longer distance. The observed trend for nanoparticle formation is opposite to the previously reported mechanism for the formation of nanoparticles by sputtering. A new mechanism based on the seeding effect of the substrate is proposed to interpret the experimental results.

  19. Single-Run Single-Mask Inductively-Coupled-Plasma Reactive-Ion-Etching Process for Fabricating Suspended High-Aspect-Ratio Microstructures

    Science.gov (United States)

    Yang, Yao-Joe; Kuo, Wen-Cheng; Fan, Kuang-Chao

    2006-01-01

    In this work, we present a single-run single-mask (SRM) process for fabricating suspended high-aspect-ratio structures on standard silicon wafers using an inductively coupled plasma-reactive ion etching (ICP-RIE) etcher. This process eliminates extra fabrication steps which are required for structure release after trench etching. Released microstructures with 120 μm thickness are obtained by this process. The corresponding maximum aspect ratio of the trench is 28. The SRM process is an extended version of the standard process proposed by BOSCH GmbH (BOSCH process). The first step of the SRM process is a standard BOSCH process for trench etching, then a polymer layer is deposited on trench sidewalls as a protective layer for the subsequent structure-releasing step. The structure is released by dry isotropic etching after the polymer layer on the trench floor is removed. All the steps can be integrated into a single-run ICP process. Also, only one mask is required. Therefore, the process complexity and fabrication cost can be effectively reduced. Discussions on each SRM step and considerations for avoiding undesired etching of the silicon structures during the release process are also presented.

  20. Uniform lateral etching of tungsten in deep trenches utilizing reaction-limited NF3 plasma process

    Science.gov (United States)

    Kofuji, Naoyuki; Mori, Masahito; Nishida, Toshiaki

    2017-06-01

    The reaction-limited etching of tungsten (W) with NF3 plasma was performed in an attempt to achieve the uniform lateral etching of W in a deep trench, a capability required by manufacturing processes for three-dimensional NAND flash memory. Reaction-limited etching was found to be possible at high pressures without ion irradiation. An almost constant etching rate that showed no dependence on NF3 pressure was obtained. The effect of varying the wafer temperature was also examined. A higher wafer temperature reduced the threshold pressure for reaction-limited etching and also increased the etching rate in the reaction-limited region. Therefore, the control of the wafer temperature is crucial to controlling the etching amount by this method. We found that the uniform lateral etching of W was possible even in a deep trench where the F radical concentration was low.

  1. Multi-Step Deep Reactive Ion Etching Fabrication Process for Silicon-Based Terahertz Components

    Science.gov (United States)

    Jung-Kubiak, Cecile (Inventor); Reck, Theodore (Inventor); Chattopadhyay, Goutam (Inventor); Perez, Jose Vicente Siles (Inventor); Lin, Robert H. (Inventor); Mehdi, Imran (Inventor); Lee, Choonsup (Inventor); Cooper, Ken B. (Inventor); Peralta, Alejandro (Inventor)

    2016-01-01

    A multi-step silicon etching process has been developed to fabricate silicon-based terahertz (THz) waveguide components. This technique provides precise dimensional control across multiple etch depths with batch processing capabilities. Nonlinear and passive components such as mixers and multipliers waveguides, hybrids, OMTs and twists have been fabricated and integrated into a small silicon package. This fabrication technique enables a wafer-stacking architecture to provide ultra-compact multi-pixel receiver front-ends in the THz range.

  2. Wafer Surface Charge Reversal as a Method of Simplifying Nanosphere Lithography for Reactive Ion Etch Texturing of Solar Cells

    Directory of Open Access Journals (Sweden)

    Daniel Inns

    2007-01-01

    Full Text Available A simplified nanosphere lithography process has been developed which allows fast and low-waste maskings of Si surfaces for subsequent reactive ion etching (RIE texturing. Initially, a positive surface charge is applied to a wafer surface by dipping in a solution of aluminum nitrate. Dipping the positive-coated wafer into a solution of negatively charged silica beads (nanospheres results in the spheres becoming electrostatically attracted to the wafer surface. These nanospheres form an etch mask for RIE. After RIE texturing, the reflection of the surface is reduced as effectively as any other nanosphere lithography method, while this batch process used for masking is much faster, making it more industrially relevant.

  3. Reactive ion etching of tellurite and chalcogenide waveguides using hydrogen, methane, and argon

    International Nuclear Information System (INIS)

    Vu, K. T.; Madden, S. J.

    2011-01-01

    The authors report in detail on the reactive plasma etching properties of tellurium and demonstrate a high quality etching process using hydrogen, methane, and argon. Very low loss planar ridge waveguides are demonstrated. Optical losses in tellurium dioxide waveguides below 0.1 dB/cm in most of the near infrared region of the electromagnetic spectrum and at 1550 nm have been achieved--the lowest ever reported by more than an order of magnitude and clearly suitable for planar integrated devices. The etch process is also shown to be suitable for chalcogenide glasses which may be of importance in applications such as phase change memory devices and nonlinear integrated optics.

  4. DREM: Infinite etch selectivity and optimized scallop size distribution with conventional photoresists in an adapted multiplexed Bosch DRIE process

    DEFF Research Database (Denmark)

    Chang, Bingdong; Leussink, Pele; Jensen, Flemming

    2018-01-01

    The quest to sculpture materials as small and deep as possible is an ongoing topic in micro- and nanofabrication. For this, the Bosch process has been widely used to achieve anisotropic silicon microstructures with high aspect ratio. Reactive ion etching (RIE) lag is a phenomenon in which etch ra...

  5. Silicon germanium as a novel mask for silicon deep reactive ion etching

    KAUST Repository

    Serry, Mohamed Y.; Rubin, Andrew; Ibrahem, Mohammed Aziz; Sedky, Sherif M.

    2013-01-01

    -removed after the process with high etching-rate by controlling the ICP and RF power and the SF6 to O2 ratios, and without affecting the underlying silicon substrate. Using low ICP and RF power, elevated temperatures (i.e., > - 80°C), and an adjusted O2:SF6

  6. Underlying role of mechanical rigidity and topological constraints in physical sputtering and reactive ion etching of amorphous materials

    Science.gov (United States)

    Bhattarai, Gyanendra; Dhungana, Shailesh; Nordell, Bradley J.; Caruso, Anthony N.; Paquette, Michelle M.; Lanford, William A.; King, Sean W.

    2018-05-01

    Analytical expressions describing ion-induced sputter or etch processes generally relate the sputter yield to the surface atomic binding energy (Usb) for the target material. While straightforward to measure for the crystalline elemental solids, Usb is more complicated to establish for amorphous and multielement materials due to composition-driven variations and incongruent sublimation. In this regard, we show that for amorphous multielement materials, the ion-driven yield can instead be better understood via a consideration of mechanical rigidity and network topology. We first demonstrate a direct relationship between Usb, bulk modulus, and ion sputter yield for the elements, and then subsequently prove our hypothesis for amorphous multielement compounds by demonstrating that the same relationships exist between the reactive ion etch (RIE) rate and nanoindentation Young's modulus for a series of a -Si Nx :H and a -Si OxCy :H thin films. The impact of network topology is further revealed via application of the Phillips-Thorpe theory of topological constraints, which directly relates the Young's modulus to the mean atomic coordination () for an amorphous solid. The combined analysis allows the trends and plateaus in the RIE rate to be ultimately reinterpreted in terms of the atomic structure of the target material through a consideration of . These findings establish the important underlying role of mechanical rigidity and network topology in ion-solid interactions and provide additional considerations for the design and optimization of radiation-hard materials in nuclear and outer space environments.

  7. Si etching with reactive neutral beams of very low energy

    Energy Technology Data Exchange (ETDEWEB)

    Hara, Yasuhiro [Organization for Research and Development of Innovative Science and Technology, Kansai University, 3-3-35 Yamate-chou, Suita, Osaka 565-0871 (Japan); Hamagaki, Manabu; Mise, Takaya [RIKEN, 2-1, Hirosawa, Wako, Saitama 351-0198 (Japan); Iwata, Naotaka; Hara, Tamio [Toyota Technological Institute, 2-12-1 Hisakata, Tenpaku-ku, Nagoya 468-8511 (Japan)

    2014-12-14

    A Si etching process has been investigated with reactive neutral beams (NBs) extracted using a low acceleration voltage of less than 100 V from CF{sub 4} and Ar mixed plasmas. The etched Si profile shows that the etching process is predominantly anisotropic. The reactive NB has a constant Si etching rate in the acceleration voltage range from 20 V to 80 V. It is considered that low-energy NBs can trigger Si etching because F radicals adsorb onto the Si surface and weaken Si–Si bonds. The etching rate per unit beam flux is 33 times higher than that with Ar NB. These results show that the low-energy reactive NB is useful for damage-free high speed Si etching.

  8. Investigations on diamond nanostructuring of different morphologies by the reactive-ion etching process and their potential applications.

    Science.gov (United States)

    Kunuku, Srinivasu; Sankaran, Kamatchi Jothiramalingam; Tsai, Cheng-Yen; Chang, Wen-Hao; Tai, Nyan-Hwa; Leou, Keh-Chyang; Lin, I-Nan

    2013-08-14

    We report the systematic studies on the fabrication of aligned, uniform, and highly dense diamond nanostructures from diamond films of various granular structures. Self-assembled Au nanodots are used as a mask in the self-biased reactive-ion etching (RIE) process, using an O2/CF4 process plasma. The morphology of diamond nanostructures is a close function of the initial phase composition of diamond. Cone-shaped and tip-shaped diamond nanostructures result for microcrystalline diamond (MCD) and nanocrystalline diamond (NCD) films, whereas pillarlike and grasslike diamond nanostructures are obtained for Ar-plasma-based and N2-plasma-based ultrananocrystalline diamond (UNCD) films, respectively. While the nitrogen-incorporated UNCD (N-UNCD) nanograss shows the most-superior electron-field-emission properties, the NCD nanotips exhibit the best photoluminescence properties, viz, different applications need different morphology of diamond nanostructures to optimize the respective characteristics. The optimum diamond nanostructure can be achieved by proper choice of granular structure of the initial diamond film. The etching mechanism is explained by in situ observation of optical emission spectrum of RIE plasma. The preferential etching of sp(2)-bonded carbon contained in the diamond films is the prime factor, which forms the unique diamond nanostructures from each type of diamond films. However, the excited oxygen atoms (O*) are the main etching species of diamond film.

  9. A novel deep reactive ion etched (DRIE) glass micro-model for two-phase flow experiments.

    Science.gov (United States)

    Karadimitriou, N K; Joekar-Niasar, V; Hassanizadeh, S M; Kleingeld, P J; Pyrak-Nolte, L J

    2012-09-21

    In the last few decades, micro-models have become popular experimental tools for two-phase flow studies. In this work, the design and fabrication of an innovative, elongated, glass-etched micro-model with dimensions of 5 × 35 mm(2) and constant depth of 43 microns is described. This is the first time that a micro-model with such depth and dimensions has been etched in glass by using a dry etching technique. The micro-model was visualized by a novel setup that allowed us to monitor and record the distribution of fluids throughout the length of the micro-model continuously. Quasi-static drainage experiments were conducted in order to obtain equilibrium data points that relate capillary pressure to phase saturation. By measuring the flow rate of water through the flow network for known pressure gradients, the intrinsic permeability of the micro-model's flow network was also calculated. The experimental results were used to calibrate a pore-network model and test its validity. Finally, we show that glass-etched micro-models can be valuable tools in single and/or multi-phase flow studies and their applications.

  10. Reactive Ion Etching as Cleaning Method Post Chemical Mechanical Polishing for Phase Change Memory Device

    International Nuclear Information System (INIS)

    Min, Zhong; Zhi-Tang, Song; Bo, Liu; Song-Lin, Feng; Bomy, Chen

    2008-01-01

    In order to improve nano-scale phase change memory performance, a super-clean interface should be obtained after chemical mechanical polishing (CMP) of Ge 2 Sb 2 Te 5 phase change films. We use reactive ion etching (RIE) as the cleaning method. The cleaning effect is analysed by scanning electron microscopy and an energy dispersive spectrometer. The results show that particle residue on the surface has been removed. Meanwhile, Ge 2 Sb 2 Te 5 material stoichiometric content ratios are unchanged. After the top electrode is deposited, current-voltage characteristics test demonstrates that the set threshold voltage is reduced from 13 V to 2.7V and the threshold current from 0.1mA to 0.025mA. Furthermore, we analyse the RIE cleaning principle and compare it with the ultrasonic method

  11. Controlled ion track etching

    Science.gov (United States)

    George, J.; Irkens, M.; Neumann, S.; Scherer, U. W.; Srivastava, A.; Sinha, D.; Fink, D.

    2006-03-01

    It is a common practice since long to follow the ion track-etching process in thin foils via conductometry, i.e . by measurement of the electrical current which passes through the etched track, once the track breakthrough condition has been achieved. The major disadvantage of this approach, namely the absence of any major detectable signal before breakthrough, can be avoided by examining the track-etching process capacitively. This method allows one to define precisely not only the breakthrough point before it is reached, but also the length of any non-transient track. Combining both capacitive and conductive etching allows one to control the etching process perfectly. Examples and possible applications are given.

  12. Pattern transfer on large samples using a sub-aperture reactive ion beam

    Energy Technology Data Exchange (ETDEWEB)

    Miessler, Andre; Mill, Agnes; Gerlach, Juergen W.; Arnold, Thomas [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), Permoserstrasse 15, D-04318 Leipzig (Germany)

    2011-07-01

    In comparison to sole Ar ion beam sputtering Reactive Ion Beam Etching (RIBE) reveals the main advantage of increasing the selectivity for different kind of materials due to chemical contributions during the material removal. Therefore RIBE is qualified to be an excellent candidate for pattern transfer applications. The goal of the present study is to apply a sub-aperture reactive ion beam for pattern transfer on large fused silica samples. Concerning this matter, the etching behavior in the ion beam periphery plays a decisive role. Using CF{sub 4} as reactive gas, XPS measurements of the modified surface exposes impurities like Ni, Fe and Cr, which belongs to chemically eroded material of the plasma pot as well as an accumulation of carbon (up to 40 atomic percent) in the beam periphery, respectively. The substitution of CF{sub 4} by NF{sub 3} as reactive gas reveals a lot of benefits: more stable ion beam conditions in combination with a reduction of the beam size down to a diameter of 5 mm and a reduced amount of the Ni, Fe and Cr contaminations. However, a layer formation of silicon nitride handicaps the chemical contribution of the etching process. These negative side effects influence the transfer of trench structures on quartz by changing the selectivity due to altered chemical reaction of the modified resist layer. Concerning this we investigate the pattern transfer on large fused silica plates using NF{sub 3}-sub-aperture RIBE.

  13. Continuous deep reactive ion etching of tapered via holes for three-dimensional integration

    NARCIS (Netherlands)

    Li, R.; Lamy, Y.; Besling, W.F.A.; Roozeboom, F.; Sarro, P.M.

    2008-01-01

    A continuous SF6/O2 plasma process at room temperature has been used to etch tapered through-silicon vias using a DRIE-ICP tool. These features (10–100 µm in diameter) are aimed for applications in 3D integration and MEMS packaging. The effects of various process parameters such as O2 flow rate,

  14. Cryogenic Etching of High Aspect Ratio 400 nm Pitch Silicon Gratings.

    Science.gov (United States)

    Miao, Houxun; Chen, Lei; Mirzaeimoghri, Mona; Kasica, Richard; Wen, Han

    2016-10-01

    The cryogenic process and Bosch process are two widely used processes for reactive ion etching of high aspect ratio silicon structures. This paper focuses on the cryogenic deep etching of 400 nm pitch silicon gratings with various etching mask materials including polymer, Cr, SiO 2 and Cr-on-polymer. The undercut is found to be the key factor limiting the achievable aspect ratio for the direct hard masks of Cr and SiO 2 , while the etch selectivity responds to the limitation of the polymer mask. The Cr-on-polymer mask provides the same high selectivity as Cr and reduces the excessive undercut introduced by direct hard masks. By optimizing the etching parameters, we etched a 400 nm pitch grating to ≈ 10.6 μ m depth, corresponding to an aspect ratio of ≈ 53.

  15. Fabrication of Super-Hydrophobic Microchannels via Strain-Recovery Deformations of Polystyrene and Oxygen Reactive Ion Etch.

    Science.gov (United States)

    Chakraborty, Anirban; Xiang, Mingming; Luo, Cheng

    2013-08-19

    In this article, we report a simple approach to generate micropillars (whose top portions are covered by sub-micron wrinkles) on the inner surfaces of polystyrene (PS) microchannels, as well as on the top surface of the PS substrate, based on strain-recovery deformations of the PS and oxygen reactive ion etch (ORIE). Using this approach, two types of micropillar-covered microchannels are fabricated. Their widths range from 118 μm to 132 μm, depths vary from 40 μm to 44 μm, and the inclined angles of their sidewalls are from 53° to 64°. The micropillars enable these microchannels to have super-hydrophobic properties. The contact angles observed on the channel-structured surfaces are above 162°, and the tilt angles to make water drops roll off from these channel-structured substrates can be as small as 1°.

  16. Fabrication of Super-Hydrophobic Microchannels via Strain-Recovery Deformations of Polystyrene and Oxygen Reactive Ion Etch

    Directory of Open Access Journals (Sweden)

    Anirban Chakraborty

    2013-08-01

    Full Text Available In this article, we report a simple approach to generate micropillars (whose top portions are covered by sub-micron wrinkles on the inner surfaces of polystyrene (PS microchannels, as well as on the top surface of the PS substrate, based on strain-recovery deformations of the PS and oxygen reactive ion etch (ORIE. Using this approach, two types of micropillar-covered microchannels are fabricated. Their widths range from 118 μm to 132 μm, depths vary from 40 μm to 44 μm, and the inclined angles of their sidewalls are from 53° to 64°. The micropillars enable these microchannels to have super-hydrophobic properties. The contact angles observed on the channel-structured surfaces are above 162°, and the tilt angles to make water drops roll off from these channel-structured substrates can be as small as 1°.

  17. Etched ion track polymer membranes for sustained drug delivery

    International Nuclear Information System (INIS)

    Rao, Vijayalakshmi; Amar, J.V.; Avasthi, D.K.; Narayana Charyulu, R.

    2003-01-01

    The method of track etching has been successfully used for the production of polymer membranes with capillary pores. In the present paper, micropore membranes have been prepared by swift heavy ion irradiation of polycarbonate (PC). PC films were irradiated with ions of gold, silicon and oxygen of varying energies and fluence. The ion tracks thus obtained were etched chemically for various time intervals to get pores and these etched films were used as membranes for the drug release. Ciprofloxacine hydrochloride was used as model drug for the release studies. The drug content was estimated spectrophotometrically. Pore size and thus the drug release is dependent on the etching conditions, ions used, their energy and fluence. Sustained drug release has been observed in these membranes. The films can be selected for practical utilization by optimizing the irradiation and etching conditions. These films can be used as transdermal patches after medical treatment

  18. Ion-beam etching of ramps in thin film heterostructures

    International Nuclear Information System (INIS)

    Mozhaev, P. B.; Mozhaeva, Ju. E.; Komissinskii, P. V.

    2002-01-01

    Ion-beam patterning of thin films and heterostructures is one of the most common processes of fabrication of thin film devices and structures. 'Directed' nature of ion-beam etching provides a possibility to form certain profiles on the films surface, like shallow ramps, when etching is performed at some inclination angle. A simple geometrical model is presented, describing the formation of a ramp as a shadow of the mask on the film surface. Good agreement with the experiment can be obtained if the mask etching is taken into account. The etching at the opposite direction ('high-angle etching') also can be satisfactory described by the model. The profile of the slope - positive or negative curvature, pits near the end of the ramp - is discussed as a function of the etch rate dependence on the incidence angle. Such etch rate dependences for some often used materials were measured. An area of instability of the resulting ramp shape is found for the 'high-angle etching'. The model is compared with the experimental data reported by other groups. Finally ion-beam etching of a rotating sample at non-normal incidence is discussed, the results are compared with experimental data. (Authors)

  19. A novel Deep Reactive Ion Etched (DRIE) glass micro-model for two-phase flow experiments

    NARCIS (Netherlands)

    Karadimitriou, N.K.; Joekar-Niasar, V.; Hassanizadeh, S.M.; Kleingeld, P.J.; Pyrak-Nolte, L.J.

    2012-01-01

    In the last few decades, micro-models have become popular experimental tools for two-phase flow studies. In this work, the design and fabrication of an innovative, elongated, glass-etched micromodel with dimensions of 5 6 35 mm2 and constant depth of 43 microns is described. This is the

  20. Eliminating dependence of hole depth on aspect ratio by forming ammonium bromide during plasma etching of deep holes in silicon nitride and silicon dioxide

    Science.gov (United States)

    Iwase, Taku; Yokogawa, Kenetsu; Mori, Masahito

    2018-06-01

    The reaction mechanism during etching to fabricate deep holes in SiN/SiO2 stacks by using a HBr/N2/fluorocarbon-based gas plasma was investigated. To etch SiN and SiO2 films simultaneously, HBr/fluorocarbon gas mixture ratio was controlled to achieve etching selectivity closest to one. Deep holes were formed in the SiN/SiO2 stacks by one-step etching at several temperatures. The surface composition of the cross section of the holes was analyzed by time-of-flight secondary-ion mass spectrometry. It was found that bromine ions (considered to be derived from NH4Br) were detected throughout the holes in the case of low-temperature etching. It was also found that the dependence of hole depth on aspect ratio decreases as temperature decreases, and it becomes significantly weaker at a substrate temperature of 20 °C. It is therefore concluded that the formation of NH4Br supplies the SiN/SiO2 etchant to the bottom of the holes. Such a finding will make it possible to alleviate the decrease in etching rate due to a high aspect ratio.

  1. Deep glass etched microring resonators based on silica-on-silicon technology

    DEFF Research Database (Denmark)

    Ou, Haiyan; Rottwitt, Karsten; Philipp, Hugh Taylor

    2006-01-01

    Microring resonators fabricated on silica-on-silicon technology using deep glass etching are demonstrated. The fabrication procedures are introduced and the transmission spectrum of a resonator is presented.......Microring resonators fabricated on silica-on-silicon technology using deep glass etching are demonstrated. The fabrication procedures are introduced and the transmission spectrum of a resonator is presented....

  2. In situ ion etching in a scanning electron microscope

    International Nuclear Information System (INIS)

    Dhariwal, R.S.; Fitch, R.K.

    1977-01-01

    A facility for ion etching in a scanning electron microscope is described which incorporates a new type of electrostatic ion source and viewing of the specimen is possible within about 30 sec after terminating the ion bombardment. Artefacts produced during etching have been studied and cone formation has been followed during its growth. The instrument has provided useful structural information on metals, alloys, and sinters. However, although insulating materials, such as plastics, glass and resins, have been successfully etched, interpretation of the resultant micrographs is more difficult. Ion etching of soft biological tissues, such as the rat duodenum was found to be of considerable interest. The observed structural features arise from the selective intake of the heavy fixation elements by different parts of the tissue. Hard biological materials, such as dental tissues and restorative materials, have also been studied and the prismatic structure of the enamel and the form and distribution of the dentinal tubules have been revealed. (author)

  3. The role of ion beam etching in magnetic bubble device manufacture

    International Nuclear Information System (INIS)

    Brambley, D.R.; Vanner, K.C.

    1979-01-01

    The most critical stage of fabrication of magnetic bubble memories is the etching of a pattern in a permalloy (80/20 Ni/Fe) film approximately 0.4 microns thick. The permalloy elements so made are used to produce perturbations in an externally applied magnetic bias field, and these perturbations cause the translation of magnetic bubbles within an underlying film. Devices now being produced have memory-cell sizes of less than 16 microns and require the etched features to have minimum dimensions of less than 2 microns. The only practicable way of achieving this with the requisite precision is by the use of sputter or ion beam etching. In addition, ion beam etching is used for defining gold conductor elements which perform the functions of bubble nucleation, replication and transfer. This paper briefly outlines the bubble device fabrication process, with special emphasis on the role of ion beam etching. The wafer temperature, element profile and uniformity obtained during ion beam etching are of considerable significance, and some of the factors affecting these will be discussed. Finally some of the limitations of ion beam etching will be described. (author)

  4. Angle resolved mass spectrometry of positive ions transmitted through high aspect ratio channels in a radio frequency discharge

    NARCIS (Netherlands)

    Stoffels - Adamowicz, E.; Stoffels, W.W.; Tachibana, K.; Imai, S.

    1997-01-01

    The behavior of positive ions in high aspect ratio structures, relevant to the reactive ion etching of deep trenches, has been studied by means of energy resolved mass spectrometry. High aspect ratio trenches are simulated by capillary plates with various aspect ratios. Angle resolved measurements

  5. Dependence of energy per molecule on sputtering yields with reactive gas cluster ions

    International Nuclear Information System (INIS)

    Toyoda, Noriaki; Yamada, Isao

    2010-01-01

    Gas cluster ions show dense energy deposition on a target surface, which result in the enhancement of chemical reactions. In reactive sputtering with gas cluster ions, the energy per atom or molecule plays an important role. In this study, the average cluster size (N, the number of atoms or molecules in a cluster ion) was controlled; thereby the dependences of the energy per molecule on the sputtering yields of carbon by CO 2 cluster ions and that of Si by SF 6 /Ar mixed gas cluster ions were investigated. Large CO 2 cluster ions with energy per molecule of 1 eV showed high reactive sputtering yield of an amorphous carbon film. However, these ions did not cause the formation of large craters on a graphite surface. It is possible to achieve very low damage etching by controlling the energy per molecule of reactive cluster ions. Further, in the case of SF 6 /Ar mixed cluster ions, it was found that reactive sputtering was enhanced when a small amount of SF 6 gas (∼10%) was mixed with Ar. The reactive sputtering yield of Si by one SF 6 molecule linearly increased with the energy per molecule.

  6. Sacrificial wafer bonding for planarization after very deep etching

    NARCIS (Netherlands)

    Spiering, V.L.; Spiering, Vincent L.; Berenschot, Johan W.; Elwenspoek, Michael Curt; Fluitman, J.H.J.

    A new technique is presented that provides planarization after a very deep etching step in silicon. This offers the possibility for as well resist spinning and layer patterning as realization of bridges or cantilevers across deep holes or grooves. The sacrificial wafer bonding technique contains a

  7. Suboxide/subnitride formation on Ta masks during magnetic material etching by reactive plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hu; Muraki, Yu; Karahashi, Kazuhiro; Hamaguchi, Satoshi, E-mail: hamaguch@ppl.eng.osaka-u.ac.jp [Center for Atomic and Molecular Technologies, Osaka University, Yamadaoka 2-1, Suita 565-0871 (Japan)

    2015-07-15

    Etching characteristics of tantalum (Ta) masks used in magnetoresistive random-access memory etching processes by carbon monoxide and ammonium (CO/NH{sub 3}) or methanol (CH{sub 3}OH) plasmas have been examined by mass-selected ion beam experiments with in-situ surface analyses. It has been suggested in earlier studies that etching of magnetic materials, i.e., Fe, Ni, Co, and their alloys, by such plasmas is mostly due to physical sputtering and etch selectivity of the process arises from etch resistance (i.e., low-sputtering yield) of the hard mask materials such as Ta. In this study, it is shown that, during Ta etching by energetic CO{sup +} or N{sup +} ions, suboxides or subnitrides are formed on the Ta surface, which reduces the apparent sputtering yield of Ta. It is also shown that the sputtering yield of Ta by energetic CO{sup +} or N{sup +} ions has a strong dependence on the angle of ion incidence, which suggests a correlation between the sputtering yield and the oxidation states of Ta in the suboxide or subnitride; the higher the oxidation state of Ta, the lower is the sputtering yield. These data account for the observed etch selectivity by CO/NH{sub 3} and CH{sub 3}OH plasmas.

  8. The mechanism of selective corrugation removal by KOH anisotropic wet etching

    International Nuclear Information System (INIS)

    Shikida, M; Inagaki, N; Sasaki, H; Amakawa, H; Fukuzawa, K; Sato, K

    2010-01-01

    The mechanism of selective corrugation removal by anisotropic wet etching—which reduces a periodic corrugation, called 'scalloping', formed on the sidewalls of microstructures by the Bosch process in deep reactive-ion etching (D-RIE)—was investigated. In particular, the corrugation-removal mechanism was analyzed by using the etching rate distribution pattern, and two equations for predicting the corrugation-removal time by the etching were derived. A Si{1 0 0} wafer was first etched by D-RIE at a depth of 29.4 µm (60 cycles) to form the corrugation on the sidewall surface. The height and pitch of the corrugation were 196 and 494 nm, respectively. Selective removal of the corrugation by using 50% KOH (40 °C) was experimentally tried. The corrugation formed on Si{1 0 0} sidewall surfaces was gradually reduced in size as the etching progressed, and it was completely removed after 5 min of etching. Similarly, the corrugation formed on a Si{1 1 0} sidewall surface was also selectively removed by KOH etching (etching time: 3 min). The roughness value of the sidewall surface was reduced from 17.6 nm to a few nanometers by the etching. These results confirm that the corrugation-removal mechanism using anisotropic wet etching can be explained in terms of the distribution pattern of etching rate

  9. Deeply-etched micromirror with vertical slit and metallic coating enabling transmission-type optical MEMS filters

    Science.gov (United States)

    Othman, Muhammad A.; Sabry, Yasser M.; Sadek, Mohamed; Nassar, Ismail M.; Khalil, Diaa A.

    2016-03-01

    In this work we report a novel optical MEMS deeply-etched mirror with metallic coating and vertical slot, where the later allows reflection and transmission by the micromirror. The micromirror as well as fiber grooves are fabricated using deep reactive ion etching technology, where the optical axis is in-plane and the components are self-aligned. The etching depth is 150 μm chosen to improve the micromirror optical throughput. The vertical optical structure is Al metal coated using the shadow mask technique. A fiber-coupled Fabry-Pérot filter is successfully realized using the fabricated structure. Experimental measurements were obtained based on a dielectric-coated optical fiber inserted into a fiber groove facing the slotted micromirror. A versatile performance in terms of the free spectral range and 3-dB bandwidth is achieved.

  10. Fabrication of micromechanical structures on substrates selectively etched using a micropatterned ion-implantation method

    International Nuclear Information System (INIS)

    Nakano, Shizuka; Nakagawa, Sachiko; Ishikawa, Haruo; Ogiso, Hisato

    2001-01-01

    An advanced micromachining technique using ion implantation to modify materials was studied. Gold ion implantation into silicon decreased the etching rate when the silicon was etched in potassium hydroxide solution after the ion implantation; the implanted region remained, thus forming the microstructure. Observation of the cross-section of the resulting etched structure by transmission electron microscopy showed that the structure was made only from the ion-implanted region, and that gold was precipitated on the surface. To clarify the mechanism involved in the decrease in the etching rate, we varied the etching conditions. Our results show that precipitation of implanted gold on the surface decreased the etching rate, because solubility of gold is lower

  11. Low surface damage dry etched black silicon

    Science.gov (United States)

    Plakhotnyuk, Maksym M.; Gaudig, Maria; Davidsen, Rasmus Schmidt; Lindhard, Jonas Michael; Hirsch, Jens; Lausch, Dominik; Schmidt, Michael Stenbæk; Stamate, Eugen; Hansen, Ole

    2017-10-01

    Black silicon (bSi) is promising for integration into silicon solar cell fabrication flow due to its excellent light trapping and low reflectance, and a continuously improving passivation. However, intensive ion bombardment during the reactive ion etching used to fabricate bSi induces surface damage that causes significant recombination. Here, we present a process optimization strategy for bSi, where surface damage is reduced and surface passivation is improved while excellent light trapping and low reflectance are maintained. We demonstrate that reduction of the capacitively coupled plasma power, during reactive ion etching at non-cryogenic temperature (-20 °C), preserves the reflectivity below 1% and improves the effective minority carrier lifetime due to reduced ion energy. We investigate the effect of the etching process on the surface morphology, light trapping, reflectance, transmittance, and effective lifetime of bSi. Additional surface passivation using atomic layer deposition of Al2O3 significantly improves the effective lifetime. For n-type wafers, the lifetime reaches 12 ms for polished and 7.5 ms for bSi surfaces. For p-type wafers, the lifetime reaches 800 μs for both polished and bSi surfaces.

  12. Dry Etch Black Silicon with Low Surface Damage: Effect of Low Capacitively Coupled Plasma Power

    DEFF Research Database (Denmark)

    Iandolo, Beniamino; Plakhotnyuk, Maksym; Gaudig, Maria

    2017-01-01

    Black silicon fabricated by reactive ion etch (RIE) is promising for integration into silicon solar cells thanks to its excellent light trapping ability. However, intensive ion bombardment during the RIE induces surface damage, which results in enhanced surface recombination velocity. Here, we pr...... carrier lifetime thanks to reduced ion energy. Surface passivation using atomic layer deposition of Al2O3 improves the effective lifetime to 7.5 ms and 0.8 ms for black silicon n- and p-type wafers, respectively.......Black silicon fabricated by reactive ion etch (RIE) is promising for integration into silicon solar cells thanks to its excellent light trapping ability. However, intensive ion bombardment during the RIE induces surface damage, which results in enhanced surface recombination velocity. Here, we...... present a RIE optimization leading to reduced surface damage while retaining excellent light trapping and low reflectivity. In particular, we demonstrate that the reduction of the capacitively coupled power during reactive ion etching preserves a reflectance below 1% and improves the effective minority...

  13. Low surface damage dry etched black silicon

    DEFF Research Database (Denmark)

    Plakhotnyuk, Maksym M.; Gaudig, Maria; Davidsen, Rasmus Schmidt

    2017-01-01

    Black silicon (bSi) is promising for integration into silicon solar cell fabrication flow due to its excellent light trapping and low reflectance, and a continuously improving passivation. However, intensive ion bombardment during the reactive ion etching used to fabricate bSi induces surface dam...

  14. Effect of oxygen gas and annealing treatment for magnetically enhanced reactive ion etched (Ba0.65,Sr0.35)TiO3 thin films

    International Nuclear Information System (INIS)

    Zhang Baishun; Quan Zuci; Zhang Tianjin; Guo Tao; Mo Shaobo

    2007-01-01

    Sol-gel-derived (Ba 0.65 ,Sr 0.35 )TiO 3 (BST) thin films were etched in CF 4 /Ar and CF 4 /Ar/O 2 plasmas using magnetically enhanced reactive ion etching technology. Experimental results show that adding appropriate O 2 to CF 4 /Ar can better the etching effects of BST films for the increase of etching rate and decrease of etched residues. The maximum etching rate is 8.47 nm/min when CF 4 /Ar/O 2 gas-mixing ratio is equal to 9/36/5. X-ray photoelectron spectroscopy (XPS) data confirm accumulation of reaction products on the etched surface due to low volatility of reaction products such as Ba and Sr fluorides, and these residues could be removed by annealing treatment. The exact peak positions and chemical shifts of the interested elements were deduced by fitting XPS narrow-scan spectra with symmetrical Gaussian-Lorentzian product function for Ba 3d, Sr 3d, and O 1s peaks, meanwhile asymmetrical Gaussian-Lorentzian sum function was used to fit Ti 2p doublet to adjust the multiple splitting and/or shake-up process of transition-metal Ti cations. Compared to the unetched counterparts, the etched Ba 3d 5/2 , Ba 3d 3/2 , Sr 3d 5/2 , Sr 3d 3/2 , Ti 2p 3/2 , Ti 2p 1/2 , and O 1s peaks shift towards higher binding energy regions by amounts of 1.31, 1.30, 0.60, 0.79, 0.09, 0.46, and 0.50 eV, respectively. While the etched Ti 2p 3/2 and Ti 2p 1/2 peaks have small chemical shifts for two reasons. One is that Ti fluoride (TiF z ) is mostly removed from the etched surface because of its higher volatility in the process of thermal desorption. The other is that there is a shift compensation between TiF z and the etched BST matrix in which Ti 4+ cations are partially reduced to form Ti x+ (0 0.65 ,Sr 0.35 )Ti 0.97 O 2.86 , (Ba 0.70 ,Sr 0.30 )Ti 0.24 O 1.39 , and (Ba 0.68 ,Sr 0.32 )Ti 0.95 O 2.74 , and then the average valence of Ti cations is estimated to be +3.84, +3.25, and +3.66 with respect to the electroneutrality principle, respectively. It is inferred that electrical

  15. Correlation of III/V semiconductor etch results with physical parameters of high-density reactive plasmas excited by electron cyclotron resonance

    Science.gov (United States)

    Gerhard, FRANZ; Ralf, MEYER; Markus-Christian, AMANN

    2017-12-01

    Reactive ion etching is the interaction of reactive plasmas with surfaces. To obtain a detailed understanding of this process, significant properties of reactive composite low-pressure plasmas driven by electron cyclotron resonance (ECR) were investigated and compared with the radial uniformity of the etch rate. The determination of the electronic properties of chlorine- and hydrogen-containing plasmas enabled the understanding of the pressure-dependent behavior of the plasma density and provided better insights into the electronic parameters of reactive etch gases. From the electrical evaluation of I(V) characteristics obtained using a Langmuir probe, plasmas of different compositions were investigated. The standard method of Druyvesteyn to derive the electron energy distribution functions by the second derivative of the I(V) characteristics was replaced by a mathematical model which has been evolved to be more robust against noise, mainly, because the first derivative of the I(V) characteristics is used. Special attention was given to the power of the energy dependence in the exponent. In particular, for plasmas that are generated by ECR with EM modes, the existence of Maxwellian distribution functions is not to be taken as a self-evident fact, but the bi-Maxwellian distribution was proven for Ar- and Kr-stabilized plasmas. In addition to the electron temperature, the global uniform discharge model has been shown to be useful for calculating the neutral gas temperature. To what extent the invasive method of using a Langmuir probe could be replaced with the non-invasive optical method of emission spectroscopy, particularly actinometry, was investigated, and the resulting data exhibited the same relative behavior as the Langmuir data. The correlation with etchrate data reveals the large chemical part of the removal process—most striking when the data is compared with etching in pure argon. Although the relative amount of the radial variation of plasma density and

  16. Influence of deep RIE tolerances on comb-drive actuator performance

    International Nuclear Information System (INIS)

    Chen, Bangtao; Miao, Jianmin

    2007-01-01

    This paper analyses the various etching tolerances and profiles of comb-drive microstructures by using deep reactive ion etching (RIE) and studies their influence on the actuator's performance. The comb-drive actuators studied in this paper are fabricated with the silicon-on-glass (SOG) wafer process using deep RIE and wafer bonding, which present very high-aspect-ratio and high-strength microstructures. However, the deep RIE process generates some tolerances and varies the dimension and profile of comb fingers and flexures due to the process limitations. We have analysed the different etching tolerances and studied their influence on the actuator's performance, in terms of the electrostatic force, flexure stiffness, actuator's displacement, air damping and quality factor of the actuator. The analysis shows that the comb fingers with a positive slope profile generated a larger electrostatic force, and the flexures with a negative profile induced the loss of the actuator's stiffness. The combination of these two profiles leads to a great increase in the actuator's displacement and decrease in the quality factor. The measured results of the SOG fabricated actuators have demonstrated the influence of deep RIE tolerance on the actuator's performance

  17. Influence of Reactive Ion Etching on THz Transmission and Reflection Properties of NiCr Film Deposited on a Dielectric Substrate

    Directory of Open Access Journals (Sweden)

    Jun Gou

    2015-06-01

    Full Text Available Enhanced terahertz (THz absorption of NiCr film deposited on a dielectric substrate has been proven by applying a reactive ion etching (RIE treatment to the dielectric film. Nano – scale nickel – chromium (NiCr thin films are deposited on RIE treated silicon dioxide (SiO2 dielectric substrates to study the transmission and reflection characteristics. Experimental results suggest that both transmission and reflection of NiCr film are weakened by the RIE treatment. The most significant decrease of transmission is observed in 1 ~ 4 THz while that of reflection occurs in 1.7 ~ 2.5 THz band. The decrease of both transmission and reflection is more significant for NiCr film with higher thickness. The RIE treatment, which induces nano – scale surface structures and increases the effective surface area of NiCr film, enhances the absorption and weakens the transmission and reflection of THz radiation.DOI: http://dx.doi.org/10.5755/j01.ms.21.2.6131

  18. Ion track etching revisited: II. Electronic properties of aged tracks in polymers

    Science.gov (United States)

    Fink, D.; Muñoz Hernández, G.; Cruz, S. A.; Garcia-Arellano, H.; Vacik, J.; Hnatowicz, V.; Kiv, A.; Alfonta, L.

    2018-02-01

    We compile here electronic ion track etching effects, such as capacitive-type currents, current spike emission, phase shift, rectification and background currents that eventually emerge upon application of sinusoidal alternating voltages across thin, aged swift heavy ion-irradiated polymer foils during etching. Both capacitive-type currents and current spike emission occur as long as obstacles still prevent a smooth continuous charge carrier passage across the foils. In the case of sufficiently high applied electric fields, these obstacles are overcome by spike emission. These effects vanish upon etchant breakthrough. Subsequent transmitted currents are usually of Ohmic type, but shortly after breakthrough (during the track' core etching) often still exhibit deviations such as strong positive phase shifts. They stem from very slow charge carrier mobility across the etched ion tracks due to retarding trapping/detrapping processes. Upon etching the track's penumbra, one occasionally observes a split-up into two transmitted current components, one with positive and another one with negative phase shifts. Usually, these phase shifts vanish when bulk etching starts. Current rectification upon track etching is a very frequent phenomenon. Rectification uses to inverse when core etching ends and penumbra etching begins. When the latter ends, rectification largely vanishes. Occasionally, some residual rectification remains which we attribute to the aged polymeric bulk itself. Last not least, we still consider background currents which often emerge transiently during track etching. We could assign them clearly to differences in the electrochemical potential of the liquids on both sides of the etched polymer foils. Transient relaxation effects during the track etching cause their eventually chaotic behaviour.

  19. SU-8 etching in inductively coupled oxygen plasma

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted; Keller, Stephan Sylvest; Jensen, Flemming

    2013-01-01

    Structuring or removal of the epoxy based, photo sensitive polymer SU-8 by inductively coupled plasma reactive ion etching (ICP-RIE) was investigated as a function of plasma chemistry, bias power, temperature, and pressure. In a pure oxygen plasma, surface accumulation of antimony from the photo......-initiator introduced severe roughness and reduced etch rate significantly. Addition of SF6 to the plasma chemistry reduced the antimony surface concentration with lower roughness and higher etch rate as an outcome. Furthermore the etch anisotropy could be tuned by controlling the bias power. Etch rates up to 800 nm...

  20. Low temperature sacrificial wafer bonding for planarization after very deep etching

    NARCIS (Netherlands)

    Spiering, V.L.; Spiering, V.L.; Berenschot, Johan W.; Elwenspoek, Michael Curt; Fluitman, J.H.J.

    1994-01-01

    A new technique, at temperatures of 150°C or 450°C, that provides planarization after a very deep etching step in silicon is presented. Resist spinning and layer patterning as well as realization of bridges or cantilevers across deep holes becomes possible. The sacrificial wafer bonding technique

  1. High-aspect ratio micro- and nanostructures enabled by photo-electrochemical etching for sensing and energy harvesting applications

    Science.gov (United States)

    Alhalaili, Badriyah; Dryden, Daniel M.; Vidu, Ruxandra; Ghandiparsi, Soroush; Cansizoglu, Hilal; Gao, Yang; Saif Islam, M.

    2018-03-01

    Photo-electrochemical (PEC) etching can produce high-aspect ratio features, such as pillars and holes, with high anisotropy and selectivity, while avoiding the surface and sidewall damage caused by traditional deep reactive ion etching (DRIE) or inductively coupled plasma (ICP) RIE. Plasma-based techniques lead to the formation of dangling bonds, surface traps, carrier leakage paths, and recombination centers. In pursuit of effective PEC etching, we demonstrate an optical system using long wavelength (λ = 975 nm) infra-red (IR) illumination from a high-power laser (1-10 W) to control the PEC etching process in n-type silicon. The silicon wafer surface was patterned with notches through a lithography process and KOH etching. Then, PEC etching was introduced by illuminating the backside of the silicon wafer to enhance depth, resulting in high-aspect ratio structures. The effect of the PEC etching process was optimized by varying light intensities and electrolyte concentrations. This work was focused on determining and optimizing this PEC etching technique on silicon, with the goal of expanding the method to a variety of materials including GaN and SiC that are used in designing optoelectronic and electronic devices, sensors and energy harvesting devices.

  2. Predictions of ion energy distributions and radical fluxes in radio frequency biased inductively coupled plasma etching reactors

    Science.gov (United States)

    Hoekstra, Robert J.; Kushner, Mark J.

    1996-03-01

    Inductively coupled plasma (ICP) reactors are being developed for low gas pressure (radio frequency (rf) bias is applied to the substrate. One of the goals of these systems is to independently control the magnitude of the ion flux by the inductively coupled power deposition, and the acceleration of ions into the substrate by the rf bias. In high plasma density reactors the width of the sheath above the wafer may be sufficiently thin that ions are able to traverse it in approximately 1 rf cycle, even at 13.56 MHz. As a consequence, the ion energy distribution (IED) may have a shape typically associated with lower frequency operation in conventional reactive ion etching tools. In this paper, we present results from a computer model for the IED incident on the wafer in ICP etching reactors. We find that in the parameter space of interest, the shape of the IED depends both on the amplitude of the rf bias and on the ICP power. The former quantity determines the average energy of the IED. The latter quantity controls the width of the sheath, the transit time of ions across the sheath and hence the width of the IED. In general, high ICP powers (thinner sheaths) produce wider IEDs.

  3. Model etch profiles for ion energy distribution functions in an inductively coupled plasma reactor

    International Nuclear Information System (INIS)

    Chen, W.; Abraham-Shrauner, B.; Woodworth, J.R.

    1999-01-01

    Rectangular trench profiles are modeled with analytic etch rates determined from measured ion distribution functions. The pattern transfer step for this plasma etch is for trilayer lithography. Argon and chlorine angular ion energy distribution functions measured by a spherical collector ring analyzer are fit to a sum of drifting Maxwellian velocity distribution functions with anisotropic temperatures. The fit of the model ion distribution functions by a simulated annealing optimization procedure converges adequately for only two drifting Maxwellians. The etch rates are proportional to analytic expressions for the ion energy flux. Numerical computation of the etch profiles by integration of the characteristic equations for profile points and connection of the profiles points is efficient. copyright 1999 American Vacuum Society

  4. Study of surfactant-added TMAH for applications in DRIE and wet etching-based micromachining

    Science.gov (United States)

    Tang, B.; Shikida, M.; Sato, K.; Pal, P.; Amakawa, H.; Hida, H.; Fukuzawa, K.

    2010-06-01

    In this paper, etching anisotropy is evaluated for a number of different crystallographic orientations of silicon in a 0.1 vol% Triton-X-100 added 25 wt% tetramethylammonium hydroxide (TMAH) solution using a silicon hemisphere. The research is primarily aimed at developing advanced applications of wet etching in microelectromechanical systems (MEMS). The etching process is carried out at different temperatures in the range of 61-81 °C. The etching results of silicon hemisphere and different shapes of three-dimensional structures in {1 0 0}- and {1 1 0}-Si surfaces are analyzed. Significantly important anisotropy, different from a traditional etchant (e.g. pure KOH and TMAH), is investigated to extend the applications of the wet etching process in silicon bulk micromachining. The similar etching behavior of exact and vicinal {1 1 0} and {1 1 1} planes in TMAH + Triton is utilized selectively to remove the scalloping from deep reactive-ion etching (DRIE) etched profiles. The direct application of the present research is demonstrated by fabricating a cylindrical lens with highly smooth etched surface finish. The smoothness of a micro-lens at different locations is measured qualitatively by a scanning electron microscope and quantitatively by an atomic force microscope. The present paper provides a simple and effective fabrication method of the silicon micro-lens for optical MEMS applications.

  5. Cooperative simulation of lithography and topography for three-dimensional high-aspect-ratio etching

    Science.gov (United States)

    Ichikawa, Takashi; Yagisawa, Takashi; Furukawa, Shinichi; Taguchi, Takafumi; Nojima, Shigeki; Murakami, Sadatoshi; Tamaoki, Naoki

    2018-06-01

    A topography simulation of high-aspect-ratio etching considering transports of ions and neutrals is performed, and the mechanism of reactive ion etching (RIE) residues in three-dimensional corner patterns is revealed. Limited ion flux and CF2 diffusion from the wide space of the corner is found to have an effect on the RIE residues. Cooperative simulation of lithography and topography is used to solve the RIE residue problem.

  6. Characterization of deep wet etching of fused silica glass for single cell and optical sensor deposition

    International Nuclear Information System (INIS)

    Zhu, Haixin; Holl, Mark; Ray, Tathagata; Bhushan, Shivani; Meldrum, Deirdre R

    2009-01-01

    The development of a high-throughput single-cell metabolic rate monitoring system relies on the use of transparent substrate material for a single cell-trapping platform. The high optical transparency, high chemical resistance, improved surface quality and compatibility with the silicon micromachining process of fused silica make it very attractive and desirable for this application. In this paper, we report the results from the development and characterization of a hydrofluoric acid (HF) based deep wet-etch process on fused silica. The pin holes and notching defects of various single-coated masking layers during the etching are characterized and the most suitable masking materials are identified for different etch depths. The dependence of the average etch rate and surface roughness on the etch depth, impurity concentration and HF composition are also examined. The resulting undercut from the deep HF etch using various masking materials is also investigated. The developed and characterized process techniques have been successfully implemented in the fabrication of micro-well arrays for single cell trapping and sensor deposition. Up to 60 µm deep micro-wells have been etched in a fused silica substrate with over 90% process yield and repeatability. To our knowledge, such etch depth has never been achieved in a fused silica substrate by using a non-diluted HF etchant and a single-coated masking layer at room temperature

  7. Wafer scale oblique angle plasma etching

    Science.gov (United States)

    Burckel, David Bruce; Jarecki, Jr., Robert L.; Finnegan, Patrick Sean

    2017-05-23

    Wafer scale oblique angle etching of a semiconductor substrate is performed in a conventional plasma etch chamber by using a fixture that supports a multiple number of separate Faraday cages. Each cage is formed to include an angled grid surface and is positioned such that it will be positioned over a separate one of the die locations on the wafer surface when the fixture is placed over the wafer. The presence of the Faraday cages influences the local electric field surrounding each wafer die, re-shaping the local field to be disposed in alignment with the angled grid surface. The re-shaped plasma causes the reactive ions to follow a linear trajectory through the plasma sheath and angled grid surface, ultimately impinging the wafer surface at an angle. The selected geometry of the Faraday cage angled grid surface thus determines the angle at with the reactive ions will impinge the wafer.

  8. Formation of biaxial texture in metal films by selective ion beam etching

    Energy Technology Data Exchange (ETDEWEB)

    Park, S.J. [Department of Materials Science and Engineering, University of Florida, 106 Rhines Hall, P.O. Box 116400, Gainesville, FL 32611 (United States); Norton, D.P. [Department of Materials Science and Engineering, University of Florida, 106 Rhines Hall, P.O. Box 116400, Gainesville, FL 32611 (United States)]. E-mail: dnort@mse.ufl.edu; Selvamanickam, Venkat [IGC-SuperPower, LLC, 450 Duane Avenue, Schenectady, NY 12304 (United States)

    2006-05-15

    The formation of in-plane texture via ion bombardment of uniaxially textured metal films was investigated. In particular, selective grain Ar ion beam etching of uniaxially textured (0 0 1) Ni was used to achieve in-plane aligned Ni grains. Unlike conventional ion beam assisted deposition, the ion beam irradiates the uniaxially textured film surface with no impinging deposition flux. The initial uniaxial texture is established via surface energy minimization with no ion irradiation. Within this sequential texturing method, in-plane grain alignment is driven by selective etching and grain overgrowth. Biaxial texture was achieved for ion beam irradiation at elevated temperature.

  9. Formation of biaxial texture in metal films by selective ion beam etching

    International Nuclear Information System (INIS)

    Park, S.J.; Norton, D.P.; Selvamanickam, Venkat

    2006-01-01

    The formation of in-plane texture via ion bombardment of uniaxially textured metal films was investigated. In particular, selective grain Ar ion beam etching of uniaxially textured (0 0 1) Ni was used to achieve in-plane aligned Ni grains. Unlike conventional ion beam assisted deposition, the ion beam irradiates the uniaxially textured film surface with no impinging deposition flux. The initial uniaxial texture is established via surface energy minimization with no ion irradiation. Within this sequential texturing method, in-plane grain alignment is driven by selective etching and grain overgrowth. Biaxial texture was achieved for ion beam irradiation at elevated temperature

  10. Hydrogen effects in hydrofluorocarbon plasma etching of silicon nitride: Beam study with CF{sup +}, CF{sub 2}{sup +}, CHF{sub 2}{sup +}, and CH{sub 2}F{sup +} ions

    Energy Technology Data Exchange (ETDEWEB)

    Ito, Tomoko; Karahashi, Kazuhiro; Fukasawa, Masanaga; Tatsumi, Tetsuya; Hamaguchi, Satoshi [Center for Atomic and Molecular Technologies, Osaka University, Osaka 565-0871 (Japan); Semiconductor Technology Development Division, SBG, CPDG, Sony Corporation, Atsugi, Kanagawa 243-0014 (Japan); Center for Atomic and Molecular Technologies, Osaka University, Osaka 565-0871 (Japan)

    2011-09-15

    Hydrogen in hydrofluorocarbon plasmas plays an important role in silicon nitride (Si{sub 3}N{sub 4}) reactive ion etching. This study focuses on the elementary reactions of energetic CHF{sub 2}{sup +} and CH{sub 2}F{sup +} ions with Si{sub 3}N{sub 4} surfaces. In the experiments, Si{sub 3}N{sub 4} surfaces were irradiated by monoenergetic (500-1500 eV) beams of CHF{sub 2}{sup +} and CH{sub 2}F{sup +} ions as well as hydrogen-free CF{sub 2}{sup +} and CF{sup +} ions generated by a mass-selected ion beam system and their etching yields and surface properties were examined. It has been found that, when etching takes place, the etching rates of Si{sub 3}N{sub 4} by hydrofluorocarbon ions, i.e., CHF{sub 2}{sup +} and CH{sub 2}F{sup +}, are higher than those by the corresponding fluorocarbon ions, i.e., CF{sub 2}{sup +} and CF{sup +}, respectively. When carbon film deposition takes place, it has been found that hydrogen of incident hydrofluorocarbon ions tends to scavenge fluorine of the deposited film, reducing its fluorine content.

  11. Symphony and cacophony in ion track etching: how to control etching results

    Czech Academy of Sciences Publication Activity Database

    Fink, Dietmar; Kiv, A.; Cruz, S. A.; Munoz, G. H.; Vacík, Jiří

    2012-01-01

    Roč. 167, č. 7 (2012), s. 527-540 ISSN 1042-0150 R&D Projects: GA AV ČR IAA200480702 Institutional support: RVO:61389005 Keywords : ion track s * polymers * etching * diodes * resistances Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 0.502, year: 2012

  12. Flexible deep brain neural probes based on a parylene tube structure

    Science.gov (United States)

    Zhao, Zhiguo; Kim, Eric; Luo, Hao; Zhang, Jinsheng; Xu, Yong

    2018-01-01

    Most microfabricated neural probes have limited shank length, which prevents them from reaching many deep brain structures. This paper reports deep brain neural probes with ultra-long penetrating shanks based on a simple but novel parylene tube structure. The mechanical strength of the parylene tube shank is temporarily enhanced during implantation by inserting a metal wire. The metal wire can be removed after implantation, making the implanted probe very flexible and thus minimizing the stress caused by micromotions of brain tissues. Optogenetic stimulation and chemical delivery capabilities can be potentially integrated by taking advantage of the tube structure. Single-shank prototypes with a shank length of 18.2 mm have been developed. The microfabrication process comprises of deep reactive ion etching (DRIE) of silicon, parylene conformal coating/refilling, and XeF2 isotropic silicon etching. In addition to bench-top insertion characterization, the functionality of developed probes has been preliminarily demonstrated by implanting into the amygdala of a rat and recording neural signals.

  13. Influence of asymmetric etching on ion track shapes in polycarbonate

    International Nuclear Information System (INIS)

    Clochard, M.-C.; Wade, T.L.; Wegrowe, J.-E.; Balanzat, E.

    2007-01-01

    By combining low-energy ion irradiation with asymmetric etching, conical nanopores of controlled geometry can be etched in polycarbonate (PC). Cone bases vary from 0.5 to 1 μm. Top diameters down to 17 nm are reached. When etching from one side, the pH on the other side (bathed in neutral or acidic buffer) was monitored. Etching temperature ranged from 65 deg. C to 80 deg. C. Pore shape characterization was achieved by electro replication combined with SEM observation. The tip shape depended on whether an acidic buffer was used or not on the stopped side

  14. Model of wet chemical etching of swift heavy ions tracks

    Science.gov (United States)

    Gorbunov, S. A.; Malakhov, A. I.; Rymzhanov, R. A.; Volkov, A. E.

    2017-10-01

    A model of wet chemical etching of tracks of swift heavy ions (SHI) decelerated in solids in the electronic stopping regime is presented. This model takes into account both possible etching modes: etching controlled by diffusion of etchant molecules to the etching front, and etching controlled by the rate of a reaction of an etchant with a material. Olivine ((Mg0.88Fe0.12)2SiO4) crystals were chosen as a system for modeling. Two mechanisms of chemical activation of olivine around the SHI trajectory are considered. The first mechanism is activation stimulated by structural transformations in a nanometric track core, while the second one results from neutralization of metallic atoms by generated electrons spreading over micrometric distances. Monte-Carlo simulations (TREKIS code) form the basis for the description of excitations of the electronic subsystem and the lattice of olivine in an SHI track at times up to 100 fs after the projectile passage. Molecular dynamics supplies the initial conditions for modeling of lattice relaxation for longer times. These simulations enable us to estimate the effects of the chemical activation of olivine governed by both mechanisms. The developed model was applied to describe chemical activation and the etching kinetics of tracks of Au 2.1 GeV ions in olivine. The estimated lengthwise etching rate (38 µm · h-1) is in reasonable agreement with that detected in the experiments (24 µm · h-1).

  15. Electronic transport in helium-ion-beam etched encapsulated graphene nanoribbons

    NARCIS (Netherlands)

    Nanda, G.; Hlawacek, Gregor; Goswami, S.; Watanabe, Kenji; Taniguchi, Takashi; Alkemade, P.F.A.

    2017-01-01

    We report the etching of and electronic transport in nanoribbons of graphene sandwiched between atomically flat hexagonal boron nitride (h-BN). The etching of ribbons of varying width was achieved with a focused beam of 30 keV He+ ions. Using in-situ electrical measurements, we

  16. Development of a Silicon Microneedle with Three-Dimensional Sharp Tip by Electrochemical Etching

    Science.gov (United States)

    Izumi, Hayato; Okamoto, Tokusuke; Suzuki, Masato; Aoyagi, Seiji

    Aiming at the use in low-invasive medical treatments, this paper reports a fabrication technique of silicon microneedle of conical sharp point. The electrochemical etching technique is employed for sharpening the tip of a pillar, which is diced from a silicon wafer. A finely smooth tip surface is obtained due to electrochemical etching reactions, and is effective for easy insertion. The fabrication method is based on inexpensive wet etching, which does not require expensive fabrication facilities such as deep reactive ion etching (DRIE). A sharp needle was successfully fabricated, the tip angle of which was considerably small and was distributed within the range from 15 to 30 deg. An experiment of inserting the fabricated needle into an artificial skin of silicone rubber was carried out. As the results, the resistance force during insertion was much reduced compared to those of two-dimensional sharp needles. Imitating mosquito's motion, the effectiveness of applying vibration to the fabricated needle during insertion was also confirmed. After biocompatible Parylene coating, puncturing a human skin was demonstrated assuming a lancet usage for the diabetics, in which the bleeding was surely observed.

  17. Electron cyclotron resonance ion stream etching of tantalum for x-ray mask absorber

    International Nuclear Information System (INIS)

    Oda, Masatoshi; Ozawa, Akira; Yoshihara, Hideo

    1993-01-01

    Electron cyclotron resonance ion stream etching of Ta film was investigated for preparing x-ray mask absorber patterns. Ta is etched by the system at a high rate and with high selectivity. Using Cl 2 as etching gas, the etch rate decreases rapidly with decreasing pattern width below 0.5 μm and large undercutting is observed. The problems are reduced by adding Ar or O 2 gas to the Cl 2 . Etching with a mixture of Cl 2 and O 2 produces highly accurate Ta absorber patterns for x-ray masks. The pattern width dependence of the etch rate and the undercutting were simulated with a model that takes account of the angular distribution of active species incident on the sample. The experimental results agree well with those calculated assuming that the incidence angles are distributed between -36 degrees and 36 degrees. The addition of O 2 or Ar enhances ion assisted etching. 16 refs., 16 figs

  18. Technique for etching monolayer and multilayer materials

    Science.gov (United States)

    Bouet, Nathalie C. D.; Conley, Raymond P.; Divan, Ralu; Macrander, Albert

    2015-10-06

    A process is disclosed for sectioning by etching of monolayers and multilayers using an RIE technique with fluorine-based chemistry. In one embodiment, the process uses Reactive Ion Etching (RIE) alone or in combination with Inductively Coupled Plasma (ICP) using fluorine-based chemistry alone and using sufficient power to provide high ion energy to increase the etching rate and to obtain deeper anisotropic etching. In a second embodiment, a process is provided for sectioning of WSi.sub.2/Si multilayers using RIE in combination with ICP using a combination of fluorine-based and chlorine-based chemistries and using RF power and ICP power. According to the second embodiment, a high level of vertical anisotropy is achieved by a ratio of three gases; namely, CHF.sub.3, Cl.sub.2, and O.sub.2 with RF and ICP. Additionally, in conjunction with the second embodiment, a passivation layer can be formed on the surface of the multilayer which aids in anisotropic profile generation.

  19. Elemental depth profiles and plasma etching rates of positive-tone electron beam resists after sequential infiltration synthesis of alumina

    Science.gov (United States)

    Ozaki, Yuki; Ito, Shunya; Hiroshiba, Nobuya; Nakamura, Takahiro; Nakagawa, Masaru

    2018-06-01

    By scanning transmission electron microscopy and energy dispersive X-ray spectroscopy (STEM–EDS), we investigated the elemental depth profiles of organic electron beam resist films after the sequential infiltration synthesis (SIS) of inorganic alumina. Although a 40-nm-thick poly(methyl methacrylate) (PMMA) film was entirely hybridized with alumina, an uneven distribution was observed near the interface between the substrate and the resist as well as near the resist surface. The uneven distribution was observed around the center of a 100-nm-thick PMMA film. The thicknesses of the PMMA and CSAR62 resist films decreased almost linearly as functions of plasma etching period. The comparison of etching rate among oxygen reactive ion etching, C3F8 reactive ion beam etching (RIBE), and Ar ion beam milling suggested that the SIS treatment enhanced the etching resistance of the electron beam resists to chemical reactions rather than to ion collisions. We proposed oxygen- and Ar-assisted C3F8 RIBE for the fabrication of silica imprint molds by electron beam lithography.

  20. Etching behavior of poly (vinylidene fluoride) thin films irradiated with ion beams. Effect of irradiated ions and pretreatment

    International Nuclear Information System (INIS)

    Yamaki, Tetsuya; Rohani, Rosiah; Koshikawa, Hiroshi; Takahashi, Shuichi; Hasegawa, Shin; Asano, Masaharu; Maekawa, Yasunari; Voss, Kay-Obbe; Neumann, Reinhard

    2008-01-01

    Poly (vinylidene fluoride) thin films irradiated with four kinds of ion beams were exposed to a 9M KOH aqueous solution after their storage in air for 30 or 90 days at different temperatures. According to the conductometry, the heating at 120degC was found to enhance the etch rate in the latent track without changing that in the bulk, thereby enabling us to obtain very high etching sensitivity for the preparation of nano-sized through-pores. The formation of hydroperoxides during this pretreatment should facilitate the introduction of the etching agent to improve etchability. Additionally, the irradiation of higher-LET ions, causing each track to contain more activated sites (like radicals), was preferable to achieve high sensitivity of the etching. (author)

  1. Anisotropic etching of silicon for application in micro machine using plasma of SF6/CH4/O2/Ar and SF6/CF4/O2/Ar

    International Nuclear Information System (INIS)

    Reyes B, C.; Moshkalyov, S.A.; Swart, J.W.

    2004-01-01

    We investigated the reactive ion etching of silicon using SF 6 /CH 4 (CF 4 )/O 2 /Ar gas mixtures containing fluorine for MEMS applications. Etch rates and anisotropy of etch profiles were examined as a function of gas composition, material of electrode, and RF power. Etch depths were measured using a profilometers, and etch profiles were analyzed by scanning electron microscope. As a mask material, an aluminium film deposited by evaporation, was used. High anisotropy of etching of 0.95 was achieved at etch depths up to 20-30 micrometers and etch rates of approximately 0.3-0.6 μm/min. Highly anisotropic etching is based on a mechanism that enhance the ion bombarding and protects the sidewalls due to polymerization and/or oxidation mechanisms in order to avoid the lateral etch. However, under the anisotropic etching conditions, considerable damages of the etched surfaces (roughness formation), were observed. After etching experiments, wet / dry cleaning procedures were applied to remove surface residues resulting from the reactive ion etching and to improve the etched surface morphology. (Author)

  2. Use of reactive gases with broad-beam radio frequency ion sources for industrial applications

    International Nuclear Information System (INIS)

    Schneider, St.; Jolly, T.W.; Kohlstedt, H.; Waser, R.

    2004-01-01

    Broad-beam ion sources are used for a number of important industrial etching and deposition applications, and the use of inductively coupled plasmas has greatly increased the feasibility of using beams of reactive gases, especially of chlorine and oxygen, but also of CO, CO 2 , CF 4 , CHF 3 , SF 6 , etc. In order to gain more understanding of the factors that affect the composition of beams of these gases, we have used a Hiden energy-dispersive quadrupole mass spectrometer to analyze the flux of ions and energetic particles produced by an Oxford Instruments 15 cm rf ion source. For all of the above gases, we have analyzed the effects of changing the operating conditions on the composition of the ion beam, and the fractional production of multiply charged ions; on the plasma potential (and the consequential divergence of the ion beam) and on the spread in energy of the ion beam. We discuss how these factors influence the correct use of the ion source in etching applications with these gases. It is important that the design of the ion source should be optimized for the process gases that are used. The source was originally optimized for use on argon. We discuss the effect of the design on the source's performance with the different gases, and we consider whether design changes would be appropriate for optimum performance on different gases

  3. Fabrication of different pore shapes by multi-step etching technique in ion-irradiated PET membranes

    Science.gov (United States)

    Mo, D.; Liu, J. D.; Duan, J. L.; Yao, H. J.; Latif, H.; Cao, D. L.; Chen, Y. H.; Zhang, S. X.; Zhai, P. F.; Liu, J.

    2014-08-01

    A method for the fabrication of different pore shapes in polyethylene terephthalate (PET)-based track etched membranes (TEMs) is reported. A multi-step etching technique involving etchant variation and track annealing was applied to fabricate different pore shapes in PET membranes. PET foils of 12-μm thickness were irradiated with Bi ions (kinetic energy 9.5 MeV/u, fluence 106 ions/cm2) at the Heavy Ion Research Facility (HIRFL, Lanzhou). The cross-sections of fundamental pore shapes (cylinder, cone, and double cone) were analyzed. Funnel-shaped and pencil-shaped pores were obtained using a two-step etching process. Track annealing was carried out in air at 180 °C for 120 min. After track annealing, the selectivity of the etching process decreased, which resulted in isotropic etching in subsequent etching steps. Rounded cylinder and rounded cone shapes were obtained by introducing a track-annealing step in the etching process. Cup and spherical funnel-shaped pores were fabricated using a three- and four-step etching process, respectively. The described multi-step etching technique provides a controllable method to fabricate new pore shapes in TEMs. Introduction of a variety of pore shapes may improve the separation properties of TEMs and enrich the series of TEM products.

  4. Alkali-developable silicone-based negative photoresist (SNP) for deep UV, electron beam, and X-ray lithographies

    International Nuclear Information System (INIS)

    Ban, Hiroshi; Tanaka, Akinobu; Kawai, Yoshio; Deguchi, Kimiyoshi

    1989-01-01

    A new silicone-based negative photoresist (SNP) developable with alkaline aqueous solutions is prepared. SNP composed of acetylated phenylsilsesquioxane oligomer and azidopyrene is applied to deep UV, electron beam (EB), and X-ray lithographies. SNP slightly swells in alkaline developers, thus exhibiting exceptionally high resolution characteristics for a negative resist. The resistance of SNP to oxygen reactive ion etching is approximately 30 times greater than that of conventional novolac resists. (author)

  5. Predictable topography simulation of SiO2 etching by C5F8 gas combined with a plasma simulation, sheath model and chemical reaction model

    International Nuclear Information System (INIS)

    Takagi, S; Onoue, S; Iyanagi, K; Nishitani, K; Shinmura, T; Kanoh, M; Itoh, H; Shioyama, Y; Akiyama, T; Kishigami, D

    2003-01-01

    We have developed a simulation for predicting reactive ion etching (RIE) topography, which is a combination of plasma simulation, the gas reaction model, the sheath model and the surface reaction model. The simulation is applied to the SiO 2 etching process of a high-aspect-ratio contact hole using C 5 F 8 gas. A capacitively coupled plasma (CCP) reactor of an 8-in. wafer was used in the etching experiments. The baseline conditions are RF power of 1500 W and gas pressure of 4.0 Pa in a gas mixture of Ar, O 2 and C 5 F 8 . The plasma simulation reproduces the tendency that CF 2 radical density increases rapidly and the electron density decreases gradually with increasing gas flow rate of C 5 F 8 . In the RIE topography simulation, the etching profiles such as bowing and taper shape at the bottom are reproduced in deep holes with aspect ratios greater than 19. Moreover, the etching profile, the dependence of the etch depth on the etching time, and the bottom diameter can be predicted by this simulation

  6. Investigation of thin oxide layer removal from Si substrates using an SiO2 atomic layer etching approach: the importance of the reactivity of the substrate

    International Nuclear Information System (INIS)

    Metzler, Dominik; Oehrlein, Gottlieb S; Li, Chen; Lai, C Steven; Hudson, Eric A

    2017-01-01

    The evaluation of a plasma-based atomic layer etching (ALE) approach for native oxide surface removal from Si substrates is described. Objectives include removal of the native oxide while minimizing substrate damage, surface residues and substrate loss. Oxide thicknesses were measured using in situ ellipsometry and surface chemistry was analyzed by x-ray photoelectron spectroscopy. The cyclic ALE approach when used for removal of native oxide SiO 2 from a Si substrate did not remove native oxide to the extent required. This is due to the high reactivity of the silicon substrate during the low-energy (<40 eV) ion bombardment phase of the cyclic ALE approach which leads to reoxidation of the silicon surface. A modified process, which used continuously biased Ar plasma with periodic CF 4 injection, achieved significant oxygen removal from the Si surface, with some residual carbon and fluorine. A subsequent H 2 /Ar plasma exposure successfully removed residual carbon and fluorine while passivating the silicon surface. The combined treatment reduced oxygen and carbon levels to about half compared to as received silicon surfaces. The downside of this process sequence is a net loss of about 40 Å of Si. A generic insight of this work is the importance of the substrate and final surface chemistry in addition to precise etch control of the target film for ALE processes. By a fluorocarbon-based ALE technique, thin SiO 2 layer removal at the Ångstrom level can be precisely performed from an inert substrate, e.g. a thick SiO 2 layer. However, from a reactive substrate, like Si, complete removal of the thin SiO 2 layer is prevented by the high reactivity of low energy Ar + ion bombarded Si. The Si surfaces are reoxidized during the ALE ion bombardment etch step, even for very clean and ultra-low O 2 process conditions. (paper)

  7. Effect of argon ion etching on the magnetic properties of FeCoB films

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, Junwei; Zhou, Kan; Yang, Yi; Tang, Dongming; Zhang, Baoshan, E-mail: malab@nju.edu.cn; Lu, Mu; Lu, Huaixian

    2015-01-15

    In this paper, a new method to modify Ta underlayers by an argon ion etching technology is introduced. Surface roughness of Ta underlayers, as well as soft magnetic properties of post-deposited FeCoB films can be improved by applying a proper ion etching process. The reduction of magnetic coercivity of FeCoB films deposited on the modified Ta underlayers is attributed to the improvement of interfacial roughness, which can reduce magnetic ripples in magnetic films. The microwave damping linewidth of magnetic films is also found to be related to the interfacial roughness. Ta underlayers modified by the ion etching can reduce the influence of two-magnon scattering effect, and thus tune microwave properties of magnetic films. All the results prove that argon ion etching is an effective way to tailor magnetic properties of magnetic films. - Highlights: • We believe that our method to tune the magnetic film properties will be interesting for general readers of Journal of Magnetism and Magnetic Materials. • In the paper, argon ion etching is applied to the Ta underlayer before the FeCoB film is deposited on it. • The modified interface roughness has effectively improved the magnetic properties, including the static magnetic and microwave performance. • The method is valuable for other underlayer/magnetic film systems.

  8. Three-dimensional photonic crystals created by single-step multi-directional plasma etching.

    Science.gov (United States)

    Suzuki, Katsuyoshi; Kitano, Keisuke; Ishizaki, Kenji; Noda, Susumu

    2014-07-14

    We fabricate 3D photonic nanostructures by simultaneous multi-directional plasma etching. This simple and flexible method is enabled by controlling the ion-sheath in reactive-ion-etching equipment. We realize 3D photonic crystals on single-crystalline silicon wafers and show high reflectance (>95%) and low transmittance (photonic bandgap. Moreover, our method simply demonstrates Si-based 3D photonic crystals that show the photonic bandgap effect in a shorter wavelength range around 0.6 μm, where further fine structures are required.

  9. Thermodynamic assessment and experimental verification of reactive ion etching of magnetic metal elements

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Taeseung; Chen, Jack Kun-Chieh; Chang, Jane P., E-mail: jpchang@ucla.edu [Chemical and Biomolecular Engineering, UCLA, Los Angeles, California 90095 (United States)

    2014-07-01

    A thermodynamic analysis of etch chemistries for Co, Fe, and Ni using a combination of hydrogen, oxygen, and halogen gases suggested that a single etchant does not work at 300 K; however, a sequential exposure to multiple etchants results in sufficiently high partial pressure of the reaction products for the process to be considered viable. This sequential dose utilized the two reactions, a surface halogenation followed by the secondary etchant exposure. (MX{sub 2} (c) + 3Y →MY(g) + 2XY(g), where M = Co, Fe, Ni; X = F, Cl, Br; Y = O, H) The volatilization reaction induced by sequential plasma exposure changed the equilibrium point, increasing the partial pressure of the etch product. Amongst all combinations, Cl{sub 2} or Br{sub 2} plasmas followed by H{sub 2} plasma were the most effective. From both the gas phase diagnostics and surface composition analysis, H{sub 2} plasma alone could not etch metallic Co, Fe, and Ni films but alternating doses of Cl{sub 2} and H{sub 2} plasmas resulted in more effective removal of chlorinated metals and increased the overall etch rate.

  10. Etching behaviour of alpha-recoil tracks in natural dark mica studied via artificial ion tracks

    International Nuclear Information System (INIS)

    Lang, M.; Glasmacher, U.A.; Neumann, R.; Wagner, G.A.

    2003-01-01

    Alpha-recoil tracks (ARTs) created by the α-decay of U, Th, and their daughter nuclei, are used by a new dating method to determine the formation age of dark mica bearing Quaternary and Neogene volcanic rocks and the cooling age of plutonic and metamorphic rocks [Chem. Geol. 166 (2000) 127, Science 155 (1967) 1103]. The age equation combines the volumetric density of ARTs with the U and Th contents. Etching latent ARTs (diameter 30-100 nm) in the mica mineral phlogopite by HF and measuring the areal density of triangular etch pits by optical and scanning force microscopy (SFM) leads to a linear growth of ART areal density versus etching time. The ART volume density is a function of the slope of the areal density and the etching rate (v eff ). Therefore, the determination of v eff is essential for the calculation of an age value. To determine the etching parameters such as etching efficiency and v eff , phlogopite samples were irradiated with 80 keV Au ions. Irradiated surfaces were etched with 4% HF at 23±2 deg. C during successive time intervals and after each interval studied with SFM. The etching rate v eff was determined by different techniques. To evaluate the threshold of etchability, the energy losses of the Au ions and α-recoil nuclei in phlogopite were calculated with the SRIM00 code. The etching efficiency of the Au ion tracks was then used to predict the corresponding etching efficiency of the natural radioactive nuclei

  11. Etching kinetics of swift heavy ion irradiated silicone rubber with insoluble additives or reaction products

    International Nuclear Information System (INIS)

    Fink, D.; Mueller, M.; Petrov, A.; Farenzena, L.; Behar, M.; Papaleo, R.P.

    2003-01-01

    It is normally understood as a basic precondition of the etching of swift heavy ion tracks in polymers that both the additives and etching products are soluble in the etchant. If this is not given, the polymer surface may be gradually blocked by the deposition of the insoluble material that acts as a diffusion barrier for the penetration of fresh etchant into the tracks, and therefore the effective track etching speed will gradually be reduced. The etching kinetics is developed for that case, and the theory is compared with first experimental findings. For that purpose we have taken commercial silicone rubber foils as test materials, that were irradiated with GeV heavy ions through a mask at a fluence that corresponds to the onset of track overlapping. After etching with NaOH, the corresponding etching speed was recorded via the reduction of the foil thickness. The etching speed is seen to decrease with exposure time, in parallel to the development of an insoluble surface layer. It is discussed how to prevent that surface blocking, to maintain a high etching speed

  12. Elementary processes in plasma-surface interactions with emphasis on ions

    International Nuclear Information System (INIS)

    Zalm, P.C.

    1985-01-01

    Elementary processes occurring at solid surfaces immersed in low pressure plasmas are reviewed. In particular mechanisms leading to anisotropic or directional etching are discussed. The crucial role of ion bombardment is emphasized. First a brief summary of the interaction of (excited) neutrals, ions and electrons with targets is given. Next various aspects of sputter-etching with noble gas and reactive ions are surveyed. Finally it will be argued that synergistic effects, invoked by ion bombardment of a surface under simultaneous exposure to a reactive gas flux, are foremost important in explaining anisotropic plasma etching. It is shown that the role of the ions is not merely to stimulate the chemical reaction path but rather that the active gas flow chemically enhances the sputtering. (author)

  13. Wafer-Scale Hierarchical Nanopillar Arrays Based on Au Masks and Reactive Ion Etching for Effective 3D SERS Substrate

    Directory of Open Access Journals (Sweden)

    Dandan Men

    2018-02-01

    Full Text Available Two-dimensional (2D periodic micro/nanostructured arrays as SERS substrates have attracted intense attention due to their excellent uniformity and good stability. In this work, periodic hierarchical SiO2 nanopillar arrays decorated with Ag nanoparticles (NPs with clean surface were prepared on a wafer-scale using monolayer Au NP arrays as masks, followed by reactive ion etching (RIE, depositing Ag layer and annealing. For the prepared SiO2 nanopillar arrays decorated with Ag NPs, the size of Ag NPs was tuned from ca. 24 to 126 nanometers by controlling the deposition thickness of Ag film. Importantly, the SiO2 nanopillar arrays decorated with Ag NPs could be used as highly sensitive SERS substrate for the detection of 4-aminothiophenol (4-ATP and rhodamine 6G (R6G due to the high loading of Ag NPs and a very uniform morphology. With a deposition thickness of Ag layer of 30 nm, the SiO2 nanopillar arrays decorated with Ag NPs exhibited the best sensitive SERS activity. The excellent SERS performance of this substrate is mainly attributed to high-density “hotspots” derived from nanogaps between Ag NPs. Furthermore, this strategy might be extended to synthesize other nanostructured arrays with a large area, which are difficult to be prepared only via conventional wet-chemical or physical methods.

  14. Wafer-Scale Hierarchical Nanopillar Arrays Based on Au Masks and Reactive Ion Etching for Effective 3D SERS Substrate.

    Science.gov (United States)

    Men, Dandan; Wu, Yingyi; Wang, Chu; Xiang, Junhuai; Yang, Ganlan; Wan, Changjun; Zhang, Honghua

    2018-02-04

    Two-dimensional (2D) periodic micro/nanostructured arrays as SERS substrates have attracted intense attention due to their excellent uniformity and good stability. In this work, periodic hierarchical SiO₂ nanopillar arrays decorated with Ag nanoparticles (NPs) with clean surface were prepared on a wafer-scale using monolayer Au NP arrays as masks, followed by reactive ion etching (RIE), depositing Ag layer and annealing. For the prepared SiO₂ nanopillar arrays decorated with Ag NPs, the size of Ag NPs was tuned from ca. 24 to 126 nanometers by controlling the deposition thickness of Ag film. Importantly, the SiO₂ nanopillar arrays decorated with Ag NPs could be used as highly sensitive SERS substrate for the detection of 4-aminothiophenol (4-ATP) and rhodamine 6G (R6G) due to the high loading of Ag NPs and a very uniform morphology. With a deposition thickness of Ag layer of 30 nm, the SiO₂ nanopillar arrays decorated with Ag NPs exhibited the best sensitive SERS activity. The excellent SERS performance of this substrate is mainly attributed to high-density "hotspots" derived from nanogaps between Ag NPs. Furthermore, this strategy might be extended to synthesize other nanostructured arrays with a large area, which are difficult to be prepared only via conventional wet-chemical or physical methods.

  15. Bulk-compositional changes of Ni2Al3 and NiAl3 during ion etching

    International Nuclear Information System (INIS)

    Chen Houwen; Wang Rong

    2008-01-01

    Bulk-compositional changes of Ni 2 Al 3 and NiAl 3 in a Ni-50 wt% Al alloy during ion etching have been investigated by transmission electron microscopy and energy dispersive X-ray spectroscopic analyses. After etching with 7, 5 and 3 keV Ar + ions for 15, 24 and 100 h nickel contents in both Ni 2 Al 3 and NiAl 3 exceeded greatly those in the initial compounds and increased with the decrement of the sputtering energy. After 100 h etching with 3 keV Ar + ions the compositions of these two compounds reached a similar value, about Ni 80-83 Al 12-15 Fe 3-4 Cr 1-2 (at%). A synergistic action of preferential sputtering, radiation-induced segregation and radiation-enhanced diffusion enables the altered-layers at the top and bottom of the film extend through the whole film. The bulk-compositional changes are proposed to occur in the unsteady-state sputtering regime of ion etching and caused by an insufficient supply of matter in a thin film

  16. Pattern transfer with stabilized nanoparticle etch masks

    International Nuclear Information System (INIS)

    Hogg, Charles R; Majetich, Sara A; Picard, Yoosuf N; Narasimhan, Amrit; Bain, James A

    2013-01-01

    Self-assembled nanoparticle monolayer arrays are used as an etch mask for pattern transfer into Si and SiO x substrates. Crack formation within the array is prevented by electron beam curing to fix the nanoparticles to the substrate, followed by a brief oxygen plasma to remove excess carbon. This leaves a dot array of nanoparticle cores with a minimum gap of 2 nm. Deposition and liftoff can transform the dot array mask into an antidot mask, where the gap is determined by the nanoparticle core diameter. Reactive ion etching is used to transfer the dot and antidot patterns into the substrate. The effect of the gap size on the etching rate is modeled and compared with the experimental results. (paper)

  17. Sub-micrometer-scale patterning on Zr-based metallic glass using focused ion beam irradiation and chemical etching

    Energy Technology Data Exchange (ETDEWEB)

    Kawasegi, Noritaka [Graduate School of Science and Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Morita, Noboru [Graduate School of Science and Engineering for Research, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Yamada, Shigeru [Graduate School of Science and Engineering for Research, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Takano, Noboru [Graduate School of Science and Engineering for Research, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Oyama, Tatsuo [Department of Mechanical and Intellectual Systems Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Ashida, Kiwamu [Advanced Manufacturing Research Institute, National Institute of Advanced Industrial Science and Technology, 1-2-1 Namiki, Tsukuba, Ibaraki 305-8564 (Japan); Momota, Sadao [Department of Intelligent Mechanical Systems Engineering, Kochi University of Technology, 185 Tosayamada, Kochi 782-8502 (Japan); Taniguchi, Jun [Department of Applied Electronics, Tokyo University of Science, 2641 Yamazaki, Noda, Chiba 278-8510 (Japan); Miyamoto, Iwao [Department of Applied Electronics, Tokyo University of Science, 2641 Yamazaki, Noda, Chiba 278-8510 (Japan); Ofune, Hitoshi [YKK Corporation, 200 Yoshida, Kurobe, Toyama 938-8601 (Japan)

    2007-09-19

    This report describes a method of sub-micrometer-scale rapid patterning on a Zr-based metallic glass surface using a combination of focused ion beam irradiation and wet chemical etching. We found that a Zr-based metallic glass surface irradiated with Ga{sup +} ions could be selectively etched; a concave structure with a width and depth of several tens to hundreds of nanometers rapidly formed in the irradiated area. Moreover, we determined that the etching was enhanced by the presence of Ga{sup +} ions rather than a change in the crystal structure, and the structure could be fabricated while the substrate remained amorphous. The shape of the structure was principally a function of the dose and the etch time.

  18. Ion track etching revisited: I. Correlations between track parameters in aged polymers

    Science.gov (United States)

    Fink, D.; Muñoz H., G.; García A., H.; Vacik, J.; Hnatowicz, V.; Kiv, A.; Alfonta, L.

    2018-04-01

    Some yet poorly understood problems of etching of pristine and swift heavy ion track-irradiated aged polymers were treated, by applying conductometry across the irradiated foils during etching. The onset times of etchant penetration across pristine foils, and the onset times of the different etched track regimes in irradiated foils were determined for polymers of various proveniences, fluences and ages, as well as their corresponding etching speeds. From the results, correlations of the parameters with each other were deduced. The normalization of these parameters enables one to compare irradiated polymer foils of different origin and treatment with one another. In a number of cases, also polymeric gel formation and swelling occur which influence the track etching behaviour. The polymer degradation during aging influences the track etching parameters, which differ from each other on both sides of the foils. With increasing sample age, these differences increase.

  19. A deep etching mechanism for trench-bridging silicon nanowires.

    Science.gov (United States)

    Tasdemir, Zuhal; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf; Alaca, B Erdem

    2016-03-04

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping.

  20. A deep etching mechanism for trench-bridging silicon nanowires

    International Nuclear Information System (INIS)

    Tasdemir, Zuhal; Alaca, B Erdem; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf

    2016-01-01

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping. (paper)

  1. A deep etching mechanism for trench-bridging silicon nanowires

    Science.gov (United States)

    Tasdemir, Zuhal; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf; Erdem Alaca, B.

    2016-03-01

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping.

  2. A Study of Parameters Related to the Etch Rate for a Dry Etch Process Using NF3/O2 and SF6/O2

    Directory of Open Access Journals (Sweden)

    Seon-Geun Oh

    2014-01-01

    Full Text Available The characteristics of the dry etching of SiNx:H thin films for display devices using SF6/O2 and NF3/O2 were investigated using a dual-frequency capacitively coupled plasma reactive ion etching (CCP-RIE system. The investigation was carried out by varying the RF power ratio (13.56 MHz/2 MHz, pressure, and gas flow ratio. For the SiNx:H film, the etch rates obtained using NF3/O2 were higher than those obtained using SF6/O2 under various process conditions. The relationships between the etch rates and the usual monitoring parameters—the optical emission spectroscopy (OES intensity of atomic fluorine (685.1 nm and 702.89 nm and the voltages VH and VL—were investigated. The OES intensity data indicated a correlation between the bulk plasma density and the atomic fluorine density. The etch rate was proportional to the product of the OES intensity of atomic fluorine (I(F and the square root of the voltages (Vh+Vl on the assumption that the velocity of the reactive fluorine was proportional to the square root of the voltages.

  3. Shapes of agglomerates in plasma etching reactors

    International Nuclear Information System (INIS)

    Huang, F.Y.; Kushner, M.J.

    1997-01-01

    Dust particle contamination of wafers in reactive ion etching (RIE) plasma tools is a continuing concern in the microelectronics industry. It is common to find that particles collected on surfaces or downstream of the etch chamber are agglomerates of smaller monodisperse spherical particles. The shapes of the agglomerates vary from compact, high fractal dimension structures to filamentary, low fractal dimension structures. These shapes are important with respect to the transport of particles in RIE tools under the influence electrostatic and ion drag forces, and the possible generation of polarization forces. A molecular dynamics simulation has been developed to investigate the shapes of agglomerates in plasma etching reactors. We find that filamentary, low fractal dimension structures are generally produced by smaller (<100s nm) particles in low powered plasmas where the kinetic energy of primary particles is insufficient to overcome the larger Coulomb repulsion of a compact agglomerate. This is analogous to the diffusive regime in neutral agglomeration. Large particles in high powered plasmas generally produce compact agglomerates of high fractal dimension, analogous to ballistic agglomeration of neutrals. copyright 1997 American Institute of Physics

  4. Surfactant-controlled etching of ion track nanopores and its practical applications in membrane technology

    International Nuclear Information System (INIS)

    Apel, P.Yu.; Blonskaya, I.V.; Dmitriev, S.N.; Mamonova, T.I.; Orelovitch, O.L.; Sartowska, B.; Yamauchi, Yu.

    2008-01-01

    The effect of surfactants on chemical development of ion tracks in polymers has been studied. It has been shown that surface-active agents added to an alkaline etching solution adsorb on the polymer surface at the pore entrances. This reduces the etch rate, which leads to the formation of pores tapered toward the surface. Self-assembly of surfactant molecules at the pore entrance creates a barrier for their penetration into the etched-out nanopores, whereas hydroxide ions diffuse freely. Due to this, the internal pore volume grows faster than the pore surface diameter. The ability to control pore shape is demonstrated with the fabrication of profiled nano- and micropores in polyethylene terephthalate, polycarbonate. Some earlier published data on small track-etched pores in polycarbonate (in particular, the pore diameter vs. etching time curves measured conductometrically) have been revised in light of the above findings. Adding surfactants to chemical etchants makes it possible to optimize the structure of track membranes, thus improving their retention and permeation properties. Asymmetric membranes with thin skin retention layers have been produced and their performance studied

  5. Singular Sheet Etching of Graphene with Oxygen Plasma

    Institute of Scientific and Technical Information of China (English)

    Haider Al-Mumen; Fubo Rao; Wen Li; Lixin Dong

    2014-01-01

    This paper reports a simple and controllable post-synthesis method for engineering the number of graphene layers based on oxygen plasma etching. Singular sheet etching(SSE) of graphene was achieved with the optimum process duration of 38 seconds. As a demonstration of this SSE process, monolayer graphene films were produced from bilayer graphenes. Experimental investigations verified that the oxygen plasma etching removes a single layer graphene sheet in an anisotropic fashion rather than anisotropic mode. In addition,etching via the oxygen plasma at the ground electrodes introduced fewer defects to the bottom graphene layer compared with the conventional oxygen reactive ion etching using the powered electrodes. Such defects can further be reduced with an effective annealing treatment in an argon environment at 900-1000?C. These results demonstrate that our developed SSE method has enabled a microelectronics manufacturing compatible way for single sheet precision subtraction of graphene layers and a potential technique for producing large size graphenes with high yield from multilayer graphite materials.

  6. Singular Sheet Etching of Graphene with Oxygen Plasma

    Institute of Scientific and Technical Information of China (English)

    Haider Al-Mumen; Fubo Rao; Wen Li; Lixin Dong

    2014-01-01

    This paper reports a simple and controllable post-synthesis method for engineering the number of graphene layers based on oxygen plasma etching. Singular sheet etching (SSE) of graphene was achieved with the optimum process duration of 38 seconds. As a demonstration of this SSE process, monolayer graphene films were produced from bilayer graphenes. Experimental investigations verified that the oxygen plasma etching removes a single layer graphene sheet in an anisotropic fashion rather than anisotropic mode. In addition, etching via the oxygen plasma at the ground electrodes introduced fewer defects to the bottom graphene layer compared with the conventional oxygen reactive ion etching using the powered electrodes. Such defects can further be reduced with an effective annealing treatment in an argon environment at 900-1000◦C. These results demonstrate that our developed SSE method has enabled a microelectronics manufacturing compatible way for single sheet precision subtraction of graphene layers and a potential technique for producing large size graphenes with high yield from multilayer graphite materials.

  7. Characterization of graphite etched with potassium hydroxide and its application in fast-rechargeable lithium ion batteries

    Science.gov (United States)

    Shim, Jae-Hyun; Lee, Sanghun

    2016-08-01

    Surface-modified graphite for application as an anode material in lithium ion batteries was obtained by etching with KOH under mild conditions without high-temperature annealing. The surface of the etched graphite is covered with many nano-sized pores that act as entrances for lithium ions during the charging process. As compared with pristine graphite and other references such as pitch-coated or etched graphite samples with annealing, our non-annealed etched graphite exhibits excellent electrochemical properties, particularly at fast charging rates of over 2.5 C. While avoidance of the trade-off between increase of irreversible capacity and good rate capability has previously been a main concern in highly porous carbonaceous materials, we show that the slightly larger surface area created by the etching does not induce a significant increase of irreversible capacity. This study shows that it is important to limit the size of pores to the nanometer scale for excellent battery performance, which is possible by etching under relatively mild conditions.

  8. Rare-earth-ion-doped Al2O3 waveguides for active integrated optical devices

    NARCIS (Netherlands)

    Bradley, J.; Ay, F.; Blauwendraat, Tom; Worhoff, Kerstin; Pollnau, Markus; Orlovic, Valentin A.; Panchenko, Vladislav; Scherbakov, Ivan A.

    2007-01-01

    Reactively co-sputtered amorphous $Al_2O_3$ waveguide layers with low propagation losses have been deposited. In order to define channel waveguides in such $Al_2O_3$ films, the etching behaviour of $Al_2O_3$ has been investigated using an inductively coupled reactive ion etch system. The etch rate

  9. On the structure of etched ion tracks in polymers

    Czech Academy of Sciences Publication Activity Database

    Hnatowicz, Vladimír; Vacík, Jiří; Apel, P. Yu.

    2016-01-01

    Roč. 121, APR (2016), s. 106-109 ISSN 0969-806X R&D Projects: GA ČR(CZ) GBP108/12/G108; GA MŠk(CZ) LM2011019 Institutional support: RVO:61389005 Keywords : polymers * ion tracks * track etching Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.315, year: 2016

  10. Electrical, structural, and bonding changes induced in silicon by H, Ar, and Kr ion-beam etching

    International Nuclear Information System (INIS)

    Singh, R.; Fonash, S.J.; Ashok, S.; Caplan, P.; Shappirio, J.; Hage-Ali, M.; Ponpon, J.

    1983-01-01

    A study to elucidate the role of processing-induced changes in Si, subjected to ion-beam etching has been made. It is shown that these changes can be related to the primary ion beam used in ion-beam etching. Using ESR, trivalently bonded Si has been shown to be present. Fe and Cr have been found to be the main contaminants. An annealing study revealed that the damage can be annealed out at relatively high temperatures

  11. Adhesion Strength of TiN Coatings at Various Ion Etching Deposited on Tool Steels Using Cathodic Arc Pvd Technique

    Science.gov (United States)

    Ali, Mubarak; Hamzah, Esah; Ali, Nouman

    Titanium nitride (TiN) widely used as hard coating material was coated on tool steels, namely on high-speed steel (HSS) and D2 tool steel by physical vapor deposition method. The goal of this study was to examine the effect of ion etching with and without titanium (Ti) and chromium (Cr) on the adhesion strength of TiN coatings deposited on tool steels. From the scratch tester, it was observed that by increasing Ti ion etching showed an increase in adhesion strength of the deposited coatings. The coatings deposited with Cr ion etching showed poor adhesion compared with the coatings deposited with Ti ion etching. Scratch test measurements showed that the coating deposited with titanium ion etching for 16 min is the most stable coating and maintained even at the critical load of 66 N. The curve obtained via penetration depth along the scratch trace is linear in the case of HSS, whereas is slightly flexible in the case of D2 tool steel. The coatings deposited on HSS exhibit better adhesion compared with those on D2 tool steel.

  12. Fluorocarbon based atomic layer etching of Si_3N_4 and etching selectivity of SiO_2 over Si_3N_4

    International Nuclear Information System (INIS)

    Li, Chen; Metzler, Dominik; Oehrlein, Gottlieb S.; Lai, Chiukin Steven; Hudson, Eric A.

    2016-01-01

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO_2 ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar"+ ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO_2 from the surface. In the present article, the authors describe controlled etching of Si_3N_4 and SiO_2 layers of one to several Angstroms using this cyclic ALE approach. Si_3N_4 etching and etching selectivity of SiO_2 over Si_3N_4 were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si_3N_4 were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si_3N_4 has a lower physical sputtering energy threshold than SiO_2, Si_3N_4 physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si_3N_4 to SiO_2 ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO_2 to Si_3N_4 etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si_3N_4 surfaces. This highly selective etching is explained by a lower carbon consumption of Si_3N_4 as compared to SiO_2. The comparison of C_4F_8 and CHF_3 only showed a difference in etching selectivity for FC depleted conditions. For FC accumulation conditions

  13. Enhanced electrochemical etching of ion irradiated silicon by localized amorphization

    Energy Technology Data Exchange (ETDEWEB)

    Dang, Z. Y.; Breese, M. B. H. [Centre for Ion Beam Applications (CIBA), Department of Physics, National University of Singapore Singapore 117542 (Singapore); Lin, Y.; Tok, E. S. [Department of Physics, National University of Singapore, 2 Science Drive 3, Singapore 117542 (Singapore); Vittone, E. [Physics Department, NIS Excellence Centre and CNISM, University of Torino, via Pietro Giuria 1, 10125 Torino (Italy)

    2014-05-12

    A tailored distribution of ion induced defects in p-type silicon allows subsequent electrochemical anodization to be modified in various ways. Here we describe how a low level of lattice amorphization induced by ion irradiation influences anodization. First, it superposes a chemical etching effect, which is observable at high fluences as a reduced height of a micromachined component. Second, at lower fluences, it greatly enhances electrochemical anodization by allowing a hole diffusion current to flow to the exposed surface. We present an anodization model, which explains all observed effects produced by light ions such as helium and heavy ions such as cesium over a wide range of fluences and irradiation geometries.

  14. Analysis of buried etch-stop layers in silicon by nitrogen-ion implantation

    International Nuclear Information System (INIS)

    Acero, M.C.; Esteve, J.; Montserrat, J.; Perez-Rodriguez, A.; Garrido, B.; Romano-Rodriguez, A.; Morante, J.R.

    1993-01-01

    The analysis of the etch-stop properties of layers obtained by substoichiometric nitrogen-ion implantation and annealing in silicon has been performed as a function of the implantation conditions. The analysis of the etching efficiency has been tested in TMAH-IPA systems. The results obtained show the need to implant at doses higher than 2 x 10 17 cm -2 to obtain etch-stop layers stable under high-temperature annealing. So, for implantation doses of 5 x 10 17 cm -2 , layers stand unetched for times longer than 2 h. The preliminary structural analysis of the samples suggests the presence of an amorphous silicon nitride layer for higher implantation doses. (author)

  15. High electronegativity multi-dipolar electron cyclotron resonance plasma source for etching by negative ions

    DEFF Research Database (Denmark)

    Stamate, Eugen; Draghici, M.

    2012-01-01

    A large area plasma source based on 12 multi-dipolar ECR plasma cells arranged in a 3 x 4 matrix configuration was built and optimized for silicon etching by negative ions. The density ratio of negative ions to electrons has exceeded 300 in Ar/SF6 gas mixture when a magnetic filter was used...... to reduce the electron temperature to about 1.2 eV. Mass spectrometry and electrostatic probe were used for plasma diagnostics. The new source is free of density jumps and instabilities and shows a very good stability for plasma potential, and the dominant negative ion species is F-. The magnetic field...... in plasma volume is negligible and there is no contamination by filaments. The etching rate by negative ions measured in Ar/SF6/O-2 mixtures was almost similar with that by positive ions reaching 700 nm/min. (C) 2012 American Institute of Physics...

  16. Measurement of the variable track-etch rate of hydrogen, carbon and oxygen Ions in CR-39

    International Nuclear Information System (INIS)

    Lengar, I.; Skvarc, J.; Ilic, R.

    2003-01-01

    The ratio of the track-etch rate to the bulk-etch rate for hydrogen, carbon and oxygen ions was studied for the CR-39 detector with addition of dioctylphthalate. The response was reconstructed from etch-pit growth curves obtained by the multi-step etching technique. A theoretical analysis of the correctness of the method due to the 'missing track segment' is assessed and utilisation of the results obtained for the calibration of fast neutron dosimetry is discussed. (author)

  17. Analysis of buried etch-stop layers in silicon by nitrogen-ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Acero, M.C.; Esteve, J.; Montserrat, J. (Centro Nacional de Microelectronica (CNM-CSIC), Bellaterra (Spain)); Perez-Rodriguez, A.; Garrido, B.; Romano-Rodriguez, A.; Morante, J.R. (Barcelona Univ. (Spain). Dept. Fisica Aplicada i Electronica)

    1993-09-01

    The analysis of the etch-stop properties of layers obtained by substoichiometric nitrogen-ion implantation and annealing in silicon has been performed as a function of the implantation conditions. The analysis of the etching efficiency has been tested in TMAH-IPA systems. The results obtained show the need to implant at doses higher than 2 x 10[sup 17] cm[sup -2] to obtain etch-stop layers stable under high-temperature annealing. So, for implantation doses of 5 x 10[sup 17] cm[sup -2], layers stand unetched for times longer than 2 h. The preliminary structural analysis of the samples suggests the presence of an amorphous silicon nitride layer for higher implantation doses. (author).

  18. Dry etching of ferroelectric Bi4-xEuxTi3O12 (BET) thin films

    International Nuclear Information System (INIS)

    Lim, Kyu-Tae; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2004-01-01

    Bi 4-x Eu x Ti 3 O 12 (BET) thin films were etched by using a inductively coupled Cl 2 /Ar plasma. We obtained a maximum etch rate of 69 nm/min at a gas mixing ratio of Cl 2 (20 %)/Ar (80 %). This result suggests that an effective method for BET etching is chemically assisted physical etching. With increasing coil RF power, the plasma density increases so that the increased reactive free radicals and ions enhance the etch rates of BET, Pt, and SiO 2 . As the dc-bias voltage is increased, the increased ion energy leads to an increased etch rate of BET films. From X-ray photoelectron spectroscopy, the intensities of the Bi-O, the Eu-O, and the Ti-O peaks change with increasing Cl 2 concentration. For a pure Ar plasma, the peak associated with the oxygen-metal (O-M: TiO 2 , Bi 2 O 3 , Eu 2 O 3 ) bond seems to disappear while the pure oxygen peak does not appear. After the BET thin films is etched by using a Cl 2 /Ar plasma, the peak associated with the O-M bond increases slowly, but more quickly than the peak associated with pure oxygen atoms, due to a decrease in the Ar-ion bombardment. These results seem to indicate that Bi and Eu react little with Cl atoms and are removed predominantly by argon-ion bombardment. Also, Ti reacts little with Cl radicals and is mainly removed by chemically assisted physical etching.

  19. Masking considerations in chemically assisted ion beam etching of GaAs/AlGaAs laser structures

    International Nuclear Information System (INIS)

    Behfar-Rad, A.; Wong, S.S.; Davis, R.J.; Wolf, E.D.; Cornell Univ., Ithaca, NY

    1989-01-01

    The use of photoresist, Cr, and SiO 2 as etch masks for GaAs/AlGaAs structures in chemically assisted ion beam etching is reported. The optimized etch with a photoresist mask results in a high degree of anisotropy and smooth sidewalls. However, the etched surface contains undesirable features. The etch with a Cr mask is also highly anisotropic, and the etched surface is free of features. The drawback with Cr masks is that the sidewalls are rough. Vertical and smooth sidewalls as well as a featureless surface are obtained with a SiO 2 mask. The SiO 2 mask has been employed to etch the facets of monolithic GaAs/AlGaAs-based laser structures

  20. Real-time control of ion density and ion energy in chlorine inductively coupled plasma etch processing

    International Nuclear Information System (INIS)

    Chang, C.-H.; Leou, K.-C.; Lin Chaung; Lin, T.-L.; Tseng, C.-W.; Tsai, C.-H.

    2003-01-01

    In this study, we have experimentally demonstrated the real-time closed-loop control of both ion density and ion energy in a chlorine inductively coupled plasma etcher. To measure positive ion density, the trace rare gases-optical emission spectroscopy is used to measure the chlorine positive ion density. An rf voltage probe is adopted to measure the root-mean-square rf voltage on the electrostatic chuck which is linearly dependent on sheath voltage. One actuator is a 13.56 MHz rf generator to drive the inductive coil seated on a ceramic window. The second actuator is also a 13.56 MHz rf generator to power the electrostatic chuck. The closed-loop controller is designed to compensate for process drift, process disturbance, and pilot wafer effect and to minimize steady-state error of plasma parameters. This controller has been used to control the etch process of unpatterned polysilicon. The experimental results showed that the closed-loop control had a better repeatability of plasma parameters compared with open-loop control. The closed-loop control can eliminate the process disturbance resulting from reflected power. In addition, experimental results also demonstrated that closed-loop control has a better reproducibility in etch rate as compared with open-loop control

  1. High density plasma via hole etching in SiC

    International Nuclear Information System (INIS)

    Cho, H.; Lee, K.P.; Leerungnawarat, P.; Chu, S.N.G.; Ren, F.; Pearton, S.J.; Zetterling, C.-M.

    2001-01-01

    Throughwafer vias up to 100 μm deep were formed in 4H-SiC substrates by inductively coupled plasma etching with SF 6 /O 2 at a controlled rate of ∼0.6 μm min-1 and use of Al masks. Selectivities of >50 for SiC over Al were achieved. Electrical (capacitance-voltage: current-voltage) and chemical (Auger electron spectroscopy) analysis techniques showed that the etching produced only minor changes in reverse breakdown voltage, Schottky barrier height, and near surface stoichiometry of the SiC and had high selectivity over common frontside metallization. The SiC etch rate was a strong function of the incident ion energy during plasma exposure. This process is attractive for power SiC transistors intended for high current, high temperature applications and also for SiC micromachining

  2. The fabrication of silicon nanostructures by focused-ion-beam implantation and TMAH wet etching

    International Nuclear Information System (INIS)

    Sievilae, Paeivi; Chekurov, Nikolai; Tittonen, Ilkka

    2010-01-01

    Local gallium implantation of silicon by a focused ion beam (FIB) has been used to create a mask for anisotropic tetramethylammonium hydroxide (TMAH) wet etching. The dependence of the etch stop properties of gallium-doped silicon on the implanted dose has been investigated and a dose of 4 x 10 13 ions cm -2 has been determined to be the threshold value for achieving observable etching resistance. Only a thin, approx. 50 nm, surface layer is found to be durable enough to serve as a mask with a high selectivity of at least 2000:1 between implanted and non-implanted areas. The combined FIB-TMAH process has been used to generate various types of 3D nanostructures including nanochannels separated by thin vertical sidewalls with aspect ratios up to 1:30, ultra-narrow (approx. 25 nm) freestanding bridges and cantilevers, and gratings with a resolution of 20 lines μm -1 .

  3. Silicon micromachined hollow microneedles for transdermal liquid transport

    NARCIS (Netherlands)

    Gardeniers, Johannes G.E.; Lüttge, Regina; Berenschot, Johan W.; de Boer, Meint J.; Yeshurun, Shuki Y.; Hefetz, Meir; van 't Oever, Ronny; van den Berg, Albert

    2003-01-01

    This paper presents a novel process for the fabrication of out-of-plane hollow microneedles in silicon. The fabrication method consists of a sequence of deep-reactive ion etching (DRIE), anisotropic wet etching and conformal thin film deposition, and allows needle shapes with different,

  4. Silicon micromachined hollow microneedles for transdermal liquid transport

    NARCIS (Netherlands)

    Gardeniers, J.G.E.; Luttge, R.; Berenschot, J.W.; Boer, de M.J.; Yeshurun, S.Y.; Hefetz, M.; Oever, van't R.; Berg, van den A.

    2003-01-01

    This paper presents a novel process for the fabrication of out-of-plane hollow micro needles in silicon. The fabrication method consists of a sequence of deep-reactive ion etching (DRIE), anisotropic wet etching and conformal thin film deposition, and allows needle shapes with different,

  5. Ga+ focused-ion-beam implantation-induced masking for H2 etching of ZnO films

    International Nuclear Information System (INIS)

    Fang, Hsin-Chiao; Huang, Jun-Han; Chu, Wen-Huei; Liu, Chuan-Pu

    2010-01-01

    Gallium implantation of ZnO by a focused-ion beam is used to create a mask for ZnO dry etching with hydrogen. Effects of Ga + fluence on the etch stop properties and the associated mechanisms are investigated. The fluence of 2.8 x 10 16 cm -2 is determined to be optimum to render the best mask quality. While lower fluences would cause less etching selectivity, higher fluences would cause erosion of the surface and particles to be precipitated on the surface after H 2 treatment at high temperature. In contrast to the commonly adopted gallium oxide formation on Si, transmission electron microscopy analysis reveals that, for the fluences ≤ 2.8 x 10 16 cm -2 , Ga + ions are incorporated as dopants into ZnO without any second phases or precipitates, indicating the Ga-doped ZnO layer behaves as a mask for H 2 etching due to the higher electronegativity of Ga + towards oxygen. However, for the fluences ≥ 4.6 x 10 16 cm -2 , the surface particles are responsible for the etch stop and are identified as ZnGa 2 O 4 . We finally demonstrate a complicated pattern of 'NCKU' on ZnO by using this technique. The study not only helps clarify the related mechanisms, but also suggests a feasible extension of the etch stop process that can be applied to more functional material.

  6. Precise in situ etch depth control of multilayered III−V semiconductor samples with reflectance anisotropy spectroscopy (RAS equipment

    Directory of Open Access Journals (Sweden)

    Ann-Kathrin Kleinschmidt

    2016-11-01

    Full Text Available Reflectance anisotropy spectroscopy (RAS equipment is applied to monitor dry-etch processes (here specifically reactive ion etching (RIE of monocrystalline multilayered III–V semiconductors in situ. The related accuracy of etch depth control is better than 16 nm. Comparison with results of secondary ion mass spectrometry (SIMS reveals a deviation of only about 4 nm in optimal cases. To illustrate the applicability of the reported method in every day settings for the first time the highly etch depth sensitive lithographic process to form a film lens on the waveguide ridge of a broad area laser (BAL is presented. This example elucidates the benefits of the method in semiconductor device fabrication and also suggests how to fulfill design requirements for the sample in order to make RAS control possible.

  7. Surface etching mechanism of carbon-doped Ge{sub 2}Sb{sub 2}Te{sub 5} phase change material in fluorocarbon plasma

    Energy Technology Data Exchange (ETDEWEB)

    Shen, Lanlan [Chinese Academy of Sciences, State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Micro-system and Information Technology, Shanghai (China); Graduate School of the Chinese Academy of Sciences, Beijing (China); Song, Sannian; Song, Zhitang; Li, Le; Guo, Tianqi; Cheng, Yan; Lv, Shilong; Wu, Liangcai; Liu, Bo; Feng, Songlin [Chinese Academy of Sciences, State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Micro-system and Information Technology, Shanghai (China)

    2016-09-15

    Recently, carbon-doped Ge2Sb2Te5 (CGST) phase change material has been widely researched for being highly promising material for future phase change memory application. In this paper, the reactive-ion etching of CGST film in CF{sub 4}/Ar plasma is studied. Compared with GST, the etch rate of CGST is relatively lower due to the existence of carbon which reduce the concentration of F or CF{sub x} reactive radicals. It was found that Argon plays an important role in defining the sidewall edge acuity. Compared with GST, more physical bombardment is required to obtain vertical sidewall of CGST. The effect of fluorocarbon gas on the damage of the etched CGST film was also investigated. A Ge- and Sb-deficient layer with tens of nanometers was observed by TEM combining with XPS analysis. The reaction between fluorocarbon plasma and CGST is mainly dominated by the diffusion and consumption of reactive fluorine radicals through the fluorocarbon layer into the CGST substrate material. The formation of damage layer is mainly caused by strong chemical reactivity, low volatility of reaction compounds and weak ion bombardment. (orig.)

  8. The Langmuir isotherm and the standard model of ion-assisted etching

    International Nuclear Information System (INIS)

    Lieberman, M A

    2009-01-01

    Langmuir is lured to the General Electric Research Laboratory, where he creates a new science-surface chemistry-and christens another-plasma. His atomistic views of gas-surface interactions are extended 65 years later to describe ion-assisted plasma etching, an indispensable process in modern semiconductor device manufacturing.

  9. Oxygen etching mechanism in carbon-nitrogen (CNx) domelike nanostructures

    International Nuclear Information System (INIS)

    Acuna, J. J. S.; Figueroa, C. A.; Kleinke, M. U.; Alvarez, F.; Biggemann, D.

    2008-01-01

    We report a comprehensive study involving the ion beam oxygen etching purification mechanism of domelike carbon nanostructures containing nitrogen. The CN x nanodomes were prepared on Si substrate containing nanometric nickel islands catalyzed by ion beam sputtering of a carbon target and assisting the deposition by a second nitrogen ion gun. After preparation, the samples were irradiated in situ by a low energy ion beam oxygen source and its effects on the nanostructures were studied by x-ray photoelectron spectroscopy in an attached ultrahigh vacuum chamber, i.e., without atmospheric contamination. The influence of the etching process on the morphology of the samples and structures was studied by atomic force microscopy and field emission gun-secondary electron microscopy, respectively. Also, the nanodomes were observed by high resolution transmission electron microscopy. The oxygen atoms preferentially bond to carbon atoms by forming terminal carbonyl groups in the most reactive parts of the nanostructures. After the irradiation, the remaining nanostructures are grouped around two well-defined size distributions. Subsequent annealing eliminates volatile oxygen compounds retained at the surface. The oxygen ions mainly react with nitrogen atoms located in pyridinelike structures

  10. The effect of SF6 addition in a Cl2/Ar inductively coupled plasma for deep titanium etching

    Science.gov (United States)

    Laudrel, E.; Tillocher, T.; Meric, Y.; Lefaucheux, P.; Boutaud, B.; Dussart, R.

    2018-05-01

    Titanium is a material of interest for the biomedical field and more particularly for body implantable devices. Titanium deep etching by plasma was carried out in an inductively coupled plasma with a chlorine-based chemistry for the fabrication of titanium-based microdevices. Bulk titanium etch rate was first studied in Cl2/Ar plasma mixture versus the source power and the self-bias voltage. The plasma was characterized by Langmuir probe and by optical emission spectroscopy. The addition of SF6 in the plasma mixture was investigated. Titanium etch rate was optimized and reached a value of 2.4 µm · min-1. The nickel hard mask selectivity was also enhanced. The etched titanium surface roughness was reduced significantly.

  11. Ion Beam Etching: Replication of Micro Nano-structured 3D Stencil Masks

    International Nuclear Information System (INIS)

    Weber, Patrick; Guibert, Edouard; Mikhailov, Serguei; Bruegger, Juergen; Villanueva, Guillermo

    2009-01-01

    Ion beam LIGA allows the etching of 3D nano-structures by direct writing with a nano-sized beam. However, this is a relatively time consuming process. We propose here another approach for etching structures on large surfaces and faster, compared to the direct writing process. This approach consists of replicating 3D structured masks, by scanning an unfocused ion beam. A polymer substrate is placed behind the mask, as in UV photolithography. But the main advantage is that the 3D structure of the mask can be replicated into the polymer. For that purpose, the masks (developped at LMIS1, EPFL) are made of a silicon nitride membrane 100 nm thick, on which 3D gold structures up to 200 nm thick, are deposited. The 3D Au structures are made with the nanostencil method, based on successive gold deposition. The IMA institute, from HE-Arc, owns a High Voltage Engineering 1.7 MV Tandetron with both solid and gaseous negative ion sources, able to generate ions from almost every chemical element in a broad range of energies comprised between 400 keV and 6.8 MeV. The beam composition and energy are chosen in such a way, that ions lose a significant fraction of their energy when passing through the thickest regions of the mask. Ions passing through thinner regions of the mask loose a smaller fraction of their energy and etch the polymer with larger thicknesses, allowing a replication of the mask into the polymer. For our trials, we have used a carbon beam with an energy of 500 keV. The beam was focussed to a diameter of 5 mm with solid slits, in order to avoid border effects and thus ensure a homogeneous dose distribution on the beam diameter. The feasibility of this technique has been demonstrated, allowing industrial applications for micro-mould fabrication, micro-fluidics and micro-optics.

  12. Mass spectrometry analysis of etch products from CR-39 plastic irradiated by heavy ions

    Science.gov (United States)

    Kodaira, S.; Nanjo, D.; Kawashima, H.; Yasuda, N.; Konishi, T.; Kurano, M.; Kitamura, H.; Uchihori, Y.; Naka, S.; Ota, S.; Ideguchi, Y.; Hasebe, N.; Mori, Y.; Yamauchi, T.

    2012-09-01

    As a feasibility study, gas chromatography-mass spectrometry (GC-MS) and matrix-assisted laser desorption ionization-mass spectrometry (MALDI-MS) have been applied to analyze etch products of CR-39 plastic (one of the most frequently used solid states nuclear track detector) for the understanding of track formation and etching mechanisms by heavy ion irradiation. The etch products of irradiated CR-39 dissolved in sodium hydroxide solution (NaOH) contain radiation-induced fragments. For the GC-MS analysis, we found peaks of diethylene glycol (DEG) and a small but a definitive peak of ethylene glycol (EG) in the etch products from CR-39 irradiated by 60 MeV N ion beams. The etch products of unirradiated CR-39 showed a clear peak of DEG, but no other significant peaks were found. DEG is known to be released from the CR-39 molecule as a fragment by alkaline hydrolysis reaction of the polymer. We postulate that EG was formed as a result of the breaking of the ether bond (C-O-C) of the DEG part of the CR-39 polymer by the irradiation. The mass distribution of polyallylalcohol was obtained from the etch products from irradiated and unirradiated CR-39 samples by MALDI-MS analysis. Polyallylalcohol, with the repeating mass interval of m/z = 58 Da (dalton) between m/z = 800 and 3500, was expected to be produced from CR-39 by alkaline hydrolysis. We used IAA as a matrix to assist the ionization of organic analyte in MALDI-MS analysis and found that peaks from IAA covered mass spectrum in the lower m/z region making difficult to identify CR-39 fragment peaks which were also be seen in the same region. The mass spectrometry analysis using GC-MS and MALDI-MS will be powerful tools to investigate the radiation-induced polymeric fragments and helping to understand the track formation mechanism in CR-39 by heavy ions.

  13. Formation of plasma induced surface damage in silica glass etching for optical waveguides

    International Nuclear Information System (INIS)

    Choi, D.Y.; Lee, J.H.; Kim, D.S.; Jung, S.T.

    2004-01-01

    Ge, B, P-doped silica glass films are widely used as optical waveguides because of their low losses and inherent compatibility with silica optical fibers. These films were etched by ICP (inductively coupled plasma) with chrome etch masks, which were patterned by reactive ion etching (RIE) using chlorine-based gases. In some cases, the etched surfaces of silica glass were very rough (root-mean square roughness greater than 100 nm) and we call this phenomenon plasma induced surface damage (PISD). Rough surface cannot be used as a platform for hybrid integration because of difficulty in alignment and bonding of active devices. PISD reduces the etch rate of glass and it is very difficult to remove residues on a rough surface. The objective of this study is to elucidate the mechanism of PISD formation. To achieve this goal, PISD formation during different etching conditions of chrome etch mask and silica glass was investigated. In most cases, PISD sources are formed on a glass surface after chrome etching, and metal compounds are identified in theses sources. Water rinse after chrome etching reduces the PISD, due to the water solubility of metal chlorides. PISD is decreased or even disappeared at high power and/or low pressure in glass etching, even if PISD sources were present on the glass surface before etching. In conclusion, PISD sources come from the chrome etching process, and polymer deposition on these sources during the silica etching cause the PISD sources to grow. In the area close to the PISD source there is a higher ion flux, which causes an increase in the etch rate, and results in the formation of a pit

  14. Fabrication of a vertical sidewall using double-sided anisotropic etching of 〈1 0 0〉 oriented silicon

    International Nuclear Information System (INIS)

    Kim, Hyun-Seok; Bang, Yong-Seung; Song, Eun-Seok; Kim, Yong-Kweon; Kim, Jung-Mu; Ji, Chang-Hyeon

    2012-01-01

    A double-sided wet etch process has been proposed to fabricate vertical structures in 〈1 0 0〉 oriented silicon substrate. Both sides of a {1 0 0} silicon wafer have been patterned identically along the 〈1 1 0〉 direction, and etched using potassium hydroxide (KOH) solution. By precisly controlling the etch time, using etch-timer structure and additive control, structures with smooth and vertical {1 1 0} sidewalls have been fabricated at the edges of a rectangular opening without undercut. Rectangular through-holes, bridges and cantilevers have been constructed using the proposed process. The measured average surface roughness of the vertical sidewall was 481 nm, which has been further reduced to 217 nm and 218 nm by postetching using a KOH–IPA and TMAH–Triton mixture, respectively. Slanted {4 1 1} planes exposed at the concave corners during the vertical etch process have been successfully removed or diminished by the postetching process. A bridge structure with a high aspect ratio of 39:1 has been fabricated, and cantilevers without undercutting were successfully constructed by applying the compensation technique. The proposed process can potentially be utilized in place of the deep reactive ion etching process for the fabrication of structures having vertical through-holes, such as through-silicon vias, high aspect ratio springs and filters for microfluidic applications. (paper)

  15. CoSix contact resistance after etching and ashing plasma exposure

    International Nuclear Information System (INIS)

    Katahira, Ken; Fukasawa, Masanaga; Kobayashi, Shoji; Takizawa, Toshifumi; Isobe, Michio; Hamaguchi, Satoshi; Nagahata, Kazunori; Tatsumi, Tetsuya

    2009-01-01

    The authors investigated the contact resistance fluctuation caused by CoSi x damage in plasma etching and ashing processes. They found that CoSi x layers damaged by plasma process exposure are readily oxidized when exposed to air resulting in increased resistance. They also found that the contact resistance increases more when CH 3 F is used instead of CF 4 during etching process. The lower the mass number of dominant ions becomes, the deeper the ions penetrate. Molecular dynamics simulation revealed that dissociated species from lighter ions penetrate deeper and that this stimulates deeper oxidation. They also found that contact resistance further increased by using postetch ashing plasma even in an H 2 /N 2 ashing process in which O 2 was not used. Here, too, the reason for this is that the ion penetration causes deep oxidation. They observed that the contact resistance has a linear relationship with the oxide concentration in CoSi x . This leads to the conclusion that it is essential to precisely control the ion energy as well as to properly select the ion species in the plasma process in the fabrication of next-generation semiconductor devices.

  16. Formation of metal and dielectric liners using a solution process for deep trench capacitors.

    Science.gov (United States)

    Ham, Yong-Hyun; Kim, Dong-Pyo; Baek, Kyu-Ha; Park, Kun-Sik; Kim, Moonkeun; Kwon, Kwang-Ho; Shin, Hong-Sik; Lee, Kijun; Do, Lee-Mi

    2012-07-01

    We demonstrated the feasibility of metal and dielectric liners using a solution process for deep trench capacitor application. The deep Si trench via with size of 10.3 microm and depth of 71 microm were fabricated by Bosch process in deep reactive ion etch (DRIE) system. The aspect ratio was about 7. Then, nano-Ag ink and poly(4-vinylphenol) (PVPh) were used to form metal and dielectric liners, respectively. The thicknesses of the Ag and PVPh liners were about 144 and 830 nm, respectively. When the curing temperature of Ag film increased from 120 to 150 degrees C, the sheet resistance decreased rapidly from 2.47 to 0.72 Omega/sq and then slightly decreased to 0.6 Omega/sq with further increasing the curing temperature beyond 150 degrees C. The proposed liner formation method using solution process is a simple and cost effective process for the high capacity of deep trench capacitor.

  17. Etching of LiNbO/sub 3/ by laser-driven fusion of salts

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Brannon, P.J.

    1987-01-01

    Lithium niobate exhibits low reactivity with most chemical etchants. Consequently, etching a LiNbO/sub 3/ surface to produce optical structures such as ridge waveguides or grooves for fiber coupling normally requires relatively slow processes such as ion milling. The authors have developed a laser-driven chemical etching process for etching highly unreactive ionic solids based on the fusion of salts in the molten phase and show that the etch rate can be more than 100 times faster than ion milling rates. This process involves spatially localized melting of LiNbO/sub 3/ by high-power density laser pulses with photon energies in excess of the band gap of LiNbO/sub 3/. While molten, LiNbO/sub 3/ undergoes reaction with a surface coating of KF to form niobium oxyfluoride anions by fusion of the salts. The resulting solid is highly water soluble. The insolubility of LiNbO/sub 3/ permits subsequent removal of only the irradiated area by rinsing in water. Surface morphology is determined by laser power density. The process exhibits a wavelength dependence

  18. Self-assembled peptide nanotubes as an etching material for the rapid fabrication of silicon wires

    DEFF Research Database (Denmark)

    Larsen, Martin Benjamin Barbour Spanget; Andersen, Karsten Brandt; Svendsen, Winnie Edith

    2011-01-01

    This study has evaluated self-assembled peptide nanotubes (PNTS) and nanowires (PNWS) as etching mask materials for the rapid and low-cost fabrication of silicon wires using reactive ion etching (RIE). The self-assembled peptide structures were fabricated under mild conditions and positioned on c...... characterization by SEM and I-V measurements. Additionally, the fabricated silicon structures were functionalized with fluorescent molecules via a biotin-streptavidin interaction in order to probe their potential in the development of biosensing devices....

  19. Modeling of the angular dependence of plasma etching

    International Nuclear Information System (INIS)

    Guo Wei; Sawin, Herbert H.

    2009-01-01

    An understanding of the angular dependence of etching yield is essential to investigate the origins of sidewall roughness during plasma etching. In this article the angular dependence of polysilicon etching in Cl 2 plasma was modeled as a combination of individual angular-dependent etching yields for ion-initiated processes including physical sputtering, ion-induced etching, vacancy generation, and removal. The modeled etching yield exhibited a maximum at ∼60 degree sign off-normal ion angle at low flux ratio, indicative of physical sputtering. It transformed to the angular dependence of ion-induced etching with the increase in the neutral-to-ion flux ratio. Good agreement between the modeling and the experiments was achieved for various flux ratios and ion energies. The variation of etching yield in response to the ion angle was incorporated in the three-dimensional profile simulation and qualitative agreement was obtained. The surface composition was calculated and compared to x-ray photoelectron spectroscopy (XPS) analysis. The modeling indicated a Cl areal density of 3x10 15 atoms/cm 2 on the surface that is close to the value determined by the XPS analysis. The response of Cl fraction to ion energy and flux ratio was modeled and correlated with the etching yields. The complete mixing-layer kinetics model with the angular dependence effect will be used for quantitative surface roughening analysis using a profile simulator in future work.

  20. Inductively coupled plasma etching of III-V antimonides in BCl3/SiCl4 etch chemistry

    International Nuclear Information System (INIS)

    Swaminathan, K.; Janardhanan, P.E.; Sulima, O.V.

    2008-01-01

    Inductively coupled plasma etching of GaSb using BCl 3 /SiCl 4 etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved (∼ 4 μm/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth ∼ 90 μm. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range

  1. A plasmaless, photochemical etch process for porous organosilicate glass films

    Science.gov (United States)

    Ryan, E. Todd; Molis, Steven E.

    2017-12-01

    A plasmaless, photochemical etch process using ultraviolet (UV) light in the presence of NH3 or O2 etched porous organosilicate glass films, also called pSiCOH films, in a two-step process. First, a UV/NH3 or UV/O2 treatment removed carbon (mostly methyl groups bonded to silicon) from a pSiCOH film by demethylation to a depth determined by the treatment exposure time. Second, aqueous HF was used to selectively remove the demethylated layer of the pSiCOH film leaving the methylated layer below. UV in the presence of inert gas or H2 did not demethylate the pSiCOH film. The depth of UV/NH3 demethylation followed diffusion limited kinetics and possible mechanisms of demethylation are presented. Unlike reactive plasma processes, which contain ions that can damage surrounding structures during nanofabrication, the photochemical etch contains no damaging ions. Feasibility of the photochemical etching was shown by comparing it to a plasma-based process to remove the pSiCOH dielectric from between Cu interconnect lines, which is a critical step during air gap fabrication. The findings also expand our understanding of UV photon interactions in pSiCOH films that may contribute to plasma-induced damage to pSiCOH films.

  2. Atomic force microscopy deep trench and sidewall imaging with an optical fiber probe

    Energy Technology Data Exchange (ETDEWEB)

    Xie, Hui, E-mail: xiehui@hit.edu.cn; Hussain, Danish; Yang, Feng [The State Key Laboratory of Robotics and Systems, Harbin Institute of Technology, 2 Yikuang, 150080 Harbin (China); Sun, Lining [The State Key Laboratory of Robotics and Systems, Harbin Institute of Technology, 2 Yikuang, 150080 Harbin (China); Robotics and Microsystems Center, Soochow University, 215021 Suzhou (China)

    2014-12-15

    We report a method to measure critical dimensions of micro- and nanostructures using the atomic force microscope (AFM) with an optical fiber probe (OFP). This method is capable of scanning narrow and deep trenches due to the long and thin OFP tip, as well as imaging of steep sidewalls with unique profiling possibilities by laterally tilting the OFP without any modifications of the optical lever. A switch control scheme is developed to measure the sidewall angle by flexibly transferring feedback control between the Z- and Y-axis, for a serial scan of the horizontal surface (raster scan on XY-plane) and sidewall (raster scan on the YZ-plane), respectively. In experiments, a deep trench with tapered walls (243.5 μm deep) and a microhole (about 14.9 μm deep) have been imaged with the orthogonally aligned OFP, as well as a silicon sidewall (fabricated by deep reactive ion etching) has been characterized with the tilted OFP. Moreover, the sidewall angle of TGZ3 (AFM calibration grating) was accurately measured using the switchable scan method.

  3. Beam Simulation Studies of Plasma-Surface Interactions in Fluorocarbon Etching of Silicon and Silicon Dioxide

    Science.gov (United States)

    Gray, David C.

    1992-01-01

    A molecular beam apparatus has been constructed which allows the synthesis of dominant species fluxes to a wafer surface during fluorocarbon plasma etching. These species include atomic F as the primary etchant, CF _2 as a potential polymer forming precursor, and Ar^{+} or CF _{rm x}^{+} type ions. Ionic and neutral fluxes employed are within an order of magnitude of those typical of fluorocarbon plasmas and are well characterized through the use of in -situ probes. Etching yields and product distributions have been measured through the use of in-situ laser interferometry and line-of-sight mass spectrometry. XPS studies of etched surfaces were performed to assess surface chemical bonding states and average surface stoichiometry. A useful design guide was developed which allows optimal design of straight -tube molecular beam dosers in the collisionally-opaque regime. Ion-enhanced surface reaction kinetics have been studied as a function of the independently variable fluxes of free radicals and ions, as well as ion energy and substrate temperature. We have investigated the role of Ar ^{+} ions in enhancing the chemistries of F and CF_2 separately, and in combination on undoped silicon and silicon dioxide surfaces. We have employed both reactive and inert ions in the energy range most relevant to plasma etching processes, 20-500 eV, through the use of Kaufman and ECR type ion sources. The effect of increasing ion energy on the etching of fluorine saturated silicon and silicon dioxide surfaces was quantified through extensions of available low energy physical sputtering theory. Simple "site"-occupation models were developed for the quantification of the ion-enhanced fluorine etching kinetics in these systems. These models are suitable for use in topography evolution simulators (e.g. SAMPLE) for the predictive modeling of profile evolution in non-depositing fluorine-based plasmas such as NF_3 and SF_6. (Copies available exclusively from MIT Libraries, Rm. 14

  4. Effect of Metal Ion Etching on the Tribological, Mechanical and Microstructural Properties of TiN-COATED d2 Tool Steel Using Cae Pvd Technique

    Science.gov (United States)

    Ali, Mubarak; Hamzah, Esah Binti; Hj. Mohd Toff, Mohd Radzi

    A study has been made on TiN coatings deposited on D2 tool steel substrates by using commercially available cathodic arc evaporation, physical vapor deposition technique. The goal of this work is to determine the usefulness of TiN coatings in order to improve the micro-Vickers hardness, coefficient of friction and surface roughness of TiN coating deposited on tool steel, which is vastly use in tool industry for various applications. A pin-on-disc test was carried out to study the coefficient of friction versus sliding distance of TiN coating at various ion etching rates. The tribo-test showed that the minimum value recorded for friction coefficient was 0.386 and 0.472 with standard deviation of 0.056 and 0.036 for the coatings deposited at zero and 16 min ion etching. The differences in friction coefficient and surface roughness was mainly associated with the macrodroplets, which was produced during etching stage. The coating deposited for 16 min metal ion etching showed the maximum hardness, i.e., about five times higher than uncoated one and 1.24 times to the coating deposited at zero ion etching. After friction test, the wear track was observed by using field emission scanning electron microscope. The coating deposited for zero ion etching showed small amounts of macrodroplets as compared to the coating deposited for 16 min ion etching. The elemental composition on the wear scar were investigated by means of energy dispersive X-ray, indicate no further TiN coating on wear track. A considerable improvement in TiN coatings was recorded as a function of various ion etching rates.

  5. Effect of reactive and un-reactive substrates on photopolymerization of self-etching adhesives with different aggressiveness.

    Science.gov (United States)

    Zhang, Ying; Wang, Yong

    2013-01-01

    The study investigated the influence of reactive (enamel) and un-reactive (glass) substrates on photo-polymerization of self-etching adhesives. Two commercial adhesives Adper Prompt L-Pop (APLP, pH~0.8) and Adper Easy Bond (AEB, pH~2.5) were applied onto prepared enamel and glass substrates using the same protocol. Micro-Raman spectroscopy was employed to determine the degree of conversion (DC) and the involved mechanism. DC of APLP was dramatically enhanced from ~9.4% to ~82.0% as when changing from glass to enamel, while DC of AEB on both substrates showed no difference. The DC distributions along the adhesive layers of the APLP and AEB on enamel showed descending and constant trends, respectively. Spectral analysis disclosed that the difference in chemical reaction of the two adhesives with enamel might be associated with the results. The chemical reaction of the adhesives with enamel significantly improved the DC of the strong APLP, but not that of the mild AEB.

  6. Etch-stop behavior of buried layers formed by substoichiometric nitrogen ion implantation into silicon

    International Nuclear Information System (INIS)

    Perez-Rodriguez, A.; Romano-Rodriguez, A.; Morante, J.R.; Acero, M.C. Esteve, J.; Montserrat, J.; El-Hassani, A.

    1996-01-01

    In this work the etch-stop behavior of buried layers formed by substoichiometric nitrogen ion implantation into silicon is studied as a function of the processing parameters, the implantation dose and temperature, and the presence of capping layers during implantation. Etching characteristics have been probed using tetramethylammonium hydroxide or KOH solutions for different times up to 6 h. Results show that, after annealing, the minimum dose required for the formation of an efficient etch-stop layer is about 4 x 10 17 cm -2 , for an implantation energy of 75 keV. This is defined as a layer with an efficient etch selectivity in relation to Si of s ≥ 100. For larger implantation doses efficient etch selectivities larger than 100 are obtained. However, for these doses a considerable density of pits is observed in the etch-stop layer. These are related to the presence of nitrogen poor Si regions in the buried layer after annealing, due to a partial separation of silicon and silicon nitride phases during the annealing process. The influence of this separation of phases as well as nitrogen gettering in the buried layer on the etch-stop behavior is discussed as a function of the processing parameters

  7. Selective laser-induced photochemical dry etching of semiconductors controlled by ion-bombardment-induced damage

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Myers, D.R.; Vook, F.L.

    1987-01-01

    When a photochemical dry etching process requires direct participation of photogenerated carriers in the chemical reaction, it is sensitive to the electronic properties of the semiconductor. For such solid-excitation-based dry etching processes, the balance between reaction and carrier recombination rates determines the practical utility of a particular reaction for device fabrication. The distance from the surface at which the photocarriers are generated by light adsorption is determined by the absorption coefficient. In the absence of an external bias potential, only those carriers formed within a diffusion length of the surface space-charge region will have an opportunity to drive the dry etching reaction. When the absorption coefficient is high, most of the photons generate carriers within a diffusion length from the surface space-charge region, and the etching rate is largely determined by the balance between the rate of the carrier-driven reaction and the surface recombination velocity. When the recombination rate of free carriers in the bulk of the semiconductor is high, the effective diffusion length is reduced and fewer of the carriers generated in the subsurface region ever reach the surface. An important effect of ion bombardment is the creation of many lattice defects that increase the rate of recombination of electrons and holes. When a sufficient number of defects, which act as recombination sites, are formed during ion implantation, the recombination of photogenerated carriers at these defects in the subsurface region can greatly reduce the number of carriers which can reach the surface and drive a photochemical etching reaction

  8. Fluorocarbon based atomic layer etching of Si{sub 3}N{sub 4} and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Li, Chen [Department of Physics, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States); Metzler, Dominik; Oehrlein, Gottlieb S., E-mail: oehrlein@umd.edu [Department of Materials Science and Engineering, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States); Lai, Chiukin Steven; Hudson, Eric A. [Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538 (United States)

    2016-07-15

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO{sub 2} ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar{sup +} ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO{sub 2} from the surface. In the present article, the authors describe controlled etching of Si{sub 3}N{sub 4} and SiO{sub 2} layers of one to several Angstroms using this cyclic ALE approach. Si{sub 3}N{sub 4} etching and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4} were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si{sub 3}N{sub 4} were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si{sub 3}N{sub 4} has a lower physical sputtering energy threshold than SiO{sub 2}, Si{sub 3}N{sub 4} physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si{sub 3}N{sub 4} to SiO{sub 2} ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO{sub 2} to Si{sub 3}N{sub 4} etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si{sub 3}N{sub 4} surfaces. This highly selective etching is explained by a lower carbon consumption of Si{sub 3}N{sub 4} as compared to Si

  9. Microdosimetry for a carbon ion beam using track-etched detectors

    International Nuclear Information System (INIS)

    Ambrozova, I.; Ploc, O.; Davidkova, M.; Vondracek, V.; Sefl, M.; Stepan, V.; Pachnerova Brabcova, K.; Incerti, S.

    2015-01-01

    Track-etched detectors (TED) have been used as linear energy transfer (LET) spectrometers in heavy ion beams for many years. LET spectra and depth -dose distribution of a carbon ion beam were measured behind polymethylmethacrylate degraders at Heavy Ion Medical Accelerator in Chiba, Japan. The measurements were performed along monoenergetic beam with energy 290 MeV u -1 in different positions: (1) at beam extraction area, (2) at beginning, (3) maximum and (4) behind the Bragg peak region (0, 117, 147 and 151 mm of water-equivalent depth, respectively). The LET spectra inside and outside of the primary ion beam have been evaluated. TED record only heavy charged particles with LET above 8 -10 keV μm -1 , while electrons and ions with lower LET are not detected. The Geant4 simulation toolkit version 4.9.6.P01 has been used to estimate the contribution of non-detected particles to absorbed dose. Presented results demonstrate the applicability of TED for microdosimetry measurements in therapeutic carbon ion beams. (authors)

  10. Grafting of acrylic acid on etched latent tracks induced by swift heavy ions on polypropylene films

    International Nuclear Information System (INIS)

    Mazzei, R.; Fernandez, A.; Garcia Bermudez, G.; Torres, A.; Gutierrez, M.C.; Magni, M.; Celma, G.; Tadey, D.

    2008-01-01

    In order to continue with a systematic study that include different polymers and monomers, the residual active sites produced by heavy ion beams, that remain after the etching process, were used to start the grafting process. To produce tracks, foils of polypropylene (PP) were irradiated with 208 Pb of 25.62 MeV/n. Then, these were etched and grafted with acrylic acid (AA) monomers. Experimental curves of grafting yield as a function of grafting time with the etching time as a parameter were measured. Also, the grating yield as a function of the fluence and etching time was obtained. In addition, the permeation of solutions, with different pH, through PP grafted foils was measured

  11. Ion-beam nanopatterning: experimental results with chemically-assisted beam

    Science.gov (United States)

    Pochon, Sebastien C. R.

    2018-03-01

    The need for forming gratings (for example used in VR headsets) in materials such as SiO2 has seen a recent surge in the use of Ion beam etching techniques. However, when using an argon-only beam, the selectivity is limited as it is a physical process. Typically, gases such as CHF3, SF6, O2 and Cl2 can be added to argon in order to increase selectivity; depending on where the gas is injected, the process is known as Reactive Ion Beam Etching (RIBE) or Chemically Assisted Ion Beam Etching (CAIBE). The substrate holder can rotate in order to provide an axisymmetric etch rate profile. It can also be tilted over a range of angles to the beam direction. This enables control over the sidewall profile as well as radial uniformity optimisation. Ion beam directionality in conjunction with variable incident beam angle via platen angle setting enables profile control and feature shaping during nanopatterning. These hardware features unique to the Ion Beam etching methods can be used to create angled etch features. The CAIBE technique is also well suited to laser diode facet etch (for optoelectronic devices); these typically use III-V materials like InP. Here, we report on materials such as SiO2 etched without rotation and at a fixed platen angle allowing the formation of gratings and InP etched at a fixed angle with rotation allowing the formation of nanopillars and laser facets.

  12. Inductively coupled plasma etching of III-V antimonides in BCl{sub 3}/SiCl{sub 4} etch chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Swaminathan, K. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)], E-mail: swaminak@ece.osu.edu; Janardhanan, P.E.; Sulima, O.V. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)

    2008-10-01

    Inductively coupled plasma etching of GaSb using BCl{sub 3}/SiCl{sub 4} etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved ({approx} 4 {mu}m/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth {approx} 90 {mu}m. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range.

  13. Chemically Etched Silicon Nanowires as Anodes for Lithium-Ion Batteries

    Energy Technology Data Exchange (ETDEWEB)

    West, Hannah Elise [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)

    2015-08-01

    This study focused on silicon as a high capacity replacement anode for Lithium-ion batteries. The challenge of silicon is that it expands ~270% upon lithium insertion which causes particles of silicon to fracture, causing the capacity to fade rapidly. To account for this expansion chemically etched silicon nanowires from the University of Maine were studied as anodes. They were built into electrochemical half-cells and cycled continuously to measure the capacity and capacity fade.

  14. The reactivity of ion-implanted SiC

    International Nuclear Information System (INIS)

    McHargue, C.J.; Lewis, M.B.; Williams, J.M.; Appleton, B.R.

    1985-01-01

    Implantation of chromium into single crystal or polycrystalline α-SiC produces a surface amorphous layer for displacement damage greater than about 0.2 displacements per atom at room temperature. The enhanced chemical reactivity of such specimens was studied by two methods: chemical etching rate and oxidation rate. The chemical etching rates in a saturated solution of 50% K 3 Fe(CN) 6 plus 50% KOH were measured. The etching rate for the amorphous layer was 2.4-3.7 times that of the polycrystalline samples and 3.0-4.1 times that of the single-crystal samples. Polycrystalline specimens were exposed to flowing oxygen for 1 h at 1300 0 C. Rutherford backscattering and the nuclear reaction 16 O(d,p) 17 O* were used to determine the amount of oxygen on the surface. The amount of oxygen (and the thickness of oxide) over the amorphous region was 1.67 times that over the crystalline region. The relative thicknesses of the oxide on the amorphous and crystalline regions were confirmed by measuring the sputtering time required to remove the oxygen signal in an Auger spectrometer. (Auth.)

  15. Ion track etching revisited: II. Electronic properties of aged tracks in polymers

    Czech Academy of Sciences Publication Activity Database

    Fink, Dietmar; Hernandez, G. M.; Cruz, S. A.; Garcia-Arellano, H.; Vacík, Jiří; Hnatowicz, Vladimír; Kiv, A.; Alfonta, L.

    2018-01-01

    Roč. 173, 1-2 (2018), s. 148-164 ISSN 1042-0150 R&D Projects: GA ČR(CZ) GBP108/12/G108 Institutional support: RVO:61389005 Keywords : polymers * ion tracks * etching * conductometry * rectification * phase shift Subject RIV: JF - Nuclear Energetics OBOR OECD: Nuclear related engineering Impact factor: 0.443, year: 2016

  16. Ion track etching revisited: I. Correlations between track parameters in aged polymers

    Czech Academy of Sciences Publication Activity Database

    Fink, Dietmar; Munoz, G. H.; García Arellano, H.; Vacík, Jiří; Hnatowicz, Vladimír; Kiv, A.; Alfonta, L.

    2018-01-01

    Roč. 420, č. 4 (2018), s. 57-68 ISSN 0168-583X R&D Projects: GA ČR(CZ) GBP108/12/G108 Institutional support: RVO:61389005 Keywords : ion track * polymer * etching Subject RIV: BG - Nuclear, Atomic and Molecular Physics , Colliders OBOR OECD: Nuclear physics Impact factor: 1.109, year: 2016

  17. Silicon etch process

    International Nuclear Information System (INIS)

    Day, D.J.; White, J.C.

    1984-01-01

    A silicon etch process wherein an area of silicon crystal surface is passivated by radiation damage and non-planar structure produced by subsequent anisotropic etching. The surface may be passivated by exposure to an energetic particle flux - for example an ion beam from an arsenic, boron, phosphorus, silicon or hydrogen source, or an electron beam. Radiation damage may be used for pattern definition and/or as an etch stop. Ethylenediamine pyrocatechol or aqueous potassium hydroxide anisotropic etchants may be used. The radiation damage may be removed after etching by thermal annealing. (author)

  18. Piezoelectric evaluation of ion beam etched Pb(Zr,Ti)O3 thin films by piezoresponse force microscopy

    International Nuclear Information System (INIS)

    Legrand, C.; Da Costa, A.; Desfeux, R.; Soyer, C.; Remiens, D.

    2007-01-01

    The evolution of piezoelectric properties of Pb(Zr,Ti)O 3 (PZT) thin films after ion beam etching have been investigated at the nanoscale level by piezoelectric force microscopy. A comparison of the piezoelectric properties on etched and unetched films is realized. Piezoelectric contrasts imaging evidences a modification of the domain architecture at the film surface. Local piezoelectric hysteresis loops measurements on grains indicate that the coercive voltage for switching is much higher for the etched films (2.3 V) compared to the unetched ones (1.0 V) while the average piezoelectric activity is slightly lower. The results are explained in terms of grain-damaging during etching and domain-wall pinning

  19. Ion reactivity of calcium-deficient hydroxyapatite in standard cell culture media.

    Science.gov (United States)

    Gustavsson, J; Ginebra, M P; Engel, E; Planell, J

    2011-12-01

    Solution-mediated surface reactions occur for most calcium phosphate-based biomaterials and may influence cellular response. A reasonable extrapolation of such processes observed in vitro to in vivo performance requires a deep understanding of the underlying mechanisms. We therefore systematically investigated the nature of ion reactivity of calcium-deficient hydroxyapatite (CDHA) by exposing it for different periods of time to standard cell culture media of different chemical composition (DMEM and McCoy medium, with and without osteogenic supplements and serum proteins). Kinetic ion interaction studies of principal extracellular ions revealed non-linear sorption of Ca²⁺ (∼50% sorption) and K⁺ (∼8%) as well as acidification of all media during initial contact with CDHA (48h). Interestingly, inorganic phosphorus (P(i)) was sorbed from McCoy medium (∼50%) or when using osteogenic media containing β-glycerophosphate, but not from DMEM medium. Non-linear sorption data could be perfectly described by pseudo-first-order and pseudo-second-order sorption models. At longer contact time (21 days), and with frequent renewal of culture medium, sorption of Ca²⁺ remained constant throughout the experiment, while sorption of P(i) gradually decreased in McCoy medium. In great contrast, CDHA began to release P(i) slowly with time when using DMEM medium. Infrared spectra showed that CDHA exposed to culture media had a carbonated surface chemistry, suggesting that carbonate plays a key role in the ion reactivity of CDHA. Our data show that different compositions of the aqueous environment may provoke opposite ion reactivity of CDHA, and this must be carefully considered when evaluating the osteoinductive potential of the material. Copyright © 2011 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  20. Fabrication of CMOS-compatible nanopillars for smart bio-mimetic CMOS image sensors

    KAUST Repository

    Saffih, Faycal

    2012-06-01

    In this paper, nanopillars with heights of 1μm to 5μm and widths of 250nm to 500nm have been fabricated with a near room temperature etching process. The nanopillars were achieved with a continuous deep reactive ion etching technique and utilizing PMMA (polymethylmethacrylate) and Chromium as masking layers. As opposed to the conventional Bosch process, the usage of the unswitched deep reactive ion etching technique resulted in nanopillars with smooth sidewalls with a measured surface roughness of less than 40nm. Moreover, undercut was nonexistent in the nanopillars. The proposed fabrication method achieves etch rates four times faster when compared to the state-of-the-art, leading to higher throughput and more vertical side walls. The fabrication of the nanopillars was carried out keeping the CMOS process in mind to ultimately obtain a CMOS-compatible process. This work serves as an initial step in the ultimate objective of integrating photo-sensors based on these nanopillars seamlessly along with the controlling transistors to build a complete bio-inspired smart CMOS image sensor on the same wafer. © 2012 IEEE.

  1. Properties and etching rates of negative ions in inductively coupled plasmas and dc discharges produced in Ar/SF6

    International Nuclear Information System (INIS)

    Draghici, M.; Stamate, E.

    2010-01-01

    Negative ion production is investigated in a chamber with transversal magnetic filter operated in dc or inductively coupled plasma (ICP) modes in Ar/SF 6 gas mixtures. Plasma parameters are evaluated by mass spectrometry and Langmuir probe for different discharge conditions. The density ratio of negative ion to electron exceeded 300 in dc mode while it was below 100 in the ICP mode. The possibility to apply a large positive bias to an electrode without affecting the plasma potential and the transition from a negative sheath to anodic glow are also investigated. The etching rates by positive and negative ions are evaluated on silicon substrate for different Ar/SF 6 gas ratios. The etching rate by negative ions was with less than 5% smaller than that by positive ions.

  2. Properties and etching rates of negative ions in inductively coupled plasmas and dc discharges produced in Ar/SF6

    DEFF Research Database (Denmark)

    Draghici, Mihai; Stamate, Eugen

    2010-01-01

    of negative ion to electron exceeded 300 in dc mode while it was below 100 in the ICP mode. The possibility to apply a large positive bias to an electrode without affecting the plasma potential and the transition from a negative sheath to anodic glow are also investigated. The etching rates by positive...... and negative ions are evaluated on silicon substrate for different Ar/SF6 gas ratios. The etching rate by negative ions was with less than 5% smaller than that by positive ions.......Negative ion production is investigated in a chamber with transversal magnetic filter operated in dc or inductively coupled plasma (ICP) modes in Ar/SF6 gas mixtures. Plasma parameters are evaluated by mass spectrometry and Langmuir probe for different discharge conditions. The density ratio...

  3. High energy heavy ion beam lithography in silicon

    International Nuclear Information System (INIS)

    Rout, Bibhudutta; Dymnikov, Alexander D.; Zachry, Daniel P.; Eschenazi, Elia V.; Wang, Yongqiang Q.; Greco, Richard R.; Glass, Gary A.

    2007-01-01

    As high energy ions travel through a crystalline semiconductor materials they produce damage along the path which results in resistance to some of the wet chemical etching. A series of preliminary experiments have been performed at the Louisiana Accelerator Center (LAC) to examine the feasibility of irradiating high energy (keV-MeV) ions such as protons, xenon and gold through microscale masked structures on crystalline (n-type) Si substrates followed by wet chemical etch with KOH for attaining deep micromachining in Si. The results of these experiments are reported

  4. CoSi{sub x} contact resistance after etching and ashing plasma exposure

    Energy Technology Data Exchange (ETDEWEB)

    Katahira, Ken; Fukasawa, Masanaga; Kobayashi, Shoji; Takizawa, Toshifumi; Isobe, Michio; Hamaguchi, Satoshi; Nagahata, Kazunori; Tatsumi, Tetsuya [Nagasaki Production Division 1, Sony Semiconductor Kyushu Corporation, 1883-43 Tsukuba-machi, Isahaya-shi, Nagasaki 854-0065 (Japan); Semiconductor Technology Development Division, Semiconductor Business Group, Sony Corporation, 4-14-1 Asahi-cho, Atsugi-shi, Kanagawa 243-0014 (Japan); Center for Atomic and Molecular Technologies, Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871 (Japan); Semiconductor Technology Development Division, Semiconductor Business Group, Sony Corporation, 4-14-1 Asahi-cho, Atsugi-shi, Kanagawa 243-0014 (Japan)

    2009-07-15

    The authors investigated the contact resistance fluctuation caused by CoSi{sub x} damage in plasma etching and ashing processes. They found that CoSi{sub x} layers damaged by plasma process exposure are readily oxidized when exposed to air resulting in increased resistance. They also found that the contact resistance increases more when CH{sub 3}F is used instead of CF{sub 4} during etching process. The lower the mass number of dominant ions becomes, the deeper the ions penetrate. Molecular dynamics simulation revealed that dissociated species from lighter ions penetrate deeper and that this stimulates deeper oxidation. They also found that contact resistance further increased by using postetch ashing plasma even in an H{sub 2}/N{sub 2} ashing process in which O{sub 2} was not used. Here, too, the reason for this is that the ion penetration causes deep oxidation. They observed that the contact resistance has a linear relationship with the oxide concentration in CoSi{sub x}. This leads to the conclusion that it is essential to precisely control the ion energy as well as to properly select the ion species in the plasma process in the fabrication of next-generation semiconductor devices.

  5. Nanoparticle and nanosphere mask for etching of ITO nanostructures and their reflection properties

    International Nuclear Information System (INIS)

    Xu, Cigang; Deng, Ligang; Holder, Adam; Bailey, Louise R.; Proudfoot, Gary; Thomas, Owain; Gunn, Robert; Cooke, Mike; Leendertz, Caspar; Bergmann, Joachim

    2015-01-01

    Au nanoparticles and polystyrene nanospheres were used as mask for plasma etching of indium tin oxide (ITO) layer. By reactive ion etching (RIE) processes, the morphology of polystyrene nanospheres can be tuned through chemical or physical etching, and Au nanoparticle mask can result in ITO nanostructures with larger aspect ratio than nanosphere mask. During inductively coupled plasma (ICP) processes, Au nanoparticle mask was not affected by the thermal effect of plasma, whereas temperature of the substrate was essential to protect nanospheres from the damaging effect of plasma. Physical bombardment in the plasma can also modify the nanospheres. It was observed that under the same process conditions, the ratio of CH 4 and H 2 in the process gas can affect the etching rate of ITO without completely etching the nanospheres. The morphology of ITO nanostructures also depends on process conditions. The resulting ITO nanostructures show lower reflection in a spectral range of 400-1000 nm than c-Si and conventional antireflection layer of SiN x film. ITO nanostructures obtained after etching (scale bar = 200 nm). (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  6. Feedback control of chlorine inductively coupled plasma etch processing

    International Nuclear Information System (INIS)

    Lin Chaung; Leou, K.-C.; Shiao, K.-M.

    2005-01-01

    Feedback control has been applied to poly-Si etch processing using a chlorine inductively coupled plasma. Since the positive ion flux and ion energy incident upon the wafer surface are the key factors that influence the etch rate, the ion current and the root mean square (rms) rf voltage on the wafer stage, which are measured using an impedance meter connected to the wafer stage, are adopted as the controlled variables to enhance etch rate. The actuators are two 13.56 MHz rf power generators, which adjust ion density and ion energy, respectively. The results of closed-loop control show that the advantages of feedback control can be achieved. For example, with feedback control, etch rate variation under the transient chamber wall condition is reduced roughly by a factor of 2 as compared to the open-loop case. In addition, the capability of the disturbance rejection was also investigated. For a gas pressure variation of 20%, the largest etch rate variation is about 2.4% with closed-loop control as compared with as large as about 6% variation using open-loop control. Also the effect of ion current and rms rf voltage on etch rate was studied using 2 2 factorial design whose results were used to derive a model equation. The obtained formula was used to adjust the set point of ion current and rf voltage so that the desired etch rate was obtained

  7. Molecular dynamics simulations and thermochemistry of reactive ion etching of silicon by chlorine, chlorine dimer, bromine, and bromine dimer cations

    Energy Technology Data Exchange (ETDEWEB)

    Valone, S.M.; Hanson, D.E.; Kress, J.D.

    1998-05-08

    Simulations of Cl plasma etch of Si surfaces with MD techniques agree reasonably well with the available experimental information on yields and surface morphologies. This information has been supplied to a Monte Carlo etch profile resulting in substantial agreement with comparable inputs provided through controlled experiments. To the extent that more recent measurements of etch rates are more reliable than older ones, preliminary MD simulations using bond-order corrections to the atomic interactions between neighboring Si atoms on the surface improves agreement with experiment through an increase in etch rate and improved agreement with XPS measurements of surface stoichiometry. Thermochemical and geometric analysis of small Si-Br molecules is consistent with the current notions of the effects of including brominated species in etchant gases.

  8. Towards rare-earth-ion-doped Al2O3 active integrated optical devices

    OpenAIRE

    Ay, F.; Bradley, J.; Worhoff, Kerstin; Pollnau, Markus

    2007-01-01

    Aluminum oxide planar waveguides with low loss (0.11 dB/cm at 1523 nm) are fabricated. Channel waveguides are obtained by reactive ion etching. Erbium-doped layers show no upconversion luminescence, a hint that ion clustering is small.

  9. Direct fabrication of nano-gap electrodes by focused ion beam etching

    International Nuclear Information System (INIS)

    Nagase, Takashi; Gamo, Kenji; Kubota, Tohru; Mashiko, Shinro

    2006-01-01

    A simple approach to increase the reliability of nano-gap electrode fabrication techniques is presented. The method is based on maskless sputter etching of Au electrodes using a focused ion beam (FIB) and in-situ monitoring of the etching steps by measuring a current fed to the Au electrodes. The in-situ monitoring is crucial to form nano-gaps much narrower than a FIB spot size. By using this approach, gaps of ∼3-6 nm are fabricated with the high yield of ∼90%, and most of the fabricated nano-gap electrodes showed high resistances of 10 GΩ-1 TΩ. The controllability of the fabrication steps is significantly improved by using triple-layered films consisting of top Ti, Au, and bottom adhesion Ti layers. The applicability of the fabricated nano-gap electrodes to electron transport studies of nano-sized objects is demonstrated by electrical measurement of Au colloidal nano-particles

  10. Ion-beam enhanced etching for the 3D structuration of lithium niobate

    International Nuclear Information System (INIS)

    Gischkat, Thomas

    2010-01-01

    The present thesis deals with the usage of the ion-beam enhanced etching (IBEE) for the 3D structuration of lithium niobate (LiNbO 3 ).Hereby the approach of the enhancement of the wet-chemical etching rate due to the irradiation with energetic ions is pursued. This method is very success promising for the realization of micro- and nanostructures with perpendicular structural walls as well as small roughnesses. The aim of this thesis consisted therein to form the foundations for the realization of three-dimensional micro- and nanostructures (for instance: Layer systems and photonic crystals) in LiNbO 3 with high optical quality and to demonstrate on selected examples. Conditions for the success of the IBEE structuration technique is first of all the understanding of the defect formation under ion irradiation as well as the radiation-induced structure changes in the crystal and the change of the chemical resistance connected with this. For this the defect formation was studied in dependence on th ion mass, the ion energy, and the irradiation temperature. Thermally induced influences and effects on the radiation damage, as they can occur in intermediate steps in the complex processing, must be known and were studied by means of subsequent temperature treatment. The results from the defect studies were subsequently applied for the fabrication of micro- and nanostructures in LiNbO 3 . Shown is the realization of lateral structure with nearly perpendicular structure walls as well as the realization of thin membranes and slits. The subsequent combination of lateral structuration with the fabrication of thin membranes and slits allowed the three-dimensional structuration of LiNbO 3 . This is exemplarily shown for a microresonator and for a 2D photonic crystal with below lying air slit. [de

  11. Features of copper etching in chlorine-argon plasma

    International Nuclear Information System (INIS)

    Efremov, A.M.; Svettsov, V.I.

    1995-01-01

    Chlorine mixtures with inert gases including argon exhibit promise as plasma feed gases for etching metals and semiconductors in the microelectronics industry. It was shown that even strong dilution of reactive gas with an inert gas (up to 80-90% of the latter) has virtually no effect in decreasing the rate of plasma etching of materials such as silicon and gallium arsenide, compared to etching in pure chlorine. The principal reactive species responsible for etching these substrates are chlorine atoms therefore, a possible explanation of the effect is an increase in the rate of bulk generation of chlorine atoms in the presence of argon. In this work the authors studied the influence of argon on the rate of copper etching in chlorine, because copper, unlike the above substrates, reacts effectively not only with the atoms but with the ground-state molecules of chlorine

  12. Hierarchically porous carbon membranes containing designed nanochannel architectures obtained by pyrolysis of ion-track etched polyimide

    International Nuclear Information System (INIS)

    Muench, Falk; Seidl, Tim; Rauber, Markus; Peter, Benedikt; Brötz, Joachim; Krause, Markus; Trautmann, Christina; Roth, Christina; Katusic, Stipan; Ensinger, Wolfgang

    2014-01-01

    Well-defined, porous carbon monoliths are highly promising materials for electrochemical applications, separation, purification and catalysis. In this work, we present an approach allowing to transfer the remarkable degree of synthetic control given by the ion-track etching technology to the fabrication of carbon membranes with porosity structured on multiple length scales. The carbonization and pore formation processes were examined with Raman, Brunauer–Emmett–Teller (BET), scanning electron microscopy (SEM) and X-ray diffraction (XRD) measurements, while model experiments demonstrated the viability of the carbon membranes as catalyst support and pollutant adsorbent. Using ion-track etching, specifically designed, continuous channel-shaped pores were introduced into polyimide foils with precise control over channel diameter, orientation, density and interconnection. At a pyrolysis temperature of 950 °C, the artificially created channels shrunk in size, but their shape was preserved, while the polymer was transformed to microporous, amorphous carbon. Channel diameters ranging from ∼10 to several 100 nm could be achieved. The channels also gave access to previously closed micropore volume. Substantial surface increase was realized, as it was shown by introducing a network consisting of 1.4 × 10 10 channels per cm 2 of 30 nm diameter, which more than tripled the mass-normalized surface of the pyrolytic carbon from 205 m 2  g −1 to 732 m 2  g −1 . At a pyrolysis temperature of 3000 °C, membranes consisting of highly ordered graphite were obtained. In this case, the channel shape was severely altered, resulting in a pronounced conical geometry in which the channel diameter quickly decreased with increasing distance to the membrane surface. - Highlights: • Pyrolysis of ion-track etched polyimide yields porous carbon membranes. • Hierarchic porosity: continuous nanochannels embedded in a microporous carbon matrix. • Freely adjustable meso- or

  13. Hierarchically porous carbon membranes containing designed nanochannel architectures obtained by pyrolysis of ion-track etched polyimide

    Energy Technology Data Exchange (ETDEWEB)

    Muench, Falk, E-mail: muench@ca.tu-darmstadt.de [Department of Material- and Geoscience, Technische Universität Darmstadt, Alarich-Weiss-Straße 2, 64287 Darmstadt (Germany); Seidl, Tim; Rauber, Markus [Department of Material- and Geoscience, Technische Universität Darmstadt, Alarich-Weiss-Straße 2, 64287 Darmstadt (Germany); Material Research Department, GSI Helmholtzzentrum für Schwerionenforschung GmbH, Planckstraße 1, 64291 Darmstadt (Germany); Peter, Benedikt; Brötz, Joachim [Department of Material- and Geoscience, Technische Universität Darmstadt, Alarich-Weiss-Straße 2, 64287 Darmstadt (Germany); Krause, Markus; Trautmann, Christina [Department of Material- and Geoscience, Technische Universität Darmstadt, Alarich-Weiss-Straße 2, 64287 Darmstadt (Germany); Material Research Department, GSI Helmholtzzentrum für Schwerionenforschung GmbH, Planckstraße 1, 64291 Darmstadt (Germany); Roth, Christina [Department of Chemistry and Biochemistry, Freie Universität Berlin, Takustraße 3, 14195 Berlin (Germany); Katusic, Stipan [Evonik Industries AG, Rodenbacher Chaussee 4, 63457 Hanau (Germany); Ensinger, Wolfgang [Department of Material- and Geoscience, Technische Universität Darmstadt, Alarich-Weiss-Straße 2, 64287 Darmstadt (Germany)

    2014-12-15

    Well-defined, porous carbon monoliths are highly promising materials for electrochemical applications, separation, purification and catalysis. In this work, we present an approach allowing to transfer the remarkable degree of synthetic control given by the ion-track etching technology to the fabrication of carbon membranes with porosity structured on multiple length scales. The carbonization and pore formation processes were examined with Raman, Brunauer–Emmett–Teller (BET), scanning electron microscopy (SEM) and X-ray diffraction (XRD) measurements, while model experiments demonstrated the viability of the carbon membranes as catalyst support and pollutant adsorbent. Using ion-track etching, specifically designed, continuous channel-shaped pores were introduced into polyimide foils with precise control over channel diameter, orientation, density and interconnection. At a pyrolysis temperature of 950 °C, the artificially created channels shrunk in size, but their shape was preserved, while the polymer was transformed to microporous, amorphous carbon. Channel diameters ranging from ∼10 to several 100 nm could be achieved. The channels also gave access to previously closed micropore volume. Substantial surface increase was realized, as it was shown by introducing a network consisting of 1.4 × 10{sup 10} channels per cm{sup 2} of 30 nm diameter, which more than tripled the mass-normalized surface of the pyrolytic carbon from 205 m{sup 2} g{sup −1} to 732 m{sup 2} g{sup −1}. At a pyrolysis temperature of 3000 °C, membranes consisting of highly ordered graphite were obtained. In this case, the channel shape was severely altered, resulting in a pronounced conical geometry in which the channel diameter quickly decreased with increasing distance to the membrane surface. - Highlights: • Pyrolysis of ion-track etched polyimide yields porous carbon membranes. • Hierarchic porosity: continuous nanochannels embedded in a microporous carbon matrix.

  14. Out-of-Plane Strain Effects on Physically Flexible FinFET CMOS

    KAUST Repository

    Ghoneim, Mohamed T.; Alfaraj, Nasir; Torres-Sevilla, Galo A.; Fahad, Hossain M.; Hussain, Muhammad Mustafa

    2016-01-01

    . The devices were fabricated using the state-of-the-art CMOS technology and then transformed into flexible form by using a CMOS-compatible maskless deep reactive-ion etching technique. Mechanical out-of-plane stresses (compressive and tensile) were applied

  15. SFG analysis of the molecular structures at the surfaces and buried interfaces of PECVD ultralow-dielectric constant pSiCOH: Reactive ion etching and dielectric recovery

    Science.gov (United States)

    Myers, John N.; Zhang, Xiaoxian; Huang, Huai; Shobha, Hosadurga; Grill, Alfred; Chen, Zhan

    2017-05-01

    Molecular structures at the surface and buried interface of an amorphous ultralow-k pSiCOH dielectric film were quantitatively characterized before and after reactive ion etching (RIE) and subsequent dielectric repair using sum frequency generation (SFG) vibrational spectroscopy and Auger electron spectroscopy. SFG results indicated that RIE treatment of the pSiCOH film resulted in a depletion of ˜66% of the surface methyl groups and changed the orientation of surface methyl groups from ˜47° to ˜40°. After a dielectric recovery process that followed the RIE treatment, the surface molecular structure was dominated by methyl groups with an orientation of ˜55° and the methyl surface coverage at the repaired surface was 271% relative to the pristine surface. Auger depth profiling indicated that the RIE treatment altered the top ˜25 nm of the film and that the dielectric recovery treatment repaired the top ˜9 nm of the film. Both SFG and Auger profiling results indicated that the buried SiCNH/pSiCOH interface was not affected by the RIE or the dielectric recovery process. Beyond characterizing low-k materials, the developed methodology is general and can be used to distinguish and characterize different molecular structures and elemental compositions at the surface, in the bulk, and at the buried interface of many different polymer or organic thin films.

  16. More vertical etch profile using a Faraday cage in plasma etching

    Science.gov (United States)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Ryu, Jung-Hyun; Moon, Sang Heup

    1999-05-01

    Scanning electron microscope images of sidewalls obtained by plasma etching of an SiO2 film with and without a Faraday cage have been compared. When the substrate film is etched in the Faraday cage, faceting is effectively suppressed and the etch profile becomes more vertical regardless of the process conditions. This is because the electric potential in the cage is nearly uniform and therefore distortion of the electric field at the convex corner of a microfeature is prevented. The most vertical etch profile is obtained when the cage is used in fluorocarbon plasmas, where faceting is further suppressed due to the decrease in the chemical sputtering yield and the increase in the radical/ion flux on the substrate.

  17. In-chip microstructures and photonic devices fabricated by nonlinear laser lithography deep inside silicon

    Science.gov (United States)

    Tokel, Onur; Turnalı, Ahmet; Makey, Ghaith; Elahi, Parviz; ćolakoǧlu, Tahir; Ergeçen, Emre; Yavuz, Ã.-zgün; Hübner, René; Zolfaghari Borra, Mona; Pavlov, Ihor; Bek, Alpan; Turan, Raşit; Kesim, Denizhan Koray; Tozburun, Serhat; Ilday, Serim; Ilday, F. Ã.-mer

    2017-10-01

    Silicon is an excellent material for microelectronics and integrated photonics1-3, with untapped potential for mid-infrared optics4. Despite broad recognition of the importance of the third dimension5,6, current lithography methods do not allow the fabrication of photonic devices and functional microelements directly inside silicon chips. Even relatively simple curved geometries cannot be realized with techniques like reactive ion etching. Embedded optical elements7, electronic devices and better electronic-photonic integration are lacking8. Here, we demonstrate laser-based fabrication of complex 3D structures deep inside silicon using 1-µm-sized dots and rod-like structures of adjustable length as basic building blocks. The laser-modified Si has an optical index different to that in unmodified parts, enabling the creation of numerous photonic devices. Optionally, these parts can be chemically etched to produce desired 3D shapes. We exemplify a plethora of subsurface—that is, `in-chip'—microstructures for microfluidic cooling of chips, vias, micro-electro-mechanical systems, photovoltaic applications and photonic devices that match or surpass corresponding state-of-the-art device performances.

  18. In-chip microstructures and photonic devices fabricated by nonlinear laser lithography deep inside silicon.

    Science.gov (United States)

    Tokel, Onur; Turnali, Ahmet; Makey, Ghaith; Elahi, Parviz; Çolakoğlu, Tahir; Ergeçen, Emre; Yavuz, Özgün; Hübner, René; Borra, Mona Zolfaghari; Pavlov, Ihor; Bek, Alpan; Turan, Raşit; Kesim, Denizhan Koray; Tozburun, Serhat; Ilday, Serim; Ilday, F Ömer

    2017-10-01

    Silicon is an excellent material for microelectronics and integrated photonics1-3 with untapped potential for mid-IR optics4. Despite broad recognition of the importance of the third dimension5,6, current lithography methods do not allow fabrication of photonic devices and functional microelements directly inside silicon chips. Even relatively simple curved geometries cannot be realised with techniques like reactive ion etching. Embedded optical elements, like in glass7, electronic devices, and better electronic-photonic integration are lacking8. Here, we demonstrate laser-based fabrication of complex 3D structures deep inside silicon using 1 µm-sized dots and rod-like structures of adjustable length as basic building blocks. The laser-modified Si has a different optical index than unmodified parts, which enables numerous photonic devices. Optionally, these parts are chemically etched to produce desired 3D shapes. We exemplify a plethora of subsurface, i.e. , " in-chip" microstructures for microfluidic cooling of chips, vias, MEMS, photovoltaic applications and photonic devices that match or surpass the corresponding state-of-the-art device performances.

  19. Coupled chemical reactions in dynamic nanometric confinement: Ag2O membrane formation during ion track etching

    Czech Academy of Sciences Publication Activity Database

    Hernandez, G. M.; Cruz, S. A.; Quintero, R.; Arellano, H. G.; Fink, Dietmar; Alfonta, L.; Mandabi, Y.; Kiv, A.; Vacík, Jiří

    2013-01-01

    Roč. 168, č. 9 (2013), s. 675-695 ISSN 1042-0150 Institutional support: RVO:61389005 Keywords : track * polymers * etching * chemistry * ions * nanostructure Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 0.603, year: 2013

  20. Imaging the interphase of carbon fiber composites using transmission electron microscopy: Preparations by focused ion beam, ion beam etching, and ultramicrotomy

    Directory of Open Access Journals (Sweden)

    Wu Qing

    2015-10-01

    Full Text Available Three sample preparation techniques, focused ion beam (FIB, ion beam (IB etching, and ultramicrotomy (UM were used in comparison to analyze the interphase of carbon fiber/epoxy composites using transmission electron microscopy. An intact interphase with a relatively uniform thickness was obtained by FIB, and detailed chemical analysis of the interphase was investigated by electron energy loss spectroscopy. It shows that the interphase region is 200 nm wide with an increasing oxygen-to-carbon ratio from 10% to 19% and an almost constant nitrogen-to-carbon ratio of about 3%. However, gallium implantation of FIB tends to hinder fine structure analysis of the interphase. For IB etching, the interphase region is observed with transition morphology from amorphous resin to nano-crystalline carbon fiber, but the uneven sample thickness brings difficulty for quantitative chemical analysis. Moreover, UM tends to cause damage and/or deformation on the interphase. These results are meaningful for in-depth understanding on the interphase characteristic of carbon fiber composites.

  1. Effects of hard mask etch on final topography of advanced phase shift masks

    Science.gov (United States)

    Hortenbach, Olga; Rolff, Haiko; Lajn, Alexander; Baessler, Martin

    2017-07-01

    Continuous shrinking of the semiconductor device dimensions demands steady improvements of the lithographic resolution on wafer level. These requirements challenge the photomask industry to further improve the mask quality in all relevant printing characteristics. In this paper topography of the Phase Shift Masks (PSM) was investigated. Effects of hard mask etch on phase shift uniformity and mask absorber profile were studied. Design of experiments method (DoE) was used for the process optimization, whereas gas composition, bias power of the hard mask main etch and bias power of the over-etch were varied. In addition, influence of the over-etch time was examined at the end of the experiment. Absorber depth uniformity, sidewall angle (SWA), reactive ion etch lag (RIE lag) and through pitch (TP) dependence were analyzed. Measurements were performed by means of Atomic-force microscopy (AFM) using critical dimension (CD) mode with a boot-shaped tip. Scanning electron microscope (SEM) cross-section images were prepared to verify the profile quality. Finally CD analysis was performed to confirm the optimal etch conditions. Significant dependence of the absorber SWA on hard mask (HM) etch conditions was observed revealing an improvement potential for the mask absorber profile. It was found that hard mask etch can leave a depth footprint in the absorber layer. Thus, the etch depth uniformity of hard mask etch is crucial for achieving a uniform phase shift over the active mask area. The optimized hard mask etch process results in significantly improved mask topography without deterioration of tight CD specifications.

  2. Evaluation of different polymers for fast neutron personnel dosimetry using electrochemical etching

    International Nuclear Information System (INIS)

    Gammage, R.B.; Cotter, S.J.

    1977-01-01

    There is considerable optimism for the enhancement by electrochemical etching of fast neutron-induced recoil tracks in polycarbonate for the purpose of personnel dosimetry. The threshold energy, however, is rather high. A desirable improvement would be to lower this energy below 1 MeV. With this objective in mind, we have commenced an investigation of cellulose acetate, triacetate, and acetobutyrate in addition to polycarbonate. These cellulose derivatives are chemically more reactive and physically weaker than polycarbonate. It might, therefore, be possible to initiate the electrochemical amplification at the sites of shorter recoil atom damage tracks than is possible with polycarbonate. Some characteristics important for electrochemically etching in aqueous electrolytes are listed. Chemical etching is combined with treeing, an electrical breakdown process that starts when the dielectric strength is exceeded. These mechanical and electrical properties pertain to the dry plastics. The absorption of water molecules and electrolyte ions will cause these values to be reduced. Results and conclusions of the study are presented

  3. Microfabricated hollow microneedle array using ICP etcher

    Science.gov (United States)

    Ji, Jing; Tay, Francis E. H.; Miao, Jianmin

    2006-04-01

    This paper presents a developed process for fabrication of hollow silicon microneedle arrays. The inner hollow hole and the fluidic reservoir are fabricated in deep reactive ion etching. The profile of outside needles is achieved by the developed fabrication process, which combined isotropic etching and anisotropic etching with inductively coupled plasma (ICP) etcher. Using the combination of SF6/O2 isotropic etching chemistry and Bosch process, the high aspect ratio 3D and high density microneedle arrays are fabricated. The generated needle external geometry can be controlled by etching variables in the isotropic and anisotropic cases.

  4. Microfabricated hollow microneedle array using ICP etcher

    International Nuclear Information System (INIS)

    Ji Jing; Tay, Francis E H; Miao Jianmin

    2006-01-01

    This paper presents a developed process for fabrication of hollow silicon microneedle arrays. The inner hollow hole and the fluidic reservoir are fabricated in deep reactive ion etching. The profile of outside needles is achieved by the developed fabrication process, which combined isotropic etching and anisotropic etching with inductively coupled plasma (ICP) etcher. Using the combination of SF 6 /O 2 isotropic etching chemistry and Bosch process, the high aspect ratio 3D and high density microneedle arrays are fabricated. The generated needle external geometry can be controlled by etching variables in the isotropic and anisotropic cases

  5. Microfabricated hollow microneedle array using ICP etcher

    Energy Technology Data Exchange (ETDEWEB)

    Ji Jing [Mechanical Engineering National University of Singapore, 119260, Singapore (Singapore); Tay, Francis E H [Mechanical Engineering National University of Singapore, 119260, Singapore (Singapore); Miao Jianmin [MicroMachines Center, School of Mechanical and Aerospace Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore)

    2006-04-01

    This paper presents a developed process for fabrication of hollow silicon microneedle arrays. The inner hollow hole and the fluidic reservoir are fabricated in deep reactive ion etching. The profile of outside needles is achieved by the developed fabrication process, which combined isotropic etching and anisotropic etching with inductively coupled plasma (ICP) etcher. Using the combination of SF{sub 6}/O{sub 2} isotropic etching chemistry and Bosch process, the high aspect ratio 3D and high density microneedle arrays are fabricated. The generated needle external geometry can be controlled by etching variables in the isotropic and anisotropic cases.

  6. Track profile and range studies of heavy and light ions in CR-39: effect of thermal pre-treatment on etching characteristics

    International Nuclear Information System (INIS)

    Al-Najjar, S.A.R.; Bull, R.K.; Durrani, S.A.

    1982-01-01

    Sheets of CR 39 have been irradiated with 20 Ne, 32 S, 40 Ar, 56 Fe and 63 Cu ions at normal incidence to the surface of the sheets and at normal incidence to the edges. The profiles of the etched tracks have been observed side-on and measurements made of the variation of V (the ratio of track to bulk etching velocities) along the tracks and of the fully etched range of the tracks. Heating the plastic at 100 0 C prior to etching produces zones within the plastic having different bulk etching rates Vsub(B). An outer zone of low Vsub(B) is followed by a zone of much higher Vsub(B). This allows tracks to be readily etched to very large diameters, comparable in size to the breakdown spots produced by electrochemical etching. (author)

  7. The effect of micro nano multi-scale structures on the surface wettability

    International Nuclear Information System (INIS)

    Lee, Sang Min; Jung, Im Deok; Ko, Jong Soo

    2008-01-01

    Surface wettability in terms of the size of the micro nano structures has been examined. To evaluate the influence of the nano structures on the contact angles, we fabricated two different kinds of structures: square-pillar-type microstructure with nano-protrusions and without nano-protrusions. Microstructure and nanostructure arrays were fabricated by Deep Reactive Ion Etching (DRIE) and Reactive Ion Etching (RIE) processes, respectively. And Plasma Polymerized FluoroCarbon (PPFC) was finally deposited onto the fabricated structures. Average value of the measured contact angles from microstructures with nano-protrusions was 6.37 .deg. higher than that from microstructures without nano-protrusions. This result indicates that the nano-protrusions give a crucial effect to increase the contact angle

  8. Transmission-enabled fiber Fabry-Perot cavity based on a deeply etched slotted micromirror.

    Science.gov (United States)

    Othman, Muhammad A; Sabry, Yasser M; Sadek, Mohamed; Nassar, Ismail M; Khalil, Diaa A

    2018-06-01

    In this work, we report the analysis, fabrication, and characterization of an optical cavity built using a Bragg-coated fiber (BCF) mirror and a metal-coated microelectromechanical systems (MEMS) slotted micromirror, where the latter allows transmission output from the cavity. Theoretical modeling, using Fourier optics analysis for the cavity response based on tracing the propagation of light back and forth between the mirrors, is presented. Detailed simulation analysis is carried out for the spectral response of the cavity under different design conditions. MEMS chips of the slotted micromirror are fabricated using deep reactive ion etching of a silicon-on-insulator substrate with different device-etching depths of 150 μm and 80 μm with aluminum and gold metal coating, respectively. The cavity is characterized as an optical filter using a BCF with reflectivity that is larger than 95% in a 300 nm range across the E-band and the L-band. Versatile filter characteristics were obtained for different values of the MEMS micromirror slit width and cavity length. A free spectral range (FSR) of about 33 nm and a quality factor of about 196 were obtained for a 5.5 μm width aluminum slit, while an FSR of about 148 nm and a quality factor of about 148 were obtained for a 1.5 μm width gold slit. The presented structure opens the door for wide spectral response transmission-type MEMS filters.

  9. Influence factors on etching rate of PET nuclear pore membrane

    International Nuclear Information System (INIS)

    Zuo Zhenzhong; Wu Zhendong; Liang Haiying; Ju Wei; Chen Dongfeng; Fu Yuanyong; Qu Guopu

    2014-01-01

    Background: The nuclear pore membrane is a kind of liquid filtration material manufactured by irradiation and chemical etching. Various conditions in etch process have a great influence on etch rate. Purpose: The influence factors of concentration and temperature of etch solution and the irradiation energy of heavy ions on etch rate was studied. Methods: Four layers of PET (polyethylene terephthalate) films were stacked together and were irradiated with 140-MeV 32 S ions at room temperature under vacuum conditions. Utilizing conductivity measurement technique, the electrical current changes through the u:radiated PET film were monitored during etching, from which the breakthrough time and therefore the track etching rate was calculated. Results: The results show that there is an exponential correlation between etch rate and temperature, and a linear correlation between etch rate and concentration. The track etching rate increases linearly with energy loss rate. Empirical formula for the bulk etching rate as a function of etchant concentration and temperature was also established via fitting of measurements. Conclusion: It is concluded that by using 1.6-MeV·u -1 32 S ions, PET nuclear pore membrane with cylindrical pore shape can be prepared at 85℃ with etchant concentration of l mol·L -1 . (authors)

  10. Fabrication of high aspect ratio TiO2 and Al2O3 nanogratings by atomic layer deposition

    DEFF Research Database (Denmark)

    Shkondin, Evgeniy; Takayama, Osamu; Michael-Lindhard, Jonas

    2016-01-01

    The authors report on the fabrication of TiO2 and Al2O3 nanostructured gratings with an aspect ratio of up to 50. The gratings were made by a combination of atomic layer deposition (ALD) and dry etch techniques. The workflow included fabrication of a Si template using deep reactive ion etching...... spectroscopy. The approach presented opens the possibility to fabricate high quality optical metamaterials and functional nanostructures....

  11. Comprehensive Study of SF_6/O_2 Plasma Etching for Mc-Silicon Solar Cells

    International Nuclear Information System (INIS)

    Li Tao; Zhou Chun-Lan; Wang Wen-Jing

    2016-01-01

    The mask-free SF_6/O_2 plasma etching technique is used to produce surface texturization of mc-silicon solar cells for efficient light trapping in this work. The SEM images and mc-silicon etching rate show the influence of plasma power, SF_6/O_2 flow ratios and etching time on textured surface. With the acidic-texturing samples as a reference, the reflection and IQE spectra are obtained under different experimental conditions. The IQE spectrum measurement shows an evident increase in the visible and infrared responses. By using the optimized plasma power, SF_6/O_2 flow ratios and etching time, the optimal efficiency of 15.7% on 50 × 50 mm"2 reactive ion etching textured mc-silicon silicon solar cells is achieved, mostly due to the improvement in the short-circuit current density. The corresponding open-circuit voltage, short-circuit current density and fill factor are 611 mV, 33.6 mA/cm"2, 76.5%, respectively. It is believed that such a low-cost and high-performance texturization process is promising for large-scale industrial silicon solar cell manufacturing. (paper)

  12. Effects of pillar height and junction depth on the performance of radially doped silicon pillar arrays for solar energy applications

    NARCIS (Netherlands)

    Elbersen, R.; Vijselaar, Wouter Jan, Cornelis; Tiggelaar, Roald M.; Gardeniers, Johannes G.E.; Huskens, Jurriaan

    2016-01-01

    The effects of pillar height and junction depth on solar cell characteristics are investigated to provide design rules for arrays of such pillars in solar energy applications. Radially doped silicon pillar arrays are fabricated by deep reactive ion etching of silicon substrates followed by the

  13. High aspect ratio MEMS capacitor for high frequency impedance matching applications

    DEFF Research Database (Denmark)

    Yalcinkaya, Arda Deniz; Jensen, Søren; Hansen, Ole

    2003-01-01

    We present a microelectromechanical tunable capacitor with a low control voltage, a wide tuning range and adequate electrical quality factor. The device is fabricated in a single-crystalline silicon layer using deep reactive ion etching (DRIE) for obtaining high-aspect ratio (> 20) parallel comb...

  14. A model of chemical etching of olivine in the vicinity of the trajectory of a swift heavy ion

    Energy Technology Data Exchange (ETDEWEB)

    Gorbunov, S.A., E-mail: s.a.gorbunov@mail.ru [Lebedev Physical Institute of the Russian Academy of Sciences, Leninskij pr. 53, 119991 Moscow (Russian Federation); Rymzhanov, R.A. [Joint Institute for Nuclear Research, Joliot-Curie 6, 141980 Dubna, Moscow Region (Russian Federation); Starkov, N.I. [Lebedev Physical Institute of the Russian Academy of Sciences, Leninskij pr. 53, 119991 Moscow (Russian Federation); Volkov, A.E. [Lebedev Physical Institute of the Russian Academy of Sciences, Leninskij pr. 53, 119991 Moscow (Russian Federation); Joint Institute for Nuclear Research, Joliot-Curie 6, 141980 Dubna, Moscow Region (Russian Federation); National Research Centre ‘Kurchatov Institute’, Kurchatov Sq. 1, 123182 Moscow (Russian Federation); Malakhov, A.I. [Joint Institute for Nuclear Research, Joliot-Curie 6, 141980 Dubna, Moscow Region (Russian Federation)

    2015-12-15

    Searching of superheavy elements, the charge spectra of heavy nuclei in Galactic Cosmic Rays was investigated within the OLYMPIA experiment using the database of etched ion tracks in meteorite olivine. Etching results in the formation of hollow syringe-like channels with diameters of 1–10 μm along the trajectories of these swift heavy ions (SHI). According to the activated complex theory, the local chemical activity is determined by an increase of the specific Gibbs energy of the lattice stimulated by structure transformations, long-range elastic fields, and interatomic bonds breaking generated in the vicinity of the ion trajectory. To determine the dependencies of the Gibbs free energy increase in SHI tracks in olivine on the mass, energy and charge of a projectile, we apply a multiscale model of excitation and relaxation of materials in the vicinity of the SHI trajectory (SHI tracks). Effect of spreading of fast electrons from the ion trajectory causing neutralization of metallic atoms resulting in an increase of the chemical activity of olivine at long distances from the ion trajectory (up to 5 μm) is estimated and discussed.

  15. Fabrication of silicon molds for polymer optics

    DEFF Research Database (Denmark)

    Nilsson, Daniel; Jensen, Søren; Menon, Aric Kumaran

    2003-01-01

    A silicon mold used for structuring polymer microcavities for optical applications is fabricated, using a combination of DRIE (deep reactive ion etching) and anisotropic chemical wet etching with KOH + IPA. For polymer optical microcavities, low surface roughness and vertical sidewalls are often ...... and KOH + IPA etch have been optimized. To reduce stiction between the silicon mold and the polymers used for molding, the mold is coated with a teflon-like material using the DRIE system. Released polymer microstructures characterized with AFM and SEM are also presented....

  16. Compact Submillimeter-Wave Receivers Made with Semiconductor Nano-Fabrication Technologies

    Science.gov (United States)

    Jung, C.; Thomas, B.; Lee, C.; Peralta, A.; Chattopadhyay, G.; Gill, J.; Cooper, K.; Mehdi, I.

    2011-01-01

    Advanced semiconductor nanofabrication techniques are utilized to design, fabricate and demonstrate a super-compact, low-mass (<10 grams) submillimeter-wave heterodyne front-end. RF elements such as waveguides and channels are fabricated in a silicon wafer substrate using deep-reactive ion etching (DRIE). Etched patterns with sidewalls angles controlled with 1 deg precision are reported, while maintaining a surface roughness of better than 20 nm rms for the etched structures. This approach is being developed to build compact 2-D imaging arrays in the THz frequency range.

  17. Three-Dimensional Simulation of DRIE Process Based on the Narrow Band Level Set and Monte Carlo Method

    Directory of Open Access Journals (Sweden)

    Jia-Cheng Yu

    2018-02-01

    Full Text Available A three-dimensional topography simulation of deep reactive ion etching (DRIE is developed based on the narrow band level set method for surface evolution and Monte Carlo method for flux distribution. The advanced level set method is implemented to simulate the time-related movements of etched surface. In the meanwhile, accelerated by ray tracing algorithm, the Monte Carlo method incorporates all dominant physical and chemical mechanisms such as ion-enhanced etching, ballistic transport, ion scattering, and sidewall passivation. The modified models of charged particles and neutral particles are epitomized to determine the contributions of etching rate. The effects such as scalloping effect and lag effect are investigated in simulations and experiments. Besides, the quantitative analyses are conducted to measure the simulation error. Finally, this simulator will be served as an accurate prediction tool for some MEMS fabrications.

  18. Technology and applications of broad-beam ion sources used in sputtering. Part II. Applications

    International Nuclear Information System (INIS)

    Harper, J.M.E.; Cuomo, J.J.; Kaufman, H.R.

    1982-01-01

    The developments in broad-beam ion source technology described in the companion paper (Part I) have stimulated a rapid expansion in applications to materials processing. These applications are reviewed here, beginning with a summary of sputtering mechanisms. Next, etching applications are described, including microfabrication and reactive ion beam etching. The developing area of surface layer applications is summarized, and related to the existing fields of oxidation and implantation. Next, deposition applications are reviewed, including ion-beam sputter deposition and the emerging technique of ion-assisted vapor deposition. Many of these applications have been stimulated by the development of high current ion sources operating in the energy range of tens of hundreds of eV. It is in this energy range that ion-activated chemical etching is efficient, self-limiting compound layers can be grown, and the physical properties of vapor-deposited films can be modified. In each of these areas, broad ion beam technology provides a link between other large area plasma processes and surface analytical techniques using ion beams

  19. The Chlamydomonas cell wall and its constituent glycoproteins analyzed by the quick-freeze, deep-etch technique

    OpenAIRE

    1985-01-01

    Using the quick-freeze, deep-etch technique, we have analyzed the structure of the intact cell wall of Chlamydomonas reinhardi, and have visualized its component glycoproteins after mechanical shearing and after depolymerization induced by perchlorate or by the wall-disrupting agent, autolysin. The intact wall has previously been shown in a thin- section study (Roberts, K., M. Gurney-Smith, and G. J. Hills, 1972, J. Ultrastruct. Res. 40:599-613) to consist of a discrete central triplet bisect...

  20. Characterization of plasma etching damage on p-type GaN using Schottky diodes

    International Nuclear Information System (INIS)

    Kato, M.; Mikamo, K.; Ichimura, M.; Kanechika, M.; Ishiguro, O.; Kachi, T.

    2008-01-01

    The plasma etching damage in p-type GaN has been characterized. From current-voltage and capacitance-voltage characteristics of Schottky diodes, it was revealed that inductively coupled plasma (ICP) etching causes an increase in series resistance of the Schottky diodes and compensation of acceptors in p-type GaN. We investigated deep levels near the valence band of p-type GaN using current deep level transient spectroscopy (DLTS), and no deep level originating from the ICP etching damage was observed. On the other hand, by capacitance DLTS measurements for n-type GaN, we observed an increase in concentration of a donor-type defect with an activation energy of 0.25 eV after the ICP etching. The origin of this defect would be due to nitrogen vacancies. We also observed this defect by photocapacitance measurements for ICP-etched p-type GaN. For both n- and p-type GaN, we found that the low bias power ICP etching is effective to reduce the concentration of this defect introduced by the high bias power ICP etching

  1. Enhanced Etching, Surface Damage Recovery, and Submicron Patterning of Hybrid Perovskites using a Chemically Gas-Assisted Focused-Ion Beam for Subwavelength Grating Photonic Applications

    KAUST Repository

    Alias, Mohd Sharizal; Yang, Yang; Ng, Tien Khee; Dursun, Ibrahim; Shi, Dong; Saidaminov, Makhsud I.; Priante, Davide; Bakr, Osman; Ooi, Boon S.

    2015-01-01

    is challenging, particularly for patterning. Here, we report the direct patterning of perovskites using chemically gas-assisted focused-ion beam (GAFIB) etching with XeF2 and I2 precursors. We demonstrate etching enhancement in addition to controllability

  2. Roughness generation during Si etching in Cl{sub 2} pulsed plasma

    Energy Technology Data Exchange (ETDEWEB)

    Mourey, Odile; Petit-Etienne, Camille; Cunge, Gilles, E-mail: gilles.cunge@cea.fr; Darnon, Maxime; Despiau-Pujo, Emilie; Brichon, Paulin; Lattu-Romain, Eddy; Pons, Michel; Joubert, Olivier [Univ. Grenoble Alpes, CNRS, CEA-Leti Minatec, LTM, F-38054 Grenoble Cedex (France)

    2016-07-15

    Pulsed plasmas are promising candidates to go beyond limitations of continuous waves' plasma. However, their interaction with surfaces remains poorly understood. The authors investigated the silicon etching mechanism in inductively coupled plasma (ICP) Cl{sub 2} operated either in an ICP-pulsed mode or in a bias-pulsed mode (in which only the bias power is pulsed). The authors observed systematically the development of an important surface roughness at a low duty cycle. By using plasma diagnostics, they show that the roughness is correlated to an anomalously large (Cl atoms flux)/(energetic ion flux) ratio in the pulsed mode. The rational is that the Cl atom flux is not modulated on the timescale of the plasma pulses although the ion fluxes and energy are modulated. As a result, a very strong surface chlorination occurs during the OFF period when the surface is not exposed to energetic ions. Therefore, each energetic ion in the ON period will bombard a heavily chlorinated silicon surface, leading to anomalously high etching yield. In the ICP pulsed mode (in which the ion energy is high), the authors report yields as high as 40, which mean that each individual ion impacts will generate a “crater” of about 2 nm depth at the surface. Since the ion flux is very small in the pulsed ICP mode, this process is stochastic and is responsible for the roughness initiation. The roughness expansion can then be attributed partly to the ion channeling effect and is probably enhanced by the formation of a SiClx reactive layer with nonhomogeneous thickness over the topography of the surface. This phenomenon could be a serious limitation of pulsed plasma processes.

  3. Novel approaches for low-cost through-silicon vias

    NARCIS (Netherlands)

    Bullema, J.E.; Bressers, P.; Oosterhuis, G.; Mueller, M.; Huis in 't veld, A.J.; Roozeboom, F.

    2011-01-01

    3D stacking of integrated circuits is an emerging packaging technology to enable a high degree of functional integration and miniaturization. Footprint reduction in 3D stacking can be achieved by use of Through Silicon Vias (TSV). Creation of TSVs with Deep Reactive Ion Etching (DRIE), laser

  4. Polarizing beam splitter of deep-etched triangular-groove fused-silica gratings.

    Science.gov (United States)

    Zheng, Jiangjun; Zhou, Changhe; Feng, Jijun; Wang, Bo

    2008-07-15

    We investigated the use of a deep-etched fused-silica grating with triangular-shaped grooves as a highly efficient polarizing beam splitter (PBS). A triangular-groove PBS grating is designed at a wavelength of 1550 nm to be used in optical communication. When it is illuminated in Littrow mounting, the transmitted TE- and TM-polarized waves are mainly diffracted in the minus-first and zeroth orders, respectively. The design condition is based on the average differences of the grating mode indices, which is verified by using rigorous coupled-wave analysis. The designed PBS grating is highly efficient over the C+L band range for both TE and TM polarizations (>97.68%). It is shown that such a triangular-groove PBS grating can exhibit a higher diffraction efficiency, a larger extinction ratio, and less reflection loss than the binary-phase fused-silica PBS grating.

  5. The influence of diffusion of fluorine compounds for silicon lateral etching

    Energy Technology Data Exchange (ETDEWEB)

    Verdonck, Patrick; Goodyear, Alec; Braithwaite, Nicholas St.John

    2004-07-01

    In an earlier study, it was proposed that long-range surface transport of fluorine atoms could precede the eventual binding to a silicon atom. The rate of binding increases if the silicon is bombarded with high energy ions. In this study, the lateral etching of a silicon layer, sandwiched between two silicon dioxide layers, was studied in order to investigate and extend these hypotheses. The under etching of the silicon layer was higher for wafers which suffered ion bombardment, showing that this mechanism is important even for horizontal etching. At the same time, the thickness of the silicon layer was varied. In all cases, the thinner silicon layer etched much faster then the thicker layer, indicating that fluorine surface transport is much more important than re-emission for these processes. The etch rate increase with ion bombardment can be explained by the fact that part of the energy of the incoming ions is transferred to the fluorine compounds which are on the horizontal surfaces and that ion bombardment enhances the fluorine surface transport.

  6. Dry etching of thin chalcogenide films

    Energy Technology Data Exchange (ETDEWEB)

    Petkov, Kiril [Acad. J. Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl. 109, 1113 Sofia (Bulgaria); Vassilev, Gergo; Vassilev, Venceslav, E-mail: kpetkov@clf.bas.b [Department of Semiconductors, University of Chemical Technology and Metallurgy, 8 Kl. Ohridsky Blvd., 1756 Sofia (Bulgaria)

    2010-04-01

    Fluorocarbon plasmas (pure and mixtures with Ar) were used to investigate the changes in the etching rate depending on the chalcogenide glasses composition and light exposure. The experiments were performed on modified commercial HZM-4 vacuum equipment in a diode electrode configuration. The surface microstructure of thin chalcogenide layers and its change after etching in CCl{sub 2}F{sub 2} and CF{sub 4} plasmas were studied by SEM. The dependence of the composition of As-S-Ge, As-Se and multicomponent Ge-Se-Sb-Ag-I layers on the etching rate was discussed. The selective etching of some glasses observed after light exposure opens opportunities for deep structure processing applications.

  7. Development of Functional Surfaces on High-Density Polyethylene (HDPE) via Gas-Assisted Etching (GAE) Using Focused Ion Beams.

    Science.gov (United States)

    Sezen, Meltem; Bakan, Feray

    2015-12-01

    Irradiation damage, caused by the use of beams in electron and ion microscopes, leads to undesired physical/chemical material property changes or uncontrollable modification of structures. Particularly, soft matter such as polymers or biological materials is highly susceptible and very much prone to react on electron/ion beam irradiation. Nevertheless, it is possible to turn degradation-dependent physical/chemical changes from negative to positive use when materials are intentionally exposed to beams. Especially, controllable surface modification allows tuning of surface properties for targeted purposes and thus provides the use of ultimate materials and their systems at the micro/nanoscale for creating functional surfaces. In this work, XeF2 and I2 gases were used in the focused ion beam scanning electron microscope instrument in combination with gallium ion etching of high-density polyethylene surfaces with different beam currents and accordingly different gas exposure times resulting at the same ion dose to optimize and develop new polymer surface properties and to create functional polymer surfaces. Alterations in the surface morphologies and surface chemistry due to gas-assisted etching-based nanostructuring with various processing parameters were tracked using high-resolution SEM imaging, complementary energy-dispersive spectroscopic analyses, and atomic force microscopic investigations.

  8. 3D memory: etch is the new litho

    Science.gov (United States)

    Petti, Christopher

    2018-03-01

    This paper discusses the process challenges and limitations for 3D NAND processes, focusing on vertical 3D architectures. The effect of deep memory hole etches on die cost is calculated, with die cost showing a minimum at a given number of layers because of aspect-ratio dependent etch effects. Techniques to mitigate these etch effects are summarized, as are other etch issues, such as bowing and twisting. Metal replacement gate processes and their challenges are also described. Lastly, future directions of vertical 3D NAND technologies are explored.

  9. Ion transport by gating voltage to nanopores produced via metal-assisted chemical etching method

    Science.gov (United States)

    Van Toan, Nguyen; Inomata, Naoki; Toda, Masaya; Ono, Takahito

    2018-05-01

    In this work, we report a simple and low-cost way to create nanopores that can be employed for various applications in nanofluidics. Nano sized Ag particles in the range from 1 to 20 nm are formed on a silicon substrate with a de-wetting method. Then the silicon nanopores with an approximate 15 nm average diameter and 200 μm height are successfully produced by the metal-assisted chemical etching method. In addition, electrically driven ion transport in the nanopores is demonstrated for nanofluidic applications. Ion transport through the nanopores is observed and could be controlled by an application of a gating voltage to the nanopores.

  10. Cryogenic rf test of the first SRF cavity etched in an rf Ar/Cl2 plasma

    Science.gov (United States)

    Upadhyay, J.; Palczewski, A.; Popović, S.; Valente-Feliciano, A.-M.; Im, Do; Phillips, H. L.; Vušković, L.

    2017-12-01

    An apparatus and a method for etching of the inner surfaces of superconducting radio frequency (SRF) accelerator cavities are described. The apparatus is based on the reactive ion etching performed in an Ar/Cl2 cylindrical capacitive discharge with reversed asymmetry. To test the effect of the plasma etching on the cavity rf performance, a 1497 MHz single cell SRF cavity was used. The single cell cavity was mechanically polished and buffer chemically etched and then rf tested at cryogenic temperatures to provide a baseline characterization. The cavity's inner wall was then exposed to the capacitive discharge in a mixture of Argon and Chlorine. The inner wall acted as the grounded electrode, while kept at elevated temperature. The processing was accomplished by axially moving the dc-biased, corrugated inner electrode and the gas flow inlet in a step-wise manner to establish a sequence of longitudinally segmented discharges. The cavity was then tested in a standard vertical test stand at cryogenic temperatures. The rf tests and surface condition results, including the electron field emission elimination, are presented.

  11. Cryogenic rf test of the first SRF cavity etched in an rf Ar/Cl2 plasma

    Directory of Open Access Journals (Sweden)

    J. Upadhyay

    2017-12-01

    Full Text Available An apparatus and a method for etching of the inner surfaces of superconducting radio frequency (SRF accelerator cavities are described. The apparatus is based on the reactive ion etching performed in an Ar/Cl2 cylindrical capacitive discharge with reversed asymmetry. To test the effect of the plasma etching on the cavity rf performance, a 1497 MHz single cell SRF cavity was used. The single cell cavity was mechanically polished and buffer chemically etched and then rf tested at cryogenic temperatures to provide a baseline characterization. The cavity’s inner wall was then exposed to the capacitive discharge in a mixture of Argon and Chlorine. The inner wall acted as the grounded electrode, while kept at elevated temperature. The processing was accomplished by axially moving the dc-biased, corrugated inner electrode and the gas flow inlet in a step-wise manner to establish a sequence of longitudinally segmented discharges. The cavity was then tested in a standard vertical test stand at cryogenic temperatures. The rf tests and surface condition results, including the electron field emission elimination, are presented.

  12. Saddle-fin cell transistors with oxide etch rate control by using tilted ion implantation (TIS-fin) for sub-50-nm DRAMs

    International Nuclear Information System (INIS)

    Yoo, Min Soo; Choi, Kang Sik; Sun, Woo Kyung

    2010-01-01

    As DRAM cell pitch size decreases, the need for a high performance transistor is increasing. Though saddle-fin (S-fin) transistors have superior characteristics, S-fin transistors are well known to be more sensitive to process variation. To make uniform S-fin transistors, for the first time, we developed a new fin formation method using tilted ion implantation along the wordline direction after a recess gate etch. Due to the increased etch rate of the oxide film by ion implantation damage, fins are made at the bottom channel of the recess gate after wet etching. The resulting tilt implanted saddle-fin (TIS-fin) transistor has remarkably improved characteristics, such as ∼8% subthreshold swing (SS) and a 40% drain induced barrier lowering (DIBL) decrease. Especially, the TIS-fin with a neutral dopant has a reduced threshold voltage (Vth) variation within a wafer (<100 mV), which is comparable with that of a mass-produced sphere-shaped recessed channel array transistor (SRCAT).

  13. Enhanced Etching, Surface Damage Recovery, and Submicron Patterning of Hybrid Perovskites using a Chemically Gas-Assisted Focused-Ion Beam for Subwavelength Grating Photonic Applications

    KAUST Repository

    Alias, Mohd Sharizal

    2015-12-22

    The high optical gain and absorption of organic–inorganic hybrid perovskites have attracted attention for photonic device applications. However, owing to the sensitivity of organic moieties to solvents and temperature, device processing is challenging, particularly for patterning. Here, we report the direct patterning of perovskites using chemically gas-assisted focused-ion beam (GAFIB) etching with XeF2 and I2 precursors. We demonstrate etching enhancement in addition to controllability and marginal surface damage compared to focused-ion beam (FIB) etching without precursors. Utilizing the GAFIB etching, we fabricated a uniform and periodic submicron perovskite subwavelength grating (SWG) absorber with broadband absorption and nanoscale precision. Our results demonstrate the use of FIB as a submicron patterning tool and a means of providing surface treatment (after FIB patterning to minimize optical loss) for perovskite photonic nanostructures. The SWG absorber can be patterned on perovskite solar cells to enhance the device efficiency through increasing light trapping and absorption.

  14. Fragmentation and reactivity of energy-selected ferrocenium ions

    International Nuclear Information System (INIS)

    Mestdagh, H.; Dutuit, O.; Heninger, M.; Thissen, R.; Alcaraz, C.

    2002-01-01

    In this study, results concerning the discussion of state-selected ferrocenium ions (c-C 5 H 5 ) 2 Fe + commonly called Cp 2 Fe + , as well as their reactions with methanol and ethanol are presented. Parent ions Cp 2 Fe + were produced by vacuumultraviolett (VUV) photoionization of neutral ferrocene using synchrotron radiation, and selected in internal energy by threshold photoelectron-photoion coincidences. The apparatus is divided into three differentially pumped regions: the source, the reaction and the detection zones. In source, state-selected parent ions are formed and can be selected in mass by a first quadrupole filter. State-selected ions are then injected in the second zone which is a RF octopole ion guide where reaction product ions are mass analyzed by a second quadrupole filter and detected by microchannelplates. In addition, the long flight time in the octopoles (several hundreds of microseconds) allows studying long-lived metastable ions. Total mass spectra were recorded at different photon energies, in addition to the main CpFe + and Fe + fragments, several minor fragments were detected such as C 10 H 10 + which reflects the formation of a C-C bond between the two Cp ligands. Losses of CH 3 , C 2 H 2 and C-4H 4 also indicate that important structure rearrangements take place before cleavage. The appearance energies of each mass-selected fragment ion were measured by recording fragment ion yields as a function of photon energy. Surprisingly, all fragments were found to have the same energy onset, i.e. 13.2 eV photon energy, except for C 3 H 3 Fe + (m/z 95). For Fe + ions, a sharp increase was observed at 17 eV, above the thermochemical onset of Fe + + 2 Cp. The 13.2 eV appearance energy of Fe + is thus assigned to the formation of Fe - + C 10 H 10 . The reactivity of ferrocenium ion with methanol and ethanol was investigated as a function of photon energy. While no reaction occurs at lower photon energies, several reaction products appear at 13.0 e

  15. Sensors and Micromachined Devices for the Automotive and New Markets: The Delphi Delco Electronics MEMS Story.

    Science.gov (United States)

    Logsdon, James

    2002-03-01

    This presentation will provide a brief history of the development of MEMS products and technology, beginning with the manifold absolute pressure sensor in the late seventies through the current variety of Delphi Delco Electronics sensors available today. The technology development of micromachining from uncompensated P plus etch stops to deep reactive ion etching and the technology development of wafer level packaging from electrostatic bonding to glass frit sealing and silicon to silicon direct bonding will be reviewed.

  16. Low Voltage, High-Q SOI MEMS Varactors for RF Applications

    DEFF Research Database (Denmark)

    Yalcinkaya, Arda Deniz; Jensen, Søren; Hansen, Ole

    2003-01-01

    A micro electromechanical tunable capacitor with a low control voltage, a wide tuning range and high electrical quality factor is presented with detailed characterizations. A 50μm thick single-crystalline silicon layer was etched using deep reactive ion etching (DRIE) for obtaining high-aspect ra...... is a suitable passive component to be used in band-pass filtering, voltage controlled oscillator or impedance matching applications on the very high frequency(VHF) and ultra high frequency (UHF) bands....

  17. Developments in broad-beam, ion-source technology and applications

    International Nuclear Information System (INIS)

    Kaufman, H.R.; Harper, J.M.E.; Cuomo, J.J.

    1982-01-01

    Recent advances in broad-beam, ion-source technology are summarized, including low-energy ion optics, improved extraction grid fabrication, a compact ion-source design and a gridless ion-source design. Recent applications have emphasized concepts such as stress modification of vapor deposited films, very low energy ion beams to minimize the physical sputtering portion in reactive etching, and the use of multiple sources and targets to sputter deposit alloys and compounds. A comprehensive critical review by the same authors appears concurrently, describing in detail the developments in broad-beam, ion-source technology 1 and the applications of these sources. 2

  18. Graphite surface topography induced by Ta cluster impact and oxidative etching

    International Nuclear Information System (INIS)

    Reimann, C.T.; Olsson, L.; Erlandsson, R.; Henkel, M.; Urbassek, H.M.

    1998-01-01

    Freshly cleaved highly oriented pyrolytic graphite (HOPG), when baked in air at ∝630 C, forms one-monolayer(ML)-deep circular pits due to oxidation initiated at surface defect sites. We found that the areal density and depths of these pits could be modulated by deliberately introducing surface and sub-surface defects by energetic ion bombardment prior to baking. Bombardment by 555-eV/atom Ta 1 + , Ta 2 + , Ta 4 + , or Ta 9 + , always enhanced the areal density of etch pits, but only bombardment by Ta 4 + , or Ta 9 + significantly enhanced the depths of the pits. We performed molecular dynamics simulations of Ta n cluster bombardment of HOPG (n = 1, 2, 4, and 9) with the aim of characterizing the damage structures induced by the bombardment and correlating them with the experimental data. For Ta 9 + , the simulations showed a high level of damage extending from the surface down to nine MLs, in agreement with the most probable etch pit depth observed. For other cluster species, predicted etch pit depths were deeper than the observed ones. Annealing or steric requirements for initiating oxidation may account for some of the differences between simulations and experimental results. (orig.)

  19. Etch induction time in cellulose nitrate: a new particle identification parameter

    International Nuclear Information System (INIS)

    Ruddy, F.H.; Knowles, H.B.; Luckstead, S.C.; Tripard, G.E.

    1977-01-01

    By the use of a 'continuous etch' method, it has been ascertained that particle tracks do not appear in cellulose nitrate track detectors until a certain finite time after etch has been started: this etch induction time may provide a unique signal for distinguishing ions of different atomic number, Z, and possibly also resolving the mass, M, of such ions. Empirical relations between etch induction time and various experimental quantities are described, as is a simple theory of the cause of etch induction time, which can be related to experimental evidence on hand. There is reason to believe that etch induction time appears in other types of plastic track detectors and may indeed be a general phenomenon in all track detectors. (Auth.)

  20. Laser etching as an alternative

    International Nuclear Information System (INIS)

    Dreyfus, R.W.; Kelly, R.

    1989-01-01

    Atoms and molecules are removed from surfaces by intense laser beams. This fact has been known almost since the discovery of the laser. Within the present overall area of interest, namely understanding ion-beam-induced sputtering, it is equally important both to contrast laser etching to ion sputtering and to understand the underlying physics taking place during laser etching. Beyond some initial broad observations, the specific discussion is limited to, and aimed at, two areas: (i) short wavelength, UV, laser-pulse effects and (ii) energy fluences sufficiently small that only monolayers (and not microns) of material are removed per pulse. 38 refs.; 13 figs.; 5 tabs

  1. Determination of the depth of an etch pit through studies of diffraction rings

    Energy Technology Data Exchange (ETDEWEB)

    Basu, B. [Department of Physics, Bose Institute, 93/1 APC Road, Kolkata 700 009 (India); Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India); Dey, S.; Maulik, A. [Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India); Raha, Sibaji [Department of Physics, Bose Institute, 93/1 APC Road, Kolkata 700 009 (India); Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India); Saha, S. [Nuclear and Atomic Physics Division, Saha Institute of Nuclear Physics, Kolkata 700 064 (India); Saha, Swapan K. [Department of Physics, Bose Institute, 93/1 APC Road, Kolkata 700 009 (India); Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India)], E-mail: swapan@bosemain.boseinst.ac.in; Syam, D. [Department of Physics, Presidency College, Kolkata 700 073 (India)

    2009-04-15

    A Solid State Nuclear Track Detector (SSNTD) can be used to identify an impinging ion as well as to determine the energy of that ion. The track of the ion is made visible by chemically 'etching' the detector after exposure. By finding out the ratio of the track-etch rate (V{sub t}) to the bulk-etch rate (V{sub g}), together with the range of the ion in the detector, the identity and the energy of the ion can be ascertained. The required measurements can be conveniently made with the help of a microscope when the angle of incidence of the ion, with respect to the normal direction to the detector surface, is more than 15 deg.. For normal or near normal incidence, uncertainties plague the measurement of the depth of the etch pit and hence the range of the particle. Through this article we wish to suggest an alternative method of assessment, based on the observation of diffraction rings, of the depth of an etch pit.

  2. Sputtering yields and surface chemical modification of tin-doped indium oxide in hydrocarbon-based plasma etching

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hu; Karahashi, Kazuhiro; Hamaguchi, Satoshi, E-mail: hamaguch@ppl.eng.osaka-u.ac.jp [Center for Atomic and Molecular Technologies, Osaka University, Yamadaoka 2-1, Suita 565-0871 (Japan); Fukasawa, Masanaga; Nagahata, Kazunori; Tatsumi, Tetsuya [Device and Material R& D Group, RDS Platform, Sony Corporation, Kanagawa 243-0014 (Japan)

    2015-11-15

    Sputtering yields and surface chemical compositions of tin-doped indium oxide (or indium tin oxide, ITO) by CH{sup +}, CH{sub 3}{sup +}, and inert-gas ion (He{sup +}, Ne{sup +}, and Ar{sup +}) incidence have been obtained experimentally with the use of a mass-selected ion beam system and in-situ x-ray photoelectron spectroscopy. It has been found that etching of ITO is chemically enhanced by energetic incidence of hydrocarbon (CH{sub x}{sup +}) ions. At high incident energy incidence, it appears that carbon of incident ions predominantly reduce indium (In) of ITO and the ITO sputtering yields by CH{sup +} and CH{sub 3}{sup +} ions are found to be essentially equal. At lower incident energy (less than 500 eV or so), however, a hydrogen effect on ITO reduction is more pronounced and the ITO surface is more reduced by CH{sub 3}{sup +} ions than CH{sup +} ions. Although the surface is covered more with metallic In by low-energy incident CH{sub 3}{sup +} ions than CH{sup +} ions and metallic In is in general less resistant against physical sputtering than its oxide, the ITO sputtering yield by incident CH{sub 3}{sup +} ions is found to be lower than that by incident CH{sup +} ions in this energy range. A postulation to account for the relation between the observed sputtering yield and reduction of the ITO surface is also presented. The results presented here offer a better understanding of elementary surface reactions observed in reactive ion etching processes of ITO by hydrocarbon plasmas.

  3. Partially etched Ti3AlC2 as a promising high capacity Lithium-ion battery anode.

    Science.gov (United States)

    Chen, Xifan; Zhu, Yuanzhi; Zhu, Xiaoquan; Peng, Wenchao; Li, Yang; Zhang, Guoliang; Zhang, Fengbao; Fan, Xiaobin

    2018-06-25

    MXenes, a family of two-dimensional transition-metal carbide and nitride materials, are supposed to be the promising materials in energy storage because of the high electronic conductivity, hydrophilic surfaces and low diffusion barriers. MXenes are generally prepared by removing the "A" elements (A = Al, Si, Sn, etc.) from their corresponding MAX phases by using hydrofluoric acid (HF) and the other etching agents, despite the fact that these "A" elements usually have great volumetric and gravimetric capacities. Herein, we studied the etching progress of Ti3AlC2 and evaluated their anode performance in Lithium-ion batteries. We found that a partially etched sample (0.5h-peTi3C2Tx) showed much higher capacity (160 mA h g-1, 331.6 mA h cm-3 at 1C) when compared with the fully etched Ti3C2Tx (110 mA h g-1, 190.3 mA h cm-3 at 1C). Besides, a 99% capacity retention was observed even after 1000 cycles in the 0.5h-peTi3C2Tx anode. This interesting result can be explained, at least in part, by the alloying of the residue Al element during lithiation. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Prediction of plasma-induced damage distribution during silicon nitride etching using advanced three-dimensional voxel model

    Energy Technology Data Exchange (ETDEWEB)

    Kuboi, Nobuyuki, E-mail: Nobuyuki.Kuboi@jp.sony.com; Tatsumi, Tetsuya; Kinoshita, Takashi; Shigetoshi, Takushi; Fukasawa, Masanaga; Komachi, Jun; Ansai, Hisahiro [Device and Material Research Group, RDS Platform, Sony Corporation, 4-14-1 Asahi-cho, Atsugi, Kanagawa 243-0014 (Japan)

    2015-11-15

    The authors modeled SiN film etching with hydrofluorocarbon (CH{sub x}F{sub y}/Ar/O{sub 2}) plasma considering physical (ion bombardment) and chemical reactions in detail, including the reactivity of radicals (C, F, O, N, and H), the area ratio of Si dangling bonds, the outflux of N and H, the dependence of the H/N ratio on the polymer layer, and generation of by-products (HCN, C{sub 2}N{sub 2}, NH, HF, OH, and CH, in addition to CO, CF{sub 2}, SiF{sub 2}, and SiF{sub 4}) as ion assistance process parameters for the first time. The model was consistent with the measured C-F polymer layer thickness, etch rate, and selectivity dependence on process variation for SiN, SiO{sub 2}, and Si film etching. To analyze the three-dimensional (3D) damage distribution affected by the etched profile, the authors developed an advanced 3D voxel model that can predict the time-evolution of the etched profile and damage distribution. The model includes some new concepts for gas transportation in the pattern using a fluid model and the property of voxels called “smart voxels,” which contain details of the history of the etching situation. Using this 3D model, the authors demonstrated metal–oxide–semiconductor field-effect transistor SiN side-wall etching that consisted of the main-etch step with CF{sub 4}/Ar/O{sub 2} plasma and an over-etch step with CH{sub 3}F/Ar/O{sub 2} plasma under the assumption of a realistic process and pattern size. A large amount of Si damage induced by irradiated hydrogen occurred in the source/drain region, a Si recess depth of 5 nm was generated, and the dislocated Si was distributed in a 10 nm deeper region than the Si recess, which was consistent with experimental data for a capacitively coupled plasma. An especially large amount of Si damage was also found at the bottom edge region of the metal–oxide–semiconductor field-effect transistors. Furthermore, our simulation results for bulk fin-type field-effect transistor side-wall etching

  5. Josephson edge junctions on YBa2Cu3O7 thin films prepared with Br-ethanol etching

    International Nuclear Information System (INIS)

    Faley, M.I.; Poppe, U.; Daehne, U.; Goncharov, Yu.G.; Klein, N.; Urban, K.; Soltner, H.

    1993-01-01

    To produce damage-free edges is one of the main problems in the preparation of the Josephson edge-type junctions and interconnects in multilayer structures including high temperature superconductors. The commonly used ion beam etching has the disadvantages of the risk of contamination by redeposited material and structural damage to the surface of the edge. Vasquez et al and Gurvitch et al introduced a nonaqueous Br-ethanol etching for the preparation of clean surfaces of YBa 2 Cu 3 O 7 single crystals and thin films. We have developed a procedure of deep-UV-photolithography combined with nonaqueous Br-ethanol etching for the preparation of the Josephson edge-type junctions. Here we present the improvement of this method and report further results on the study of the electron transport properties of Josephson junctions with the edges of YBa 2 Cu 3 O 7 thin films produced by this technique. (orig.)

  6. Black Silicon formation using dry etching for solar cells applications

    International Nuclear Information System (INIS)

    Murias, D.; Reyes-Betanzo, C.; Moreno, M.; Torres, A.; Itzmoyotl, A.; Ambrosio, R.; Soriano, M.; Lucas, J.; Cabarrocas, P. Roca i

    2012-01-01

    A study on the formation of Black Silicon on crystalline silicon surface using SF 6 /O 2 and SF 6 /O 2 /CH 4 based plasmas in a reactive ion etching (RIE) system is presented. The effect of the RF power, chamber pressure, process time, gas flow rates, and gas mixtures on the texture of silicon surface has been analyzed. Completely Black Silicon surfaces containing pyramid like structures have been obtained, using an optimized mask-free plasma process. Moreover, the Black Silicon surfaces have demonstrated average values of 1% and 4% for specular and diffuse reflectance respectively, feature that is suitable for the fabrication of low cost solar cells.

  7. Ion-Exchange-Induced Selective Etching for the Synthesis of Amino-Functionalized Hollow Mesoporous Silica for Elevated-High-Temperature Fuel Cells.

    Science.gov (United States)

    Zhang, Jin; Liu, Jian; Lu, Shanfu; Zhu, Haijin; Aili, David; De Marco, Roland; Xiang, Yan; Forsyth, Maria; Li, Qingfeng; Jiang, San Ping

    2017-09-20

    As differentiated from conventional synthetic processes, amino-functionalized hollow mesoporous silica (NH 2 -HMS) has been synthesized using a new and facile strategy of ion-exchange-induced selective etching of amino-functionalized mesoporous silica (NH 2 -meso-silica) by an alkaline solution. Nuclear magnetic resonance (NMR) spectroscopy and in situ time-resolved small-angle X-ray scattering (SAXS) reveal that ion-exchange-induced selective etching arises from the gradient distribution of OH - in the NH 2 -meso-silica nanospheres. Moreover, the ion-exchange-induced selective etching mechanism is verified through a successful synthesis of hollow mesoporous silica. After infiltration with phosphotungstic acid (PWA), PWA-NH 2 -HMS nanoparticles are dispersed in the poly(ether sulfone)-polyvinylpyrrolidone (PES-PVP) matrix, forming a hybrid PWA-NH 2 -HMS/PES-PVP nanocomposite membrane. The resultant nanocomposite membrane with an optimum loading of 10 wt % of PWA-NH 2 -HMS showed an enhanced proton conductivity of 0.175 S cm -1 and peak power density of 420 mW cm -2 at 180 °C under anhydrous conditions. Excellent durability of the hybrid composite membrane fuel cell has been demonstrated at 200 °C. The results of this study demonstrated the potential of the facile synthetic strategy in the fabrication of NH 2 -HMS with controlled mesoporous structure for application in nanocomposite membranes as a technology platform for elevated-temperature proton exchange membrane fuel cells.

  8. AlGaN/GaN heterostructures with an AlGaN layer grown directly on reactive-ion-etched GaN showing a high electron mobility (>1300 cm2 V-1 s-1)

    Science.gov (United States)

    Yamamoto, Akio; Makino, Shinya; Kanatani, Keito; Kuzuhara, Masaaki

    2018-04-01

    In this study, the metal-organic-vapor-phase-epitaxial growth behavior and electrical properties of AlGaN/GaN structures prepared by the growth of an AlGaN layer on a reactive-ion-etched (RIE) GaN surface without regrown GaN layers were investigated. The annealing of RIE-GaN surfaces in NH3 + H2 atmosphere, employed immediately before AlGaN growth, was a key process in obtaining a clean GaN surface for AlGaN growth, that is, in obtaining an electron mobility as high as 1350 cm2 V-1 s-1 in a fabricated AlGaN/RIE-GaN structure. High-electron-mobility transistors (HEMTs) were successfully fabricated with AlGaN/RIE-GaN wafers. With decreasing density of dotlike defects observed on the surfaces of AlGaN/RIE-GaN wafers, both two-dimensional electron gas properties of AlGaN/RIE-GaN structures and DC characteristics of HEMTs were markedly improved. Since dotlike defect density was markedly dependent on RIE lot, rather than on growth lot, surface contaminations of GaN during RIE were believed to be responsible for the formation of dotlike defects and, therefore, for the inferior electrical properties.

  9. Angular dependence of Si3N4 etch rates and the etch selectivity of SiO2 to Si3N4 at different bias voltages in a high-density C4F8 plasma

    International Nuclear Information System (INIS)

    Lee, Jin-Kwan; Lee, Gyeo-Re; Min, Jae-Ho; Moon, Sang Heup

    2007-01-01

    The dependence of Si 3 N 4 etch rates and the etch selectivity of SiO 2 to Si 3 N 4 on ion-incident angles was studied for different bias voltages in a high-density C 4 F 8 plasma. A Faraday cage and specially designed substrate holders were used to accurately control the angles of incident ions on the substrate surface. The normalized etch yield (NEY), defined as the etch yield obtained at a given ion-incident angle normalized to that obtained on a horizontal surface, was unaffected by the bias voltage in Si 3 N 4 etching, but it increased with the bias voltage in SiO 2 etching in the range of -100 to -300 V. The NEY changed showing a maximum with an increase in the ion-incident angle in the etching of both substrates. In the Si 3 N 4 etching, a maximum NEY of 1.7 was obtained at 70 deg. in the above bias voltage range. However, an increase in the NEY at high ion-incident angles was smaller for SiO 2 than for Si 3 N 4 and, consequently, the etch selectivity of SiO 2 to Si 3 N 4 decreased with an increase in the ion-incident angle. The etch selectivity decreased to a smaller extent at high bias voltage because the NEY of SiO 2 had increased. The characteristic changes in the NEY for different substrates could be correlated with the thickness of a steady-state fluorocarbon (CF x ) film formed on the substrates

  10. Industrial ion source technology

    Science.gov (United States)

    Kaufman, H. R.; Robinson, R. S.

    1978-01-01

    An analytical model was developed to describe the development of a coned surface texture with ion bombardment and simultaneous deposition of an impurity. A mathematical model of sputter deposition rate from a beveled target was developed in conjuction with the texturing models to provide an important input to that model. The establishment of a general procedure that will allow the treatment of manay different sputtering configurations is outlined. Calculation of cross sections for energetic binary collisions was extened to Ar, Kr.. and Xe with total cross sections for viscosity and diffusion calculated for the interaction energy range from leV to 1000eV. Physical sputtering and reactive ion etching experiments provided experimental data on the operating limits of a broad beam ion source using CF4 as a working gas to produce reactive species in a sputtering beam. Magnetic clustering effects are observed when Al is seeded with Fe and sputtered with Ar(?) ions. Silicon was textured at a micron scale by using a substrate temperature of 600 C.

  11. High-Density Plasma-Induced Etch Damage of GaN

    International Nuclear Information System (INIS)

    Baca, A.G.; Han, J.; Lester, L.F.; Pearton, S.J.; Ren, F.; Shul, R.J.; Willison, C.G.; Zhang, L.; Zolper, J.C.

    1999-01-01

    Anisotropic, smooth etching of the group-III nitrides has been reported at relatively high rates in high-density plasma etch systems. However, such etch results are often obtained under high de-bias and/or high plasma flux conditions where plasma induced damage can be significant. Despite the fact that the group-III nitrides have higher bonding energies than more conventional III-V compounds, plasma-induced etch damage is still a concern. Attempts to minimize such damage by reducing the ion energy or increasing the chemical activity in the plasma often result in a loss of etch rate or anisotropy which significantly limits critical dimensions and reduces the utility of the process for device applications requiring vertical etch profiles. It is therefore necessary to develop plasma etch processes which couple anisotropy for critical dimension and sidewall profile control and high etch rates with low-damage for optimum device performance. In this study we report changes in sheet resistance and contact resistance for n- and p-type GaN samples exposed to an Ar inductively coupled plasma (ICP). In general, plasma-induced damage was more sensitive to ion bombardment energies as compared to plasma flux. In addition, p-GaN was typically more sensitive to plasma-induced damage as compared to n-GaN

  12. Hardening and formation of dislocation structures in LiF crystals irradiated with MeV-GeV ions

    CERN Document Server

    Manika, I; Schwartz, K; Trautmann, C

    2002-01-01

    Material modifications of LiF crystals irradiated with Au, Pb and Bi ions of MeV to GeV energy are studied by means of microindentation measurements and dislocation etching. Above a critical irradiation fluence of 10 sup 9 ions/cm sup 2 , the microhardness can improve by a factor of 2 in the bulk and by more than 3 on the surface. Radiation-induced hardening follows the evolution of the energy loss along the ion path. Annealing experiments indicate that complex defect aggregates created in the tracks play a major role for the hardness change. Evidence for severe structural modifications is found when etching indentation impressions in highly irradiated crystals leading to similar pattern as in amorphous or micro-grained materials. Dislocation etching also reveals long-range stress fields extending far beyond the implantation zone deep into the nonirradiated crystal.

  13. Improved PECVD Si x N y film as a mask layer for deep wet etching of the silicon

    Science.gov (United States)

    Han, Jianqiang; Yin, Yi Jun; Han, Dong; Dong, LiZhen

    2017-09-01

    Although plasma enhanced chemical vapor deposition (PECVD) silicon nitride (Si x N y ) films have been extensively investigated by many researchers, requirements of film properties vary from device to device. For some applications utilizing Si x N y film as the mask Layer for deep wet etching of the silicon, it is very desirable to obtain a high quality film. In this study, Si x N y films were deposited on silicon substrates by PECVD technique from the mixtures of NH3 and 5% SiH4 diluted in Ar. The deposition temperature and RF power were fixed at 400 °C and 20 W, respectively. By adjusting the SiH4/NH3 flow ratio, Si x N y films of different compositions were deposited on silicon wafers. The stoichiometry, residual stress, etch rate in 1:50 HF, BHF solution and 40% KOH solution of deposited Si x N y films were measured. The experimental results show that the optimum SiH4/NH3 flow ratio at which deposited Si x N y films can perfectly protect the polysilicon resistors on the front side of wafers during KOH etching is between 1.63 and 2.24 under the given temperature and RF power. Polysilicon resistors protected by the Si x N y films can withstand 6 h 40% KOH double-side etching at 80 °C. At the range of SiH4/NH3 flow ratios, the Si/N atom ratio of films ranges from 0.645 to 0.702, which slightly deviate the ideal stoichiometric ratio of LPCVD Si3N4 film. In addition, the silicon nitride films with the best protection effect are not the films of minimum etch rate in KOH solution.

  14. Grafting on nuclear tracks using the active sites that remain after the etching process

    International Nuclear Information System (INIS)

    Mazzei, R.; Bermudez, G. Garcia; Chappa, V.C.; Grosso, M.F. del; Fernandez, A.

    2006-01-01

    Poly(propylene) foils were irradiated with Ag ions and then chemically etched to produce samples with structured surfaces. After the etching procedure the active sites that remain on the latent track were used to graft acrylic acid. Nuclear tracks before grafting were visualised using a transmission electron microscope. The grafting yields were determined by weight measurements as a function of ion fluence, etching and grafting time, and were also analysed using Fourier transform infrared spectroscopy. Both measurements suggest that the acrylic acid was grafted on etched tracks using the active sites produced by the swift heavy ion beam

  15. Grafting on nuclear tracks using the active sites that remain after the etching process

    Energy Technology Data Exchange (ETDEWEB)

    Mazzei, R. [Unidad de Aplicaciones Tecnologicas y Agropecuarias, CNEA, 1429 Buenos Aires (Argentina) and Universidad Tecnologica Nacional, Buenos Aires (Argentina)]. E-mail: mazzei@cae.cnea.gov.ar; Bermudez, G. Garcia [U. A. de Fisica, Tandar, CNEA, 1429 Buenos Aires (Argentina); Escuela de Ciencia y Tecnologia, UNSAM, 1653 Buenos Aires (Argentina); Consejo Nacional de Investigaciones Cientificas y Tecnicas (Argentina); Chappa, V.C. [U. A. de Fisica, Tandar, CNEA, 1429 Buenos Aires (Argentina); Grosso, M.F. del [U. A. de Fisica, Tandar, CNEA, 1429 Buenos Aires (Argentina); U. A. de Materiales, CNEA, 1429 Buenos Aires (Argentina); Fernandez, A. [Universidad Tecnologica Nacional, Buenos Aires (Argentina)

    2006-09-15

    Poly(propylene) foils were irradiated with Ag ions and then chemically etched to produce samples with structured surfaces. After the etching procedure the active sites that remain on the latent track were used to graft acrylic acid. Nuclear tracks before grafting were visualised using a transmission electron microscope. The grafting yields were determined by weight measurements as a function of ion fluence, etching and grafting time, and were also analysed using Fourier transform infrared spectroscopy. Both measurements suggest that the acrylic acid was grafted on etched tracks using the active sites produced by the swift heavy ion beam.

  16. Prediction of etching-shape anomaly due to distortion of ion sheath around a large-scale three-dimensional structure by means of on-wafer monitoring technique and computer simulation

    International Nuclear Information System (INIS)

    Kubota, Tomohiro; Ohtake, Hiroto; Araki, Ryosuke; Yanagisawa, Yuuki; Samukawa, Seiji; Iwasaki, Takuya; Ono, Kohei; Miwa, Kazuhiro

    2013-01-01

    A system for predicting distortion of a profile during plasma etching was developed. The system consists of a combination of measurement and simulation. An ‘on-wafer sheath-shape sensor’ for measuring the plasma-sheath parameters (sheath potential and thickness) on the stage of the plasma etcher was developed. The sensor has numerous small electrodes for measuring sheath potential and saturation ion-current density, from which sheath thickness can be calculated. The results of the measurement show reasonable dependence on source power, bias power and pressure. Based on self-consistent calculation of potential distribution and ion- and electron-density distributions, simulation of the sheath potential distribution around an arbitrary 3D structure and the trajectory of incident ions from the plasma to the structure was developed. To confirm the validity of the distortion prediction by comparing it with experimentally measured distortion, silicon trench etching under chlorine inductively coupled plasma (ICP) was performed using a sample with a vertical step. It was found that the etched trench was distorted when the distance from the step was several millimetres or less. The distortion angle was about 20° at maximum. Measurement was performed using the on-wafer sheath-shape sensor in the same plasma condition as the etching. The ion incident angle, calculated as a function of distance from the step, successfully reproduced the experimentally measured angle, indicating that the combination of measurement by the on-wafer sheath-shape sensor and simulation can predict distortion of an etched structure. This prediction system will be useful for designing devices with large-scale 3D structures (such as those in MEMS) and determining the optimum etching conditions to obtain the desired profiles. (paper)

  17. Plasma etching of electrospun polymeric nanofibres

    Energy Technology Data Exchange (ETDEWEB)

    Verdonck, Patrick [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil)]. E-mail: verdonck@imec.be; Braga Caliope, Priscila [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Moral Hernandez, Emilio del [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Silva, Ana Neilde R. da [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); FATEC-SP, Pca Fernando Prestes, 30 Sao Paulo, SP (Brazil)

    2006-10-25

    Electrospun polymeric nanofibres have several applications because of their high surface area to volume and high length to diameter ratios. This paper investigates the influence of plasma etching on these fibres and the etching mechanisms. For the characterization, SEM analysis was performed to determine the forms and shapes of the fibres and SEM photos were analysed by the technique of mathematical morphology, in order to determine the area on the sample occupied by the fibres and the frequency distribution of the nanofibre diameters. The results showed that the oxygen plasma etches the nanofibres much faster when ion bombardment is present. The form of the fibres is not altered by the etching, indicating the possibility of transport of oxygen atoms over the fibre surface. The most frequent diameter, somewhat surprisingly, is not significantly dependent on the etching process, and remains of the order of 80 nm, indicating that fibres with smaller diameters are etched at high rates.

  18. Plasma etching of niobium-SiO/sub x/ layers

    International Nuclear Information System (INIS)

    Schelle, D.; Tiller, H.J.

    1986-01-01

    CF 4 -plasma etching of niobium and SiO/sub x/ layers has been investigated in a r.f. diode reactor. Etch rates increase linearly with increasing power density and also increase with pressure. The etch rate ratio can be changed using different etch gases or operating in different plasma modes (PE or IEPE). Changing from the ion enhanced plasma etching mode (IEPE) to plasma etching mode (PE) the etch rate ratio is changing by a factor of ten. On the basis of etch rate dependences on process parametes and thermodynamic data it has been suggested the generation of fluorine radicals as the rate limiting step. A general etching model has been proposed, which explains qualitatively and quantitatively (on account of data from literature) the measured results. (author)

  19. Functionalization of nanochannels by radio-induced grafting polymerization on PET track-etched membranes

    International Nuclear Information System (INIS)

    Soto Espinoza, S.L.; Arbeitman, C.R.; Clochard, M.C.; Grasselli, M.

    2014-01-01

    The application of swift-heavy ion bombardment to polymers is a well-established technique to manufacture micro- and nanopores onto polymeric films to obtain porous membranes. A few years ago, it was realized that, during ion bombardment, the high energy deposition along the ion path through the polymer reached cylindrical damage regions corresponding to the core trace and the penumbra. After the etching procedure, there are still enough active sites left in the penumbra that can be used to initiate a polymerization process selectively inside the membrane pores. In this study, we report the grafting polymerization of glycidyl methacrylate onto etched PET foils to obtain functionalized nanochannels. Grafted polymers were labeled with a fluorescent tag and analyzed by different fluorescence techniques such as direct fluorescence, fluorescence microscopy and confocal microscopy. These techniques allowed identifying and quantifying the grafted regions on the polymeric foils. - Highlights: • Irradiated PET foils with swift-heavy ions were etched and grafted in a step-by-step process. • Grafting polymerization was performed on the remaining active sites after etching. • Track-etched PET membranes were fluorescently labeled by chemical functionalization. • Functionalized track-etched PET membranes were analyzed by fluorescence and confocal microscopy

  20. SOI silicon on glass for optical MEMS

    DEFF Research Database (Denmark)

    Larsen, Kristian Pontoppidan; Ravnkilde, Jan Tue; Hansen, Ole

    2003-01-01

    and a final sealing at the interconnects can be performed using a suitable polymer. Packaged MEMS on glass are advantageous within Optical MEMS and for sensitive capacitive devices. We report on experiences with bonding SOI to Pyrex. Uniform DRIE shallow and deep etching was achieved by a combination......A newly developed fabrication method for fabrication of single crystalline Si (SCS) components on glass, utilizing Deep Reactive Ion Etching (DRIE) of a Silicon On Insulator (SOI) wafer is presented. The devices are packaged at wafer level in a glass-silicon-glass (GSG) stack by anodic bonding...... of an optimized device layout and an optimized process recipe. The behavior of the buried oxide membrane when used as an etch stop for the through-hole etch is described. No harmful buckling or fracture of the membrane is observed for an oxide thickness below 1 μm, but larger and more fragile released structures...

  1. Etching method employing radiation

    International Nuclear Information System (INIS)

    Chapman, B.N.; Winters, H.F.

    1982-01-01

    This invention provides a method for etching a silicon oxide, carbide, nitride, or oxynitride surface using an electron or ion beam in the presence of a xenon or krypton fluoride. No additional steps are required after exposure to radiation

  2. Novel single-cell mega-size chambers for electrochemical etching of panorama position-sensitive polycarbonate ion image detectors

    Science.gov (United States)

    Sohrabi, Mehdi

    2017-11-01

    A novel development is made here by inventing panorama single-cell mega-size electrochemical etching (MS-ECE) chamber systems for processing panorama position-sensitive mega-size polycarbonate ion image detectors (MS-PCIDs) of potential for many neutron and ion detection applications in particular hydrogen ions or proton tracks and images detected for the first time in polycarbonates in this study. The MS-PCID is simply a large polycarbonate sheet of a desired size. The single-cell MS-ECE invented consists of two large equally sized transparent Plexiglas sheets as chamber walls holding a MS-PCID and the ECE chamber components tightly together. One wall has a large flat stainless steel electrode (dry cell) attached to it which is directly in contact with the MS-PCID and the other wall has a rod electrode with two holes to facilitate feeding and draining out the etching solution from the wet cell. A silicon rubber washer plays the role of the wet cell to hold the etchant and the electrical insulator to isolate the dry cell from the wet cell. A simple 50 Hz-HV home-made generator provides an adequate field strength through the two electrodes across the MS-ECE chamber. Two panorama single-cell MS-ECE chamber systems (circular and rectangular shapes) constructed were efficiently applied to processing the MS-PCIDs for 4π ion emission image detection of different gases in particular hydrogen ions or protons in a 3.5 kJ plasma focus device (PFD as uniquely observed by the unaided eyes). The panorama MS-PCID/MS-ECE image detection systems invented are novel with high potential for many applications in particular as applied to 4π panorama ion emission angular distribution image detection studies in PFD space, some results of which are presented and discussed.

  3. Effects of gas-flow structures on radical and etch-product density distributions on wafers in magnetomicrowave plasma etching reactors

    International Nuclear Information System (INIS)

    Ikegawa, Masato; Kobayashi, Jun'ichi; Fukuyama, Ryoji

    2001-01-01

    To achieve high etch rate, uniformity, good selectivity, and etch profile control across large diameter wafers, the distributions of ions, radicals, and etch products in magnetomicrowave high-etch-rate plasma etching reactors must be accurately controlled. In this work the effects of chamber heights, a focus ring around the wafer, and gas supply structures (or gas flow structures) on the radicals and etch products flux distribution onto the wafer were examined using the direct simulation Monte Carlo method and used to determine the optimal reactor geometry. The pressure uniformity on the wafer was less than ±1% when the chamber height was taller than 60 mm. The focus ring around the wafer produced uniform radical and etch-product fluxes but increased the etch-product flux on the wafer. A downward-flow gas-supply structure (type II) produced a more uniform radical distribution than that produced by a radial gas-supply structure (type I). The impact flow of the type II structure removed etch products from the wafer effectively and produced a uniform etch-product distribution even without the focus ring. Thus the downward-flow gas-supply structure (type II) was adopted in the design for the second-generation of a magnetomicrowave plasma etching reactor with a higher etching rate

  4. Deep and tapered silicon photonic crystals for achieving anti-reflection and enhanced absorption.

    Science.gov (United States)

    Hung, Yung-Jr; Lee, San-Liang; Coldren, Larry A

    2010-03-29

    Tapered silicon photonic crystals (PhCs) with smooth sidewalls are realized using a novel single-step deep reactive ion etching. The PhCs can significantly reduce the surface reflection over the wavelength range between the ultra-violet and near-infrared regions. From the measurements using a spectrophotometer and an angle-variable spectroscopic ellipsometer, the sub-wavelength periodic structure can provide a broad and angular-independent antireflective window in the visible region for the TE-polarized light. The PhCs with tapered rods can further reduce the reflection due to a gradually changed effective index. On the other hand, strong optical resonances for TM-mode can be found in this structure, which is mainly due to the existence of full photonic bandgaps inside the material. Such resonance can enhance the optical absorption inside the silicon PhCs due to its increased optical paths. With the help of both antireflective and absorption-enhanced characteristics in this structure, the PhCs can be used for various applications.

  5. Growth and morphological analysis of segmented AuAg alloy nanowires created by pulsed electrodeposition in ion-track etched membranes

    Directory of Open Access Journals (Sweden)

    Ina Schubert

    2015-06-01

    Full Text Available Background: Multicomponent heterostructure nanowires and nanogaps are of great interest for applications in sensorics. Pulsed electrodeposition in ion-track etched polymer templates is a suitable method to synthesise segmented nanowires with segments consisting of two different types of materials. For a well-controlled synthesis process, detailed analysis of the deposition parameters and the size-distribution of the segmented wires is crucial.Results: The fabrication of electrodeposited AuAg alloy nanowires and segmented Au-rich/Ag-rich/Au-rich nanowires with controlled composition and segment length in ion-track etched polymer templates was developed. Detailed analysis by cyclic voltammetry in ion-track membranes, energy-dispersive X-ray spectroscopy and scanning electron microscopy was performed to determine the dependency between the chosen potential and the segment composition. Additionally, we have dissolved the middle Ag-rich segments in order to create small nanogaps with controlled gap sizes. Annealing of the created structures allows us to influence their morphology.Conclusion: AuAg alloy nanowires, segmented wires and nanogaps with controlled composition and size can be synthesised by electrodeposition in membranes, and are ideal model systems for investigation of surface plasmons.

  6. In-plane deeply-etched optical MEMS notch filter with high-speed tunability

    International Nuclear Information System (INIS)

    Sabry, Yasser M; Eltagoury, Yomna M; Shebl, Ahmed; Khalil, Diaa; Soliman, Mostafa; Sadek, Mohamed

    2015-01-01

    Notch filters are used in spectroscopy, multi-photon microscopy, fluorescence instrumentation, optical sensors and other life science applications. One type of notch filter is based on a fiber-coupled Fabry–Pérot cavity, which is formed by a reflector (external mirror) facing a dielectric-coated end of an optical fiber. Tailoring this kind of optical filter for different applications is possible because the external mirror has fewer mechanical and optical constraints. In this paper we present optical modeling and implementation of a fiber-coupled Fabry–Pérot filter based on dielectric-coated optical fiber inserted into a micromachined fiber groove facing a metallized micromirror, which is driven by a high-speed MEMS actuator. The optical MEMS chip is fabricated using deep reactive ion etching (DRIE) technology on a silicon on insulator wafer, where the optical axis is parallel to the substrate (in-plane) and the optical/mechanical components are self-aligned by the photolithographic process. The DRIE etching depth is 150 μm, chosen to increase the micromirror optical throughput and improving the out-of-plane stiffness of the MEMS actuator. The MEMS actuator type is closing-gap, while its quality factor is almost doubled by slotting the fixed plate. A low-finesse Fabry–Pérot interferometer is formed by the metallized surface of the micromirror and a cleaved end of a standard single-mode fiber, for characterization of the MEMS actuator stroke and resonance frequency. The actuator achieves a travel distance of 800 nm at a resonance frequency of 89.9 kHz. The notch filter characteristics were measured using an optical spectrum analyzer, and the filter exhibits a free spectral range up to 100 nm and a notch rejection ratio up to 20 dB around a wavelength of 1300 nm. The presented device provides batch processing and low-cost production of the filter. (paper)

  7. Damage related deep electron levels in ion implanted GaAs

    International Nuclear Information System (INIS)

    Allsopp, D.W.E.; Peaker, A.R.

    1986-01-01

    A study has been made of the deep electron levels in semi-insulating GaAs implanted with either 78 Se + or 29 Si + ions and rendered n-type by subsequent annealing without encapsulation in partial pressures of arsenic or arsine. Three implantation related deep states were detected with concentration profiles approximating to the type of Gaussian distributions expected for point defects related to ion implantation damage. Further heat treatment of the samples at 500 0 C in a gas ambient of U 2 /H 2 substantially reduced concentration of these deep levels. Two of these states were thought to be related to displacements of the substrate atoms. The third, at Esubc -0.67 eV, was found in only 78 Se + ion implanted GaAs substrates and was thought to be a defect involving both Se and As atoms, rather than intrinsic lattice disorder. It is proposed that the annealing rate of these implantation related deep levels depends crucially on the in-diffusion of arsenic vacancies during heat treatments. (author)

  8. Deep-etched sinusoidal polarizing beam splitter grating.

    Science.gov (United States)

    Feng, Jijun; Zhou, Changhe; Cao, Hongchao; Lv, Peng

    2010-04-01

    A sinusoidal-shaped fused-silica grating as a highly efficient polarizing beam splitter (PBS) is investigated based on the simplified modal method. The grating structure depends mainly on the ratio of groove depth to grating period and the ratio of incident wavelength to grating period. These ratios can be used as a guideline for the grating design at different wavelengths. A sinusoidal-groove PBS grating is designed at a wavelength of 1310 nm under Littrow mounting, and the transmitted TM and TE polarized waves are mainly diffracted into the zeroth order and the -1st order, respectively. The grating profile is optimized by using rigorous coupled-wave analysis. The designed PBS grating is highly efficient (>95.98%) over the O-band wavelength range (1260-1360 nm) for both TE and TM polarizations. The sinusoidal grating can exhibit higher diffraction efficiency, larger extinction ratio, and less reflection loss than the rectangular-groove PBS grating. By applying wet etching technology on the rectangular grating, which was manufactured by holographic recording and inductively coupled plasma etching technology, the sinusoidal grating can be approximately fabricated. Experimental results are in agreement with theoretical values.

  9. Etching and oxidation of InAs in planar inductively coupled plasma

    Energy Technology Data Exchange (ETDEWEB)

    Dultsev, F.N., E-mail: fdultsev@thermo.isp.nsc.ru [Institute of Semiconductor Physics SB RAS, Lavrentiev av. 13, Novosibirsk 630090 (Russian Federation); Kesler, V.G. [Institute of Semiconductor Physics SB RAS, Lavrentiev av. 13, Novosibirsk 630090 (Russian Federation)

    2009-10-15

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH{sub 4}/H{sub 2}/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  10. Etching and oxidation of InAs in planar inductively coupled plasma

    Science.gov (United States)

    Dultsev, F. N.; Kesler, V. G.

    2009-10-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4/H 2/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  11. Etching and oxidation of InAs in planar inductively coupled plasma

    International Nuclear Information System (INIS)

    Dultsev, F.N.; Kesler, V.G.

    2009-01-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4 /H 2 /Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  12. Guided transmission of 3 keV Ne sup 7 sup + ions through nanocapillaries etched in a PET polymer

    CERN Document Server

    Stolterfoht, N; Hellhammer, R; Pesic, Z D; Fink, D; Petrov, A; Sulik, B

    2003-01-01

    We measured the transmission of 3 keV Ne sup 7 sup + ions through capillaries of 100 nm diameter and 10 mu m length produced by etching ion tracks in a polyethylene terephthalate polymer foil. The foils were tilted up to +-25 deg. for which the incident ions are forced to interact with the capillary surface. The majority of Ne sup 7 sup + ions were found to survive the transmission in their initial charge state. For tilted foils the angular distributions of the transmitted particles indicate propagation of the Ne sup 7 sup + ions parallel to the capillary axis. This capillary guiding of the Ne sup 7 sup + ion provides evidence that part of the ions deposit charges within the capillaries in a self-organizing process so that a considerable fraction of the ions is transmitted through the capillaries. A non-linear model is introduced to describe the essential features of the capillary guiding.

  13. Aerosol chemistry in Titan's ionosphere: simultaneous growth and etching processes

    Science.gov (United States)

    Carrasco, Nathalie; Cernogora, Guy; Jomard, François; Etcheberry, Arnaud; Vigneron, Jackie

    2016-10-01

    Since the Cassini-CAPS measurements, organic aerosols are known to be present and formed at high altitudes in the diluted and partially ionized medium that is Titan's ionosphere [1]. This unexpected chemistry can be further investigated in the laboratory with plasma experiments simulating the complex ion-neutral chemistry starting from N2-CH4 [2]. Two sorts of solid organic samples can be produced in laboratory experiments simulating Titan's atmospheric reactivity: grains in the volume and thin films on the reactor walls. We expect that grains are more representative of Titan's atmospheric aerosols, but films are used to provide optical indices for radiative models of Titan's atmosphere.The aim of the present study is to address if these two sorts of analogues are chemically equivalent or not, when produced in the same N2-CH4 plasma discharge. The chemical compositions of both these materials are measured by using elemental analysis, XPS analysis and Secondary Ion Mass Spectrometry. We find that films are homogeneous but significantly less rich in nitrogen and hydrogen than grains produced in the same experimental conditions. This surprising difference in their chemical compositions is explained by the efficient etching occurring on the films, which stay in the discharge during the whole plasma duration, whereas the grains are ejected after a few minutes [3]. The impact for our understanding of Titan's aerosols chemical composition is important. Our study shows that chemical growth and etching process are simultaneously at stake in Titan's ionosphere. The more the aerosols stay in the ionosphere, the more graphitized they get through etching process. In order to infer Titan's aerosols composition, our work highlights a need for constraints on the residence time of aerosols in Titan's ionosphere. [1] Waite et al. (2009) Science , 316, p. 870[2] Szopa et al. (2006) PSS, 54, p. 394[3] Carrasco et al. (2016) PSS, 128, p. 52

  14. Scanning ion deep level transient spectroscopy: I. Theory

    International Nuclear Information System (INIS)

    Laird, J S; Jagadish, C; Jamieson, D N; Legge, G J F

    2006-01-01

    Theoretical aspects of a new technique for the MeV ion microbeam are described in detail for the first time. The basis of the technique, termed scanning ion deep level transient spectroscopy (SIDLTS), is the imaging of defect distributions within semiconductor devices. The principles of SIDLTS are similar to those behind other deep level transient spectroscopy (DLTS) techniques with the main difference stemming from the injection of carriers into traps using the localized energy-loss of a focused MeV ion beam. Energy-loss of an MeV ion generates an electron-hole pair plasma, providing the equivalent of a DLTS trap filling pulse with a duration which depends on space-charge screening of the applied electric field and ambipolar erosion of the plasma for short ranging ions. Some nanoseconds later, the detrapping current transient is monitored as a charge transient. Scanning the beam in conjunction with transient analysis allows the imaging of defect levels. As with DLTS, the temperature dependence of the transient can be used to extract trap activation levels. In this, the first of a two-part paper, we introduce the various stages of corner capture and derive a simple expression for the observed charge transient. The second paper will illustrate the technique on a MeV ion implanted Au-Si Schottky junction

  15. Reactive Fe(II) layers in deep-sea sediments

    Science.gov (United States)

    König, Iris; Haeckel, Matthias; Drodt, Matthias; Suess, Erwin; Trautwein, Alfred X.

    1999-05-01

    The percentage of the structural Fe(II) in clay minerals that is readily oxidized to Fe(III) upon contact with atmospheric oxygen was determined across the downcore tan-green color change in Peru Basin sediments. This latent fraction of reactive Fe(II) was only found in the green strata, where it proved to be large enough to constitute a deep reaction layer with respect to the pore water O 2 and NO 3-. Large variations were detected in the proportion of the reactive Fe(II) concentration to the organic matter content along core profiles. Hence, the commonly observed tan-green color change in marine sediments marks the top of a reactive Fe(II) layer, which may represent the major barrier to the movement of oxidation fronts in pelagic subsurface sediments. This is also demonstrated by numerical model simulations. The findings imply that geochemical barriers to pore water oxidation fronts form diagenetically in the sea floor wherever the stage of iron reduction is reached, provided that the sediments contain a significant amount of structural iron in clay minerals.

  16. A simple process to achieve microchannels geometries able to produce hydrodynamic cavitation

    Science.gov (United States)

    Qiu, X.; Cherief, W.; Colombet, D.; Ayela, F.

    2017-04-01

    We present a simple process to perform microchannels in which cavitating two phase flows are easily producible. Up to now, hydrodynamic cavitation ‘on a chip’ was reached with small flow rates inside microchannels whose micromachining had involved a deep reactive ion etching (D-RIE). The process we present here does not require a D-RIE reactor, as it is only funded on a wet etching of silicon. It leads to a so-called microstep profile, and large cavitating flow rates become possible together with moderate pressure drops.

  17. A simple process to achieve microchannels geometries able to produce hydrodynamic cavitation

    International Nuclear Information System (INIS)

    Qiu, X; Cherief, W; Colombet, D; Ayela, F

    2017-01-01

    We present a simple process to perform microchannels in which cavitating two phase flows are easily producible. Up to now, hydrodynamic cavitation ‘on a chip’ was reached with small flow rates inside microchannels whose micromachining had involved a deep reactive ion etching (D-RIE). The process we present here does not require a D-RIE reactor, as it is only funded on a wet etching of silicon. It leads to a so-called microstep profile, and large cavitating flow rates become possible together with moderate pressure drops. (technical note)

  18. Silicon-Based Technology for Integrated Waveguides and mm-Wave Systems

    DEFF Research Database (Denmark)

    Jovanovic, Vladimir; Gentile, Gennaro; Dekker, Ronald

    2015-01-01

    IC processing is used to develop technology for silicon-filled millimeter-wave-integrated waveguides. The front-end process defines critical waveguide sections and enables integration of dedicated components, such as RF capacitors and resistors. Wafer gluing is used to strengthen the mechanical...... support and deep reactive-ion etching forms the waveguide bulk with smooth and nearly vertical sidewalls. Aluminum metallization covers the etched sidewalls, fully enclosing the waveguides in metal from all sides. Waveguides are fabricated with a rectangular cross section of 560 μm x 280 μm. The measured...

  19. Dissipative phenomena in deep inelastic heavy ion collisions

    International Nuclear Information System (INIS)

    Gross, D.H.E.; Krappe, H.J.; Lindenberger, K.H.; Lipperheide, R.; Moehring, K.

    1978-01-01

    During this meeting the following theoretical concepts for deep-inelastic heavy ion reactions were discussed: the energy transfer and friction, direct or statistical mechanisms, dissipation and fluctuation. (WL) [de

  20. Pulsed high-density plasmas for advanced dry etching processes

    International Nuclear Information System (INIS)

    Banna, Samer; Agarwal, Ankur; Cunge, Gilles; Darnon, Maxime; Pargon, Erwine; Joubert, Olivier

    2012-01-01

    Plasma etching processes at the 22 nm technology node and below will have to satisfy multiple stringent scaling requirements of microelectronics fabrication. To satisfy these requirements simultaneously, significant improvements in controlling key plasma parameters are essential. Pulsed plasmas exhibit considerable potential to meet the majority of the scaling challenges, while leveraging the broad expertise developed over the years in conventional continuous wave plasma processing. Comprehending the underlying physics and etching mechanisms in pulsed plasma operation is, however, a complex undertaking; hence the full potential of this strategy has not yet been realized. In this review paper, we first address the general potential of pulsed plasmas for plasma etching processes followed by the dynamics of pulsed plasmas in conventional high-density plasma reactors. The authors reviewed more than 30 years of academic research on pulsed plasmas for microelectronics processing, primarily for silicon and conductor etch applications, highlighting the potential benefits to date and challenges in extending the technology for mass-production. Schemes such as source pulsing, bias pulsing, synchronous pulsing, and others in conventional high-density plasma reactors used in the semiconductor industry have demonstrated greater flexibility in controlling critical plasma parameters such as ion and radical densities, ion energies, and electron temperature. Specifically, plasma pulsing allows for independent control of ion flux and neutral radicals flux to the wafer, which is key to eliminating several feature profile distortions at the nanometer scale. However, such flexibility might also introduce some difficulty in developing new etching processes based on pulsed plasmas. Therefore, the main characteristics of continuous wave plasmas and different pulsing schemes are compared to provide guidelines for implementing different schemes in advanced plasma etching processes based on

  1. Impact of recess etching and surface treatments on ohmic contacts regrown by molecular-beam epitaxy for AlGaN/GaN high electron mobility transistors

    Energy Technology Data Exchange (ETDEWEB)

    Joglekar, S.; Azize, M.; Palacios, T. [Microsystems Technology Laboratories, Massachusetts Institute of Technology, 77 Massachusetts Avenue, Cambridge, Massachusetts 02139 (United States); Beeler, M.; Monroy, E. [Université Grenoble-Alpes, 38000 Grenoble (France); CEA Grenoble, INAC-PHELIQS, 38000 Grenoble (France)

    2016-07-25

    Ohmic contacts fabricated by regrowth of n{sup +} GaN are favorable alternatives to metal-stack-based alloyed contacts in GaN-based high electron mobility transistors. In this paper, the influence of reactive ion dry etching prior to regrowth on the contact resistance in AlGaN/GaN devices is discussed. We demonstrate that the dry etch conditions modify the surface band bending, dangling bond density, and the sidewall depletion width, which influences the contact resistance of regrown contacts. The impact of chemical surface treatments performed prior to regrowth is also investigated. The sensitivity of the contact resistance to the surface treatments is found to depend upon the dangling bond density of the sidewall facets exposed after dry etching. A theoretical model has been developed in order to explain the observed trends.

  2. Ion-Ion Plasmas Produced by Electron Beams

    Science.gov (United States)

    Fernsler, R. F.; Leonhardt, D.; Walton, S. G.; Meger, R. A.

    2001-10-01

    The ability of plasmas to etch deep, small-scale features in materials is limited by localized charging of the features. The features charge because of the difference in electron and ion anisotropy, and thus one solution now being explored is to use ion-ion plasmas in place of electron-ion plasmas. Ion-ion plasmas are effectively electron-free and consist mainly of positive and negative ions. Since the two ion species behave similarly, localized charging is largely eliminated. However, the only way to produce ion-ion plasmas at low gas pressure is to convert electrons into negative ions through two-body attachment to neutrals. While the electron attachment rate is large at low electron temperatures (Te < 1 eV) in many of the halogen gases used for processing, these temperatures occur in most reactors only during the afterglow when the heating fields are turned off and the plasma is decaying. By contrast, Te is low nearly all the time in plasmas produced by electron beams, and therefore electron beams can potentially produce ion-ion plasmas continuously. The theory of ion-ion plasmas formed by pulsed electron beams is examined in this talk and compared with experimental results presented elsewhere [1]. Some general limitations of ion-ion plasmas, including relatively low flux levels, are discussed as well. [1] See the presentation by D. Leonhardt et al. at this conference.

  3. Effects of temperature on the etching properties of Bi4-xLaxTi3O12 thin films

    International Nuclear Information System (INIS)

    Kim, Dong-Pyo; Kim, Kyoung-Tae; Koo, Seong-Mo; Kim, Chang-Il

    2004-01-01

    The etching properties of Bi 4-x La x Ti 3 O 12 (BLT) films etched in an inductively coupled Ar/Cl 2 plasma were investigated in terms of the gas mixing ratio, the rf power, and the substrate temperature. We obtained a high etch rate of 433 A/min at 30 .deg. C and 344 A/min at 80 .deg. C in Ar (15 sccm)/Cl 2 (15 sccm). As the rf power was increased, the ion current density increased, resulting in an increase in the etch rate. To understand the etch mechanism of BLT in a Cl 2 /Ar plasma, we performed the plasma diagnostics using a Langmuir probe (LP). The LP measurement indicated that the maximum ion density decreased with Cl 2 addition, but increased with the rf power. X-ray photoelectron spectroscopy (XPS) narrow scan analysis showed that La-chlorides remained on the etched surface and that the high accumulation of nonvolatile etch byproducts increased at high substrate temperatures. The analysis of surface reactions and the plasma diagnostics in the frameworks of an ion-assisted etching mechanism confirmed the possibility of non-monotonic etch rate behavior due to the concurrence of physical sputtering and chemical etching activated by ion bombardment.

  4. Infinitely high etch selectivity during CH4/H2/Ar inductively coupled plasma (ICP) etching of indium tin oxide (ITO) with photoresist mask

    International Nuclear Information System (INIS)

    Kim, D.Y.; Ko, J.H.; Park, M.S.; Lee, N.-E.

    2008-01-01

    Under certain conditions during ITO etching using CH 4 /H 2 /Ar inductively coupled plasmas, the etch rate selectivity of ITO to photoresist (PR) was infinitely high because the ITO films continued to be etched, but a net deposition of the α-C:H layer occurred on the top of the PR. Analyses of plasmas and etched ITO surfaces suggested that the continued consumption of the carbon and hydrogen in the deposited α-C:H layer by their chemical reaction with In and Sn atoms in the ITO resulting in the generation of volatile metal-organic etch products and by the ion-enhanced removal of the α-C:H layer presumably play important roles in determining the ITO etch rate and selectivity

  5. Inductively Coupled Plasma-Induced Etch Damage of GaN p-n Junctions

    International Nuclear Information System (INIS)

    SHUL, RANDY J.; ZHANG, LEI; BACA, ALBERT G.; WILLISON, CHRISTI LEE; HAN, JUNG; PEARTON, S.J.; REN, F.

    1999-01-01

    Plasma-induced etch damage can degrade the electrical and optical performance of III-V nitride electronic and photonic devices. We have investigated the etch-induced damage of an Inductively Coupled Plasma (ICP) etch system on the electrical performance of mesa-isolated GaN pn-junction diodes. GaN p-i-n mesa diodes were formed by Cl 2 /BCl 3 /Ar ICP etching under different plasma conditions. The reverse leakage current in the mesa diodes showed a strong relationship to chamber pressure, ion energy, and plasma flux. Plasma induced damage was minimized at moderate flux conditions (≤ 500 W), pressures ≥2 mTorr, and at ion energies below approximately -275 V

  6. Reactive Landing of Dendrimer Ions onto Activated Self-assembled Monolayer Surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Hu, Qichi; Laskin, Julia

    2014-02-06

    The reactivity of gaseous, amine-terminated polyamidoamine (PAMAM) dendrimer ions with activated self-assembled monolayer (SAM) surfaces terminated with N-hydroxysuccinimidyl ester groups (NHS-SAM) is examined using mass-selected ion deposition combined with in situ infrared reflection absorption spectroscopy (IRRAS). The reaction extent is determined from depletion of the infrared band at 1753 cm-1, corresponding to the stretching vibration of the NHS carbonyl groups following ion deposition. For reaction yields below 10%, NHS band depletion follows a linear dependence on the ion dose. By comparing the kinetics plots obtained for 1,12-dodecanediamine and different generations of dendrimer ions (G0–G3) containing 4, 8, 16, and 32 terminal amino group, we demonstrate that the relative reaction efficiency increases linearly with the number of NH2 groups in the molecule. This finding is rationalized assuming the formation of multiple amide bonds upon collision of higher-generation dendrimers with NHS-SAM. Furthermore, by comparing the NHS band depletion following deposition of [M+4H]4+ ions of the G2 dendrimer at 30, 80, and 120 eV, we demonstrate that the ion’s kinetic energy has no measurable effect on reaction efficiency. Similarly, the ion’s charge state only has a minor effect on the reactive landing efficiency of dendrimer ions. Our results indicate that reactive landing is an efficient approach for highly selective covalent immobilization of complex multifunctional molecules onto organic surfaces terminated with labile functional groups.

  7. Effect of swift heavy ion irradiation on deep levels in Au /n-Si (100) Schottky diode studied by deep level transient spectroscopy

    Science.gov (United States)

    Kumar, Sandeep; Katharria, Y. S.; Kumar, Sugam; Kanjilal, D.

    2007-12-01

    In situ deep level transient spectroscopy has been applied to investigate the influence of 100MeV Si7+ ion irradiation on the deep levels present in Au/n-Si (100) Schottky structure in a wide fluence range from 5×109to1×1012ions cm-2. The swift heavy ion irradiation introduces a deep level at Ec-0.32eV. It is found that initially, trap level concentration of the energy level at Ec-0.40eV increases with irradiation up to a fluence value of 1×1010cm-2 while the deep level concentration decreases as irradiation fluence increases beyond the fluence value of 5×1010cm-2. These results are discussed, taking into account the role of energy transfer mechanism of high energy ions in material.

  8. Angular dependence of etch rates in the etching of poly-Si and fluorocarbon polymer using SF6, C4F8, and O2 plasmas

    International Nuclear Information System (INIS)

    Min, Jae-Ho; Lee, Gyeo-Re; Lee, Jin-Kwan; Moon, Sang Heup; Kim, Chang-Koo

    2004-01-01

    The dependences of etch rates on the angle of ions incident on the substrate surface in four plasma/substrate systems that constitute the advanced Bosch process were investigated using a Faraday cage designed for the accurate control of the ion-incident angle. The four systems, established by combining discharge gases and substrates, were a SF 6 /poly-Si, a SF 6 /fluorocarbon polymer, an O 2 /fluorocarbon polymer, and a C 4 F 8 /Si. In the case of SF 6 /poly-Si, the normalized etch rates (NERs), defined as the etch rates normalized by the rate on the horizontal surface, were higher at all angles than values predicted from the cosine of the ion-incident angle. This characteristic curve shape was independent of changes in process variables including the source power and bias voltage. Contrary to the earlier case, the NERs for the O 2 /polymer decreased and eventually reached much lower values than the cosine values at angles between 30 deg. and 70 deg. when the source power was increased and the bias voltage was decreased. On the other hand, the NERs for the SF 6 /polymer showed a weak dependence on the process variables. In the case of C 4 F 8 /Si, which is used in the Bosch process for depositing a fluorocarbon layer on the substrate surface, the deposition rate varied with the ion incident angle, showing an S-shaped curve. These characteristic deposition rate curves, which were highly dependent on the process conditions, could be divided into four distinct regions: a Si sputtering region, an ion-suppressed polymer deposition region, an ion-enhanced polymer deposition region, and an ion-free polymer deposition region. Based on the earlier characteristic angular dependences of the etch (or deposition) rates in the individual systems, ideal process conditions for obtaining an anisotropic etch profile in the advanced Bosch process are proposed

  9. Isotropically etched radial micropore for cell concentration, immobilization, and picodroplet generation.

    Science.gov (United States)

    Perroud, Thomas D; Meagher, Robert J; Kanouff, Michael P; Renzi, Ronald F; Wu, Meiye; Singh, Anup K; Patel, Kamlesh D

    2009-02-21

    To enable several on-chip cell handling operations in a fused-silica substrate, small shallow micropores are radially embedded in larger deeper microchannels using an adaptation of single-level isotropic wet etching. By varying the distance between features on the photolithographic mask (mask distance), we can precisely control the overlap between two etch fronts and create a zero-thickness semi-elliptical micropore (e.g. 20 microm wide, 6 microm deep). Geometrical models derived from a hemispherical etch front show that micropore width and depth can be expressed as a function of mask distance and etch depth. These models are experimentally validated at different etch depths (25.03 and 29.78 microm) and for different configurations (point-to-point and point-to-edge). Good reproducibility confirms the validity of this approach to fabricate micropores with a desired size. To illustrate the wide range of cell handling operations enabled by micropores, we present three on-chip functionalities: continuous-flow particle concentration, immobilization of single cells, and picoliter droplet generation. (1) Using pressure differentials, particles are concentrated by removing the carrier fluid successively through a series of 44 shunts terminated by 31 microm wide, 5 microm deep micropores. Theoretical values for the concentration factor determined by a flow circuit model in conjunction with finite volume modeling are experimentally validated. (2) Flowing macrophages are individually trapped in 20 microm wide, 6 microm deep micropores by hydrodynamic confinement. The translocation of transcription factor NF-kappaB into the nucleus upon lipopolysaccharide stimulation is imaged by fluorescence microscopy. (3) Picoliter-sized droplets are generated at a 20 microm wide, 7 microm deep micropore T-junction in an oil stream for the encapsulation of individual E. coli bacteria cells.

  10. Angular dependence of SiO2 etch rate at various bias voltages in a high density CHF3 plasma

    International Nuclear Information System (INIS)

    Lee, Gyeo-Re; Hwang, Sung-Wook; Min, Jae-Ho; Moon, Sang Heup

    2002-01-01

    The dependence of the SiO 2 etch rate on the angle of ions incident on the substrate surface was studied over a bias voltage range from -20 to -600 V in a high-density CHF 3 plasma using a Faraday cage to control the ion incident angle. The effect of the bottom plane on the sidewall etching was also examined. Differences in the characteristics of the etch rate as a function of the ion angle were observed for different bias voltage regions. When the absolute value of the bias voltage was smaller than 200 V, the normalized etch rate (NER) defined as the etch rate normalized by the rate on the horizontal surface, changed following a cosine curve with respect to the ion incident angle, defined as the angle between the ion direction and the normal of the substrate surface. When the magnitude of the bias voltage was larger than 200 V, the NER was deviated to higher values from those given by a cosine curve at ion angles between 30 deg. and 70 deg. , and then drastically decreased at angles higher than 70 deg. until a net deposition was observed at angles near 90 deg. . The characteristic etch-rate patterns at ion angles below 70 deg. were determined by the ion energy transferred to the surface, which affected the SiO 2 etch rate and, simultaneously, the rate of removal of a fluorocarbon polymer film formed on the substrate surface. At high ion angles, particles emitted from the bottom plane contributed to polymer formation on and affected the etching characteristics of the substrate

  11. Funnel-type etched ion tracks in polymers

    Czech Academy of Sciences Publication Activity Database

    Fink, Dietmar; Vacík, Jiří; Hnatowicz, Vladimír; Munoz, G. H.; Alfonta, L.; Klinkovich, I.

    2010-01-01

    Roč. 165, č. 5 (2010), s. 343-361 ISSN 1042-0150 R&D Projects: GA AV ČR(CZ) KAN400480701 Institutional research plan: CEZ:AV0Z10480505 Keywords : tracks * polymers * etching Subject RIV: JJ - Other Materials Impact factor: 0.660, year: 2010

  12. Spectral artefacts post sputter-etching and how to cope with them - A case study of XPS on nitride-based coatings using monoatomic and cluster ion beams

    Science.gov (United States)

    Lewin, Erik; Counsell, Jonathan; Patscheider, Jörg

    2018-06-01

    The issue of artefacts due to sputter-etching has been investigated for a group of AlN-based thin film materials with varying thermodynamical stability. Stability of the materials was controlled by alloying AlN with the group 14 elements Si, Ge or Sn in two different concentrations. The coatings were sputter-etched with monoatomic Ar+ with energies between 0.2 and 4.0 keV to study the sensitivity of the materials for sputter damage. The use of Arn+ clusters to remove an oxidised surface layer was also evaluated for a selected sample. The spectra were compared to pristine spectra obtained after in-vacuo sample transfer from the synthesis chamber to the analysis instrument. It was found that the all samples were affected by high energy (4 keV) Ar+ ions to varying degrees. The determining factors for the amount of observed damage were found to be the materials' enthalpy of formation, where a threshold value seems to exist at approximately -1.25 eV/atom (∼-120 kJ/mol atoms). For each sample, the observed amount of damage was found to have a linear dependence to the energy deposited by the ion beam per volume removed material. Despite the occurrence of sputter-damage in all samples, etching settings that result in almost artefact-free spectral data were found; using either very low energy (i.e. 200 eV) monoatomic ions, or an appropriate combination of ion cluster size and energy. The present study underlines that analysis post sputter-etching must be carried out with an awareness of possible sputter-induced artefacts.

  13. Patterning of light-extraction nanostructures on sapphire substrates using nanoimprint and ICP etching with different masking materials.

    Science.gov (United States)

    Chen, Hao; Zhang, Qi; Chou, Stephen Y

    2015-02-27

    Sapphire nanopatterning is the key solution to GaN light emitting diode (LED) light extraction. One challenge is to etch deep nanostructures with a vertical sidewall in sapphire. Here, we report a study of the effects of two masking materials (SiO2 and Cr) and different etching recipes (the reaction gas ratio, the reaction pressure and the inductive power) in a chlorine-based (BCl3 and Cl2) inductively coupled plasma (ICP) etching of deep nanopillars in sapphire, and the etching process optimization. The masking materials were patterned by nanoimprinting. We have achieved high aspect ratio sapphire nanopillar arrays with a much steeper sidewall than the previous etching methods. We discover that the SiO2 mask has much slower erosion rate than the Cr mask under the same etching condition, leading to the deep cylinder-shaped nanopillars (122 nm diameter, 200 nm pitch, 170 nm high, flat top, and a vertical sidewall of 80° angle), rather than the pyramid-shaped shallow pillars (200 nm based diameter, 52 nm height, and 42° sidewall) resulted by using Cr mask. The processes developed are scalable to large volume LED manufacturing.

  14. Triplasmatron sources for broad and reactive ion beams

    International Nuclear Information System (INIS)

    Lejeune, C.; Grandchamp, J.P.; Kessi, O.; Gilles, J.P.

    1986-01-01

    Two alternative discharge structures, which are both convenient for the extraction of broad and reactive ion beams, are described. They have been designed in order to overcome both lifetime and beam contamination problems while preserving a high ionization efficiency and a smooth plasma uniformity. They both use a hot cathode duoplasmatron discharge to inject ionizing electrons into the main ionization chamber, according to the triplasmatron concept. The triplasmatron multipolar ion source (TMIS) uses the magnetic multipolar containment of both electrons and ions, whereas the triplasmatron reflex ion source (TRIS) uses the electrostatic and geometric containment of the ionizing electrons. The behaviour and performance of both structures are reported and discussed with a special emphasis to the operation with either oxygen or fluorocarbon gases. (author)

  15. Characterization of the CH4/H2/Ar high density plasma etching process for ZnSe

    Science.gov (United States)

    Eddy, C. R.; Leonhardt, D.; Shamamian, V. A.; Butler, J. E.

    2001-05-01

    High density plasma etching of zinc selenide using CH4/H2/Ar plasma chemistries is investigated. Mass spectrometry, using through-the-platen sampling, is used to identify and monitor etch products evolving from the surface during etching. The identifiable primary etch products are Zn, Se, ZnH2, SeH2, Zn(CH3)2, and Se(CH3)2. Their concentrations are monitored as ion and neutral fluxes (both in intensity and composition), ion energy, and substrate temperature are varied. General insights about the surface chemistry mechanisms of the etch process are given from these observations. Regions of process parameter space best suited for moderate rate, anisotropic, and low damage etching of ZnSe are proposed.

  16. Surfactant-enhanced control of track-etch pore morphology

    International Nuclear Information System (INIS)

    Apel', P.Yu.; Blonskaya, I.V.; Didyk, A.Yu.; Dmitriev, S.N.; Orelovich, O.L.; Samojlova, L.I.; Vutsadakis, V.A.; Root, D.

    2000-01-01

    The influence of surfactants on the process of chemical development of ion tracks in polymers is studied. Based on the experimental data, a mechanism of the surfactant effect on the track-etch pore morphology is proposed. In the beginning of etching the surfactant is adsorbed on the surface and creates a layer that is quasi-solid and partially protects the surface from the etching agent. However, some etchant molecules diffuse through the barrier and react with the polymer surface. This results in the formation of a small hole at the entrance to the ion track. After the hole has attained a few annometers in diameter, the surfactant molecules penetrate into the track and cover its walls. Further diffusion of the surfactant into the growing pore is hindered. The adsorbed surfactant layer is not permeable for large molecules. In contrast, small alkali molecules and water molecules diffuse into the track and provide the etching process enlarging the pore. At this stage the transport of the surfactant into the pore channel can proceed only due to the lateral diffusion in the adsorbed layer. The volume inside the pore is free of surfactant molecules and grows at a higher rate than pore entrance. After a more prolonged etching the bottle-like (or 'cigar-like') pore channels are formed. The bottle-like shape of the pore channels depends on the etching conditions such as alkali and surfactant concentration, temperature, and type of the surfactant. The use of surfactants enables one to produce track-etch membranes with improved flow rate characteristics compared with those having cylindrical pores with the same nominal pore diameters

  17. Fabrication of high aspect ratio through-wafer copper interconnects by reverse pulse electroplating

    International Nuclear Information System (INIS)

    Gu, Changdong; Zhang, Tong-Yi; Xu, Hui

    2009-01-01

    This study aims to fabricate high aspect ratio through-wafer copper interconnects by a simple reverse pulse electroplating technique. High aspect-ratio (∼18) through-wafer holes obtained by a two-step deep reactive ion etching (DRIE) process exhibit a taper profile, which might automatically optimize the local current density distribution during the electroplating process, thereby achieving void-free high aspect-ratio copper vias

  18. Advanced dry etching studies for micro- and nano-systems

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted

    and even contaminate the surface with metal flakes after resist removal. Ion beam etching has also been used for etching of steel without any problems with redeposition. For steel the etch rate was low which reduced the selectivity to the photo resist. Sapphire, a crystal of aluminum oxide, has a very low....... However, just generating an oxygen plasma does not result in a controllable etch and may give rise to a poor surface for later use. It may be necessary to introduce other gases such as SF6 to reduce surface roughness. Roughness can also be introduced by the mask in the form of redeposition of material...

  19. Consequences of atomic layer etching on wafer scale uniformity in inductively coupled plasmas

    Science.gov (United States)

    Huard, Chad M.; Lanham, Steven J.; Kushner, Mark J.

    2018-04-01

    Atomic layer etching (ALE) typically divides the etching process into two self-limited reactions. One reaction passivates a single layer of material while the second preferentially removes the passivated layer. As such, under ideal conditions the wafer scale uniformity of ALE should be independent of the uniformity of the reactant fluxes onto the wafers, provided all surface reactions are saturated. The passivation and etch steps should individually asymptotically saturate after a characteristic fluence of reactants has been delivered to each site. In this paper, results from a computational investigation are discussed regarding the uniformity of ALE of Si in Cl2 containing inductively coupled plasmas when the reactant fluxes are both non-uniform and non-ideal. In the parameter space investigated for inductively coupled plasmas, the local etch rate for continuous processing was proportional to the ion flux. When operated with saturated conditions (that is, both ALE steps are allowed to self-terminate), the ALE process is less sensitive to non-uniformities in the incoming ion flux than continuous etching. Operating ALE in a sub-saturation regime resulted in less uniform etching. It was also found that ALE processing with saturated steps requires a larger total ion fluence than continuous etching to achieve the same etch depth. This condition may result in increased resist erosion and/or damage to stopping layers using ALE. While these results demonstrate that ALE provides increased etch depth uniformity, they do not show an improved critical dimension uniformity in all cases. These possible limitations to ALE processing, as well as increased processing time, will be part of the process optimization that includes the benefits of atomic resolution and improved uniformity.

  20. Anisotropic etching of tungsten-nitride with ICP system

    CERN Document Server

    Lee, H G; Moon, H S; Kim, S H; Ahn, J; Sohn, S

    1998-01-01

    Inductively Coupled Plasma ion streaming etching of WN sub x film is investigated for preparing x-ray mask absorber patterns. SF sub 6 gas plasma provides for effective etching of WN sub x , and the addition of Ar and N sub 2 results in higher dissociation of SF sub 6 and sidewall passivation effect, respectively. Microloading effect observed for high aspect ratio patterns is minimized by multi-step etching and O sub 2 plasma treatment process. As a result, 0.18 mu m WN sub x line and space patterns with vertical sidewall profile are successfully fabricated.

  1. Nanofluidic Devices with Two Pores in Series for Resistive-Pulse Sensing of Single Virus Capsids

    DEFF Research Database (Denmark)

    Harms, Zachary D.; Mogensen, Klaus Bo; Rodrigues de Sousa Nunes, Pedro André

    2011-01-01

    We report fabrication and characterization of nanochannel devices with two nanopores in series for resistive-pulse sensing of hepatitis B virus (HBV) capsids. The nanochannel and two pores are patterned by electron beam lithography between two microchannels and etched by reactive ion etching....... The two nanopores are 50-nm wide, 50-nm deep, and 40-nm long and are spaced 2.0-μm apart. The nanochannel that brackets the two pores is 20 wider (1 μm) to reduce the electrical resistance adjacent to the two pores and to ensure the current returns to its baseline value between resistive-pulse events...

  2. A piezoelectric micro control valve with integrated capacitive sensing for ambulant blood pressure waveform monitoring

    Science.gov (United States)

    Groen, Maarten S.; Wu, Kai; Brookhuis, Robert A.; van Houwelingen, Marc J.; Brouwer, Dannis M.; Lötters, Joost C.; Wiegerink, Remco J.

    2014-12-01

    We have designed and characterized a MEMS microvalve with built-in capacitive displacement sensing and fitted it with a miniature piezoelectric actuator to achieve active valve control. The integrated displacement sensor enables high bandwidth proportional control of the gas flow through the valve. This is an essential requirement for non-invasive blood pressure waveform monitoring based on following the arterial pressure with a counter pressure. Using the capacitive sensor, we demonstrate negligible hysteresis in the valve control characteristics. Fabrication of the valve requires only two mask steps for deep reactive ion etching (DRIE) and one release etch.

  3. A piezoelectric micro control valve with integrated capacitive sensing for ambulant blood pressure waveform monitoring

    International Nuclear Information System (INIS)

    Groen, Maarten S; Wu, Kai; Brookhuis, Robert A; Lötters, Joost C; Wiegerink, Remco J; Van Houwelingen, Marc J; Brouwer, Dannis M

    2014-01-01

    We have designed and characterized a MEMS microvalve with built-in capacitive displacement sensing and fitted it with a miniature piezoelectric actuator to achieve active valve control. The integrated displacement sensor enables high bandwidth proportional control of the gas flow through the valve. This is an essential requirement for non-invasive blood pressure waveform monitoring based on following the arterial pressure with a counter pressure. Using the capacitive sensor, we demonstrate negligible hysteresis in the valve control characteristics. Fabrication of the valve requires only two mask steps for deep reactive ion etching (DRIE) and one release etch. (paper)

  4. A new ion sensing deep atomic force microscope

    Energy Technology Data Exchange (ETDEWEB)

    Drake, Barney; Randall, Connor; Bridges, Daniel; Hansma, Paul K. [Department of Physics, University of California, Santa Barbara, California 93106 (United States)

    2014-08-15

    Here we describe a new deep atomic force microscope (AFM) capable of ion sensing. A novel probe assembly incorporates a micropipette that can be used both for sensing ion currents and as the tip for AFM imaging. The key advance of this instrument over previous ion sensing AFMs is that it uses conventional micropipettes in a novel suspension system. This paper focuses on sensing the ion current passively while using force feedback for the operation of the AFM in contact mode. Two images are obtained simultaneously: (1) an AFM topography image and (2) an ion current image. As an example, two images of a MEMS device with a microchannel show peaks in the ion current as the pipette tip goes over the edges of the channel. This ion sensing AFM can also be used in other modes including tapping mode with force feedback as well as in non-contact mode by utilizing the ion current for feedback, as in scanning ion conductance microscopy. The instrument is gentle enough to be used on some biological samples such as plant leaves.

  5. Plasmonic nanostructures fabricated using nanosphere-lithography, soft-lithography and plasma etching

    Directory of Open Access Journals (Sweden)

    Manuel R. Gonçalves

    2011-08-01

    Full Text Available We present two routes for the fabrication of plasmonic structures based on nanosphere lithography templates. One route makes use of soft-lithography to obtain arrays of epoxy resin hemispheres, which, in a second step, can be coated by metal films. The second uses the hexagonal array of triangular structures, obtained by evaporation of a metal film on top of colloidal crystals, as a mask for reactive ion etching (RIE of the substrate. In this way, the triangular patterns of the mask are transferred to the substrate through etched triangular pillars. Making an epoxy resin cast of the pillars, coated with metal films, allows us to invert the structure and obtain arrays of triangular holes within the metal. Both fabrication methods illustrate the preparation of large arrays of nanocavities within metal films at low cost.Gold films of different thicknesses were evaporated on top of hemispherical structures of epoxy resin with different radii, and the reflectance and transmittance were measured for optical wavelengths. Experimental results show that the reflectivity of coated hemispheres is lower than that of coated polystyrene spheres of the same size, for certain wavelength bands. The spectral position of these bands correlates with the size of the hemispheres. In contrast, etched structures on quartz coated with gold films exhibit low reflectance and transmittance values for all wavelengths measured. Low transmittance and reflectance indicate high absorbance, which can be utilized in experiments requiring light confinement.

  6. Dislocation loops in spinel crystals irradiated successively with deep and shallow ion implants

    International Nuclear Information System (INIS)

    Ai, R.X.; Cooper, E.A.; Sickafus, K.E.; Nastasi, M.; Bordes, N.; Ewing, R.C.

    1993-01-01

    This study examines the influence of microstructural defects on irradiation damage accumulation in the oxide spinel. Single crystals of the compound MgAl 2 O 4 with surface normal [111] were irradiated under cryogenic temperature (100K) either with 50 keV Ne ions (fluence 5.0 x 10 12 /cm 2 ), 400 keV Ne ions (fluence 6.7 x 10 13 /cm 2 ) or successively with 400 keV Ne ions followed by 50 keV Ne ions. The projected range of 50 keV Ne ions in spinel is ∼50 mn (''shallow'') while the projected range of 400 keV Ne ions is ∼500 mn (''deep''). Transmission electron microscopy (TEM) was used to examine dislocation loops/defect clusters formed by the implantation process. Measurements of the dislocation loop size were made using weak-beam imaging technique on cross-sectional TEM ion-implanted specimens. Defect clusters were observed in both deep and shallow implanted specimens, while dislocation loops were observed in the shallow implanted sample that was previously irradiated by 400 keV Ne ions. Cluster size was seen to increase for shallow implants in crystals irradiated with a deep implant (size ∼8.5 nm) as compared to crystals treated only to a shallow implant (size ∼3.1 nm)

  7. Knudsen pump produced via silicon deep RIE, thermal oxidation, and anodic bonding processes for on-chip vacuum pumping

    Science.gov (United States)

    Van Toan, Nguyen; Inomata, Naoki; Trung, Nguyen Huu; Ono, Takahito

    2018-05-01

    This work describes the fabrication and evaluation of the Knudsen pump for on-chip vacuum pumping that works based on the principle of a thermal transpiration. Three AFM (atomic force microscope) cantilevers are integrated into small chambers with a size of 5 mm  ×  3 mm  ×  0.4 mm for the pump’s evaluation. Knudsen pump is fabricated using deep RIE (reactive ion etching), wet thermal oxidation and anodic bonding processes. The fabricated device is evaluated by monitoring the quality (Q) factor of the integrated cantilevers. The Q factor of the cantilever is increased from 300 -1150 in cases without and with a temperature difference approximately 25 °C between the top (the hot side at 40 °C) and bottom (the cold side at 15 °C) sides of the fabricated device, respectively. The evacuated chamber pressure of around 10 kPa is estimated from the Q factor of the integrated cantilevers.

  8. Bulk and track etching of PET studied by spectrophotometer

    International Nuclear Information System (INIS)

    Zhu, Z.Y.; Duan, J.L.; Maekawa, Y.; Koshikawa, H.; Yoshida, M.

    2004-01-01

    UV-VIS spectra of poly(ethylene terephthalate) (PET) solutions formed by etching PET in NaOH solution were analyzed with respect to the etching time. A linear relationship between absorptions centered at 4.45 and 5.11 eV with weight loss of PET in NaOH solution was established. The relation was applied to study the influence of UV light illumination on bulk etching of PET and to evaluate pore size of etched-through tracks. It is found that bulk etching of PET can be greatly enhanced by UV illumination in air in the wavelength range around 313 nm. A surface area of about 350 nm in thickness shows a 23 times increase in bulk-etching rate after illuminated for 6 h. The phenomenon is attributed to the oxygen-assisted photo-degradation through generating of new photo-unstable species. The enhancement in bulk etching was immediately reduced as the etching proceeds below the surface with an exponential decay constant of about 1.5 μm -1 . Etching of Xe ion irradiated PET films gives extra etching products with similar chemical structure as revealed by spectrophotometer measurements. Quantitative analysis of etching products from latent tracks implies that pores of about 14.6 nm in radius are formed after etching in 0.74 N NaOH at 40 deg. C for 35 min, which is in agreement with the conductometric measurement

  9. Dry etching of LaNiO3 thin films using inductively coupled plasma

    International Nuclear Information System (INIS)

    Kim, Gwan-Ha; Kim, Dong-Pyo; Kim, Kyoung-Tae; Kim, Chang-Il; Lee, Cheol-In; Kim, Tae-Hyung

    2006-01-01

    The etching characteristics of LaNiO 3 (LNO) thin films and SiO 2 in Cl 2 /Ar plasma were investigated. LNO etch rates decreased with increasing Cl 2 fraction in Ar plasma and the working pressure. Langmuir probe measurement showed a noticeable influence of Cl 2 /Ar mixing ratio on electron temperature, electron density, and ion current density. The modeling of volume kinetics for charged particles and OES measurements for neutral atoms indicated monotonous changes of both densities and fluxes of active species such as chlorine atoms and positive ions. The LNO etch rate behavior may be explained by physical mechanisms

  10. Ion transport in deep-sea sediments

    International Nuclear Information System (INIS)

    Heath, G.R.

    1979-01-01

    Initial assessment of the ability of deep-sea clays to contain nuclear waste is optimistic. Yet, the investigators have no delusions about the complexity of the natural geochemical system and the perturbations that may result from emplacement of thermally-hot waste cannisters. Even though they may never be able to predict the exact nature of all these perturbations, containment of the nuclides by the waste form/cannister system until most of the heat has decayed, and burial of the waste to a sufficient depth that the altered zone can be treated as a black box source of dissolved nuclides to the enclosing unperturbed sediment, encourage them to believe that ion migration in the deep seabed can be modeled accurately and that our preliminary estimates of migration rates are likely to be reasonably realistic

  11. Limitations of Cl2/O2-based ICP-RIE of deep holes for planar photonic crystals in InP

    International Nuclear Information System (INIS)

    Kaspar, Peter; Fougner, Christopher; Kappeler, Roman; Jaeckel, Heinz

    2012-01-01

    A detailed study of dry-etching of high-aspect-ratio holes into an indium phosphide substrate is presented for a Cl 2 /O 2 -based plasma chemistry. The etching is performed in an inductively coupled plasma reactive ion etching reactor. The separate influence of the various etching parameters on the quality of the etched holes is identified. Quality measures such as high aspect ratio, hole cylindricity and verticality as well as sidewall smoothness can be controlled by varying the ICP power, the relative O 2 flow rate and the self-bias of the plasma. We were able to clearly identify trade-offs that have to be made and limitations of the etching chemistry/technology used: If the aspect ratio improves, then the cylindricity also improves, whereas the verticality and the sidewall smoothness degrade. In previous reports, a certain ambiguity is generally observed in the sense that different process parameters exhibit partially contradicting trade-offs. We show that this behaviour can be remedied by a careful selection of the variable parameters. (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Process Development for Reactive-Ion Etching of Molybdenum Disulfide (MoS2) Utilizing a Poly(methyl methacrylate) (PMMA) Etch Mask

    Science.gov (United States)

    2017-10-01

    Nichols, Matthew L Chin, Sina Najmaei, Eugene Zakar, and Madan Dubey Sensors and Electron Devices Directorate, ARL Approved for public...EBL; Vistec EBPG5000+) with an exposure dose of 850 μC/cm2 and development in 25 mL of isopropyl alcohol (IPA): 10 mL methyl isobutyl ketone for...deposition EBL electron beam lithography IPA isopropyl alcohol MoS2 molybdenum disulfide O2 oxygen PMMA poly(methyl methacrylate) RIE reactive

  13. Highly selective SiO2 etching over Si3N4 using a cyclic process with BCl3 and fluorocarbon gas chemistries

    Science.gov (United States)

    Matsui, Miyako; Kuwahara, Kenichi

    2018-06-01

    A cyclic process for highly selective SiO2 etching with atomic-scale precision over Si3N4 was developed by using BCl3 and fluorocarbon gas chemistries. This process consists of two alternately performed steps: a deposition step using BCl3 mixed-gas plasma and an etching step using CF4/Ar mixed-gas plasma. The mechanism of the cyclic process was investigated by analyzing the surface chemistry at each step. BCl x layers formed on both SiO2 and Si3N4 surfaces in the deposition step. Early in the etching step, the deposited BCl x layers reacted with CF x radicals by forming CCl x and BF x . Then, fluorocarbon films were deposited on both surfaces in the etching step. We found that the BCl x layers formed in the deposition step enhanced the formation of the fluorocarbon films in the CF4 plasma etching step. In addition, because F radicals that radiated from the CF4 plasma reacted with B atoms while passing through the BCl x layers, the BCl x layers protected the Si3N4 surface from F-radical etching. The deposited layers, which contained the BCl x , CCl x , and CF x components, became thinner on SiO2 than on Si3N4, which promoted the ion-assisted etching of SiO2. This is because the BCl x component had a high reactivity with SiO2, and the CF x component was consumed by the etching reaction with SiO2.

  14. Spin-resolved magnetic studies of focused ion beam etched nano-sized magnetic structures

    International Nuclear Information System (INIS)

    Li Jian; Rau, Carl

    2005-01-01

    Scanning ion microscopy with polarization analysis (SIMPA) is used to study the spin-resolved surface magnetic structure of nano-sized magnetic systems. SIMPA is utilized for in situ topographic and spin-resolved magnetic domain imaging as well as for focused ion beam (FIB) etching of desired structures in magnetic or non-magnetic systems. Ultra-thin Co films are deposited on surfaces of Si(1 0 0) substrates, and ultra-thin, tri-layered, bct Fe(1 0 0)/Mn/bct Fe(1 0 0) wedged magnetic structures are deposited on fcc Pd(1 0 0) substrates. SIMPA experiments clearly show that ion-induced electrons emitted from magnetic surfaces exhibit non-zero electron spin polarization (ESP), whereas electrons emitted from non-magnetic surfaces such as Si and Pd exhibit zero ESP, which can be used to calibrate sputtering rates in situ. We report on new, spin-resolved magnetic microstructures, such as magnetic 'C' states and magnetic vortices, found at surfaces of FIB patterned magnetic elements. It is found that FIB milling has a negligible effect on surface magnetic domain and domain wall structures. It is demonstrated that SIMPA can evolve into an important and efficient tool to study magnetic domain, domain wall and other structures as well as to perform magnetic depth profiling of magnetic nano-systems to be used in ultra-high density magnetic recording and in magnetic sensors

  15. Unexpected impact of RIE gases on lithographic films

    Science.gov (United States)

    Glodde, M.; Bruce, R. L.; Hopstaken, M. J. P.; Saccomanno, M. R.; Felix, N.; Petrillo, K. E.; Price, B.

    2017-03-01

    Successful pattern transfer from the photoresist into the substrate depends on robust layers of lithographic films. Typically, an alternating sequence of inorganic (most often Si containing) and organic hardmask (HM) materials is used. Pattern transfer occurs then by using reactive ion etch (RIE) chemistry that is selective to one particular layer (such as: flurorinated RIE for Si HM). The impact of these RIE gases onto the layers acting as hardmask for the layer to be etched is typically neglected, except for known sputtering effects. We found that components of the RIE gases can penetrate deep into the "inert" layers and significantly modify them. For example, nitrogen used as component to etch spin-on carbon layers was found to travel up to 70 nm deep into Si HM materials and create layers with different material properties within this film. The question is being raised and discussed to which extent this atom implantation may impact the pattern transfer of the ever shrinking features.

  16. Reactive ion assisted deposition of aluminum oxynitride thin films

    International Nuclear Information System (INIS)

    Hwangbo, C.K.; Lingg, L.J.; Lehan, J.P.; Macleod, H.A.; Suits, F.

    1989-01-01

    Optical properties, stoichiometry, chemical bonding states, and crystal structure of aluminum oxynitride (AlO/sub x/N/sub y/) thin films prepared by reactive ion assisted deposition were investigated. The results show that by controlling the amount of reactive gases the refractive index of aluminum oxynitride films at 550 nm is able to be varied from 1.65 to 1.83 with a very small extinction coefficient. Variations of optical constants and chemical bonding states of aluminum oxynitride films are related to the stoichiometry. From an x-ray photoelectron spectroscopy analysis it is observed that our aluminum oxynitride film is not simply a mixture of aluminum oxide and aluminum nitride but a continuously variable compound. The aluminum oxynitride films are amorphous from an x-ray diffraction analysis. A rugate filter using a step index profile of aluminum oxynitride films was fabricated by nitrogen ion beam bombardment of a growing Al film with backfill oxygen pressure as the sole variation. This filter shows a high resistivity to atmospheric moisture adsorption, suggesting that the packing density of aluminum oxynitride films is close to unity and the energetic ion bombardment densifies the film as well as forming the compound

  17. Characterisation of anisotropic etching in KOH using network etch rate function model: influence of an applied potential in terms of microscopic properties

    International Nuclear Information System (INIS)

    Nguyen, Q D; Elwenspoek, M

    2006-01-01

    Using the network etch rate function model, the anisotropic etch rate of p-type single crystal silicon was characterised in terms of microscopic properties including step velocity, step and terrace roughening. The anisotropic etch rate data needed have been obtained using a combination of 2 wagon wheel patterns on different substrate and 1 offset trench pattern. Using this procedure the influence of an applied potential has been investigated in terms of microscopic properties. Model parameter trends show a good correlation with chemical/electrochemical reaction mechanism and mono- and dihydride terminated steps reactivity difference. Results also indicate a minimum in (111) terrace roughening which results in a peak in anisotropic ratio at the non-OCP applied potential of -1250 mV vs OCP

  18. Comparative study of resist stabilization techniques for metal etch processing

    Science.gov (United States)

    Becker, Gerry; Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Livesay, William R.

    1999-06-01

    This study investigates resist stabilization techniques as they are applied to a metal etch application. The techniques that are compared are conventional deep-UV/thermal stabilization, or UV bake, and electron beam stabilization. The electron beam tool use din this study, an ElectronCure system from AlliedSignal Inc., ELectron Vision Group, utilizes a flood electron source and a non-thermal process. These stabilization techniques are compared with respect to a metal etch process. In this study, two types of resist are considered for stabilization and etch: a g/i-line resist, Shipley SPR-3012, and an advanced i-line, Shipley SPR 955- Cm. For each of these resist the effects of stabilization on resist features are evaluated by post-stabilization SEM analysis. Etch selectivity in all cases is evaluated by using a timed metal etch, and measuring resists remaining relative to total metal thickness etched. Etch selectivity is presented as a function of stabilization condition. Analyses of the effects of the type of stabilization on this method of selectivity measurement are also presented. SEM analysis was also performed on the features after a compete etch process, and is detailed as a function of stabilization condition. Post-etch cleaning is also an important factor impacted by pre-etch resist stabilization. Results of post- etch cleaning are presented for both stabilization methods. SEM inspection is also detailed for the metal features after resist removal processing.

  19. Lithography-Free Fabrication of Large Area Subwavelength Antireflection Structures Using Thermally Dewetted Pt/Pd Alloy Etch Mask

    Directory of Open Access Journals (Sweden)

    Kang Jeong-Jin

    2009-01-01

    Full Text Available Abstract We have demonstrated lithography-free, simple, and large area fabrication method for subwavelength antireflection structures (SAS to achieve low reflectance of silicon (Si surface. Thin film of Pt/Pd alloy on a Si substrate is melted and agglomerated into hemispheric nanodots by thermal dewetting process, and the array of the nanodots is used as etch mask for reactive ion etching (RIE to form SAS on the Si surface. Two critical parameters, the temperature of thermal dewetting processes and the duration of RIE, have been experimentally studied to achieve very low reflectance from SAS. All the SAS have well-tapered shapes that the refractive index may be changed continuously and monotonously in the direction of incident light. In the wavelength range from 350 to 1800 nm, the measured reflectance of the fabricated SAS averages out to 5%. Especially in the wavelength range from 550 to 650 nm, which falls within visible light, the measured reflectance is under 0.01%.

  20. Minimizing Isolate Catalyst Motion in Metal-Assisted Chemical Etching for Deep Trenching of Silicon Nanohole Array.

    Science.gov (United States)

    Kong, Lingyu; Zhao, Yunshan; Dasgupta, Binayak; Ren, Yi; Hippalgaonkar, Kedar; Li, Xiuling; Chim, Wai Kin; Chiam, Sing Yang

    2017-06-21

    The instability of isolate catalysts during metal-assisted chemical etching is a major hindrance to achieve high aspect ratio structures in the vertical and directional etching of silicon (Si). In this work, we discussed and showed how isolate catalyst motion can be influenced and controlled by the semiconductor doping type and the oxidant concentration ratio. We propose that the triggering event in deviating isolate catalyst motion is brought about by unequal etch rates across the isolate catalyst. This triggering event is indirectly affected by the oxidant concentration ratio through the etching rates. While the triggering events are stochastic, the doping concentration of silicon offers a good control in minimizing isolate catalyst motion. The doping concentration affects the porosity at the etching front, and this directly affects the van der Waals (vdWs) forces between the metal catalyst and Si during etching. A reduction in the vdWs forces resulted in a lower bending torque that can prevent the straying of the isolate catalyst from its directional etching, in the event of unequal etch rates. The key understandings in isolate catalyst motion derived from this work allowed us to demonstrate the fabrication of large area and uniformly ordered sub-500 nm nanoholes array with an unprecedented high aspect ratio of ∼12.

  1. Coupled chemical reactions in dynamic nanometric confinement: V. The influence of Li+ and F- ions on etching of nuclear tracks in polymers

    Czech Academy of Sciences Publication Activity Database

    Fink, Dietmar; Hernandez, G. M.; Ruiz, N. L.; Vacík, Jiří; Hnatowicz, Vladimír; Garcia-Arellano, H.; Alfonta, L.; Kiv, A.

    2014-01-01

    Roč. 169, č. 5 (2014), s. 396-417 ISSN 1042-0150 R&D Projects: GA ČR(CZ) GBP108/12/G108; GA MŠk(XE) LM2011019 Institutional support: RVO:61389005 Keywords : tracks * biotechnology * nanostruct * ions * etching Subject RIV: BO - Biophysics Impact factor: 0.513, year: 2014

  2. Ridge optical waveguide in an Er3+/Yb3+ co-doped phosphate glass produced by He+ ion implantation combined with Ar+ ion beam etching

    International Nuclear Information System (INIS)

    Tan Yang; Chen Feng; Hu Lili; Xing Pengfei; Chen Yanxue; Wang Xuelin; Wang Keming

    2007-01-01

    This paper reports on the fabrication and characterization of a ridge optical waveguide in an Er 3+ /Yb 3+ co-doped phosphate glass. The He + ion implantation (at energy of 2.8 MeV) is first applied onto the sample to produce a planar waveguide substrate, and then Ar + ion beam etching (at energy of 500 eV) is carried out to construct rib stripes on the sample surface that has been deposited by a specially designed photoresist mask. According to a reconstructed refractive index profile of the waveguide cross section, the modal distribution of the waveguide is simulated by applying a computer code based on the beam propagation method, which shows reasonable agreement with the experimentally observed waveguide mode by using the end-face coupling method. Simulation of the incident He ions at 2.8 MeV penetrating into the Er 3+ /Yb 3+ co-doped phosphate glass substrate is also performed to provide helpful information on waveguide formation

  3. Determination of nuclear tracks parameters on sequentially etched PADC detectors

    Science.gov (United States)

    Horwacik, Tomasz; Bilski, Pawel; Koerner, Christine; Facius, Rainer; Berger, Thomas; Nowak, Tomasz; Reitz, Guenther; Olko, Pawel

    Polyallyl Diglycol Carbonate (PADC) detectors find many applications in radiation protection. One of them is the cosmic radiation dosimetry, where PADC detectors measure the linear energy transfer (LET) spectra of charged particles (from protons to heavy ions), supplementing TLD detectors in the role of passive dosemeter. Calibration exposures to ions of known LET are required to establish a relation between parameters of track observed on the detector and LET of particle creating this track. PADC TASTRAK nuclear track detectors were exposed to 12 C and 56 Fe ions of LET in H2 O between 10 and 544 keV/µm. The exposures took place at the Heavy Ion Medical Accelerator (HIMAC) in Chiba, Japan in the frame of the HIMAC research project "Space Radiation Dosimetry-Ground Based Verification of the MATROSHKA Facility" (20P-240). Detectors were etched in water solution of NaOH with three different temperatures and for various etching times to observe the appearance of etched tracks, the evolution of their parameters and the stability of the etching process. The applied etching times (and the solution's concentrations and temperatures) were: 48, 72, 96, 120 hours (6.25 N NaOH, 50 O C), 20, 40, 60, 80 hours (6.25 N NaOH, 60 O C) and 8, 12, 16, 20 hours (7N NaOH, 70 O C). The analysis of the detectors involved planimetric (2D) measurements of tracks' entrance ellipses and mechanical measurements of bulk layer thickness. Further track parameters, like angle of incidence, track length and etch rate ratio were then calculated. For certain tracks, results of planimetric measurements and calculations were also compared with results of optical track profile (3D) measurements, where not only the track's entrance ellipse but also the location of the track's tip could be directly measured. All these measurements have been performed with the 2D/3D measurement system at DLR. The collected data allow to create sets of V(LET in H2 O) calibration curves suitable for short, intermediate and

  4. Fabrication of Light Extraction Efficiency of Organic Light-Emitting Diodes with 3D Aspherical Microlens by Using Dry Etching Process

    Directory of Open Access Journals (Sweden)

    Y. C. Chen

    2013-01-01

    Full Text Available organic light-emitting diode (OLED can enable a greater artificial contrast ratio and viewing angle compared to liquid crystal display (LCD because OLED pixels directly emit light. There is a shortcoming that the internal quantum efficiency can reach values close to 100%, but about 80% light disperses because of the difference among the refractive indices of the substrate, anode, indium tin oxide (ITO film, and air. In this paper, three dimensions aspherical microlens arrays (3D A-MLAs with substrate modifications are developed to simulate the optical luminous field by using FRED software. This study modified parameters of 3D A-MLAs such as the diameter, fill-factor, aspect ratio, dry etching parameters, and electroforming rates of microlens to improve the extraction efficiency of the OLED. In dry etching, not only the aspect ratio with better extraction rate can be obtained by reactive ion etching (RIE dry etching, but also an undercutting phenomenon can be avoided. The dimensions of 3D A-MLAs can be accurately controlled in the electroforming process used to make a nickel-cobalt (Ni-Co metal mold to achieve the designed dimensions. According to the measured results, the average luminance efficacy of the OLEDs with 3D A-MLAs can be enhanced.

  5. Coupled chemical reactions in dynamic nanometric confinement: IV. Ion transmission spectrometric analysis of nanofluidic behavior and membrane formation during track etching in polymers

    Czech Academy of Sciences Publication Activity Database

    Fink, Dietmar; Vacík, Jiří; Hnatowicz, Vladimír; Munoz, G. H.; Arellano, H. G.; Kiv, A.; Alfonta, L.

    2015-01-01

    Roč. 170, č. 3 (2015), s. 155-174 ISSN 1042-0150 R&D Projects: GA ČR(CZ) GBP108/12/G108 Institutional support: RVO:61389005 Keywords : ions * etching * tracks Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 0.472, year: 2015

  6. Value of the Debris of Reduction Sculpture: Thiol Etching of Au Nanoclusters for Preparing Water-Soluble and Aggregation-Induced Emission-Active Au(I) Complexes as Phosphorescent Copper Ion Sensor.

    Science.gov (United States)

    Shu, Tong; Su, Lei; Wang, Jianxing; Lu, Xin; Liang, Feng; Li, Chenzhong; Zhang, Xueji

    2016-06-07

    Chemical etching of gold by thiols has been known to be capable of generating nonluminescent gold(I) complexes, e.g., in size-focusing synthesis of atomically precise gold nanoclusters (GNCs). These nonluminescent gold(I) complexes have usually been considered as useless or worthless byproducts. This study shows a promising potential of thiol etching of GNCs to prepare novel water-soluble and phosphorescent gold(I) materials for sensing application. First, cysteamine-induced etching of GNCs is used to produce nonluminescent oligomeric gold(I)-thiolate complexes. Then, cadmium ion induces the aggregation of these oligomeric complexes to produce highly water-soluble ultrasmall intra-aggregates. These intra-aggregates can phosphoresce both in dilute aqueous solutions and in the solid phase. Studies on the effect of pH on their phosphorescent emission reveal the importance of the interaction between the amino groups of the ligands and cadmium ion for their phosphorescent emission property. Furthermore, Cu(2+) ion is found to quickly quench the phosphorescent emission of the intra-aggregates and simultaneously cause a Cu(2+)-concentration-dependent peak wavelength shift, enabling the establishment of a novel colorimetric sensor for sensitive and selective visual sensing of Cu(2+).

  7. Highly featured amorphous silicon nanorod arrays for high-performance lithium-ion batteries

    International Nuclear Information System (INIS)

    Soleimani-Amiri, Samaneh; Safiabadi Tali, Seied Ali; Azimi, Soheil; Sanaee, Zeinab; Mohajerzadeh, Shamsoddin

    2014-01-01

    High aspect-ratio vertical structures of amorphous silicon have been realized using hydrogen-assisted low-density plasma reactive ion etching. Amorphous silicon layers with the thicknesses ranging from 0.5 to 10 μm were deposited using radio frequency plasma enhanced chemical vapor deposition technique. Standard photolithography and nanosphere colloidal lithography were employed to realize ultra-small features of the amorphous silicon. The performance of the patterned amorphous silicon structures as a lithium-ion battery electrode was investigated using galvanostatic charge-discharge tests. The patterned structures showed a superior Li-ion battery performance compared to planar amorphous silicon. Such structures are suitable for high current Li-ion battery applications such as electric vehicles

  8. Highly featured amorphous silicon nanorod arrays for high-performance lithium-ion batteries

    Energy Technology Data Exchange (ETDEWEB)

    Soleimani-Amiri, Samaneh; Safiabadi Tali, Seied Ali; Azimi, Soheil; Sanaee, Zeinab; Mohajerzadeh, Shamsoddin, E-mail: mohajer@ut.ac.ir [Thin Film and Nanoelectronics Lab, Nanoelectronics Center of Excellence, School of Electrical and Computer Engineering, University of Tehran, Tehran 143957131 (Iran, Islamic Republic of)

    2014-11-10

    High aspect-ratio vertical structures of amorphous silicon have been realized using hydrogen-assisted low-density plasma reactive ion etching. Amorphous silicon layers with the thicknesses ranging from 0.5 to 10 μm were deposited using radio frequency plasma enhanced chemical vapor deposition technique. Standard photolithography and nanosphere colloidal lithography were employed to realize ultra-small features of the amorphous silicon. The performance of the patterned amorphous silicon structures as a lithium-ion battery electrode was investigated using galvanostatic charge-discharge tests. The patterned structures showed a superior Li-ion battery performance compared to planar amorphous silicon. Such structures are suitable for high current Li-ion battery applications such as electric vehicles.

  9. A Macroporous TiO2 Oxygen Sensor Fabricated Using Anodic Aluminium Oxide as an Etching Mask

    Directory of Open Access Journals (Sweden)

    Sheng-Po Wu

    2010-01-01

    Full Text Available An innovative fabrication method to produce a macroporous Si surface by employing an anodic aluminium oxide (AAO nanopore array layer as an etching template is presented. Combining AAO with a reactive ion etching (RIE processes, a homogeneous and macroporous silicon surface can be effectively configured by modulating AAO process parameters and alumina film thickness, thus hopefully replacing conventional photolithography and electrochemical etch methods. The hybrid process integration is considered fully CMOS compatible thanks to the low-temperature AAO and CMOS processes. The gas-sensing characteristics of 50 nm TiO2 nanofilms deposited on the macroporous surface are compared with those of conventional plain (or non-porous nanofilms to verify reduced response noise and improved sensitivity as a result of their macroporosity. Our experimental results reveal that macroporous geometry of the TiO2 chemoresistive gas sensor demonstrates 2-fold higher (~33% improved sensitivity than a non-porous sensor at different levels of oxygen exposure. In addition, the macroporous device exhibits excellent discrimination capability and significantly lessened response noise at 500 °C. Experimental results indicate that the hybrid process of such miniature and macroporous devices are compatible as well as applicable to integrated next generation bio-chemical sensors.

  10. Role of chamber dimension in fluorocarbon based deposition and etching of SiO2 and its effects on gas and surface-phase chemistry

    International Nuclear Information System (INIS)

    Joseph, E. A.; Zhou, B.-S.; Sant, S. P.; Overzet, L. J.; Goeckner, M. J.

    2008-01-01

    It is well understood that chamber geometry is an influential factor governing plasma processing of materials. Simple models suggest that a large fraction of this influence is due to changes in basic plasma properties, namely, density, temperature, and potential. However, while such factors do play an important role, they only partly describe the observed differences in process results. Therefore, to better elucidate the role of chamber geometry in this work, the authors explore the influence of plasma chemistry and its symbiotic effect on plasma processing by decoupling the plasma density, temperature, and potential from the plasma-surface (wall) interactions. Specifically, a plasma system is used with which the authors can vary the chamber dimension so as to vary the plasma-surface interaction directly. By varying chamber wall diameter, 20-66 cm, and source-platen distance, 4-6 cm, the etch behavior of SiO 2 (or the deposition behavior of fluorocarbon polymer) and the resulting gas-phase chemistry change significantly. Results from in situ spectroscopic ellipsometry show significant differences in etch characteristics, with etch rates as high as 350 nm/min and as low as 75 nm/min for the same self-bias voltage. Fluorocarbon deposition rates are also highly dependent on chamber dimension and vary from no net deposition to deposition rates as high as 225 nm/min. Etch yields, however, remain unaffected by the chamber size variations. From Langmuir probe measurements, it is clear that chamber geometry results in significant shifts in plasma properties such as electron and ion densities. Indeed, such measurements show that on-wafer processes are limited at least in part by ion flux for high energy reactive ion etch. However, in situ multipass Fourier transform infrared spectroscopy reveals that the line-averaged COF 2 , SiF 4 , CF 2 , and CF 3 gas-phase densities are also dependent on chamber dimension at high self-bias voltage and also correlate well to the CF x

  11. Plasma atomic layer etching using conventional plasma equipment

    International Nuclear Information System (INIS)

    Agarwal, Ankur; Kushner, Mark J.

    2009-01-01

    The decrease in feature sizes in microelectronics fabrication will soon require plasma etching processes having atomic layer resolution. The basis of plasma atomic layer etching (PALE) is forming a layer of passivation that allows the underlying substrate material to be etched with lower activation energy than in the absence of the passivation. The subsequent removal of the passivation with carefully tailored activation energy then removes a single layer of the underlying material. If these goals are met, the process is self-limiting. A challenge of PALE is the high cost of specialized equipment and slow processing speed. In this work, results from a computational investigation of PALE will be discussed with the goal of demonstrating the potential of using conventional plasma etching equipment having acceptable processing speeds. Results will be discussed using inductively coupled and magnetically enhanced capacitively coupled plasmas in which nonsinusoidal waveforms are used to regulate ion energies to optimize the passivation and etch steps. This strategy may also enable the use of a single gas mixture, as opposed to changing gas mixtures between steps

  12. Etching of Niobium in an Argon-Chlorine Capacitively Coupled Plasma

    Science.gov (United States)

    Radovanov, Svetlana; Samolov, Ana; Upadhyay, Janardan; Peshl, Jeremy; Popovic, Svetozar; Vuskovic, Leposava; Applied Materials, Varian Semiconductor Team; Old Dominion University Team

    2016-09-01

    Ion assisted etching of the inner surfaces of Nb superconducting radio frequency (SRF) cavities requires control of incident ion energies and fluxes to achieve the desired etch rate and smooth surfaces. In this paper, we combine numerical simulation and experiment to investigate Ar /Cl2 capacitively coupled plasma (CCP) in cylindrical reactor geometry. Plasma simulations were done in the CRTRS 2D/3D code that self-consistently solves for CCP power deposition and electrostatic potential. The experimental results are used in combination with simulation predictions to understand the dependence of plasma parameters on the operating conditions. Using the model we were able to determine the ion current and flux at the Nb substrate. Our simulations indicate the relative importance of the current voltage phase shift and displacement current at different pressures and powers. For simulation and the experiment we have used a test structure with a pillbox cavity filled with niobium ring-type samples. The etch rate of these samples was measured. The probe measurements were combined with optical emission spectroscopy in pure Ar for validation of the model. The authors acknowledge Dr Shahid Rauf for developing the CRTRS code. Support DE-SC0014397.

  13. Metal-assisted etch combined with regularizing etch

    Energy Technology Data Exchange (ETDEWEB)

    Yim, Joanne; Miller, Jeff; Jura, Michael; Black, Marcie R.; Forziati, Joanne; Murphy, Brian; Magliozzi, Lauren

    2018-03-06

    In an aspect of the disclosure, a process for forming nanostructuring on a silicon-containing substrate is provided. The process comprises (a) performing metal-assisted chemical etching on the substrate, (b) performing a clean, including partial or total removal of the metal used to assist the chemical etch, and (c) performing an isotropic or substantially isotropic chemical etch subsequently to the metal-assisted chemical etch of step (a). In an alternative aspect of the disclosure, the process comprises (a) performing metal-assisted chemical etching on the substrate, (b) cleaning the substrate, including removal of some or all of the assisting metal, and (c) performing a chemical etch which results in regularized openings in the silicon substrate.

  14. Separated Type Atmospheric Pressure Plasma Microjets Array for Maskless Microscale Etching

    Directory of Open Access Journals (Sweden)

    Yichuan Dai

    2017-06-01

    Full Text Available Maskless etching approaches such as microdischarges and atmospheric pressure plasma jets (APPJs have been studied recently. Nonetheless, a simple, long lifetime, and efficient maskless etching method is still a challenge. In this work, a separated type maskless etching system based on atmospheric pressure He/O2 plasma jet and microfabricated Micro Electro Mechanical Systems (MEMS nozzle have been developed with advantages of simple-structure, flexibility, and parallel processing capacity. The plasma was generated in the glass tube, forming the micron level plasma jet between the nozzle and the surface of polymer. The plasma microjet was capable of removing photoresist without masks since it contains oxygen reactive species verified by spectra measurement. The experimental results illustrated that different features of microholes etched by plasma microjet could be achieved by controlling the distance between the nozzle and the substrate, additive oxygen ratio, and etch time, the result of which is consistent with the analysis result of plasma spectra. In addition, a parallel etching process was also realized by plasma microjets array.

  15. Exploration of suitable dry etch technologies for directed self-assembly

    Science.gov (United States)

    Yamashita, Fumiko; Nishimura, Eiichi; Yatsuda, Koichi; Mochiki, Hiromasa; Bannister, Julie

    2012-03-01

    Directed self-assembly (DSA) has shown the potential to replace traditional resist patterns and provide a lower cost alternative for sub-20-nm patterns. One of the possible roadblocks for DSA implementation is the ability to etch the polymers to produce quality masks for subsequent etch processes. We have studied the effects of RF frequency and etch chemistry for dry developing DSA patterns. The results of the study showed a capacitively-coupled plasma (CCP) reactor with very high frequency (VHF) had superior pattern development after the block co-polymer (BCP) etch. The VHF CCP demonstrated minimal BCP height loss and line edge roughness (LER)/line width roughness (LWR). The advantage of CCP over ICP is the low dissociation so the etch rate of BCP is maintained low enough for process control. Additionally, the advantage of VHF is the low electron energy with a tight ion energy distribution that enables removal of the polymethyl methacrylate (PMMA) with good selectivity to polystyrene (PS) and minimal LER/LWR. Etch chemistries were evaluated on the VHF CCP to determine ability to treat the BCPs to increase etch resistance and feature resolution. The right combination of RF source frequencies and etch chemistry can help overcome the challenges of using DSA patterns to create good etch results.

  16. Atomic Layer Etching of Silicon to Solve ARDE-Selectivity-Profile-Uniformity Trade-Offs

    Science.gov (United States)

    Wang, Mingmei; Ranjan, Alok; Ventzek, Peter; Koshiishi, Akira

    2014-10-01

    With shrinking critical dimensions, dry etch faces more and more challenges. Minimizing each of aspect ratio dependent etching (ARDE), bowing, undercut, selectivity, and within die uniformly across a wafer are met by trading off one requirement against another. At the root of the problem is that roles radical flux, ion flux and ion energy play may be both good and bad. Increasing one parameter helps meeting one requirement but hinders meeting the other. Self-limiting processes like atomic layer etching (ALE) promise a way to escape the problem of balancing trade-offs. ALE was realized in the mid-1990s but the industrial implementation has been slow. In recent years interest in ALE has revived. We present how ARDE, bowing/selectivity trade-offs may be overcome by varying radical/ion ratio, byproduct re-deposition. We overcome many of the practical implementation issues associated with ALE by precise passivation process control. The Monte Carlo Feature Profile Model (MCFPM) is used to illustrate realistic scenarios built around an Ar/Cl2 chemistry driven etch of Si masked by SiO2. We demonstrate that ALE can achieve zero ARDE and infinite selectivity. Profile control depends on careful management of the ion energies and angles. For ALE to be realized in production environment, tight control of IAD is a necessary. Experimental results are compared with simulation results to provide context to the work.

  17. Deep inelastic scattering of heavy ions

    International Nuclear Information System (INIS)

    Brink, D.M.

    1980-01-01

    These lectures developed path integral methods for use in the theory of heavy ion reactions. The effects of internal degrees of freedom on the relative motion were contained in an influence functional which was calculated for several simple models of the internal structure. In each model the influence functional had a simple Gaussian structure suggesting that the relative motion of the nuclei in a deep inelastic collision could be described by a Langevin equation. The form of the influence functional determines the average damping force and the correlation function of the fluctuating Langevin force. (author)

  18. On the topography of sputtered or chemically etched crystals: surface energies minimised

    International Nuclear Information System (INIS)

    Chadderton, L.T.; Cope, J.O.

    1984-01-01

    The sputtering of single or polycrystalline metal surfaces by heavy ions gives rise to the characteristic topographical features of etch pits, ripples, and cones (pyramids). For cones and pyramids, in particular, no completely satisfactory explanation exists as to the origin of the basic geometry. Scanning electron micrographs are shown. It is proposed that for topographical features of both chemical etch and ion beam origin on single crystal surfaces, the presence of facets on cones and pyramids in particular, is due to the minimization of surface energy. (U.K.)

  19. Deep ion implantation for bipolar silicon devices; investigations into the use of the third dimension

    International Nuclear Information System (INIS)

    Mouthaan, A.J.

    1986-01-01

    This thesis covers various aspects of the use of deep ion implantations in digital bipolar circuits. It starts with the implications of the use of deep ion implantations for numerical process, device and circuit simulation. It shows the use of 1MeV boron and phosphorus implantations in the realization of a fully vertical IIL, here named Buried Injector Logic, which can also be used as static and dynamic memory device in several different configurations. The author presents a combined MOS-bipolar device, called the Charge Injection Device as a dynamic memory cell. Finally, deep ion implantations are used to realize a stack of photovoltaic cells that produces a multiple of the open circuit voltage of one photodiode. (Auth.)

  20. Die singulation method

    Science.gov (United States)

    Swiler, Thomas P.; Garcia, Ernest J.; Francis, Kathryn M.

    2013-06-11

    A method is disclosed for singulating die from a semiconductor substrate (e.g. a semiconductor-on-insulator substrate or a bulk silicon substrate) containing an oxide layer (e.g. silicon dioxide or a silicate glass) and one or more semiconductor layers (e.g. monocrystalline or polycrystalline silicon) located above the oxide layer. The method etches trenches through the substrate and through each semiconductor layer about the die being singulated, with the trenches being offset from each other around at least a part of the die so that the oxide layer between the trenches holds the substrate and die together. The trenches can be anisotropically etched using a Deep Reactive Ion Etching (DRIE) process. After the trenches are etched, the oxide layer between the trenches can be etched away with an HF etchant to singulate the die. A release fixture can be located near one side of the substrate to receive the singulated die.

  1. Improved thrombogenicity on oxygen etched Ti6Al4V surfaces

    International Nuclear Information System (INIS)

    Riedel, Nicholas A.; Smith, Barbara S.; Williams, John D.; Popat, Ketul C.

    2012-01-01

    Thrombus formation on blood contacting biomaterials continues to be a key factor in initiating a critical mode of failure in implantable devices, requiring immediate attention. In the interest of evaluating a solution for one of the most widely used biomaterials, titanium and its alloys, this study focuses on the use of a novel surface oxidation treatment to improve the blood compatibility. This study examines the possibility of using oblique angle ion etching to produce a high quality oxide layer that enhances blood compatibility on medical grade titanium alloy Ti6Al4V. An X-ray photoelectron spectroscopy (XPS) analysis of these oxygen-rich surfaces confirmed the presence of TiO 2 peaks and also indicated increased surface oxidation as well as a reduction in surface defects. After 2 h of contact with whole human plasma, the oxygen etched substrates demonstrated a reduction in both platelet adhesion and activation as compared to bare titanium substrates. The whole blood clotting behavior was evaluated for up to 45 min, showing a significant decrease in clot formation on oxygen etched substrates. Finally, a bicinchoninic acid (BCA) total protein assay and XPS were used to evaluate the degree of key blood serum protein (fibrinogen, albumin, immunoglobulin G) adsorption on the substrates. The results showed similar protein levels for both the oxygen etched and control substrates. These results indicate that oblique angle oxygen etching may be a promising method to increase the thrombogenicity of Ti6Al4V. - Highlights: ►Oblique angle oxygen ion etching creates a high quality, uniform oxide surface. ►Oxygen etched substrates showed fewer adhered platelets. ►Platelet activation was reduced by the improved oxide surface. ►Oxygen etched substrates exhibited increased whole blood clotting times. ►Although clotting reductions were seen, protein adsorption remained similar.

  2. Scanning deep level transient spectroscopy using an MeV ion microprobe

    Energy Technology Data Exchange (ETDEWEB)

    Laird, J.S.; Bardos, R.A.; Saint, A.; Moloney, G.M.; Legge, G.F.J. [Melbourne Univ., Parkville, VIC (Australia)

    1993-12-31

    Traditionally the scanning ion microprobe has given little or no information regarding the electronic structure of materials in particular semiconductors. A new imaging technique called Scanning Ion Deep Level Transient Spectroscopy (SIDLTS) is presented which is able to spatially map alterations in the band gap structure of materials by lattice defects or impurities. 3 refs., 2 figs.

  3. Scanning deep level transient spectroscopy using an MeV ion microprobe

    Energy Technology Data Exchange (ETDEWEB)

    Laird, J S; Bardos, R A; Saint, A; Moloney, G M; Legge, G F.J. [Melbourne Univ., Parkville, VIC (Australia)

    1994-12-31

    Traditionally the scanning ion microprobe has given little or no information regarding the electronic structure of materials in particular semiconductors. A new imaging technique called Scanning Ion Deep Level Transient Spectroscopy (SIDLTS) is presented which is able to spatially map alterations in the band gap structure of materials by lattice defects or impurities. 3 refs., 2 figs.

  4. Nano-patterning of perpendicular magnetic recording media by low-energy implantation of chemically reactive ions

    International Nuclear Information System (INIS)

    Martin-Gonzalez, M.S.; Briones, F.; Garcia-Martin, J.M.; Montserrat, J.; Vila, L.; Faini, G.; Testa, A.M.; Fiorani, D.; Rohrmann, H.

    2010-01-01

    Magnetic nano-patterning of perpendicular hard disk media with perpendicular anisotropy, but preserving disk surface planarity, is presented here. Reactive ion implantation is used to locally modify the chemical composition (hence the magnetization and magnetic anisotropy) of the Co/Pd multilayer in irradiated areas. The procedure involves low energy, chemically reactive ion irradiation through a resist mask. Among N, P and As ions, P are shown to be most adequate to obtain optimum bit density and topography flatness for industrial Co/Pd multilayer media. The effect of this ion contributes to isolate perpendicular bits by destroying both anisotropy and magnetic exchange in the irradiated areas. Low ion fluences are effective due to the stabilization of atomic displacement levels by the chemical effect of covalent impurities.

  5. Reactivity of the cadmium ion in concentrated phosphoric acid solutions.

    Science.gov (United States)

    De Gyves, J; Gonzales, J; Louis, C; Bessiere, J

    1989-07-01

    The solvation transfer coefficients which characterize the changes of ion reactivity with phosphoric acid concentration have been calculated for cadmium from the constants of the successive chloride complexes, and for silver and diethyldithiophosphate from potentiometric measurements. They evidence the strong desolvation of the cadmium species in concentrated phosphoric acid media, causing a remarkable increase of its reactivity. They allow the results of liquid-liquid extraction, precipitation and flotation reactions to be correctly interpreted and their changes to be foreseen when the reagents are modified.

  6. Single-crystal silicon trench etching for fabrication of highly integrated circuits

    Science.gov (United States)

    Engelhardt, Manfred

    1991-03-01

    The development of single crystal silicon trench etching for fabrication of memory cells in 4 16 and 64Mbit DRAMs is reviewed in this paper. A variety of both etch tools and process gases used for the process development is discussed since both equipment and etch chemistry had to be improved and changed respectively to meet the increasing requirements for high fidelity pattern transfer with increasing degree of integration. In additon to DRAM cell structures etch results for deep trench isolation in advanced bipolar ICs and ASICs are presented for these applications grooves were etched into silicon through a highly doped buried layer and at the borderline of adjacent p- and n-well areas respectively. Shallow trench etching of large and small exposed areas with identical etch rates is presented as an approach to replace standard LOCOS isolation by an advanced isolation technique. The etch profiles were investigated with SEM TEM and AES to get information on contathination and damage levels and on the mechanism leading to anisotropy in the dry etch process. Thermal wave measurements were performed on processed single crystal silicon substrates for a fast evaluation of the process with respect to plasma-induced substrate degradation. This useful technique allows an optimization ofthe etch process regarding high electrical performance of the fully processed memory chip. The benefits of the use of magnetic fields for the development of innovative single crystal silicon dry

  7. Transport of secondary electrons and reactive species in ion tracks

    Science.gov (United States)

    Surdutovich, Eugene; Solov'yov, Andrey V.

    2015-08-01

    The transport of reactive species brought about by ions traversing tissue-like medium is analysed analytically. Secondary electrons ejected by ions are capable of ionizing other molecules; the transport of these generations of electrons is studied using the random walk approximation until these electrons remain ballistic. Then, the distribution of solvated electrons produced as a result of interaction of low-energy electrons with water molecules is obtained. The radial distribution of energy loss by ions and secondary electrons to the medium yields the initial radial dose distribution, which can be used as initial conditions for the predicted shock waves. The formation, diffusion, and chemical evolution of hydroxyl radicals in liquid water are studied as well. COST Action Nano-IBCT: Nano-scale Processes Behind Ion-Beam Cancer Therapy.

  8. Rational Synthesis of Hollow Prussian Blue Analogue Through Coordination Replication and Controlled-Etching for Cs-Ion Removal.

    Science.gov (United States)

    Xu, Jun; Bu, Fan-Xing; Guo, Yi-Fei; Zhang, Wei; Hu, Ming; Jiang, Ji-Sen

    2018-05-01

    Radioactive cesium pollution have received considerable attention due to the increasing risks in development of the nuclear power plants in the world. Although various functional porous materials are utilized to adsorb Cs+ ions in water, Prussian blue analogues (PBAs) are an impressive class of candidates because of their super affinity of Cs+ ions. The adsorption ability of the PBAs strongly relate to the mesostructure and interstitial sites. To design a hollow PBA with large number of interstitial sites, the traditional hollowing methods are not suitable owing to the difficulty in processing the specific PBAs with large number of interstitial sites. In this work, we empolyed a rational strategy which was to form a "metal oxide"@"PBA" core-shell structure via coordination replication at first, then utilized a mild etching to remove the metal oxide core, led to hollow PBA finally. The obtained hollow PBAs were of high crystallinity and large number of interstitial sites, showing a super adsorption performance for Cs+ ions (221.6 mg/g) within a short period (10 min).

  9. Micro-machined high-frequency (80 MHz) PZT thick film linear arrays.

    Science.gov (United States)

    Zhou, Qifa; Wu, Dawei; Liu, Changgeng; Zhu, Benpeng; Djuth, Frank; Shung, K

    2010-10-01

    This paper presents the development of a micromachined high-frequency linear array using PZT piezoelectric thick films. The linear array has 32 elements with an element width of 24 μm and an element length of 4 mm. Array elements were fabricated by deep reactive ion etching of PZT thick films, which were prepared from spin-coating of PZT sol-gel composite. Detailed fabrication processes, especially PZT thick film etching conditions and a novel transferring-and-etching method, are presented and discussed. Array designs were evaluated by simulation. Experimental measurements show that the array had a center frequency of 80 MHz and a fractional bandwidth (-6 dB) of 60%. An insertion loss of -41 dB and adjacent element crosstalk of -21 dB were found at the center frequency.

  10. Control of the ambident reactivity of the nitrite ion

    DEFF Research Database (Denmark)

    Dong, Hai; Rahm, Martin; Thota, Niranjan

    2013-01-01

    of this difference was addressed. The ambident reactivity of the nitrite ion has been found to be the cause of the complex product formation observed, which can be controlled by a neighbouring equatorial ester group. Both N-attack and O-attack occur in the absence of the ester group, whereas O-attack is favoured...

  11. Fabrication and characterization of Ge20Sb15Se65 chalcogenide glass rib waveguides for telecommunication wavelengths

    International Nuclear Information System (INIS)

    Li, Jun; Shen, Xiang; Sun, Junqiang; Vu, Khu; Choi, Duk-Yong; Wang, Rongping; Luther-Davies, Barry; Dai, Shixun; Xu, Tiefeng; Nie, Qiuhua

    2013-01-01

    We report on the fabrication and optical properties of Ge 20 Sb 15 Se 65 chalcogenide glass rib waveguides on a single photonic chip. Radio-frequency magnetron sputtering method is employed to deposit 1.36-μm-thick films and reactive ion etching with CHF 3 is used to pattern 0.76-μm-deep rib waveguides of 1–4 μm wide with low surface roughness and vertical sidewalls. Using lensed fibers, the insertion losses for rib waveguides of different widths are measured and propagation losses are estimated to be lower than 1 dB/cm. Finite difference method simulations and refractive index/curve fitting are used to observe a moderate normal dispersion of the waveguides at 1550 nm. - Highlights: • RF magnetron sputtering was used to deposit uniform Ge 20 Sb 15 Se 65 thin films. • CHF 3 reactive ion etching of rib waveguides vertical profile and smooth sidewall. • Insertion losses at 1550 nm measured and low propagation losses estimated. • Dispersion engineered by finite difference methods and refractive curve fitting

  12. Adherent zirconia films by reactive ion implantation

    International Nuclear Information System (INIS)

    Bunker, S.N.; Armini, A.J.

    1993-01-01

    Conventional methods of forming ceramic coatings on metal substrates, such as CVD or plasma spray, typically retain a sharp interface and may have adhesion problems. In order to produce a completely mixed interface for better adhesion, a method using reactive ion implantation was used which can grow a thick stoichiometric film of an oxide ceramic starting from inside the substrate. Zirconium oxide ceramic films have been produced by this technique using a high-energy zirconium ion beam in an oxygen gas ambient. Compositional data are shown based on Auger electron spectroscopy of the film. Tribological properties of the layer were determined from wear and friction measurements using a pin-on-disk test apparatus. The adhesion was measured both by a scratch technique as well as by thermal shock. Results show an extremely adherent ZrO 2 film with good tribological properties

  13. High-etch-rate bottom-antireflective coating and gap-fill materials using dextrin derivatives in via first dual-Damascene lithography process

    Science.gov (United States)

    Takei, Satoshi; Sakaida, Yasushi; Shinjo, Tetsuya; Hashimoto, Keisuke; Nakajima, Yasuyuki

    2008-03-01

    The present paper describes a novel class of bottom antireflective coating (BARC) and gap fill materials using dextrin derivatives. The general trend of interconnect fabrication for such a high performance LSI is to apply cupper (Cu)/ low-dielectric-constant (low-k) interconnect to reduce RC delay. A via-first dual damascene process is one of the most promising processes to fabricate Cu/ low-k interconnect due to its wide miss-alignment margin. The sacrificial materials containing dextrin derivatives under resist for lithography were developed in via-first dual damascene process. The dextrin derivatives in this study was obtained by the esterification of the hydroxyl groups of dextrin resulting in improved solubility in the resist solvents such as propylene glycol monomethylether, propylene glycol monomethylether acetate, and ethyl lactate due to avoid the issue of defects that were caused by incompatability. The etch rate of our developed BARC and gap fill materials using dextrin derivatives was more than two times faster than one of the ArF resists evaluated in a CF4 gas condition using reactive ion etching. The improved etch performance was also verified by comparison with poly(hydroxystyrene), acrylate-type materials and latest low-k materials as a reference. In addition to superior etch performance, these materials showed good resist profiles and via filling performance without voids in via holes.

  14. A study of ion implanted gallium arsenide using deep level transient spectroscopy

    International Nuclear Information System (INIS)

    Emerson, N.G.

    1981-03-01

    This thesis is concerned with the study of deep energy levels in ion implanted gallium arsenide (GaAs) using deep level transient spectroscopy (D.L.T.S.). The D.L.T.S. technique is used to characterise deep levels in terms of their activation energies and capture cross-sections and to determine their concentration profiles. The main objective is to characterise the effects on deep levels, of ion implantation and the related annealing processes. In the majority of cases assessment is carried out using Schottky barrier diodes. Low doses of selenium ions 1 to 3 x 10 12 cm -2 are implanted into vapour phase epitaxial (V.P.E.) GaAs and the effects of post-implantation thermal and pulsed laser annealing are compared. The process of oxygen implantation with doses in the range 1 x 10 12 to 5 x 10 13 cm -2 followed by thermal annealing at about 750 deg C, introduces a deep level at 0.79 eV from the conduction band. Oxygen implantation, at doses of 5 x 10 13 cm -2 , into V.P.E. GaAs produces a significant increase in the concentration of the A-centre (0.83 eV). High doses of zinc (10 15 cm -2 ) are implanted into n-type V.P.E. GaAs to form shallow p-type layers. The D.L.T.S. system described in the text is used to measure levels in the range 0.16 to 1.1 eV (for GaAs) with a sensitivity of the order 1:10 3 . (U.K.)

  15. Etching properties of BLT films in CF4/Ar plasma

    International Nuclear Information System (INIS)

    Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il

    2003-01-01

    CF 4 /Ar plasma mass content and etching rate behavior of BLT thin films were investigated in inductively coupled plasma (ICP) reactor as functions of CF 4 /Ar gas mixing ratio, rf power, and dc bias voltage. The variation of relative volume densities for F and Ar atoms were measured by the optical emission spectroscopy (OES). The etching rate as functions of Ar content showed the maximum of 803 A/min at 80 % Ar addition into CF 4 plasma. The presence of maximum etch rate may be explained by the concurrence of two etching mechanisms such as physical sputtering and chemical reaction. The role of Ar ion bombardment includes destruction of metal (Bi, La, Ti)-O bonds as well as support of chemical reaction of metals with fluorine atoms

  16. Sputter etching of polymer fibres

    International Nuclear Information System (INIS)

    Carter, G.; Hill, A.E.; Nobes, M.J.; Jeffries, R.; Simmens, S.C.

    1979-01-01

    Fibres of polyamide, polyester and an aromatic polyamide (Kevlar) have been subjected to Ar + ion bombardment erosion in an ion accelerator or an rf discharge system. In the case of the former two polymers, cones are observed to develop upon the fibre surface and these are associated with etch protection resulting from the presence of particles of titanium dioxide pigment. This effect is absent in the third, unpigmented, fibre. In all cases ripple structures with a habit transverse to the fibre axes and of wavelength of approximately 1000 Angstrom are gradually developed during ion bombardment. It is suggested that this morphology results from an underlying periodicity of the fibre structure either inherent in the fibre structure or induced by the irradiation. (author)

  17. Project: Micromachined High-Frequency Circuits For Sub-mm-wave Sensors

    Science.gov (United States)

    Papapolymerou, Ioannis John

    2004-01-01

    A novel micromachined resonator at 45 GHz based on a defect in a periodic electromagnetic bandgap structure (EBG) and a two-pole Tchebysbev filter with 1.4% 0.15 dB equiripple bandwidth and 2.3 dB loss employing this resonator are presented in this letter. The periodic bandgap structure is realized on a 400 micron thick high-resistivity silicon wafer using deep reactive ion etching techniques. The resonator and filter can be accessed via coplanar waveguide feeds.

  18. Causes of defects and accuracy of structure reproduction in deep-etch X-ray lithography using synchrotron radiation

    International Nuclear Information System (INIS)

    Mohr, J.; Ehrfeld, W.; Muenchmeyer, D.

    1988-07-01

    Under the LIGA process plastic microstructures with extraordinarily high aspect ratios are produced by means of deep-etch synchrotron radiation lithography. These microstructures are used as templates for the fabrication by electroforming of metallic microstructures. The several hundred micrometer thick resist layers required in the process are polymerized directly on a metal base plate using a methacrylate based resin. This provides sufficient stability during the production process and also a reliable plating base for the electrodeposition of the metal. Perfect adhesion of micron-sized microstructures on a smooth surface can be achieved if the polished metal surface is sputtered with titanium and chemically oxidized afterwards. Alternatively it is also possible to add an internal adhesion promoter like methacryl oxypropyl trimethoxy silane to the resin. By means of this adhesion promoter chemical bonding between the metal surface and the polymer is achieved. (orig.)

  19. Surface Roughening of Polystyrene and Poly(methyl methacrylate in Ar/O2 Plasma Etching

    Directory of Open Access Journals (Sweden)

    Amy E. Wendt

    2010-12-01

    Full Text Available Selectively plasma-etched polystyrene-block-poly(methyl methacrylate (PS-b-PMMA diblock copolymer masks present a promising alternative for subsequent nanoscale patterning of underlying films. Because mask roughness can be detrimental to pattern transfer, this study examines roughness formation, with a focus on the role of cross-linking, during plasma etching of PS and PMMA. Variables include ion bombardment energy, polymer molecular weight and etch gas mixture. Roughness data support a proposed model in which surface roughness is attributed to polymer aggregation associated with cross-linking induced by energetic ion bombardment. In this model, RMS roughness peaks when cross-linking rates are comparable to chain scissioning rates, and drop to negligible levels for either very low or very high rates of cross-linking. Aggregation is minimal for very low rates of cross-linking, while very high rates produce a continuous cross-linked surface layer with low roughness. Molecular weight shows a negligible effect on roughness, while the introduction of H and F atoms suppresses roughness, apparently by terminating dangling bonds. For PS etched in Ar/O2 plasmas, roughness decreases with increasing ion energy are tentatively attributed to the formation of a continuous cross-linked layer, while roughness increases with ion energy for PMMA are attributed to increases in cross-linking from negligible to moderate levels.

  20. Spatially resolved images of reactive ions in the Orion Bar,★★.

    Science.gov (United States)

    Goicoechea, Javier R; Cuadrado, Sara; Pety, Jérôme; Bron, Emeric; Black, John H; Cernicharo, José; Chapillon, Edwige; Fuente, Asunción; Gerin, Maryvonne

    2017-05-01

    We report high angular resolution (4.9″×3.0″) images of reactive ions SH + , HOC + , and SO + toward the Orion Bar photodissociation region (PDR). We used ALMA-ACA to map several rotational lines at 0.8 mm, complemented with multi-line observations obtained with the IRAM 30 m telescope. The SH + and HOC + emission is restricted to a narrow layer of 2″- to 10″-width (≈800 to 4000 AU depending on the assumed PDR geometry) that follows the vibrationally excited [Formula: see text] emission. Both ions efficiently form very close to the H/H 2 transition zone, at a depth of A v ≲1 mag into the neutral cloud, where abundant C + , S + , and [Formula: see text] coexist. SO + peaks slightly deeper into the cloud. The observed ions have low rotational temperatures ( T rot ≈10-30 K≪ T k ) and narrow line-widths (~2-3 km s -1 ), a factor of ≃2 narrower that those of the lighter reactive ion CH + . This is consistent with the higher reactivity and faster radiative pumping rates of CH + compared to the heavier ions, which are driven relatively faster toward smaller velocity dispersion by elastic collisions and toward lower T rot by inelastic collisions. We estimate column densities and average physical conditions from an excitation model ( n (H 2 )≈10 5 -10 6 cm -3 , n ( e - )≈10 cm -3 , and T k ≈200 K). Regardless of the excitation details, SH + and HOC + clearly trace the most exposed layers of the UV-irradiated molecular cloud surface, whereas SO + arises from slightly more shielded layers.

  1. Wavelength dependent laser-induced etching of Cr–O doped GaAs ...

    Indian Academy of Sciences (India)

    Administrator

    sub-bandgap photon illumination the etching process starts vigorously through the mediation of intermediate defect states. ... shows creation of shallow optical depth as visible from .... tron is lifted from valence band to deep inside the con-.

  2. Change of wettability of PTFE surface by sputter etching and excimer laser. Sputter etching oyobi excimer laser ni yoru PTFE hyomen no shinsuika

    Energy Technology Data Exchange (ETDEWEB)

    Yamamoto, S. (Nitto Denko Corp., Osaka (Japan)); Kubo, U. (Kinki University, Osaka (Japan))

    1994-06-20

    The wettability of PTFE (polytetrafluoroethylene) surfaces was improved by sputter etching and excimer laser irradiation. In sputter etching, the PTFE surface was treated by reactive sputter etching with H2O gas to give active groups on the surface. In laser irradiation, the surface was irradiated in pure water by high-energy KrF excimer laser. As the surface wettability was evaluated with a contact angle to water, the contact angle decreased remarkably in both treatments resulting in a good improvement effect. In sputter etching, various new chemical bonds such as F-C=O, F2C-FC-O, F2C-C-O and C-O were observed because of a decrease in F and incorporation of oxygen. Such chemical bonds could be eliminated by ultraviolet ray irradiation, and the treated surface condition approached the initial condition after irradiation of 200 hours. In laser irradiation, it was suggested that C-F bonds were broken, and OH groups were added to the surface by dissociation of H2O to H and OH. 7 refs., 8 figs., 1 tab.

  3. Deep inelastic scattering of heavy ions

    International Nuclear Information System (INIS)

    Brink, D.M.

    1980-01-01

    These lecture notes show how path integral methods can be used in the theory of heavy ion reactions. The effects of internal degrees of freedom on the relative motion are contained in an influence functional which is calculated for several simple models of the internal structure. In each model the influence functional has a simple Gaussian structure which suggests that the relative motion of the nuclei in a deep inelastic collision can be described by a Langevin equation. The form of the influence functional determines the average damping force and the correlation function of the fluctuating Langevin force. (author)

  4. Shallow surface etching of organic and inorganic compounds by electrospray droplet impact

    International Nuclear Information System (INIS)

    Hiraoka, Kenzo; Sakai, Yuji; Iijima, Yoshitoki; Asakawa, Daiki; Mori, Kunihiko

    2009-01-01

    The electrospray droplet impact (EDI) was applied to bradykinin, polyethylene terephthalate (PET), SiO 2 /Si, and indium phosphide (InP). It was found that bradykinin deposited on the stainless steel substrate was ionized/desorbed without the accumulation of radiation products. The film thickness desorbed by a single collisional event was found to be less than 10 monolayers. In the EDI mass spectra for PET, several fragment ions were observed but the XPS spectra did not change with prolonged cluster irradiation. The etching rate for SiO 2 by EDI was measured to be ∼0.2 nm/min. The surface roughness of InP etched by EDI was found to be one order of magnitude smaller than that etched by 3 keV Ar + for about the same etching depths. EDI is capable of shallow surface etching with little damage left on the etched surface.

  5. Introducing etch kernels for efficient pattern sampling and etch bias prediction

    Science.gov (United States)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2018-01-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels, as well as the choice of calibration patterns, is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels-"internal, external, curvature, Gaussian, z_profile"-designed to represent the finest details of the resist geometry to characterize precisely the etch bias at any point along a resist contour. By evaluating the etch kernels on various structures, it is possible to map their etch signatures in a multidimensional space and analyze them to find an optimal sampling of structures. The etch kernels evaluated on these structures were combined with experimental etch bias derived from scanning electron microscope contours to train artificial neural networks to predict etch bias. The method applied to contact and line/space layers shows an improvement in etch model prediction accuracy over standard etch model. This work emphasizes the importance of the etch kernel definition to characterize and predict complex etch effects.

  6. Tuning silver ion release properties in reactively sputtered Ag/TiOx nanocomposites

    Science.gov (United States)

    Xiong, J.; Ghori, M. Z.; Henkel, B.; Strunskus, T.; Schürmann, U.; Deng, M.; Kienle, L.; Faupel, F.

    2017-07-01

    Silver/titania nanocomposites with strong bactericidal effects and good biocompatibility/environmental safety show a high potential for antibacterial applications. Tailoring the silver ion release is thus highly promising to optimize the antibacterial properties of such coatings and to preserve biocompatibility. Reactive sputtering is a fast and versatile method for the preparation of such Ag/TiOx nanocomposites coatings. The present work is concerned with the influence of sputter parameters on the surface morphology and silver ion release properties of reactively sputtered Ag/TiOx nanocomposites coatings showing a silver nanoparticle size distribution in the range from 1 to 20 nm. It is shown that the silver ion release rate strongly depends on the total pressure: the coatings prepared at lower pressure present a lower but long-lasting release behavior. The much denser structure produced under these conditions reduces the transport of water molecules into the coating. In addition, the influence of microstructure and thickness of titanium oxide barriers on the silver ion release were investigated intensively. Moreover, for the coatings prepared at high total pressure, it was demonstrated that stable and long-lasting silver release can be achieved by depositing a barrier with a high rate. Nanocomposites produced under these conditions show well controllable silver ion release properties for applications as antibacterial coatings.

  7. Fabrication of monolithic microfluidic channels in diamond with ion beam lithography

    Science.gov (United States)

    Picollo, F.; Battiato, A.; Boarino, L.; Ditalia Tchernij, S.; Enrico, E.; Forneris, J.; Gilardino, A.; Jakšić, M.; Sardi, F.; Skukan, N.; Tengattini, A.; Olivero, P.; Re, A.; Vittone, E.

    2017-08-01

    In the present work, we report on the monolithic fabrication by means of ion beam lithography of hollow micro-channels within a diamond substrate, to be employed for microfluidic applications. The fabrication strategy takes advantage of ion beam induced damage to convert diamond into graphite, which is characterized by a higher reactivity to oxidative etching with respect to the chemically inert pristine structure. This phase transition occurs in sub-superficial layers thanks to the peculiar damage profile of MeV ions, which mostly damage the target material at their end of range. The structures were obtained by irradiating commercial CVD diamond samples with a micrometric collimated C+ ion beam at three different energies (4 MeV, 3.5 MeV and 3 MeV) at a total fluence of 2 × 1016 cm-2. The chosen multiple-energy implantation strategy allows to obtain a thick box-like highly damaged region ranging from 1.6 μm to 2.1 μm below the sample surface. High-temperature annealing was performed to both promote the graphitization of the ion-induced amorphous layer and to recover the pristine crystalline structure in the cap layer. Finally, the graphite was removed by ozone etching, obtaining monolithic microfluidic structures. These prototypal microfluidic devices were tested injecting aqueous solutions and the evidence of the passage of fluids through the channels was confirmed by confocal fluorescent microscopy.

  8. High-order diffraction gratings for high-power semiconductor lasers

    International Nuclear Information System (INIS)

    Vasil’eva, V. V.; Vinokurov, D. A.; Zolotarev, V. V.; Leshko, A. Yu.; Petrunov, A. N.; Pikhtin, N. A.; Rastegaeva, M. G.; Sokolova, Z. N.; Shashkin, I. S.; Tarasov, I. S.

    2012-01-01

    A deep diffraction grating with a large period (∼2 μm) within one of the cladding layers is proposed for the implementation of selective feedback in a semiconductor laser. Frequency dependences of reflectance in the 12th diffraction order for rectangular, triangular, and trapezoidal diffraction gratings are calculated. It is shown that the maximum reflectance of the waveguide mode is attained using a rectangular or trapezoidal grating ∼2 μm deep in the laser structure. Deep trapezoidal diffraction gratings with large periods are fabricated in the Al 0.3 Ga 0.7 As cladding layer of a GaAs/AlGaAs laser structure using photolithography and reactive ion etching.

  9. On the quantum mechanics of deep inelastic collisions between heavy ions

    International Nuclear Information System (INIS)

    Toledo Piza, A.F.R. de

    1981-06-01

    An overview of the quantum-mechanical foundations of the dynamical behaviour of deep inelastic collisions between heavy ions is given. The use of time dependent Hartree-Fock method is stressed. (L.C.) [pt

  10. Cryogenic plasma-processed silicon microspikes as a high-performance anode material for lithium ion-batteries

    Science.gov (United States)

    Sakai, Joe; Luais, Erwann; Wolfman, Jérôme; Tillocher, Thomas; Dussart, Rémi; Tran-Van, Francois; Ghamouss, Fouad

    2017-10-01

    Micro- or nano-structuring is essential in order to use Si as an anode material for lithium ion batteries. In the present study, we attempted to use Si wafers with a spiky microstructure (SMS), the so-called black-Si, prepared by a cryogenic reactive ion etching process with an SF6/O2 gas mixture, for Li half-cells. The SMS with various sizes of spikes from 2.0 μm (height) × 0.2 μm (width) to 21 μm × 1.0 μm was etched by varying the SF6/O2 gas flow ratio. An anode of SMS of 11 μm-height in average showed stable charge/discharge capacity and Coulombic efficiency higher than 99% for more than 300 cycles, causing no destruction to any part of the Si wafer. The spiky structure turned columnar after cycles, suggesting graded lithiation levels along the length. The present results suggest a strategy to utilize a wafer-based Si material for an anode of a lithium ion battery durable against repetitive lithiation/delithiation cycles.

  11. Etude fondamentale des mecanismes de gravure par plasma de materiaux de pointe: Application a la fabrication de dispositifs photoniques

    Science.gov (United States)

    Stafford, Luc

    Advances in electronics and photonics critically depend upon plasma-based materials processing either for transferring small lithographic patterns into underlying materials (plasma etching) or for the growth of high-quality films. This thesis deals with the etching mechanisms of materials using high-density plasmas. The general objective of this work is to provide an original framework for the plasma-material interaction involved in the etching of advanced materials by putting the emphasis on complex oxides such as SrTiO3, (Ba,Sr)TiO 3 and SrBi2Ta2O9 films. Based on a synthesis of the descriptions proposed by different authors to explain the etching characteristics of simple materials in noble and halogenated plasma mixtures, we propose comprehensive rate models for physical and chemical plasma etching processes. These models have been successfully validated using experimental data published in literature for Si, Pt, W, SiO2 and ZnO. As an example, we have been able to adequately describe the simultaneous dependence of the etch rate on ion and reactive neutral fluxes and on the ion energy. From an exhaustive experimental investigation of the plasma and etching properties, we have also demonstrated that the validity of the proposed models can be extended to complex oxides such as SrTiO3, (Ba,Sr)TiO 3 and SrBi2Ta2O9 films. We also reported for the first time physical aspects involved in plasma etching such as the influence of the film microstructural properties on the sputter-etch rate and the influence of the positive ion composition on the ion-assisted desorption dynamics. Finally, we have used our deep investigation of the etching mechanisms of STO films and the resulting excellent control of the etch rate to fabricate a ridge waveguide for photonic device applications. Keywords: plasma etching, sputtering, adsorption and desorption dynamics, high-density plasmas, plasma diagnostics, advanced materials, photonic applications.

  12. Self-etch and etch-and-rinse adhesive systems in clinical dentistry.

    Science.gov (United States)

    Ozer, Fusun; Blatz, Markus B

    2013-01-01

    Current adhesive systems follow either an "etch-and-rinse" or "self-etch" approach, which differ in how they interact with natural tooth structures. Etch-and-rinse systems comprise phosphoric acid to pretreat the dental hard tissues before rinsing and subsequent application of an adhesive. Self-etch adhesives contain acidic monomers, which etch and prime the tooth simultaneously. Etch-and-rinse adhesives are offered as two- or three-step systems, depending on whether primer and bonding are separate or combined in a single bottle. Similarly, self-etch adhesives are available as one- or two-step systems. Both etch-and-rinse and self-etch systems form a hybrid layer as a result of resins impregnating the porous enamel or dentin. Despite current trends toward fewer and simpler clinical application steps, one-step dentin bonding systems exhibit bonding agent lower bond strengths and seem less predictable than multi-step etch-and-rinse and self-etch systems. The varying evidence available today suggests that the choice between etch-and-rinse and self-etch systems is often a matter of personal preference. In general, however, phosphoric acid creates a more pronounced and retentive etching pattern in enamel. Therefore, etch-and-rinse bonding systems are often preferred for indirect restorations and when large areas of enamel are still present. Conversely, self-etch adhesives provide superior and more predictable bond strength to dentin and are, consequently, recommended for direct composite resin restorations, especially when predominantly supported by dentin.

  13. Improved thrombogenicity on oxygen etched Ti6Al4V surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Riedel, Nicholas A. [Department of Mechanical Engineering, Colorado State University, Fort Collins, CO 80523 (United States); Smith, Barbara S. [School of Biomedical Engineering, Colorado State University, Fort Collins, CO 80523 (United States); Williams, John D. [Department of Mechanical Engineering, Colorado State University, Fort Collins, CO 80523 (United States); Popat, Ketul C., E-mail: ketul.popat@colostate.edu [Department of Mechanical Engineering, Colorado State University, Fort Collins, CO 80523 (United States); School of Biomedical Engineering, Colorado State University, Fort Collins, CO 80523 (United States)

    2012-07-01

    Thrombus formation on blood contacting biomaterials continues to be a key factor in initiating a critical mode of failure in implantable devices, requiring immediate attention. In the interest of evaluating a solution for one of the most widely used biomaterials, titanium and its alloys, this study focuses on the use of a novel surface oxidation treatment to improve the blood compatibility. This study examines the possibility of using oblique angle ion etching to produce a high quality oxide layer that enhances blood compatibility on medical grade titanium alloy Ti6Al4V. An X-ray photoelectron spectroscopy (XPS) analysis of these oxygen-rich surfaces confirmed the presence of TiO{sub 2} peaks and also indicated increased surface oxidation as well as a reduction in surface defects. After 2 h of contact with whole human plasma, the oxygen etched substrates demonstrated a reduction in both platelet adhesion and activation as compared to bare titanium substrates. The whole blood clotting behavior was evaluated for up to 45 min, showing a significant decrease in clot formation on oxygen etched substrates. Finally, a bicinchoninic acid (BCA) total protein assay and XPS were used to evaluate the degree of key blood serum protein (fibrinogen, albumin, immunoglobulin G) adsorption on the substrates. The results showed similar protein levels for both the oxygen etched and control substrates. These results indicate that oblique angle oxygen etching may be a promising method to increase the thrombogenicity of Ti6Al4V. - Highlights: Black-Right-Pointing-Pointer Oblique angle oxygen ion etching creates a high quality, uniform oxide surface. Black-Right-Pointing-Pointer Oxygen etched substrates showed fewer adhered platelets. Black-Right-Pointing-Pointer Platelet activation was reduced by the improved oxide surface. Black-Right-Pointing-Pointer Oxygen etched substrates exhibited increased whole blood clotting times. Black-Right-Pointing-Pointer Although clotting reductions were

  14. Reactive Landing of Gramicidin S and Ubiquitin Ions onto Activated Self-Assembled Monolayer Surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Laskin, Julia; Hu, Qichi

    2017-03-13

    Using mass-selected ion deposition combined with in situ infrared reflection absorption spectroscopy (IRRAS), we examined the reactive landing of gramicidin S and ubiquitin ions onto activated self-assembled monolayer (SAM) surfaces terminated with N-hydroxysuccinimidyl ester (NHS-SAM) and acyl fluoride (COF-SAM) groups. Doubly protonated gramicidin S, [GS+2H]2+, and two charge states of ubiquitin, [U+5H]5+ and [U+13H]13+, were used as model systems, allowing us to explore the effect of the number of free amino groups and the secondary structure on the efficiency of covalent bond formation between the projectile ion and the surface. For all projectile ions, ion deposition resulted in the depletion of IRRAS bands corresponding to the terminal groups on the SAM and the appearance of several new bands not associated with the deposited species. These new bands were assigned to the C=O stretching vibrations of COOH and COO- groups formed on the surface as a result of ion deposition. The presence of these bands was attributed to an alternative reactive landing pathway that competes with covalent bond formation. This pathway with similar yields for both gramicidin S and ubiquitin ions is analogous to the hydrolysis of the NHS ester bond in solution. The covalent bond formation efficiency increased linearly with the number of free amino groups and was found to be lower for the more compact conformation of ubiquitin compared with the fully unfolded conformation. This observation was attributed to the limited availability of amino groups on the surface of the folded conformation. Our results have provided new insights on the efficiency and mechanism of reactive landing of peptides and proteins onto activated SAMs

  15. Localized etching of polymer films using an atmospheric pressure air microplasma jet

    International Nuclear Information System (INIS)

    Guo, Honglei; Liu, Jingquan; Yang, Bin; Chen, Xiang; Yang, Chunsheng

    2015-01-01

    A direct-write process device based on the atmospheric pressure air microplasma jet (AμPJ) has been developed for the localized etching of polymer films. The plasma was generated by the air discharge ejected out through a tip-nozzle (inner diameter of 100 μm), forming the microplasma jet. The AμPJ was capable of reacting with the polymer surface since it contains a high concentration of oxygen reactive species and thus resulted in the selective removal of polymer films. The experimental results demonstrated that the AμPJ could fabricate different microstructures on a parylene-C film without using any masks or causing any heat damage. The etch rate of parylene-C reached 5.1 μm min −1 and microstructures of different depth and width could also be realized by controlling two process parameters, namely, the etching time and the distance between the nozzle and the substrate. In addition, combining XPS analysis and oxygen-induced chemical etching principles, the potential etching mechanism of parylene-C by the AμPJ was investigated. Aside from the etching of parylene-C, micro-holes on the photoresist and polyimide film were successfully created by the AμPJ. In summary, maskless pattern etching of polymer films could be achieved using this AμPJ. (paper)

  16. Deep-level transient spectroscopy of low-energy ion-irradiated silicon

    DEFF Research Database (Denmark)

    Kolkovsky, Vladimir; Privitera, V.; Nylandsted Larsen, Arne

    2009-01-01

     During electron-gun deposition of metal layers on semiconductors, the semiconductor is bombarded with low-energy metal ions creating defects in the outermost surface layer. For many years, it has been a puzzle why deep-level transient spectroscopy spectra of the as-deposited, electron-gun evapor...

  17. Fabrication and modeling of narrow capillaries for vacuum system gas inlets

    DEFF Research Database (Denmark)

    Quaade, Ulrich; Jensen, Søren; Hansen, Ole

    2005-01-01

    Micrometer-sized cylindrical capillaries with well-controlled dimensions are fabricated using deep reactive ion etching. The flow through the capillaries is experimentally characterized for varying pressures, temperatures, and diameters. For the parameters used, it is shown that the Knudsen numbe...... is in the intermediate flow regime, and Knudsen's expression for the flow fit the data well. The flow properties of the capillaries make them ideal for introducing gas into vacuum systems and in particular mass spectrometers. ©2005 American Institute of Physics...

  18. Die singulation method and package formed thereby

    Science.gov (United States)

    Anderson, Robert C [Tucson, AZ; Shul, Randy J [Albuquerque, NM; Clews, Peggy J [Tijeras, NM; Baker, Michael S [Albuquerque, NM; De Boer, Maarten P [Albuquerque, NM

    2012-08-07

    A method is disclosed for singulating die from a substrate having a sacrificial layer and one or more device layers, with a retainer being formed in the device layer(s) and anchored to the substrate. Deep Reactive Ion Etching (DRIE) etching of a trench through the substrate from the bottom side defines a shape for each die. A handle wafer is then attached to the bottom side of the substrate, and the sacrificial layer is etched to singulate the die and to form a frame from the retainer and the substrate. The frame and handle wafer, which retain the singulated die in place, can be attached together with a clamp or a clip and to form a package for the singulated die. One or more stops can be formed from the device layer(s) to limit a sliding motion of the singulated die.

  19. Activation of Reactive MALDI Adduct Ions Enables Differentiation of Dihydroxylated Vitamin D Isomers

    Science.gov (United States)

    Qi, Yulin; Müller, Miriam J.; Volmer, Dietrich A.

    2017-12-01

    Vitamin D compounds are secosteroids, which are best known for their role in bone health. More recent studies have shown that vitamin D metabolites and catabolites such as dihydroxylated species (e.g., 1,25- and 24,25-dihydroxyvitamin D3) play key roles in the pathologies of various diseases. Identification of these isomers by mass spectrometry is challenging and currently relies on liquid chromatography, as the isomers exhibit virtually identical product ion spectra under collision induced dissociation conditions. Here, we developed a simple MALDI-CID method that utilizes ion activation of reactive analyte/matrix adducts to distinguish isomeric dihydroxyvitamin D3 species, without the need for chromatography separation or chemical derivatization techniques. Specifically, reactive 1,5-diaminonaphthalene adducts of dihydroxyvitamin D3 compounds formed during MADI were activated and specific cleavages in the secosteroid's backbone structure were achieved that produced isomer-diagnostic fragment ions. [Figure not available: see fulltext.

  20. Ion beam evaluation of silicon carbide membrane structures intended for particle detectors

    Energy Technology Data Exchange (ETDEWEB)

    Pallon, J., E-mail: jan.pallon@nuclear.lu.se [Division of Nuclear Physics, Physics Department, Lund University, Box 118, SE-221 00 Lund (Sweden); Syväjärvi, M. [Linköping University, Department of Physics, Chemistry and Biology, SE-58183 Linköping (Sweden); Graphensic AB, Teknikringen 1F, SE-58330 Linköping (Sweden); Wang, Q. [Sensor System, ACREO Swedish ICT AB, Box 1070, SE-164 25 Kista (Sweden); Yakimova, R.; Iakimov, T. [Linköping University, Department of Physics, Chemistry and Biology, SE-58183 Linköping (Sweden); Graphensic AB, Teknikringen 1F, SE-58330 Linköping (Sweden); Elfman, M.; Kristiansson, P.; Nilsson, E.J.C.; Ros, L. [Division of Nuclear Physics, Physics Department, Lund University, Box 118, SE-221 00 Lund (Sweden)

    2016-03-15

    Thin ion transmission detectors can be used as a part of a telescope detector for mass and energy identification but also as a pre-cell detector in a microbeam system for studies of biological effects from single ion hits on individual living cells. We investigated a structure of graphene on silicon carbide (SiC) with the purpose to explore a thin transmission detector with a very low noise level and having mechanical strength to act as a vacuum window. In order to reach very deep cavities in the SiC wafers for the preparation of the membrane in the detector, we have studied the Inductive Coupled Plasma technique to etch deep circular cavities in 325 μm prototype samples. By a special high temperature process the outermost layers of the etched SiC wafers were converted into a highly conductive graphitic layer. The produced cavities were characterized by electron microscopy, optical microscopy and proton energy loss measurements. The average membrane thickness was found to be less than 40 μm, however, with a slightly curved profile. Small spots representing much thinner membrane were also observed and might have an origin in crystal defects or impurities. Proton energy loss measurement (also called Scanning Transmission Ion Microscopy, STIM) is a well suited technique for this thickness range. This work presents the first steps of fabricating a membrane structure of SiC and graphene which may be an attractive approach as a detector due to the combined properties of SiC and graphene in a monolithic materials structure.

  1. Etch bias inversion during EUV mask ARC etch

    Science.gov (United States)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  2. Doppler spectroscopic measurements of sheath ion velocities in radio-frequency plasmas

    International Nuclear Information System (INIS)

    Woodcock, B.K.; Busby, J.R.; Freegarde, T.G.; Hancock, G.

    1997-01-01

    We have measured the distributions of N 2 + ion velocity components parallel and perpendicular to the electrode in the sheath of a radio-frequency nitrogen reactive ion etching discharge, using pulsed laser-induced fluorescence. Parallel to the electrode, the ions have throughout a thermal distribution that is found to be consistent with the rotational temperature of 355 K. In the perpendicular direction, we see clearly the acceleration of the ions towards the electrode, and our results agree well with theoretical predictions although an unexpected peak of unaccelerated ions persists. We have also determined the absolute ion concentrations in the sheath, which we have calibrated by analyzing the decay in laser-induced fluorescence in the plasma bulk after discharge extinction. At 20 mTorr, the bulk concentration of 1.0x10 10 cm -3 falls to around 2x10 8 cm -3 at 2 mm from the electrode. copyright 1997 American Institute of Physics

  3. Modification of bamboo surface by irradiation of ion beams

    International Nuclear Information System (INIS)

    Wada, M.; Nishigaito, S.; Flauta, R.; Kasuya, T.

    2003-01-01

    When beams of hydrogen ions, He + and Ar + were irradiated onto bamboo surface, gas release of hydrogen, water, carbon monoxide and carbon dioxide were enhanced. Time evolution of the gas emission showed two peaks corresponding to release of adsorbed gas from the surface by sputtering, and thermal desorption caused by the beam heating. The difference in etched depths between parenchyma lignin and vascular bundles was measured by bombarding bamboo surface with the ion beams in the direction parallel to the vascular bundles. For He + and Ar + , parenchyma lignin was etched more rapidly than vascular bundles, but the difference in etched depth decreased at a larger dose. In the case of hydrogen ion bombardment, vascular bundles were etched faster than parenchyma lignin and the difference in etched depth increased almost in proportion to the dose. The wettability of outer surface of bamboo was improved most effectively by irradiation of a hydrogen ion beam

  4. Survey of Laboratories and Implementation of the Federal Defense Laboratory Diversification Program. Annex A. Department of the Army Domestic Technology Transfer

    Science.gov (United States)

    1993-11-01

    infrared Collective Protection Systems - based on pressure swing adsorption, reactive bed plasma , catalytic oxidation, and improved sorbents. Chemical...commercialized A waterproofbre able, solvent-phobic menbrane technology developed under cotMAct with Tempo-Shain, is planned to be commercialized by Tempo-Shain...epitaxy systems, an elect=on-cyclatron resonance plasma -etched chemical vapor deposition and etching system, a magnetron-enhanced reactive-ion etching

  5. Nanogrids and Beehive-Like Nanostructures Formed by Plasma Etching the Self-Organized SiGe Islands

    Science.gov (United States)

    Chang, Yuan-Ming; Jian, Sheng-Rui; Juang, Jenh-Yih

    2010-09-01

    A lithography-free method for fabricating the nanogrids and quasi-beehive nanostructures on Si substrates is developed. It combines sequential treatments of thermal annealing with reactive ion etching (RIE) on SiGe thin films grown on (100)-Si substrates. The SiGe thin films deposited by ultrahigh vacuum chemical vapor deposition form self-assembled nanoislands via the strain-induced surface roughening (Asaro-Tiller-Grinfeld instability) during thermal annealing, which, in turn, serve as patterned sacrifice regions for subsequent RIE process carried out for fabricating nanogrids and beehive-like nanostructures on Si substrates. The scanning electron microscopy and atomic force microscopy observations confirmed that the resultant pattern of the obtained structures can be manipulated by tuning the treatment conditions, suggesting an interesting alternative route of producing self-organized nanostructures.

  6. Application of one body dissipation to deep inelastic heavy ion scattering

    International Nuclear Information System (INIS)

    Beck, F.; Blocki, J.; Dworzecka, M.; Wolschin, G.

    1978-01-01

    The one body dissipation mechanism is employed to couple the relative motion of two heavy ions to the internal degrees of freedom. Trajectories, energy and angular momentum losses are calculated, and compared with experimental data on deep inelastic scattering. (orig.) [de

  7. Formation of a silicon micropore array of a two-dimension electron multiplier by photo electrochemical etching

    International Nuclear Information System (INIS)

    Gao Yanjun; Duanmu Qingduo; Wang Guozheng; Li Ye; Tian Jingquan

    2009-01-01

    A semiconductor PEC etching method is applied to fabricate the n-type silicon deep micropore channel array. In this method, it is important to arrange the direction of the micropore array along the crystal orientation of the Si substrate. Otherwise, serious lateral erosion will happen. The etching process is also relative to the light intensity and HF concentration. 5% HF concentration and 10-15 cm distance between the light source and the silicon wafer are demonstrated to be the best in our experiments. The n-type silicon deep micropore channel array with aperture of 3 μm and aspect ratio of 40-60, whose inner walls are smooth, is finally obtained.

  8. Atomistic simulations of graphite etching at realistic time scales.

    Science.gov (United States)

    Aussems, D U B; Bal, K M; Morgan, T W; van de Sanden, M C M; Neyts, E C

    2017-10-01

    Hydrogen-graphite interactions are relevant to a wide variety of applications, ranging from astrophysics to fusion devices and nano-electronics. In order to shed light on these interactions, atomistic simulation using Molecular Dynamics (MD) has been shown to be an invaluable tool. It suffers, however, from severe time-scale limitations. In this work we apply the recently developed Collective Variable-Driven Hyperdynamics (CVHD) method to hydrogen etching of graphite for varying inter-impact times up to a realistic value of 1 ms, which corresponds to a flux of ∼10 20 m -2 s -1 . The results show that the erosion yield, hydrogen surface coverage and species distribution are significantly affected by the time between impacts. This can be explained by the higher probability of C-C bond breaking due to the prolonged exposure to thermal stress and the subsequent transition from ion- to thermal-induced etching. This latter regime of thermal-induced etching - chemical erosion - is here accessed for the first time using atomistic simulations. In conclusion, this study demonstrates that accounting for long time-scales significantly affects ion bombardment simulations and should not be neglected in a wide range of conditions, in contrast to what is typically assumed.

  9. The interplay between surface charging and microscale roughness during plasma etching of polymeric substrates

    Science.gov (United States)

    Memos, George; Lidorikis, Elefterios; Kokkoris, George

    2018-02-01

    The surface roughness developed during plasma etching of polymeric substrates is critical for a variety of applications related to the wetting behavior and the interaction of surfaces with cells. Toward the understanding and, ultimately, the manipulation of plasma induced surface roughness, the interplay between surface charging and microscale roughness of polymeric substrates is investigated by a modeling framework consisting of a surface charging module, a surface etching model, and a profile evolution module. The evolution of initially rough profiles during plasma etching is calculated by taking into account as well as by neglecting charging. It is revealed, on the one hand, that the surface charging contributes to the suppression of root mean square roughness and, on the other hand, that the decrease of the surface roughness induces a decrease of the charging potential. The effect of charging on roughness is intense when the etching yield depends solely on the ion energy, and it is mitigated when the etching yield additionally depends on the angle of ion incidence. The charging time, i.e., the time required for reaching a steady state charging potential, is found to depend on the thickness of the polymeric substrate, and it is calculated in the order of milliseconds.

  10. Electron energy distribution control by fiat: breaking from the conventional flux ratio scaling rules in etch

    Science.gov (United States)

    Ranjan, Alok; Wang, Mingmei; Sherpa, Sonam; Ventzek, Peter

    2015-03-01

    With shrinking critical dimensions, minimizing each of aspect ratio dependent etching (ARDE), bowing, undercut, selectivity, and within die uniformly across a wafer is met by trading off one requirement against another. The problem of trade-offs is especially critical. At the root of the problem is that roles radical flux, ion flux and ion energy play may be both good and bad. Increasing one parameter helps meeting one requirement but hinders meeting the other. Managing process by managing flux ratios and ion energy alone with conventional sources is not adequate because surface chemistry is uncontrollable. At the root of lack of control is that the electron energy distribution function (eedf) has not been controlled. Fortunately the high density surface wave sources control the eedf by fiat. High density surface wave sources are characterized by distinct plasma regions: an active plasma generation region with high electron temperature (Te) and an ionization free but chemistry rich diffusive region (low Te region). Pressure aids is segregating the regions by proving a means for momentum relaxation between the source and downstream region. "Spatial pulsing" allows access to plasma chemistry with reasonably high ion flux, from the active plasma generation region, just above the wafer. Low plasma potential enables precise passivation of surfaces which is critical for atomic layer etch (ALE) or high precision etch where the roles of plasma species can be limited to their purposed roles. High precision etch need not be at the cost of speed and manufacturability. Large ion flux at precisely controlled ion energy with RLSATM realizes fast desorption steps for ALE without compromising process throughput and precision.

  11. High Contrast Internal and External Coronagraph Masks Produced by Various Techniques

    Science.gov (United States)

    Balasubramanian, Kunjithapatha; Wilson, Daniel; White, Victor; Muller, Richard; Dickie, Matthew; Yee, Karl; Ruiz, Ronald; Shaklan, Stuart; Cady, Eric; Kern, Brian; hide

    2013-01-01

    Masks for high contrast internal and external coronagraphic imaging require a variety of masks depending on different architectures to suppress star light. Various fabrication technologies are required to address a wide range of needs including gradient amplitude transmission, tunable phase profiles, ultra-low reflectivity, precise small scale features, and low-chromaticity. We present the approaches employed at JPL to produce pupil plane and image plane coronagraph masks, and lab-scale external occulter type masks by various techniques including electron beam, ion beam, deep reactive ion etching, and black silicon technologies with illustrative examples of each. Further development is in progress to produce circular masks of various kinds for obscured aperture telescopes.

  12. Tailored ion energy distributions on plasma electrodes

    International Nuclear Information System (INIS)

    Economou, Demetre J.

    2013-01-01

    As microelectronic device features continue to shrink approaching atomic dimensions, control of the ion energy distribution on the substrate during plasma etching and deposition becomes increasingly critical. The ion energy should be high enough to drive ion-assisted etching, but not too high to cause substrate damage or loss of selectivity. In many cases, a nearly monoenergetic ion energy distribution (IED) is desired to achieve highly selective etching. In this work, the author briefly reviews: (1) the fundamentals of development of the ion energy distribution in the sheath and (2) methods to control the IED on plasma electrodes. Such methods include the application of “tailored” voltage waveforms on an electrode in continuous wave plasmas, or the application of synchronous bias on a “boundary electrode” during a specified time window in the afterglow of pulsed plasmas

  13. Differentiation of grain orientation with corrosive and colour etching on a granular bainitic steel.

    Science.gov (United States)

    Reisinger, S; Ressel, G; Eck, S; Marsoner, S

    2017-08-01

    This study presents a detailed verification of the etching methods with Nital and Klemm on a granular bainitic steel. It is shown that both methods allow the identification of the crystal orientation, whereas Klemm etching enables also a quantification of the apparent phases, as also retained austenite can be distinguished from the other bainitic microstructures. A combination of atom probe tomography with electron-back-scattered-diffraction showed that both etching methods emphasize the bainitic {100} crystal orientation. However, a cross-section produced by focused ion beam evidenced that Klemm etching leads to the formation of a topography of the different oriented bainitic crystals that directly affects the thickness and therefore the apparent colour of the deposited layer formed during etching. Copyright © 2017 Elsevier Ltd. All rights reserved.

  14. Microfabrication of large-area circular high-stress silicon nitride membranes for optomechanical applications

    Directory of Open Access Journals (Sweden)

    E. Serra

    2016-06-01

    Full Text Available In view of the integration of membrane resonators with more complex MEMS structures, we developed a general fabrication procedure for circular shape SiNx membranes using Deep Reactive Ion Etching (DRIE. Large area and high-stress SiNx membranes were fabricated and used as optomechanical resonators in a Michelson interferometer, where Q values up to 1.3 × 106 were measured at cryogenic temperatures, and in a Fabry-Pérot cavity, where an optical finesse up to 50000 has been observed.

  15. Process margin enhancement for 0.25-μm metal etch process

    Science.gov (United States)

    Lee, Chung Y.; Ma, Wei Wen; Lim, Eng H.; Cheng, Alex T.; Joy, Raymond; Ross, Matthew F.; Wong, Selmer S.; Marlowe, Trey

    2000-06-01

    This study evaluates electron beam stabilization of UV6, a positive tone Deep-UV (DUV) resist from Shipley, for a 0.25 micrometer metal etch application. Results are compared between untreated resist and resist treated with different levels of electron beam stabilization. The electron beam processing was carried out in an ElectronCureTM flood electron beam exposure system from Honeywell International Inc., Electron Vision. The ElectronCureTM system utilizes a flood electron beam source which is larger in diameter than the substrate being processed, and is capable of variable energy so that the electron range is matched to the resist film thickness. Changes in the UV6 resist material as a result of the electron beam stabilization are monitored via spectroscopic ellipsometry for film thickness and index of refraction changes and FTIR for analysis of chemical changes. Thermal flow stability is evaluated by applying hot plate bakes of 150 degrees Celsius and 200 degrees Celsius, to patterned resist wafers with no treatment and with an electron beam dose level of 2000 (mu) C/cm2. A significant improvement in the thermal flow stability of the patterned UV6 resist features is achieved with the electron beam stabilization process. Etch process performance of the UV6 resist was evaluated by performing a metal pattern transfer process on wafers with untreated resist and comparing these with etch results on wafers with different levels of electron beam stabilization. The etch processing was carried out in an Applied Materials reactor with an etch chemistry including BCl3 and Cl2. All wafers were etched under the same conditions and the resist was treated after etch to prevent further erosion after etch but before SEM analysis. Post metal etch SEM cross-sections show the enhancement in etch resistance provided by the electron beam stabilization process. Enhanced process margin is achieved as a result of the improved etch resistance, and is observed in reduced resist side

  16. Dual patterning of a poly(acrylic acid) layer by electron-beam and block copolymer lithographies.

    Science.gov (United States)

    Pearson, Anthony C; Linford, Matthew R; Harb, John N; Davis, Robert C

    2013-06-18

    We show the controllable patterning of palladium nanoparticles in both one and two dimensions using electron-beam lithography and reactive ion etching of a thin film of poly(acrylic acid) (PAA). After the initial patterning of the PAA, a monolayer of polystyrene-b-poly-2-vinylpyridine micelles is spun cast onto the surface. A short reactive ion etch is then used to transfer the micelle pattern into the patterned poly(acrylic acid). Finally, PdCl2 is loaded from solution into the patterned poly(acrylic acid) features, and a reactive-ion etching process is used to remove the remaining polymer and form Pd nanoparticles. This method yields location-controlled patches of nanoparticles, including single- and double-file lines and nanoparticle pairs. A locational accuracy of 9 nm or less in one direction was achieved by optimizing the size of the PAA features.

  17. Monitoring of PVD, PECVD and etching plasmas using Fourier components of RF voltage

    International Nuclear Information System (INIS)

    Dvorak, P; Vasina, P; Bursikova, V; Zemlicka, R

    2010-01-01

    Fourier components of discharge voltages were measured in two different reactive plasmas and their response to the creation or destruction of a thin film was studied. In reactive magnetron sputtering the effect of transition from the metallic to the compound mode accompanied by the creation of a compound film on the sputtered target was observed. Further, deposition and etching of a diamond-like carbon film and their effects on amplitudes of Fourier components of the discharge voltage were studied. It was shown that the Fourier components, including higher harmonic frequencies, sensitively react to the presence of a film. Therefore, they can be used as a powerful tool for the monitoring of deposition and etching processes. It was demonstrated that the behaviour of the Fourier components was caused in both experiments by the presence of the film. It was not caused by changes in the chemical composition of the gas phase induced by material etched from the film or decrease in gettering rate. Further, the observed behaviour was not affected by the film conductivity. The behaviour of the Fourier components can be explained by the difference between the coefficients of secondary electron emission of the film and its underlying material.

  18. A Nanoscale Plasma Etching Process for Pole Tip Recession of Perpendicular Recording Magnetic Head

    OpenAIRE

    LIU, Shoubin; HE, Dayao

    2017-01-01

    The pole tip of perpendicular recording head is constructed in a stacked structure with materials of NiCoFe, NiFe, Al2O3 and AlTiC. The surfaces of different materials are set at different heights below the air-bearing surface of slider. This paper presented a plasma dry etching process for Pole Tip Recession (PTR) based on an ion beam etching system. Ar and O2 mixed plasma at small incident angles have a high removal rate to the nonmagnetic material. It was utilised to etch the reference sur...

  19. Toward reliable morphology assessment of thermosets via physical etching: Vinyl ester resin as an example

    Directory of Open Access Journals (Sweden)

    J. Karger-Kocsis

    2013-05-01

    Full Text Available The morphology of peroxide-cured, styrene crosslinked, bisphenol A-based vinyl ester (VE resin was investigated by atomic force microscopy (AFM after ‘physical’ etching with different methods. Etching was achieved by laser ablation, atmospheric plasma treatment and argon ion bombardment. Parameters of the etching were varied to get AFM scans of high topography resolution. VE exhibited a nanoscaled nodular structure the formation of which was ascribed to complex intra- and intermolecular reactions during crosslinking. The microstructure resolved after all the above physical etching techniques was similar provided that optimized etching and suitable AFM scanning conditions were selected. Nevertheless, with respect to the ‘morphology visualization’ these methods follow the power ranking: argon bombardment > plasma treatment > laser ablation.

  20. Methods of etching a substrate

    International Nuclear Information System (INIS)

    Cosmo, J.J.; Gambino, R.J.; Harper, J.M.E.

    1979-01-01

    The invention relates to a method of etching a substrate. The substrate is located opposite a target electrode in a vacuum chamber, and the surface of the target electrode is bombarded with energetic particles of atomic dimensions. The target electrode is an intermetallic composition (compound, alloy or finely divided homogeneous mixture) of two metals A and B such that upon bombardment the electrode emits negative ions of metal B which have sufficient energy to produce etching of the substrate. Many target materials are exemplified. Typically the metal A has an electronegativity XA and metal B has an electronegativity XB such that Xb - Xa is greater than about 2.55 electron volts, with the exception of combinations of metals having a fractional ionicity Q less than about 0.314. The source of the energetic particles may be an ionised gas in the vacuum chamber. The apparatus and its mode of operation are described in detail. (U.K.)

  1. Methods of etching a substrate

    Energy Technology Data Exchange (ETDEWEB)

    Cosmo, J J; Gambino, R J; Harper, J M.E.

    1979-05-16

    The invention relates to a method of etching a substrate. The substrate is located opposite a target electrode in a vacuum chamber, and the surface of the target electrode is bombarded with energetic particles of atomic dimensions. The target electrode is an intermetallic composition (compound, alloy or finely divided homogeneous mixture) of two metals A and B such that upon bombardment the electrode emits negative ions of metal B which have sufficient energy to produce etching of the substrate. Many target materials are exemplified. Typically the metal A has an electronegativity XA and metal B has an electronegativity XB such that Xb - Xa is greater than about 2.55 electron volts, with the exception of combinations of metals having a fractional ionicity Q less than about 0.314. The source of the energetic particles may be an ionised gas in the vacuum chamber. The apparatus and its mode of operation are described in detail.

  2. Depth of Etch Comparison Between Self-limiting and Traditional Etchant Systems

    Science.gov (United States)

    2016-06-18

    Wen Lien for his dedication to research and his assistance in piloting this study. Thank you to Dr. David Lee for his continued mentorship not only...porous layer (5 microns to 50 microns deep ) (Lopes, 2007). In 1975, Silverstone reported three different types of etch patterns on enamel surfaces

  3. Etch characteristics of (Pb,Sr)TiO3 thin films using CF4/Ar inductively coupled plasma

    International Nuclear Information System (INIS)

    Kim, Gwan-Ha; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2003-01-01

    The investigations of the (Pb,Sr)TiO 3 (PST) etching characteristics in CF 4 /Ar plasma were carried out using the inductively coupled plasma system. Experiments showed that an increase of the Ar mixing ratio under constant pressure and input power conditions leads to increasing etch rate of PST, which reaches a maximum of 740 A/min when the Ar is 80% of the gas mixture. To understand the etching mechanism, the surface state of the etched PST samples was investigated using x-ray photoelectron spectroscopy. It was found that Pb and Ti atoms were removed mainly by the ion-assisted etching mechanism. At the same time, Sr forms extremely low volatile fluorides and therefore can be removed only by physical (sputter) etching

  4. Fluorescence turn-on sensing of trace cadmium ions based on EDTA-etched CdTe@CdS quantum dot.

    Science.gov (United States)

    Wang, Si-Nan; Zhu, Jian; Li, Xin; Li, Jian-Jun; Zhao, Jun-Wu

    2018-05-01

    Cadmium-caused environmental pollution and diseases have always been worldwide problems. Thus it is extremely urgent to establish a cheap, rapid, simple and selective detection method for trace cadmium in drinking water. In this study, a fluorescence "turn-on" method based on ethylene diamine tetraacetic acid (EDTA)-etched CdTe@CdS quantum dots (QDs) was designed to detect Cd 2+ . High resolution transmission electron microscopy (HRTEM) and X-ray photoelectron spectroscopy (XPS) were utilized for chemical and structural characterization of the as-prepared QDs. Based on chemical etching of EDTA on the surface of CdTe@CdS QDs, specific Cd 2+ recognition sites were produced, and then results in fluorescence quenching. The introduction of Cd 2+ could identify these sites and restore the fluorescence of the EDTA-QDs system. Under the optimum conditions, the nanoprobe shows a linear response range from 0.05 to 9 μM with a very low detection limit of 0.032 μM. In addition, the reported fluorescence probe in this work displays a good selectivity for trace Cd 2+ over other metal ions and an admirable practicability in real water samples. Copyright © 2018 Elsevier B.V. All rights reserved.

  5. The fabrication of metal silicide nanodot arrays using localized ion implantation

    International Nuclear Information System (INIS)

    Han, Jin; Kim, Tae-Gon; Min, Byung-Kwon; Lee, Sang Jo

    2010-01-01

    We propose a process for fabricating nanodot arrays with a pitch size of less than 25 nm. The process consists of localized ion implantation in a metal thin film on a Si wafer using a focused ion beam (FIB), followed by chemical etching. This process utilizes the etching resistivity changes of the ion beam irradiated region that result from metal silicide formation by ion implantation. To control the nanodot diameter, a threshold ion dose model is proposed using the Gaussian distribution of the ion beam intensities. The process is verified by fabricating nanodots with various diameters. The mechanism of etching resistivity is investigated via x-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy (AES).

  6. Layer-by-layer thinning of MoSe_2 by soft and reactive plasma etching

    International Nuclear Information System (INIS)

    Sha, Yunfei; Xiao, Shaoqing; Zhang, Xiumei; Qin, Fang; Gu, Xiaofeng

    2017-01-01

    Highlights: • Soft plasma etching technique using SF_6 + N_2 as precursors for layer-by-layer thinning of MoSe_2 was adopted in this work. • Optical microscopy, Raman, photoluminescence and atomic force microscopy measurements were used to confirm the thickness change. • Layer-dependent vibrational and photoluminescence spectra of the etched MoSe_2 were also demonstrated. • Equal numbers of MoSe_2 layers can be removed uniformly without affecting the underlying SiO_2 substrate and the remaining MoSe_2 layers. - Abstract: Two-dimensional (2D) transition metal dichalcogenides (TMDs) like molybdenum diselenide (MoSe_2) have recently gained considerable interest since their properties are complementary to those of graphene. Unlike gapless graphene, the band structure of MoSe_2 can be changed from the indirect band gap to the direct band gap when MoSe_2 changed from bulk material to monolayer. This transition from multilayer to monolayer requires atomic-layer-precision thining of thick MoSe_2 layers without damaging the remaining layers. Here, we present atomic-layer-precision thinning of MoSe_2 nanaosheets down to monolayer by using SF_6 + N_2 plasmas, which has been demonstrated to be soft, selective and high-throughput. Optical microscopy, atomic force microscopy, Raman and photoluminescence spectra suggest that equal numbers of MoSe_2 layers can be removed uniformly regardless of their initial thickness, without affecting the underlying SiO_2 substrate and the remaining MoSe_2 layers. By adjusting the etching rates we can achieve complete MoSe_2 removal and any disired number of MoSe_2 layers including monolayer. This soft plasma etching method is highly reliable and compatible with the semiconductor manufacturing processes, thereby holding great promise for various 2D materials and TMD-based devices.

  7. Two-year Randomized Clinical Trial of Self-etching Adhesives and Selective Enamel Etching.

    Science.gov (United States)

    Pena, C E; Rodrigues, J A; Ely, C; Giannini, M; Reis, A F

    2016-01-01

    The aim of this randomized, controlled prospective clinical trial was to evaluate the clinical effectiveness of restoring noncarious cervical lesions with two self-etching adhesive systems applied with or without selective enamel etching. A one-step self-etching adhesive (Xeno V(+)) and a two-step self-etching system (Clearfil SE Bond) were used. The effectiveness of phosphoric acid selective etching of enamel margins was also evaluated. Fifty-six cavities were restored with each adhesive system and divided into two subgroups (n=28; etch and non-etch). All 112 cavities were restored with the nanohybrid composite Esthet.X HD. The clinical effectiveness of restorations was recorded in terms of retention, marginal integrity, marginal staining, caries recurrence, and postoperative sensitivity after 3, 6, 12, 18, and 24 months (modified United States Public Health Service). The Friedman test detected significant differences only after 18 months for marginal staining in the groups Clearfil SE non-etch (p=0.009) and Xeno V(+) etch (p=0.004). One restoration was lost during the trial (Xeno V(+) etch; p>0.05). Although an increase in marginal staining was recorded for groups Clearfil SE non-etch and Xeno V(+) etch, the clinical effectiveness of restorations was considered acceptable for the single-step and two-step self-etching systems with or without selective enamel etching in this 24-month clinical trial.

  8. Ripple formation on Si surfaces during plasma etching in Cl2

    Science.gov (United States)

    Nakazaki, Nobuya; Matsumoto, Haruka; Sonobe, Soma; Hatsuse, Takumi; Tsuda, Hirotaka; Takao, Yoshinori; Eriguchi, Koji; Ono, Kouichi

    2018-05-01

    Nanoscale surface roughening and ripple formation in response to ion incidence angle has been investigated during inductively coupled plasma etching of Si in Cl2, using sheath control plates to achieve the off-normal ion incidence on blank substrate surfaces. The sheath control plate consisted of an array of inclined trenches, being set into place on the rf-biased electrode, where their widths and depths were chosen in such a way that the sheath edge was pushed out of the trenches. The distortion of potential distributions and the consequent deflection of ion trajectories above and in the trenches were then analyzed based on electrostatic particle-in-cell simulations of the plasma sheath, to evaluate the angular distributions of ion fluxes incident on substrates pasted on sidewalls and/or at the bottom of the trenches. Experiments showed well-defined periodic sawtooth-like ripples with their wave vector oriented parallel to the direction of ion incidence at intermediate off-normal angles, while relatively weak corrugations or ripplelike structures with the wave vector perpendicular to it at high off-normal angles. Possible mechanisms for the formation of surface ripples during plasma etching are discussed with the help of Monte Carlo simulations of plasma-surface interactions and feature profile evolution. The results indicate the possibility of providing an alternative to ion beam sputtering for self-organized formation of ordered surface nanostructures.

  9. Investigation of sidewall roughness of the microgrooves manufactured with laser-induced etching technique

    International Nuclear Information System (INIS)

    Oh, Kwang H.; Park, J.B.; Cho, S.I.; Im, H.D.; Jeong, S.H.

    2009-01-01

    A novel laser etching technique utilizing an optical fiber as the laser beam guide is introduced. Depending upon whether a pulsed or a continuous wave (CW) laser is employed as the irradiation source, it was found that the etch depth and surface morphology of the grooves varied significantly. It was then demonstrated that deep microgrooves with smooth sidewalls can be obtained using a hybrid pulse and CW scanning process. The results of laser heating and chemical analyses revealed that sidewall roughness of the microgroove is mainly attributed to surface melting.

  10. Implementation of atomic layer etching of silicon: Scaling parameters, feasibility, and profile control

    Energy Technology Data Exchange (ETDEWEB)

    Ranjan, Alok, E-mail: alok.ranjan@us.tel.com; Wang, Mingmei; Sherpa, Sonam D.; Rastogi, Vinayak [TEL Technology Center, America LLC, 255 Fuller Road, Suite 214, Albany, New York 12203 (United States); Koshiishi, Akira [Tokyo Electron Miyagi, Ltd., 1 Techno-Hills, Taiwa-cho, Kurokawa-gun, Miyagi, 9813629 (Japan); Ventzek, Peter L. G. [Tokyo Electron America, Inc., 2400 Grove Blvd., Austin, Texas 78741 (United States)

    2016-05-15

    Atomic or layer by layer etching of silicon exploits temporally segregated self-limiting adsorption and material removal steps to mitigate the problems associated with continuous or quasicontinuous (pulsed) plasma processes: selectivity loss, damage, and profile control. Successful implementation of atomic layer etching requires careful choice of the plasma parameters for adsorption and desorption steps. This paper illustrates how process parameters can be arrived at through basic scaling exercises, modeling and simulation, and fundamental experimental tests of their predictions. Using chlorine and argon plasma in a radial line slot antenna plasma source as a platform, the authors illustrate how cycle time, ion energy, and radical to ion ratio can be manipulated to manage the deviation from ideality when cycle times are shortened or purges are incomplete. Cell based Monte Carlo feature scale modeling is used to illustrate profile outcomes. Experimental results of atomic layer etching processes are illustrated on silicon line and space structures such that iso-dense bias and aspect ratio dependent free profiles are produced. Experimental results also illustrate the profile control margin as processes move from atomic layer to multilayer by layer etching. The consequence of not controlling contamination (e.g., oxygen) is shown to result in deposition and roughness generation.

  11. Obtaining porous silicon suitable for sensor technology using MacEtch nonelectrolytic etching

    Directory of Open Access Journals (Sweden)

    Iatsunskyi I. R.

    2013-12-01

    Full Text Available The author suggests to use the etching method MacEtch (metal-assisted chemical etching for production of micro- and nanostructures of porous silicon. The paper presents research results on the morphology structures obtained at different parameters of deposition and etching processes. The research has shown that, depending on the parameters of deposition of silver particles and silicon wafers etching, the obtained surface morphology may be different. There may be both individual crater-like pores and developed porous or macroporous surface. These results indicate that the MacEtch etching is a promising method for obtaining micro-porous silicon nanostructures suitable for effective use in gas sensors and biological object sensors.

  12. End point detection in ion milling processes by sputter-induced optical emission spectroscopy

    International Nuclear Information System (INIS)

    Lu, C.; Dorian, M.; Tabei, M.; Elsea, A.

    1984-01-01

    The characteristic optical emission from the sputtered material during ion milling processes can provide an unambiguous indication of the presence of the specific etched species. By monitoring the intensity of a representative emission line, the etching process can be precisely terminated at an interface. Enhancement of the etching end point is possible by using a dual-channel photodetection system operating in a ratio or difference mode. The installation of the optical detection system to an existing etching chamber has been greatly facilitated by the use of optical fibers. Using a commercial ion milling system, experimental data for a number of etching processes have been obtained. The result demonstrates that sputter-induced optical emission spectroscopy offers many advantages over other techniques in detecting the etching end point of ion milling processes

  13. Measurements of diameters of selectively etchable tracks produced in polymer by heavy ions

    International Nuclear Information System (INIS)

    Apel', P.Yu.

    1981-01-01

    The process of pore formation in polyethyleneterephtalate films irradiated by the 136 Xe, 84 Kr, 40 Ar ions was investigated by measuring the conductivity of the samples during etching. The diameters of the damaged tracks within which the local etching rate was larger than etching rate for non-destroyed polymer were determined. In the case of the 136 Xe ions measurements have been carried out at different ion energies [ru

  14. Micro knife-edge optical measurement device in a silicon-on-insulator substrate.

    Science.gov (United States)

    Chiu, Yi; Pan, Jiun-Hung

    2007-05-14

    The knife-edge method is a commonly used technique to characterize the optical profiles of laser beams or focused spots. In this paper, we present a micro knife-edge scanner fabricated in a silicon-on-insulator substrate using the micro-electromechanical-system technology. A photo detector can be fabricated in the device to allow further integration with on-chip signal conditioning circuitry. A novel backside deep reactive ion etching process is proposed to solve the residual stress effect due to the buried oxide layer. Focused optical spot profile measurement is demonstrated.

  15. Predicting synergy in atomic layer etching

    Energy Technology Data Exchange (ETDEWEB)

    Kanarik, Keren J. [Lam Research Corp., Fremont, CA (United States); Tan, Samantha [Lam Research Corp., Fremont, CA (United States); Yang, Wenbing [Lam Research Corp., Fremont, CA (United States); Kim, Taeseung [Lam Research Corp., Fremont, CA (United States); Lill, Thorsten [Lam Research Corp., Fremont, CA (United States); Kabansky, Alexander [Lam Research Corp., Fremont, CA (United States); Hudson, Eric A. [Lam Research Corp., Fremont, CA (United States); Ohba, Tomihito [Lam Research Corp., Fremont, CA (United States); Nojiri, Kazuo [Lam Research Corp., Fremont, CA (United States); Yu, Jengyi [Lam Research Corp., Fremont, CA (United States); Wise, Rich [Lam Research Corp., Fremont, CA (United States); Berry, Ivan L. [Lam Research Corp., Fremont, CA (United States); Pan, Yang [Lam Research Corp., Fremont, CA (United States); Marks, Jeffrey [Lam Research Corp., Fremont, CA (United States); Gottscho, Richard A. [Lam Research Corp., Fremont, CA (United States)

    2017-03-27

    Atomic layer etching (ALE) is a multistep process used today in manufacturing for removing ultrathin layers of material. In this article, the authors report on ALE of Si, Ge, C, W, GaN, and SiO2 using a directional (anisotropic) plasma-enhanced approach. The authors analyze these systems by defining an “ALE synergy” parameter which quantifies the degree to which a process approaches the ideal ALE regime. This parameter is inspired by the ion-neutral synergy concept introduced in the 1979 paper by Coburn and Winters. ALE synergy is related to the energetics of underlying surface interactions and is understood in terms of energy criteria for the energy barriers involved in the reactions. Synergistic behavior is observed for all of the systems studied, with each exhibiting behavior unique to the reactant–material combination. By systematically studying atomic layer etching of a group of materials, the authors show that ALE synergy scales with the surface binding energy of the bulk material. This insight explains why some materials are more or less amenable to the directional ALE approach. Furthermore, they conclude that ALE is both simpler to understand than conventional plasma etch processing and is applicable to metals, semiconductors, and dielectrics.

  16. Carrier-lifetime-controlled selective etching process for semiconductors using photochemical etching

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Myers, D.R.

    1992-01-01

    This patent describes a process for selectively photochemically etching a semiconductor material. It comprises introducing at least one impurity into at least one selected region of a semiconductor material to be etched to increase a local impurity concentration in the at least one selected region relative to an impurity concentration in regions of the semiconductor material adjacent thereto, for reducing minority carrier lifetimes within the at least one selected region relative to the adjacent regions for thereby providing a photochemical etch-inhibiting mask at the at least one selected region; and etching the semiconductor material by subjecting the surface of the semiconductor material to a carrier-driven photochemical etching reaction for selectively etching the regions of the semiconductor material adjacent the at least one selected region having the increase impurity concentration; wherein the step of introducing at least one impurity is performed so as not to produce damage to the at least one selected region before any etching is performed

  17. Synthesis of SiC microstructures in Si technology by high dose carbon implantation: Etch-stop properties

    International Nuclear Information System (INIS)

    Serre, C.; Perez-Rodriguez, A.; Romano-Rodriguez, A.; Calvo-Barrio, L.; Morante, J.R.; Esteve, J.; Acero, M.C.; Skorupa, W.; Koegler, R.

    1997-01-01

    The use of high dose carbon ion implantation in Si for the production of membranes and microstructures is investigated. Si wafers were implanted with carbon doses of 10 17 and 5 x 10 17 cm -2 , at an energy of 300 keV and a temperature of 500 C. The structural analysis of these samples revealed the formation of a highly stable buried layer of crystalline β-SiC precipitates aligned with the Si matrix. The etch-stop properties of this layer have been investigated using tetramethyl-ammonium hydroxide as etchant solution. Secondary ion mass spectrometry measurements performed on the etched samples have allowed an estimate of the minimum dose needed for obtaining an etch-stop layer to a value in the range 2 to 3 x 10 17 ions/cm 2 . This behavior has been explained assuming the existence of a percolation process in a SiC/Si binary system. Finally, very thin crystalline membranes and self-standing structures with average surface roughness in the range 6 to 7 nm have been obtained

  18. Etch Defect Characterization and Reduction in Hard-Mask-Based Al Interconnect Etching

    International Nuclear Information System (INIS)

    Lee, H.J.; Hung, C.L.; Leng, C.H.; Lian, N.T.; Young, L.W.

    2009-01-01

    This paper identifies the defect adders, for example, post hard-mask etch residue, post metal etch residue, and blocked etch metal island and investigates the removal characteristics of these defects within the oxide-masked Al etching process sequence. Post hard-mask etch residue containing C atom is related to the hardening of photoresist after the conventional post-RIE ashing at 275 degree C. An in situ O 2 -based plasma ashing on RIE etcher was developed to prevent the photoresist hardening from the high-ashing temperature; followed wet stripping could successfully eliminate such hardened polymeric residue. Post metal etch residue was caused from the attack of the Al sidewall by Cl atoms, and too much CHF 3 addition in the Al main etch step passivated the surface of Al resulting in poor capability to remove the Al-containing residue. The lower addition of CHF 3 in the Al main etch step would benefit from the residue removal. One possibility of blocked etch metal island creating was due to the micro masking formed on the opening of Ti N during the hard-mask patterning. We report that an additional Ti N surface pretreatment with the Ar/CHF 3 /N 2 plasmas could reduce the impact of the micro masking residues on blocked metal etch.

  19. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Directory of Open Access Journals (Sweden)

    Kun-Dar Li

    2018-02-01

    Full Text Available To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  20. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Science.gov (United States)

    Li, Kun-Dar; Miao, Jin-Ru

    2018-02-01

    To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  1. Diode-like single-ion track membrane prepared by electro-stopping

    International Nuclear Information System (INIS)

    Apel, P.Yu.; Korchev, Yu.E.; Siwy, Z.; Spohr, R.; Yoshida, M.

    2001-01-01

    The preparation of an asymmetric membrane in poly(ethylene terephthalate) (PET) is described, using a combination of chemical and electro-stopping. For this purpose, a single-ion-irradiated PET film is inserted into an electrolytic cell and etched from one side in 9 M sodium hydroxide while bathing the other side in a mixture of 2 M KCl and 2 M HCOOH (1:1 by volume), electrically retracting the OH - ions from the tip of the etch pit during pore break-through. When a preset current has been reached, the etch process is interrupted by replacing the etching solution with acidic 1 M potassium chloride solution. After etching, the current-voltage (I-V) characteristic is determined under symmetric bathing conditions, immersing both sides of the membrane in KCl solutions of identical concentration (0.01-1 M) and pH (3-8). The I-V characteristic is strongly non-linear, comparable to that of an electrical diode. If the polarity during etching is reversed, pushing the OH - ions into the tip of the etch pit, the resulting pores are larger and the degree of asymmetry smaller. The importance of electro-stopping is compared with chemical stopping

  2. Ion beam texturing

    Science.gov (United States)

    Hudson, W. R.

    1977-01-01

    A microscopic surface texture was created by sputter-etching a surface while simultaneously sputter-depositing a lower sputter yield material onto the surface. A xenon ion-beam source was used to perform the texturing process on samples as large as 3-cm diameter. Textured surfaces have been characterized with SEM photomicrographs for a large number of materials including Cu, Al, Si, Ti, Ni, Fe, stainless steel, Au, and Ag. A number of texturing parameters are studied including the variation of texture with ion-beam powder, surface temperature, and the rate of texture growth with sputter etching time.

  3. Calculation of bulk etch rate’s semi-empirical equation for polymer track membranes in stationary and dynamic modes

    Directory of Open Access Journals (Sweden)

    A. Mashentseva

    2013-05-01

    Full Text Available One of the most urgent and extremely social problems in environmental safeties area in Kazakhstan is providing the population of all regions of the country with quality drinking water. Development of filter elements based on nuclear track-etch membranes may be considered as one of best solutions this problem. The values of bulk etch rate and activation energy were calculated in view the effect of temperature, alkaline solution concentration as well as stirring effect. The semi-empirical equation of the bulk etch rate for PET track membranes was calculated. As a result of theoretical and experimental studies a semi-empirical equation of the bulk etch rate VB=3.4∙1012∙C2.07∙exp(-0.825/kT for 12 microns PET film, irradiated by ions 84Kr15+ (energy of 1.75 MeV/nucleon at the heavy ion accelerator DC-60 in Astana branch of the INP NNC RK, was obtained. 

  4. Dry Etching of Copper Phthalocyanine Thin Films: Effects on Morphology and Surface Stoichiometry

    Directory of Open Access Journals (Sweden)

    Michael J. Brett

    2012-08-01

    Full Text Available We investigate the evolution of copper phthalocyanine thin films as they are etched with argon plasma. Significant morphological changes occur as a result of the ion bombardment; a planar surface quickly becomes an array of nanopillars which are less than 20 nm in diameter. The changes in morphology are independent of plasma power, which controls the etch rate only. Analysis by X-ray photoelectron spectroscopy shows that surface concentrations of copper and oxygen increase with etch time, while carbon and nitrogen are depleted. Despite these changes in surface stoichiometry, we observe no effect on the work function. The absorbance and X-ray diffraction spectra show no changes other than the peaks diminishing with etch time. These findings have important implications for organic photovoltaic devices which seek nanopillar thin films of metal phthalocyanine materials as an optimal structure.

  5. Lanthanide ions (III) as sensitizers of melatonin oxidation in reaction mixtures providing reactive species of oxygen and nitrogen

    Energy Technology Data Exchange (ETDEWEB)

    Kaczmarek, Małgorzata, E-mail: mkaczmar@amu.edu.pl

    2015-06-15

    Chemiluminescence (CL) of the reactive systems providing strong oxidants (reactive species of oxygen and nitrogen) containing lanthanide ions (III) and melatonin, was studied. Kinetic curves of emission decay and spectral distributions of chemiluminescence were obtained. Analysis of differences in the intensity of chemiluminescence and CL spectra proved that excitation of Tb(III) and Dy(III) ions takes place with the energy transfer from the products of melatonin oxidation: N{sup 1}-acetyl-N{sup 2}-formyl-5-methoxykynuramine (AFMK) and N{sup 1}-acetyl-5-methoxykynuramine (AMK) to the lanthanide ions. In the system Fe(II)/Fe(III)–H{sub 2}O{sub 2}–Mel–Tb(III) a linear correlation was established between the integrated CL intensity and melatonin concent. - Highlights: • Chemiluminescence (CL) of melatonin (Mel) oxidation by reactive species of oxygen and nitrogen. • Tb(III) and Dy(III) ions as sensitizers of a melatonin oxidation process. • New CL method for determination of melatonin in pharmaceutical preparations based on CL of Fe(II)/Fe(III)–H{sub 2}O{sub 2}–Mel–Tb(III) system.

  6. Influence of Pre-etching Times on Fatigue Strength of Self-etch Adhesives to Enamel.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Endo, Hajime; Tsuchiya, Kenji; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    To use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence of phosphoric acid pre-etching times prior to application of self-etch adhesives on enamel bonding. Two single-step self-etch universal adhesives (Prime&Bond Elect and Scotchbond Universal), a conventional single-step self-etch adhesive (G-ӕnial Bond), and a conventional two-step self-etch adhesive (OptiBond XTR) were used. The SBS and SFS were obtained with phosphoric acid pre-etching for 3, 10, or 15 s prior to application of the adhesives, and without pre-etching (0 s) as a control. A staircase method was used to determine the SFS with 10 Hz frequency for 50,000 cycles or until failure occurred. The mean demineralization depth for each treated enamel surface was also measured using a profilometer. For all the adhesives, the groups with pre-etching showed significantly higher SBS and SFS than groups without pre-etching. However, there was no significant difference in SBS and SFS among groups with > 3 s of preetching. In addition, although the groups with pre-etching showed significantly deeper demineralization depths than groups without pre-etching, there was no significant difference in depth among groups with > 3 s of pre-etching. Three seconds of phosphoric acid pre-etching prior to application of self-etch adhesive can enhance enamel bonding effectiveness.

  7. Self-etching adhesive on intact enamel, with and without pre-etching.

    Science.gov (United States)

    Devarasa, G M; Subba Reddy, V V; Chaitra, N L; Swarna, Y M

    2012-05-01

    Bond strengths of composite resin to enamel using self-etch adhesive (SEA) Clearfil SE bond system on intact enamel and enamel pre-etched with phosphoric acid were compared. The objective was to determine if the pre-etching would increase the bond strengths of the SEA systems to intact enamel and to evaluate the effect of pre-etching on bond formation of self-etch adhesives on intact enamel. Labial surfaces of 40 caries free permanent upper central and lateral incisors were cleaned, sectioned of their roots. All specimens were mounted on acrylic block and divided randomly into four groups. In two groups the application of self-etch adhesive, Clearfil SE bond was carried as per manufacturer's instructions, composite cylinders were built, whereas in the other two groups, 37% phosphoric acid etching was done before the application of self-etching adhesives. Then the resin tags were analyzed using scanning electron microscope and shear bond strength was measured using Instron universal testing machine. When phosphoric acid was used, there was significant increase in the depth of penetration of resin tags and in the Shear Bond Strength of composite to enamel. The results indicate that out of both treatment groups, pre-etching the intact enamel with 37% phosphoric acid resulted in formation of longer resin tags and higher depth of penetration of resin tags of the Clearfil SE bond, and attaining higher bond strength of the Clearfil SE bond to intact enamel. Copyright © 2011 Wiley Periodicals, Inc.

  8. Injection moulding antireflective nanostructures

    DEFF Research Database (Denmark)

    Christiansen, Alexander Bruun; Clausen, Jeppe Sandvik; Mortensen, N. Asger

    2014-01-01

    We present a method for injection moulding antireflective nanostructures on large areas, for high volume production. Nanostructured black silicon masters were fabricated by mask-less reactive ion etching, and electroplated with nickel. The nickel shim was antistiction coated and used in an inject......We present a method for injection moulding antireflective nanostructures on large areas, for high volume production. Nanostructured black silicon masters were fabricated by mask-less reactive ion etching, and electroplated with nickel. The nickel shim was antistiction coated and used...

  9. Injection moulding antireflective nanostructures

    DEFF Research Database (Denmark)

    Christiansen, Alexander Bruun; Clausen, Jeppe Sandvik; Mortensen, N. Asger

    We present a method for injection moulding antireflective nanostructures on large areas, for high volume production. Nanostructured black silicon masters were fabricated by mask-less reactive ion etching, and electroplated with nickel. The nickel shim was antistiction coated and used in an inject......We present a method for injection moulding antireflective nanostructures on large areas, for high volume production. Nanostructured black silicon masters were fabricated by mask-less reactive ion etching, and electroplated with nickel. The nickel shim was antistiction coated and used...

  10. Using an energized oxygen micro-jet for improved graphene etching by focused electron beam

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Songkil; Henry, Mathias [George W. Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Fedorov, Andrei G., E-mail: agf@gatech.edu [George W. Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Parker H. Petit Institute for Bioengineering and Bioscience, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States)

    2015-12-07

    We report on an improved Focused Electron Beam Induced Etching (FEBIE) process, which exploits heated oxygen delivery via a continuous supersonic micro-jet resulting in faster graphene patterning and better etch feature definition. Positioning a micro-jet in close proximity to a graphene surface with minimal jet spreading due to a continuous regime of gas flow at the exit of the 10 μm inner diameter capillary allows for focused exposure of the surface to reactive oxygen at high mass flux and impingement energy of a supersonic gas stream localized to a small etching area exposed to electron beam. These unique benefits of focused supersonic oxygen delivery to the surface enable a dramatic increase in the etch rate of graphene with no parasitic carbon “halo” deposition due to secondary electrons from backscattered electrons (BSE) in the area surrounding the etched regions. Increase of jet temperature via local nozzle heating provides means for enhancing kinetic energy of impinging oxygen molecules, which further speed up the etch, thus minimizing the beam exposure time and required electron dose, before parasitic carbon film deposition due to BSE mediated decomposition of adsorbed hydrocarbon contaminants has a measurable impact on quality of graphene etched features. Interplay of different physical mechanisms underlying an oxygen micro-jet assisted FEBIE process is discussed with support from experimental observations.

  11. Using an energized oxygen micro-jet for improved graphene etching by focused electron beam

    International Nuclear Information System (INIS)

    Kim, Songkil; Henry, Mathias; Fedorov, Andrei G.

    2015-01-01

    We report on an improved Focused Electron Beam Induced Etching (FEBIE) process, which exploits heated oxygen delivery via a continuous supersonic micro-jet resulting in faster graphene patterning and better etch feature definition. Positioning a micro-jet in close proximity to a graphene surface with minimal jet spreading due to a continuous regime of gas flow at the exit of the 10 μm inner diameter capillary allows for focused exposure of the surface to reactive oxygen at high mass flux and impingement energy of a supersonic gas stream localized to a small etching area exposed to electron beam. These unique benefits of focused supersonic oxygen delivery to the surface enable a dramatic increase in the etch rate of graphene with no parasitic carbon “halo” deposition due to secondary electrons from backscattered electrons (BSE) in the area surrounding the etched regions. Increase of jet temperature via local nozzle heating provides means for enhancing kinetic energy of impinging oxygen molecules, which further speed up the etch, thus minimizing the beam exposure time and required electron dose, before parasitic carbon film deposition due to BSE mediated decomposition of adsorbed hydrocarbon contaminants has a measurable impact on quality of graphene etched features. Interplay of different physical mechanisms underlying an oxygen micro-jet assisted FEBIE process is discussed with support from experimental observations

  12. Using an energized oxygen micro-jet for improved graphene etching by focused electron beam

    Science.gov (United States)

    Kim, Songkil; Henry, Mathias; Fedorov, Andrei G.

    2015-12-01

    We report on an improved Focused Electron Beam Induced Etching (FEBIE) process, which exploits heated oxygen delivery via a continuous supersonic micro-jet resulting in faster graphene patterning and better etch feature definition. Positioning a micro-jet in close proximity to a graphene surface with minimal jet spreading due to a continuous regime of gas flow at the exit of the 10 μm inner diameter capillary allows for focused exposure of the surface to reactive oxygen at high mass flux and impingement energy of a supersonic gas stream localized to a small etching area exposed to electron beam. These unique benefits of focused supersonic oxygen delivery to the surface enable a dramatic increase in the etch rate of graphene with no parasitic carbon "halo" deposition due to secondary electrons from backscattered electrons (BSE) in the area surrounding the etched regions. Increase of jet temperature via local nozzle heating provides means for enhancing kinetic energy of impinging oxygen molecules, which further speed up the etch, thus minimizing the beam exposure time and required electron dose, before parasitic carbon film deposition due to BSE mediated decomposition of adsorbed hydrocarbon contaminants has a measurable impact on quality of graphene etched features. Interplay of different physical mechanisms underlying an oxygen micro-jet assisted FEBIE process is discussed with support from experimental observations.

  13. Dry etching technology for semiconductors

    CERN Document Server

    Nojiri, Kazuo

    2015-01-01

    This book is a must-have reference to dry etching technology for semiconductors, which will enable engineers to develop new etching processes for further miniaturization and integration of semiconductor integrated circuits.  The author describes the device manufacturing flow, and explains in which part of the flow dry etching is actually used. The content is designed as a practical guide for engineers working at chip makers, equipment suppliers and materials suppliers, and university students studying plasma, focusing on the topics they need most, such as detailed etching processes for each material (Si, SiO2, Metal etc) used in semiconductor devices, etching equipment used in manufacturing fabs, explanation of why a particular plasma source and gas chemistry are used for the etching of each material, and how to develop etching processes.  The latest, key technologies are also described, such as 3D IC Etching, Dual Damascene Etching, Low-k Etching, Hi-k/Metal Gate Etching, FinFET Etching, Double Patterning ...

  14. Etched ion tracks in silicon oxide and silicon oxynitride as charge injection or extraction channels for novel electronic structures

    International Nuclear Information System (INIS)

    Fink, D.; Petrov, A.V.; Hoppe, K.; Fahrner, W.R.; Papaleo, R.M.; Berdinsky, A.S.; Chandra, A.; Chemseddine, A.; Zrineh, A.; Biswas, A.; Faupel, F.; Chadderton, L.T.

    2004-01-01

    The impact of swift heavy ions onto silicon oxide and silicon oxynitride on silicon creates etchable tracks in these insulators. After their etching and filling-up with highly resistive matter, these nanometric pores can be used as charge extraction or injection paths towards the conducting channel in the underlying silicon. In this way, a novel family of electronic structures has been realized. The basic characteristics of these 'TEMPOS' (=tunable electronic material with pores in oxide on silicon) structures are summarized. Their functionality is determined by the type of insulator, the etch track diameters and lengths, their areal densities, the type of conducting matter embedded therein, and of course by the underlying semiconductor and the contact geometry. Depending on the TEMPOS preparation recipe and working point, the structures may resemble gatable resistors, condensors, diodes, transistors, photocells, or sensors, and they are therefore rather universally applicable in electronics. TEMPOS structures are often sensitive to temperature, light, humidity and organic gases. Also light-emitting TEMPOS structures have been produced. About 37 TEMPOS-based circuits such as thermosensors, photosensors, humidity and alcohol sensors, amplifiers, frequency multipliers, amplitude modulators, oscillators, flip-flops and many others have already been designed and successfully tested. Sometimes TEMPOS-based circuits are more compact than conventional electronics

  15. Knudsen and inverse Knudsen layer effect on tail ion distribution and fusion reactivity in inertial confinement fusion targets

    Science.gov (United States)

    McDevitt, C. J.; Tang, X.-Z.; Guo, Z.; Berk, H. L.

    2014-10-01

    A series of reduced models are used to study the fast ion tail in the vicinity of a transition layer between plasmas at disparate temperatures and densities, which is typical of the gas-pusher interface in inertial confinement fusion targets. Emphasis is placed on utilizing progressively more comprehensive models in order to identify the essential physics for computing the fast ion tail at energies comparable to the Gamow peak. The resulting fast ion tail distribution is subsequently used to compute the fusion reactivity as a function of collisionality and temperature. It is found that while the fast ion distribution can be significantly depleted in the hot spot, leading to a reduction of the fusion reactivity in this region, a surplus of fast ions is present in the neighboring cold region. The presence of this fast ion surplus in the neighboring cold region is shown to lead to a partial recovery of the fusion yield lost in the hot spot.

  16. Radial transport of high-energy oxygen ions into the deep inner magnetosphere observed by Van Allen Probes

    Science.gov (United States)

    Mitani, K.; Seki, K.; Keika, K.; Gkioulidou, M.; Lanzerotti, L. J.; Mitchell, D. G.; Kletzing, C.

    2017-12-01

    It is known that proton is main contributor of the ring current and oxygen ions can make significant contribution during major magnetic storms. Ions are supplied to the ring current by radial transport from the plasma sheet. Convective transport of lower-energy protons and diffusive transport of higher-energy protons were reported to contribute to the storm-time and quiet-time ring current respectively [e.g., Gkioulidou et al., 2016]. However, supply mechanisms of the oxygen ions are not clear. To characterize the supply of oxygen ions to the ring current during magnetic storms, we studied the properties of energetic proton and oxygen ion phase space densities (PSDs) for specific magnetic moment (μ) during the April 23-25, 2013, geomagnetic storm observed by the Van Allen Probes mission. We here report on radial transport of high-energy (μ ≥ 0.5 keV/nT) oxygen ions into the deep inner magnetosphere during the late main phase of the magnetic storm. Since protons show little change during this period, this oxygen radial transport is inferred to cause the development of the late main phase. Enhancement of poloidal magnetic fluctuations is simultaneously observed. We estimated azimuthal mode number ≤5 by using cross wavelet analysis with ground-based observation of IMAGE ground magnetometers. The fluctuations can resonate with drift and bounce motions of the oxygen ions. The results suggest that combination of the drift and drift-bounce resonances is responsible for the radial transport of high-energy oxygen ions into the deep inner magnetosphere. We also report on the radial transport of the high-energy oxygen ions into the deep inner magnetosphere during other magnetic storms.

  17. Study of Thermal Electrical Modified Etching for Glass and Its Application in Structure Etching

    Directory of Open Access Journals (Sweden)

    Zhan Zhan

    2017-02-01

    Full Text Available In this work, an accelerating etching method for glass named thermal electrical modified etching (TEM etching is investigated. Based on the identification of the effect in anodic bonding, a novel method for glass structure micromachining is proposed using TEM etching. To validate the method, TEM-etched glasses are prepared and their morphology is tested, revealing the feasibility of the new method for micro/nano structure micromachining. Furthermore, two kinds of edge effect in the TEM and etching processes are analyzed. Additionally, a parameter study of TEM etching involving transferred charge, applied pressure, and etching roughness is conducted to evaluate this method. The study shows that TEM etching is a promising manufacture method for glass with low process temperature, three-dimensional self-control ability, and low equipment requirement.

  18. Ion-beam enhanced etching for the 3D structuration of lithium niobate; Ionenstrahlverstaerktes Aetzen fuer die 3D-Strukturierung von Lithiumniobat

    Energy Technology Data Exchange (ETDEWEB)

    Gischkat, Thomas

    2010-01-12

    The present thesis deals with the usage of the ion-beam enhanced etching (IBEE) for the 3D structuration of lithium niobate (LiNbO{sub 3}).Hereby the approach of the enhancement of the wet-chemical etching rate due to the irradiation with energetic ions is pursued. This method is very success promising for the realization of micro- and nanostructures with perpendicular structural walls as well as small roughnesses. The aim of this thesis consisted therein to form the foundations for the realization of three-dimensional micro- and nanostructures (for instance: Layer systems and photonic crystals) in LiNbO{sub 3} with high optical quality and to demonstrate on selected examples. Conditions for the success of the IBEE structuration technique is first of all the understanding of the defect formation under ion irradiation as well as the radiation-induced structure changes in the crystal and the change of the chemical resistance connected with this. For this the defect formation was studied in dependence on th ion mass, the ion energy, and the irradiation temperature. Thermally induced influences and effects on the radiation damage, as they can occur in intermediate steps in the complex processing, must be known and were studied by means of subsequent temperature treatment. The results from the defect studies were subsequently applied for the fabrication of micro- and nanostructures in LiNbO{sub 3}. Shown is the realization of lateral structure with nearly perpendicular structure walls as well as the realization of thin membranes and slits. The subsequent combination of lateral structuration with the fabrication of thin membranes and slits allowed the three-dimensional structuration of LiNbO{sub 3}. This is exemplarily shown for a microresonator and for a 2D photonic crystal with below lying air slit. [German] Die vorliegende Arbeit beschaeftigt sich mit der Ausnutzung des ionenstrahlverstaerkten Aetzens (IBEE: Ion Beam Enhanced Etching) fuer die 3D-Strukturierung von

  19. Chloride (Cl−) ion-mediated shape control of palladium nanoparticles

    International Nuclear Information System (INIS)

    Nalajala, Naresh; Chakraborty, Arup; Bera, Bapi; Neergat, Manoj

    2016-01-01

    The shape control of Pd nanoparticles is investigated using chloride (Cl − ) ions as capping agents in an aqueous medium in the temperature range of 60–100 °C. With weakly adsorbing and strongly etching Cl − ions, oxygen plays a crucial role in shape control. The experimental factors considered are the concentration of the capping agents, reaction time and reaction atmosphere. Thus, Pd nanoparticles of various shapes with high selectivity can be synthesized. Moreover, the removal of Cl − ions from the nanoparticle surface is easier than that of Br − ions (moderately adsorbing and etching) and I − ions (strongly adsorbing and weakly etching). The cleaned Cl − ion-mediated shape-controlled Pd nanoparticles are electrochemically characterized and the order of the half-wave potential of the oxygen reduction reaction in oxygen-saturated 0.1 M HClO 4 solution is of the same order as that observed with single-crystal Pd surfaces. (paper)

  20. Reactivity of surface of metal oxide particles: from adsorption of ions to deposition of colloidal particles

    International Nuclear Information System (INIS)

    Lefevre, Gregory

    2010-01-01

    In this Accreditation to supervise research (HDR), the author proposes an overview of his research works in the field of chemistry. These works more particularly addressed the understanding of the surface reactivity of metal oxide particles and its implication on sorption and adherence processes. In a first part, he addresses the study of surface acidity-alkalinity: measurement of surface reactivity by acid-base titration, stability of metal oxides in suspension, effect of morphology on oxide-hydroxide reactivity. The second part addresses the study of sorption: reactivity of iron oxides with selenium species, sorption of sulphate ions on magnetite, attenuated total reflection infrared spectroscopy (ATR-IR). Adherence effects are addressed in the third part: development of an experimental device to study adherence in massive substrates, deposition of particles under turbulent flow. The last part presents a research project on the effect of temperature on ion sorption at solids/solutions interfaces, and on the adherence of metal oxide particles. The author gives his detailed curriculum, and indicates his various publications, teaching activities, research and administrative responsibilities

  1. Mechanisms for plasma etching of HfO{sub 2} gate stacks with Si selectivity and photoresist trimming

    Energy Technology Data Exchange (ETDEWEB)

    Shoeb, Juline; Kushner, Mark J. [Department of Electrical and Computer Engineering, Iowa State University, Ames, Iowa 50011 (United States); Department of Electrical Engineering and Computer Science, University of Michigan, Ann Arbor, Michigan 48109-2122 (United States)

    2009-11-15

    To minimize leakage currents resulting from the thinning of the insulator in the gate stack of field effect transistors, high-dielectric constant (high-k) metal oxides, and HfO{sub 2} in particular, are being implemented as a replacement for SiO{sub 2}. To speed the rate of processing, it is desirable to etch the gate stack (e.g., metal gate, antireflection layers, and dielectric) in a single process while having selectivity to the underlying Si. Plasma etching using Ar/BCl{sub 3}/Cl{sub 2} mixtures effectively etches HfO{sub 2} while having good selectivity to Si. In this article, results from integrated reactor and feature scale modeling of gate-stack etching in Ar/BCl{sub 3}/Cl{sub 2} plasmas, preceded by photoresist trimming in Ar/O{sub 2} plasmas, are discussed. It was found that BCl{sub n} species react with HfO{sub 2}, which under ion impact, form volatile etch products such as B{sub m}OCl{sub n} and HfCl{sub n}. Selectivity to Si is achieved by creating Si-B bonding as a precursor to the deposition of a BCl{sub n} polymer which slows the etch rate relative to HfO{sub 2}. The low ion energies required to achieve this selectivity then challenge one to obtain highly anisotropic profiles in the metal gate portion of the stack. Validation was performed with data from literature. The effect of bias voltage and key reactant probabilities on etch rate, selectivity, and profile are discussed.

  2. Silver ion mediated shape control of platinum nanoparticles: Removal of silver by selective etching leads to increased catalytic activity

    Energy Technology Data Exchange (ETDEWEB)

    Grass, Michael E.; Yue, Yao; Habas, Susan E.; Rioux, Robert M.; Teall, Chelsea I.; Somorjai, G.A.

    2008-01-09

    A procedure has been developed for the selective etching of Ag from Pt nanoparticles of well-defined shape, resulting in the formation of elementally-pure Pt cubes, cuboctahedra, or octahedra, with a largest vertex-to-vertex distance of {approx}9.5 nm from Ag-modified Pt nanoparticles. A nitric acid etching process was applied Pt nanoparticles supported on mesoporous silica, as well as nanoparticles dispersed in aqueous solution. The characterization of the silica-supported particles by XRD, TEM, and N{sub 2} adsorption measurements demonstrated that the structure of the nanoparticles and the mesoporous support remained conserved during etching in concentrated nitric acid. Both elemental analysis and ethylene hydrogenation indicated etching of Ag is only effective when [HNO{sub 3}] {ge} 7 M; below this concentration, the removal of Ag is only {approx}10%. Ethylene hydrogenation activity increased by four orders of magnitude after the etching of Pt octahedra that contained the highest fraction of silver. High-resolution transmission electron microscopy of the unsupported particles after etching demonstrated that etching does not alter the surface structure of the Pt nanoparticles. High [HNO{sub 3}] led to the decomposition of the capping agent, polyvinylpyrollidone (PVP); infrared spectroscopy confirmed that many decomposition products were present on the surface during etching, including carbon monoxide.

  3. Diffractive, diffusive, and statistical aspects of deep inelastic heavy-ion collisions

    International Nuclear Information System (INIS)

    Lee, S.Y.; McGrath, R.L.; Dean, D.R.

    1984-01-01

    Deep inelastic collisions between ''light'' heavy ions are considered in a formalism containing diffractive, diffusive, and statistical aspects. A closed-form diffractive cross section is derived, with the deflection function being parametrized in a classically-motivated way. A statistical argument is used to demonstrate how the observed double differential cross section is built up from different diffractive contributions each with its own weight. The form of the weighting function is derived. The observed forward-peaked exponentially-decaying form of deep inelastic collision angular distributions is accounted for. The possibility of exciting a nonzero spin state is explicitly included, enabling the same formalism to be used to explain the spin polarization occurring in deep inelastic collisions. This quantity is much more sensitive than the angular distribution to the choice of deflection function parametrization. Reasonable fits to data are obtained with only one free parameter, apart from an overall normalization factor

  4. Wafer-Level Patterned and Aligned Polymer Nanowire/Micro- and Nanotube Arrays on any Substrate

    KAUST Repository

    Morber, Jenny Ruth; Wang, Xudong; Liu, Jin; Snyder, Robert L.; Wang, Zhong Lin

    2009-01-01

    involved a one-step inductively coupled plasma (ICP) reactive ion etching process. The polymer nanowire array was fabricated in an ICP reactive ion milling chamber with a pressure of 10mTorr. Argon (Ar), O 2, and CF4 gases were released into the chamber

  5. Influence of different pre-etching times on fatigue strength of self-etch adhesives to dentin.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Suzuki, Takayuki; Scheidel, Donal D; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-04-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence on dentin bonding of phosphoric acid pre-etching times before the application of self-etch adhesives. Two single-step self-etch universal adhesives [Prime & Bond Elect (EL) and Scotchbond Universal (SU)], a conventional single-step self-etch adhesive [G-aenial Bond (GB)], and a two-step self-etch adhesive [OptiBond XTR (OX)] were used. The SBS and SFS values were obtained with phosphoric acid pre-etching times of 3, 10, or 15 s before application of the adhesives, and for a control without pre-etching. For groups with 3 s of pre-etching, SU and EL showed higher SBS values than control groups. No significant difference was observed for GB among the 3 s, 10 s, and control groups, but the 15 s pre-etching group showed significantly lower SBS and SFS values than the control group. No significant difference was found for OX among the pre-etching groups. Reducing phosphoric acid pre-etching time can minimize the adverse effect on dentin bonding durability for the conventional self-etch adhesives. Furthermore, a short phosphoric acid pre-etching time enhances the dentin bonding performance of universal adhesives. © 2016 Eur J Oral Sci.

  6. Damage-Free Smooth-Sidewall InGaAs Nanopillar Array by Metal-Assisted Chemical Etching.

    Science.gov (United States)

    Kong, Lingyu; Song, Yi; Kim, Jeong Dong; Yu, Lan; Wasserman, Daniel; Chim, Wai Kin; Chiam, Sing Yang; Li, Xiuling

    2017-10-24

    Producing densely packed high aspect ratio In 0.53 Ga 0.47 As nanostructures without surface damage is critical for beyond Si-CMOS nanoelectronic and optoelectronic devices. However, conventional dry etching methods are known to produce irreversible damage to III-V compound semiconductors because of the inherent high-energy ion-driven process. In this work, we demonstrate the realization of ordered, uniform, array-based In 0.53 Ga 0.47 As pillars with diameters as small as 200 nm using the damage-free metal-assisted chemical etching (MacEtch) technology combined with the post-MacEtch digital etching smoothing. The etching mechanism of In x Ga 1-x As is explored through the characterization of pillar morphology and porosity as a function of etching condition and indium composition. The etching behavior of In 0.53 Ga 0.47 As, in contrast to higher bandgap semiconductors (e.g., Si or GaAs), can be interpreted by a Schottky barrier height model that dictates the etching mechanism constantly in the mass transport limited regime because of the low barrier height. A broader impact of this work relates to the complete elimination of surface roughness or porosity related defects, which can be prevalent byproducts of MacEtch, by post-MacEtch digital etching. Side-by-side comparison of the midgap interface state density and flat-band capacitance hysteresis of both the unprocessed planar and MacEtched pillar In 0.53 Ga 0.47 As metal-oxide-semiconductor capacitors further confirms that the surface of the resultant pillars is as smooth and defect-free as before etching. MacEtch combined with digital etching offers a simple, room-temperature, and low-cost method for the formation of high-quality In 0.53 Ga 0.47 As nanostructures that will potentially enable large-volume production of In 0.53 Ga 0.47 As-based devices including three-dimensional transistors and high-efficiency infrared photodetectors.

  7. A novel approach to particle track etching: surfactant enhanced control of pore morphology

    International Nuclear Information System (INIS)

    Apel', P.Yu.; Dmitriev, S.N.; Vutsadakis, V.A.; Root, D.

    2000-01-01

    Based on the understanding of the mechanism behind a long observed but thus far unexplained effect, a new method to control the geometry of nano- and micropores is described. Surfactant molecules added to an etching solution used for etching out ion tracks, create a steric-hindrance effect which is responsible for the formation of 'bottleneck' or 'cigar-like' pores. Filtration membranes thus obtained exhibit significantly improved flow rates without deterioration in the retention properties. New applications are made possible with these new pore geometries

  8. Track-Etched Magnetic Micropores for Immunomagnetic Isolation of Pathogens

    Science.gov (United States)

    Muluneh, Melaku; Shang, Wu

    2014-01-01

    A microfluidic chip is developed to selectively isolate magnetically tagged cells from heterogeneous suspensions, the track-etched magnetic micropore (TEMPO) filter. The TEMPO consists of an ion track-etched polycarbonate membrane coated with soft magnetic film (Ni20Fe80). In the presence of an applied field, provided by a small external magnet, the filter becomes magnetized and strong magnetic traps are created along the edges of the micropores. In contrast to conventional microfluidics, fluid flows vertically through the porous membrane allowing large flow rates while keeping the capture rate high and the chip compact. By utilizing track-etching instead of conventional semiconductor fabrication, TEMPOs can be fabricated with microscale pores over large areas A > 1 cm2 at little cost ( 500 at a flow rate of Φ = 5 mL h−1. Furthermore, the large density of micropores (ρ = 106 cm−2) allows the TEMPO to sort E. coli from unprocessed environmental and clinical samples, as the blockage of a few pores does not significantly change the behavior of the device. PMID:24535921

  9. Advanced Simulation Technology to Design Etching Process on CMOS Devices

    Science.gov (United States)

    Kuboi, Nobuyuki

    2015-09-01

    Prediction and control of plasma-induced damage is needed to mass-produce high performance CMOS devices. In particular, side-wall (SW) etching with low damage is a key process for the next generation of MOSFETs and FinFETs. To predict and control the damage, we have developed a SiN etching simulation technique for CHxFy/Ar/O2 plasma processes using a three-dimensional (3D) voxel model. This model includes new concepts for the gas transportation in the pattern, detailed surface reactions on the SiN reactive layer divided into several thin slabs and C-F polymer layer dependent on the H/N ratio, and use of ``smart voxels''. We successfully predicted the etching properties such as the etch rate, polymer layer thickness, and selectivity for Si, SiO2, and SiN films along with process variations and demonstrated the 3D damage distribution time-dependently during SW etching on MOSFETs and FinFETs. We confirmed that a large amount of Si damage was caused in the source/drain region with the passage of time in spite of the existing SiO2 layer of 15 nm in the over etch step and the Si fin having been directly damaged by a large amount of high energy H during the removal step of the parasitic fin spacer leading to Si fin damage to a depth of 14 to 18 nm. By analyzing the results of these simulations and our previous simulations, we found that it is important to carefully control the dose of high energy H, incident energy of H, polymer layer thickness, and over-etch time considering the effects of the pattern structure, chamber-wall condition, and wafer open area ratio. In collaboration with Masanaga Fukasawa and Tetsuya Tatsumi, Sony Corporation. We thank Mr. T. Shigetoshi and Mr. T. Kinoshita of Sony Corporation for their assistance with the experiments.

  10. Etching mechanism of MgO thin films in inductively coupled Cl2/Ar plasma

    International Nuclear Information System (INIS)

    Efremov, A.M.; Koo, Seong-Mo; Kim, Dong-Pyo; Kim, Kyoung-Tae; Kim, Chang-Il

    2004-01-01

    The etching mechanism of MgO thin films in Cl 2 /Ar plasma was investigated. It was found that the increasing Ar in the mixing ratio of Cl 2 /Ar plasma causes nonmonotonic MgO etch rate, which reaches a maximum value at 70%Ar+30%Cl 2 . Langmuir probe measurement showed the noticeable influence of Cl 2 /Ar mixing ratio on electron temperature and electron density. The zero-dimensional plasma model indicated monotonic changes of both densities and fluxes of active species. At the same time, analyses of surface kinetics showed the possibility of nonmonotonic etch rate behavior due to the concurrence of physical and chemical pathways in ion-assisted chemical reaction

  11. A deep cavitand with a fluorescent wall functions as an ion sensor.

    Science.gov (United States)

    Berryman, Orion B; Sather, Aaron C; Rebek, Julius

    2011-10-07

    The synthesis and characterization of a deep cavitand bearing a fluorescent benzoquinoxaline wall is reported. Noncovalent host-guest recognition events are exploited to sense small charged molecules including acetylcholine. The cavitand also exhibits an anion dependent change in fluorescence that is used to differentiate halide ions in solution. © 2011 American Chemical Society

  12. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    Science.gov (United States)

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  13. Pulsed Plasma with Synchronous Boundary Voltage for Rapid Atomic Layer Etching

    Energy Technology Data Exchange (ETDEWEB)

    Economou, Demetre J.; Donnelly, Vincent M.

    2014-05-13

    Atomic Layer ETching (ALET) of a solid with monolayer precision is a critical requirement for advancing nanoscience and nanotechnology. Current plasma etching techniques do not have the level of control or damage-free nature that is needed for patterning delicate sub-20 nm structures. In addition, conventional ALET, based on pulsed gases with long reactant adsorption and purging steps, is very slow. In this work, novel pulsed plasma methods with synchronous substrate and/or “boundary electrode” bias were developed for highly selective, rapid ALET. Pulsed plasma and tailored bias voltage waveforms provided controlled ion energy and narrow energy spread, which are critical for highly selective and damage-free etching. The broad goal of the project was to investigate the plasma science and engineering that will lead to rapid ALET with monolayer precision. A combined experimental-simulation study was employed to achieve this goal.

  14. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    Energy Technology Data Exchange (ETDEWEB)

    Vass, Cs., E-mail: vasscsaba@physx.u-szeged.hu [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary); Kiss, B.; Kopniczky, J.; Hopp, B. [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary)

    2013-08-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ{sub FWHM} = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm{sup 2}, while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm{sup 2}. The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  15. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    International Nuclear Information System (INIS)

    Vass, Cs.; Kiss, B.; Kopniczky, J.; Hopp, B.

    2013-01-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ FWHM = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm 2 , while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm 2 . The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  16. Plasma etching of patterned tungsten

    International Nuclear Information System (INIS)

    Franssila, S.

    1993-01-01

    Plasma etching of tungsten is discussed from the viewpoint of thin film structure and integrated circuit process engineering. The emphasis is on patterned tungsten etching for silicon device and X-ray mask fabrication. After introducing tungsten etch chemistries and mechanisms, microstructural aspects of tungsten films (crystal structure, grain size, film density, defects, impurities) in relation to etching are discussed. Approaches to etch process optimization are presented, and the current state-of-the-art of patterned tungsten etching is reviewed. (orig.)

  17. Dry etching of ITO by magnetic pole enhanced inductively coupled plasma for display and biosensing devices

    Energy Technology Data Exchange (ETDEWEB)

    Meziani, T. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Vatican City State, Holy See,) (Italy)]. E-mail: tarik.meziani@jrc.it; Colpo, P. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Va) (Italy)]. E-mail: pascal.colpo@jrc.it; Lambertini, V. [Centro Ricerche Fiat, Strada Torino 50, 10043 Orbassano (TO) (Italy); Ceccone, G. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Va) (Italy); Rossi, F. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Va) (Italy)

    2006-03-15

    The dry etching of indium tin oxide (ITO) layers deposited on glass substrates was investigated in a high density inductively coupled plasma (ICP) source. This innovative low pressure plasma source uses a magnetic core in order to concentrate the electromagnetic energy on the plasma and thus provides for higher plasma density and better uniformity. Different gas mixtures were tested containing mainly hydrogen, argon and methane. In Ar/H{sub 2} mixtures and at constant bias voltage (-100 V), the etch rate shows a linear dependence with input power varying the same way as the ion density, which confirms the hypothesis that the etching process is mainly physical. In CH{sub 4}/H{sub 2} mixtures, the etch rate goes through a maximum for 10% CH{sub 4} indicating a participation of the radicals to the etching process. However, the etch rate remains quite low with this type of gas mixture (around 10 nm/min) because the etching mechanism appears to be competing with a deposition process. With CH{sub 4}/Ar mixtures, a similar feature appeared but the etch rate was much higher, reaching 130 nm/min at 10% of CH{sub 4} in Ar. The increase in etch rate with the addition of a small quantity of methane indicates that the physical etching process is enhanced by a chemical mechanism. The etching process was monitored by optical emission spectroscopy that appeared to be a valuable tool for endpoint detection.

  18. Track-etched nanopores in spin-coated polycarbonate films applied as sputtering mask

    International Nuclear Information System (INIS)

    Nix, A.-K.; Gehrke, H.-G.; Krauser, J.; Trautmann, C.; Weidinger, A.; Hofsaess, H.

    2009-01-01

    Thin polycarbonate films were spin-coated on silicon substrates and subsequently irradiated with 1-GeV U ions. The ion tracks in the polymer layer were chemically etched yielding nanopores of about 40 nm diameter. In a second process, the nanoporous polymer film acted as mask for structuring the Si substrate underneath. Sputtering with 5-keV Xe ions produced surface craters of depth ∼150 nm and diameter ∼80 nm. This arrangement can be used for the fabrication of track-based nanostructures with self-aligned apertures.

  19. Artificial ion tracks in volcanic dark mica simulating natural radiation damage: A scanning force microscopy study

    International Nuclear Information System (INIS)

    Lang, M.; Glasmacher, U.A.; Moine, B.; Mueller, C.; Neumann, R.; Wagner, G.A.

    2002-01-01

    A new dating technique uses alpha-recoil tracks (ART), formed by the natural α-decay of U, Th and their daughter products, to determine the formation age of Quaternary volcanic rocks ( 6 a). Visualization of etched ART by scanning force microscopy (SFM) enables to access track densities beyond 10 8 cm -2 and thus extend the new ART-dating technique to an age range >10 6 a. In order to simulate natural radiation damage, samples of phlogopite, originating from Quaternary and Tertiary volcanic rocks of the Eifel (Germany) and Kerguelen Islands (Indian Ocean) were irradiated with U, Ni (11.4 MeV/u), Xe, Cr, Ne (1.4 MeV/u) and Bi (200 keV) ions. After irradiation and etching with HF at various etching times, phlogopite surfaces were visualized by SFM. Hexagonal etch pits are typical of U, Xe and Cr ion tracks, but the etch pits of Ni, Ne and Bi ion tracks are triangular. Surfaces irradiated with U, Xe, Cr and Ni ions do not show any significant difference between etch pit density and irradiation fluence, whereas the Ne-irradiated surface show ∼14 times less etch pit density. The etching rate v H (parallel to cleavage) depends on the chemical composition of the phlogopite. The etching rate v T ' (along the track) increases with energy loss

  20. Layer-by-layer thinning of MoSe{sub 2} by soft and reactive plasma etching

    Energy Technology Data Exchange (ETDEWEB)

    Sha, Yunfei [Engineering Research Center of IoT Technology Applications (Ministry of Education), Department of Electronic Engineering, Jiangnan University, Wuxi 214122 (China); Xiao, Shaoqing, E-mail: larring0078@hotmail.com [Engineering Research Center of IoT Technology Applications (Ministry of Education), Department of Electronic Engineering, Jiangnan University, Wuxi 214122 (China); Zhang, Xiumei [Engineering Research Center of IoT Technology Applications (Ministry of Education), Department of Electronic Engineering, Jiangnan University, Wuxi 214122 (China); Qin, Fang [Analysis & Testing Center, Jiangnan University, Wuxi 214122 (China); Gu, Xiaofeng, E-mail: xfgu@jiangnan.edu.cn [Engineering Research Center of IoT Technology Applications (Ministry of Education), Department of Electronic Engineering, Jiangnan University, Wuxi 214122 (China)

    2017-07-31

    Highlights: • Soft plasma etching technique using SF{sub 6} + N{sub 2} as precursors for layer-by-layer thinning of MoSe{sub 2} was adopted in this work. • Optical microscopy, Raman, photoluminescence and atomic force microscopy measurements were used to confirm the thickness change. • Layer-dependent vibrational and photoluminescence spectra of the etched MoSe{sub 2} were also demonstrated. • Equal numbers of MoSe{sub 2} layers can be removed uniformly without affecting the underlying SiO{sub 2} substrate and the remaining MoSe{sub 2} layers. - Abstract: Two-dimensional (2D) transition metal dichalcogenides (TMDs) like molybdenum diselenide (MoSe{sub 2}) have recently gained considerable interest since their properties are complementary to those of graphene. Unlike gapless graphene, the band structure of MoSe{sub 2} can be changed from the indirect band gap to the direct band gap when MoSe{sub 2} changed from bulk material to monolayer. This transition from multilayer to monolayer requires atomic-layer-precision thining of thick MoSe{sub 2} layers without damaging the remaining layers. Here, we present atomic-layer-precision thinning of MoSe{sub 2} nanaosheets down to monolayer by using SF{sub 6} + N{sub 2} plasmas, which has been demonstrated to be soft, selective and high-throughput. Optical microscopy, atomic force microscopy, Raman and photoluminescence spectra suggest that equal numbers of MoSe{sub 2} layers can be removed uniformly regardless of their initial thickness, without affecting the underlying SiO{sub 2} substrate and the remaining MoSe{sub 2} layers. By adjusting the etching rates we can achieve complete MoSe{sub 2} removal and any disired number of MoSe{sub 2} layers including monolayer. This soft plasma etching method is highly reliable and compatible with the semiconductor manufacturing processes, thereby holding great promise for various 2D materials and TMD-based devices.

  1. Dry Etching

    DEFF Research Database (Denmark)

    Stamate, Eugen; Yeom, Geun Young

    2016-01-01

    generation) to 2,200 × 2,500 mm (eighth generation), and the substrate size is expected to increase further within a few years. This chapter aims to present relevant details on dry etching including the phenomenology, materials to be etched with the different recipes, plasma sources fulfilling the dry...

  2. Self-etching ceramic primer versus hydrofluoric acid etching: Etching efficacy and bonding performance.

    Science.gov (United States)

    El-Damanhoury, Hatem M; Gaintantzopoulou, Maria D

    2018-01-01

    This study assessed the effect of pretreatment of hybrid and glass ceramics using a self-etching primer on the shear bond strength (SBS) and surface topography, in comparison to pretreatment with hydrofluoric acid and silane. 40 rectangular discs from each ceramic material (IPS e.max CAD;EM, Vita Mark II;VM, Vita Enamic;VE), were equally divided (n=10) and assigned to one of four surface pretreatment methods; etching with 4.8% hydrofluoric acid followed by Monobond plus (HFMP), Monobond etch & prime (Ivoclar Vivadent) (MEP), No treatment (NT) as negative control and Monobond plus (Ivoclar Vivadent) with no etching (MP) as positive control. SBS of resin cement (Multilink-N, Ivoclar Vivadent) to ceramic surfaces was tested following a standard protocol. Surface roughness was evaluated using an Atomic force microscope (AFM). Surface topography and elemental analysis were analyzed using SEM/EDX. Data were analyzed with two-way analysis of variance (ANOVA) and post-hoc Bonferroni test at a significance level of α=0.05. Pretreatment with HFMP resulted in higher SBS and increased surface roughness in comparison to MEP and MP. Regardless the method of surface pretreatment, the mean SBS values of EM ceramic was significantly higher (pceramics for resin-luting cementation. Copyright © 2017 Japan Prosthodontic Society. Published by Elsevier Ltd. All rights reserved.

  3. Design of experiment characterization of microneedle fabrication processes based on dry silicon etching

    Science.gov (United States)

    Held, J.; Gaspar, J.; Ruther, P.; Hagner, M.; Cismak, A.; Heilmann, A.; Paul, O.

    2010-02-01

    This paper reports on the characterization of dry etching-based processes for the fabrication of silicon microneedles using a design of experiment (DoE) approach. The possibility of using such microneedles as protruding microelectrodes able to electroporate adherently growing cells and record intracellular potentials motivates the systematic analysis of the influence of etching parameters on the needle shape. Two processes are characterized: a fully isotropic etch process and a three-step etching approach. In the first case, the shape of the microneedles is defined by a single etch step. For the stepped method, the structures are realized using the following sequence: a first, isotropic step defines the tip; this is followed by anisotropic etching that increases the height of the needle; a final isotropic procedure thins the microneedle and sharpens its tip. From the various process parameters tested, it is concluded that the isotropic fabrication is influenced mostly by four process parameters, whereas six parameters dominantly govern the outcome of the stepped etching technique. The dependence of the needle shape on the etch mask diameter is also investigated. Microneedles with diameters down to the sub-micrometer range and heights below 10 µm are obtained. The experimental design is performed using the D-optimal method. The resulting geometry, i.e. heights, diameters and radii of curvature measured at different positions, is extracted from scanning electron micrographs of needle cross-sections obtained from cuts by focused ion beam. The process parameters are used as inputs and the geometry features of the microneedles as outputs for the analysis of the process.

  4. Design of experiment characterization of microneedle fabrication processes based on dry silicon etching

    International Nuclear Information System (INIS)

    Held, J; Gaspar, J; Ruther, P; Paul, O; Hagner, M; Cismak, A; Heilmann, A

    2010-01-01

    This paper reports on the characterization of dry etching-based processes for the fabrication of silicon microneedles using a design of experiment (DoE) approach. The possibility of using such microneedles as protruding microelectrodes able to electroporate adherently growing cells and record intracellular potentials motivates the systematic analysis of the influence of etching parameters on the needle shape. Two processes are characterized: a fully isotropic etch process and a three-step etching approach. In the first case, the shape of the microneedles is defined by a single etch step. For the stepped method, the structures are realized using the following sequence: a first, isotropic step defines the tip; this is followed by anisotropic etching that increases the height of the needle; a final isotropic procedure thins the microneedle and sharpens its tip. From the various process parameters tested, it is concluded that the isotropic fabrication is influenced mostly by four process parameters, whereas six parameters dominantly govern the outcome of the stepped etching technique. The dependence of the needle shape on the etch mask diameter is also investigated. Microneedles with diameters down to the sub-micrometer range and heights below 10 µm are obtained. The experimental design is performed using the D-optimal method. The resulting geometry, i.e. heights, diameters and radii of curvature measured at different positions, is extracted from scanning electron micrographs of needle cross-sections obtained from cuts by focused ion beam. The process parameters are used as inputs and the geometry features of the microneedles as outputs for the analysis of the process.

  5. Radiation resistance of track etched membranes

    International Nuclear Information System (INIS)

    Buczkowski, M.; Sartowska, B.; Wawszczak, D.; Starosta, W.

    2001-01-01

    Track etched membranes (TEMs) obtained by irradiation of polymer films with heavy ions and subsequent etching of latent tracks can be applied in many fields and among others in biomedicine as well. It is important to know radiation resistance of TEMs because of wide use of radiation sterilization in the case of biomedical devices. Tensile properties of TEMs made of PET and PC films with the thickness of 10 μm after electron irradiation at different doses are known from literature. Nowadays TEMs are being manufactured from thicker (20 μm) PET and PC films as well as polyethylene naphthalate (PEN) films are proposed for TEMs. It seems to be important to get data about radiation resistance of new kinds of TEMs. Samples of polymer films made of PET and PEN with the thickness of 19-25 μm and TEMs made of such materials have been irradiated using 10 MeV electron beam with doses up to 990 kGy. Tensile properties and SEM photographs of the samples after irradiation are given in the paper

  6. Preparation of fluoropolymer-based ion-track membranes. Structure of latent tracks and pretreatment effect

    International Nuclear Information System (INIS)

    Yamaki, Tetsuya; Nuryanthi, Nuryanthi; Koshikawa, Hiroshi; Sawada, Shinichi; Hakoda, Teruyuki; Hasegawa, Shin; Asano, Masaharu; Maekawa, Yasunari

    2012-01-01

    High-energy heavy-ion induced damage, called latent tracks m organic polymers can sometimes be etched out chemically to give submicro- and nano-sized pores. Our focus is placed on ion-track membranes of poly(vinylidene fluoride) (PVDF), a type of fluoropolymer, which were previously considered as a matrix of polymer electrolyte fuel-cell membranes. There have been no optimized methods of preparing the PVDF-based ion-track membranes. We thus examined chemical structures of the defects created in the track, and accordingly, presented a pretreatment technique for achieving more efficient track etching. A 25 μm-thick PVDF film was bombarded with 1.1 GeV 238 U or 450 MeV 129 Xe ions. In the multi-purpose chamber, degradation processes were monitored in-situ by FT-IR spectroscopy and residual gas analysis as a function of the fluence up to 6.0 x 10 11 ions/cm 2 . The films irradiated at 8 ions/cm 2 were etched in a 9 M KOH aqueous solution at 80degC. We also performed the conductometric etching, which allows monitoring of pore evolution versus etching time by recording the electrical conductance through the membrane. At fluences above 1 x 10 10 ions/cm 2 , the film showed two new absorption bands identified as double-bond stretching vibrations of in-chain unsaturations -CH=CF- and fluorinated vinyl groups -CF 2 CH=CF 2 . These defects would result from the evolution of HF. The knowledge of the solubility in a permanganate alkaline solution and our preliminary experiment suggested the importance of oxidized tracks for the easy introduction of the etching agent. We finally found that the pretreatment with ozone could oxidize the double bonds in the tracks, thereby vigorously promoting track etching before breakthrough. (author)

  7. Micro-texturing into DLC/diamond coated molds and dies via high density oxygen plasma etching

    Directory of Open Access Journals (Sweden)

    Yunata Ersyzario Edo

    2015-01-01

    Full Text Available Diamond-Like Carbon (DLC and Chemical Vapor Deposition (CVD-diamond films have been widely utilized not only as a hard protective coating for molds and dies but also as a functional substrate for bio-MEMS/NEMS. Micro-texturing into these hard coated molds and dies provides a productive tool to duplicate the original mother micro-patterns onto various work materials and to construct any tailored micro-textures for sensors and actuators. In the present paper, the high density oxygen plasma etching method is utilized to make micro-line and micro-groove patterns onto the DLC and diamond coatings. Our developing oxygen plasma etching system is introduced together with characterization on the plasma state during etching. In this quantitative plasma diagnosis, both the population of activated species and the electron and ion densities are identified through the emissive light spectroscopy and the Langmuir probe method. In addition, the on-line monitoring of the plasmas helps to describe the etching process. DLC coated WC (Co specimen is first employed to describe the etching mechanism by the present method. Chemical Vapor Deposition (CVD diamond coated WC (Co is also employed to demonstrate the reliable capacity of the present high density oxygen plasma etching. This oxygen plasma etching performance is discussed by comparison of the etching rates.

  8. Effect of pre-etching on sealing ability of two current self-etching adhesives

    Directory of Open Access Journals (Sweden)

    K Khosravi

    2005-05-01

    Full Text Available Background: We evaluated the effect of phosphoric acid etching on microleakage of two current self-etching adhesives on enamel margins in comparison to a conventional total- etch system. Methods: Sixty buccal class V cavities were made at the cemento-enamel junction with beveled enamel margins of extracted human premolar teeth and randomly divided into five groups (12 specimens in each group. Group 1 was applying with Clearfil SE bond, Group 2 with 35% phosphoric acid etching of enamel margins plus Clearfil SE bond, Group3 with I bond, Group 4 with 35% phosphoric acid etching of enamel margins plus I bond and Group5 with Scotchbond multi-purpose. All groups restored with a composite resins. After 24 hours storage with 100% humidity, the samples were thermocycled, immersed in a dye solution and sectioned buccoligually and enamel margins microleakage were evaluated on a scale of 0 to 2. Results: The differences between Groups 1 & 3 and Groups 3 & 4 were significant (P<0.05 but no significant differences between Groups1 & 2 or 1 & 5 were observed. Conclusion: The findings suggest that all-in-one adhesive systems need pre-etching enamel margins with phosphoric acid for effectively seal. Key words: Self-Etching Adhesives, Microleakage, Enamel, Total-Etch system

  9. In vivo effect of a self-etching primer on dentin.

    Science.gov (United States)

    Milia, E; Lallai, M R; García-Godoy, F

    1999-08-01

    To determine the ultrastructural aspects of the dentin collagen area in the cavity preparation floor produced in vivo after phosphoric acid acid-etching or after using Clearfil Liner Bond 2 self-etching primer (LB2 Primer). Twenty-four non-carious third molars scheduled for extraction from young adult patients (16-30 years old) were used. Conventional Class I cavities (+/- 2 mm deep) were prepared on the occlusal surfaces of all teeth using a cylindrical diamond bur on a high-speed handpiece with copious water spray. To avoid dehydration of the dentin, the smear layer-covered dentin was briefly air-dried for 2 seconds. Cavities were assigned at random to the following groups: Group A: Dentin etched for 15 seconds with 34% phosphoric acid, rinsed for 20 seconds and then briefly air-dried for 2 seconds with oil-free compressed air leaving the surfaces slightly moist. Group B: LB2 Primer was applied to the cavity surfaces for 30 seconds and then briefly air-dried to remove the solvent. Group C: The untreated dentin smear layer was used as a control. In all three groups, the cavities were filled incrementally with a resin-based composite (APX), light curing every increment for 40 seconds. After 30 minutes, the teeth were extracted atraumatically and the samples immediately prepared for evaluation with the transmission electron microscope. The use of a self-etching primer did not produce significant morphological changes in the moist dentin substrate. Adverse morphological conditions where observed when there was an excess water on the dentin surface. Phosphoric acid altered the collagen more severely than the self-etching primer.

  10. Electromagnetic micropores: fabrication and operation.

    Science.gov (United States)

    Basore, Joseph R; Lavrik, Nickolay V; Baker, Lane A

    2010-12-21

    We describe the fabrication and characterization of electromagnetic micropores. These devices consist of a micropore encompassed by a microelectromagnetic trap. Fabrication of the device involves multiple photolithographic steps, combined with deep reactive ion etching and subsequent insulation steps. When immersed in an electrolyte solution, application of a constant potential across the micropore results in an ionic current. Energizing the electromagnetic trap surrounding the micropore produces regions of high magnetic field gradients in the vicinity of the micropore that can direct motion of a ferrofluid onto or off of the micropore. This results in dynamic gating of the ion current through the micropore structure. In this report, we detail fabrication and characterize the electrical and ionic properties of the prepared electromagnetic micropores.

  11. CONTRIBUTION OF DIFFERENT PARTICLES MEASURED WITH TRACK ETCHED DETECTORS ONBOARD ISS.

    Science.gov (United States)

    Ambrožová, I; Davídková, M; Brabcová, K Pachnerová; Tolochek, R V; Shurshakov, V A

    2017-09-29

    Cosmic radiation consists of primary high-energy galactic and solar particles. When passing through spacecraft walls and astronauts' bodies, the spectrum becomes even more complex due to generating of secondary particles through fragmentation and nuclear interactions. Total radiation exposure is contributed by both these components. With an advantage, space research uses track etched detectors from the group of passive detectors visualizing the tracks of particles, in this case by etching. The detectors can discriminate between various components of cosmic radiation. A method is introduced for the separation of the different types of particles according to their range using track etched detectors. The method is demonstrated using detectors placed in Russian segment of the International Space Station in 2009. It is shown that the primary high-energy heavy ions with long range contribute up to 56% of the absorbed dose and up to 50% to the dose equivalent. © The Author 2017. Published by Oxford University Press. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  12. Device fabrication by plasma etching

    International Nuclear Information System (INIS)

    Mogab, C.J.

    1980-01-01

    Plasma etching as applied to many of the materials encountered in the fabrication of LSI's is complicated by loading effect-the dependence of etch rate on the integrated surface area to be etched. This problem is alleviated by appropriate choice of etchant and etching conditions. Appropriate choice of system parameters, generally most concerned with the inherent lifetime of etchant species, may also result in improvement of etch rate uniformity on a wafer-by-wafer basis

  13. Anisotropic chemical etching of semipolar {101-bar 1-bar}/{101-bar +1} ZnO crystallographic planes: polarity versus dangling bonds

    International Nuclear Information System (INIS)

    Palacios-Lidon, E; Perez-GarcIa, B; Colchero, J; Vennegues, P; Zuniga-Perez, J; Munoz-Sanjose, V

    2009-01-01

    ZnO thin films grown by metal-organic vapor phase epitaxy along the nonpolar [112-bar] direction and exhibiting semipolar {101-bar 1-bar}/{101-bar +1} facets have been chemically etched with HCl. In order to get an insight into the influence of the ZnO wurtzite structure in the chemical reactivity of the material, Kelvin probe microscopy and convergent beam electron diffraction have been employed to unambiguously determine the absolute polarity of the facets, showing that {101-bar +1} facets are unstable upon etching in an HCl solution and transform into (000+1)/{101-bar 1-bar} planes. In contrast, {101-bar 1-bar} undergo homogeneous chemical etching perpendicular to the initial crystallographic plane. The observed etching behavior has been explained in terms of surface oxygen dangling bond density, suggesting that the macroscopic polarity plays a secondary role in the etching process.

  14. TEM/SEM investigation of microstructural changes within the white etching area under rolling contact fatigue and 3-D crack reconstruction by focused ion beam

    International Nuclear Information System (INIS)

    Grabulov, A.; Ziese, U.; Zandbergen, H.W.

    2007-01-01

    The white etching area (WEA) surrounding the cracks formed under high-cycle rolling contact fatigue was investigated by transmission electron microscopy (TEM) and Dual Beam (scanning electron microscopy (SEM)/focused ion beam). SEM revealed the initiation of cracks formed around artificially introduced Al 2 O 3 inclusions in the model steel (composition similar to SAE 52100). TEM investigations showed a microstructural difference between the WEA (formation of nanocrystalline ferrite) and the steel matrix (tempered martensitic structure). A three-dimensional image of the crack reconstructed from ∼400 Dual Beam cross-section images is reported

  15. Attachment and spreadout study of 3T3 cells onto PP track etched films

    International Nuclear Information System (INIS)

    Smolko, Eduardo; Mazzei, Ruben; Tadey, Daniel; Lombardo, Daniel

    2001-01-01

    Polymer surface modifications are obtained by the application of radiation treatments and other physico-chemical methods: fission fragment (ff) irradiation and etching. The biocompatibility of the surface is then observed by cell seeding and cell adhesion experiments. Approaches to improvement of the cell adhesion are obtained by different methods: for example, in PS, cell adhesion is improved after ion implantation; in PMMA, after bombarding the polymer, the surface is reconditioned with surfactants and proteins and in PVDF, cell adhesion is assayed on nuclear tracks membranes. In this work, we obtained important cell adhesion improvements in PP films by irradiation with swift heavy ions and subsequent etching of the nuclear tracks. We use BOPP (isotactic -25 μm thickness). Irrradiations were performed with a Cf-252 californium ff source. The source has a heavy ff and a light one, with 160-200 MeV energy divided among them corresponding to ff energies between 1 and 2 MeV/amu. A chemical etching procedure consisting of a solution of sulphuric acid and chromium three oxide at 85 deg. C was used. The 3T3 NIH fibroblast cell line was used for the cell adhesion experiment. Here we report for the first time, the results of a series of experiments by varying the ff fluence and the etching time showing that attachment and spreadout of cells are very much improved in this cell line according to the number of pores and the pore size

  16. Rapid Reactivation of Deep Subsurface Microbes in the Presence of C-1 Compounds

    Directory of Open Access Journals (Sweden)

    Pauliina Rajala

    2015-02-01

    Full Text Available Microorganisms in the deep biosphere are believed to conduct little metabolic activity due to low nutrient availability in these environments. However, destructive penetration to long-isolated bedrock environments during construction of underground waste repositories can lead to increased nutrient availability and potentially affect the long-term stability of the repository systems, Here, we studied how microorganisms present in fracture fluid from a depth of 500 m in Outokumpu, Finland, respond to simple carbon compounds (C-1 compounds in the presence or absence of sulphate as an electron acceptor. C-1 compounds such as methane and methanol are important intermediates in the deep subsurface carbon cycle, and electron acceptors such as sulphate are critical components of oxidation processes. Fracture fluid samples were incubated in vitro with either methane or methanol in the presence or absence of sulphate as an electron acceptor. Metabolic response was measured by staining the microbial cells with fluorescent dyes that indicate metabolic activity and transcriptional response with RT-qPCR. Our results show that deep subsurface microbes exist in dormant states but rapidly reactivate their transcription and respiration systems in the presence of C-1 substrates, particularly methane. Microbial activity was further enhanced by the addition of sulphate as an electron acceptor. Sulphate- and nitrate-reducing microbes were particularly responsive to the addition of C-1 compounds and sulphate. These taxa are common in deep biosphere environments and may be affected by conditions disturbed by bedrock intrusion, as from drilling and excavation for long-term storage of hazardous waste.

  17. Setup for in situ deep level transient spectroscopy of semiconductors during swift heavy ion irradiation.

    Science.gov (United States)

    Kumar, Sandeep; Kumar, Sugam; Katharria, Y S; Safvan, C P; Kanjilal, D

    2008-05-01

    A computerized system for in situ deep level characterization during irradiation in semiconductors has been set up and tested in the beam line for materials science studies of the 15 MV Pelletron accelerator at the Inter-University Accelerator Centre, New Delhi. This is a new facility for in situ irradiation-induced deep level studies, available in the beam line of an accelerator laboratory. It is based on the well-known deep level transient spectroscopy (DLTS) technique. High versatility for data manipulation is achieved through multifunction data acquisition card and LABVIEW. In situ DLTS studies of deep levels produced by impact of 100 MeV Si ions on Aun-Si(100) Schottky barrier diode are presented to illustrate performance of the automated DLTS facility in the beam line.

  18. Prevention of sidewall redeposition of etched byproducts in the dry Au etch process

    International Nuclear Information System (INIS)

    Aydemir, A; Akin, T

    2012-01-01

    In this paper we present a new technique of etching thin Au film in a dual frequency inductively coupled plasma (ICP) system on Si substrate to prevent the redeposition of etched Au particles over the sidewall of the masking material known as veils. First, the effect of the lithography step was investigated. Then the effects of etch chemistry and the process parameters on the redeposition of etched Au particles on the sidewall of the masking material were investigated. The redeposition effect was examined by depositing a thin Ti film over the masking material acting as a hard mask. The results showed that depositing a thin Ti film over the masking material prevents the formation of veils after etching Au in plasma environments for submicron size structures. Based on the results of this study, we propose a new technique that completely eliminates formation of veils after etching Au in plasma environments for submicron size structures. (paper)

  19. Highly selective and sensitive paper-based colorimetric sensor using thiosulfate catalytic etching of silver nanoplates for trace determination of copper ions.

    Science.gov (United States)

    Chaiyo, Sudkate; Siangproh, Weena; Apilux, Amara; Chailapakul, Orawon

    2015-03-25

    A novel, highly selective and sensitive paper-based colorimetric sensor for trace determination of copper (Cu(2+)) ions was developed. The measurement is based on the catalytic etching of silver nanoplates (AgNPls) by thiosulfate (S2O3(2-)). Upon the addition of Cu(2+) to the ammonium buffer at pH 11, the absorption peak intensity of AuNPls/S2O3(2-) at 522 nm decreased and the pinkish violet AuNPls became clear in color as visible to the naked eye. This assay provides highly sensitive and selective detection of Cu(2+) over other metal ions (K(+), Cr(3+), Cd(2+), Zn(2+), As(3+), Mn(2+), Co(2+), Pb(2+), Al(3+), Ni(2+), Fe(3+), Mg(2+), Hg(2+) and Bi(3+)). A paper-based colorimetric sensor was then developed for the simple and rapid determination of Cu(2+) using the catalytic etching of AgNPls. Under optimized conditions, the modified AgNPls coated at the test zone of the devices immediately changes in color in the presence of Cu(2+). The limit of detection (LOD) was found to be 1.0 ng mL(-1) by visual detection. For semi-quantitative measurement with image processing, the method detected Cu(2+) in the range of 0.5-200 ng mL(-1)(R(2)=0.9974) with an LOD of 0.3 ng mL(-1). The proposed method was successfully applied to detect Cu(2+) in the wide range of real samples including water, food, and blood. The results were in good agreement according to a paired t-test with results from inductively coupled plasma-optical emission spectrometry (ICP-OES). Copyright © 2015. Published by Elsevier B.V.

  20. Diffusion kinetics of the glucose/glucose oxidase system in swift heavy ion track-based biosensors

    Energy Technology Data Exchange (ETDEWEB)

    Fink, Dietmar, E-mail: fink@xanum.uam.mx [Nuclear Physics Institute, 25068 Řež (Czech Republic); Departamento de Fisica, Universidad Autónoma Metropolitana-Iztapalapa, PO Box 55-534, 09340 México, DF (Mexico); Vacik, Jiri; Hnatowicz, V. [Nuclear Physics Institute, 25068 Řež (Czech Republic); Muñoz Hernandez, G. [Departamento de Fisica, Universidad Autónoma Metropolitana-Iztapalapa, PO Box 55-534, 09340 México, DF (Mexico); Garcia Arrelano, H. [Departamento de Ciencias Ambientales, División de Ciencias Biológicas y de la Salud, Universidad Autónoma Metropolitana-Lerma, Av. de las Garzas No. 10, Col. El Panteón, Lerma de Villada, Municipio de Lerma, Estado de México CP 52005 (Mexico); Alfonta, Lital [Avram and Stella Goldstein-Goren Department of Biotechnology Engineering, Ben-Gurion University of the Negev, PO Box 653, Beer-Sheva 84105 (Israel); Kiv, Arik [Department of Materials Engineering, Ben-Gurion University of the Negev, PO Box 653, Beer-Sheva 84105 (Israel)

    2017-05-01

    Highlights: • Application of swift heavy ion tracks in biosensing. • Obtaining yet unknown diffusion coefficients of organic matter across etched ion tracks. • Obtaining diffusion coefficients of organics in etched ion tracks of biosensors. • Comparison with Renkin’s equation to predict the effective etched track diameter in the given experiments. - Abstract: For understanding of the diffusion kinetics and their optimization in swift heavy ion track-based biosensors, recently a diffusion simulation was performed. This simulation aimed at yielding the degree of enrichment of the enzymatic reaction products in the highly confined space of the etched ion tracks. A bunch of curves was obtained for the description of such sensors that depend only on the ratio of the diffusion coefficient of the products to that of the analyte within the tracks. As hitherto none of these two diffusion coefficients is accurately known, the present work was undertaken. The results of this paper allow one to quantify the previous simulation and hence yield realistic predictions of glucose-based biosensors. At this occasion, also the influence of the etched track radius on the diffusion coefficients was measured and compared with earlier prediction.